From 9ceb15c0ff4be504ee75c0194ce2237df2c37224 Mon Sep 17 00:00:00 2001 From: Klagarge Date: Fri, 15 Mar 2024 15:03:34 +0100 Subject: [PATCH] add solutions --- .../Prefs/dp_user/v2_72/dp_user_prefs | 64 + .../01-WaveformGenerator/Prefs/hds.hdp | 19 + .../Prefs/hds_team/shared.hdp | 23 + .../Prefs/hds_team/v2018.1/hds_team_prefs | 55 + .../Prefs/hds_team/v2018.1/title_block.tmpl | 273 + .../Prefs/hds_team/v2019.2/hds_team_prefs | 55 + .../Prefs/hds_team/v2019.2/hds_team_prefs.bak | 55 + .../Prefs/hds_team/v2019.2/title_block.tmpl | 273 + .../Prefs/hds_team/v2019.3/hds_team_prefs | 55 + .../Prefs/hds_team/v2019.3/title_block.tmpl | 273 + .../hds_user-linux/v2019.3/hds_user_prefs | 6097 ++++ .../hds_user-linux/v2019.3/tasks/generate.tsk | 41 + .../v2019.3/tasks/modelsim_compile.tsk | 98 + .../v2019.3/tasks/modelsim_flow.tsk | 83 + .../v2019.3/tasks/modelsim_simulate.tsk | 98 + .../v2019.3/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 114 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2019.3/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + .../Prefs/hds_user/v2018.1/hds_user_prefs | 6831 ++++ .../Prefs/hds_user/v2018.1/tasks/generate.tsk | 41 + .../v2018.1/tasks/modelsim_compile.tsk | 98 + .../hds_user/v2018.1/tasks/modelsim_flow.tsk | 83 + .../v2018.1/tasks/modelsim_simulate.tsk | 96 + .../v2018.1/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 163 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2018.1/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + .../Prefs/hds_user/v2019.2/hds_user_prefs | 6800 ++++ .../Prefs/hds_user/v2019.2/hds_user_prefs.bak | 6800 ++++ .../tasks/diamond_project_navigator.tsk | 162 + .../Prefs/hds_user/v2019.2/tasks/generate.tsk | 41 + .../v2019.2/tasks/modelsim_compile.tsk | 98 + .../hds_user/v2019.2/tasks/modelsim_flow.tsk | 83 + .../v2019.2/tasks/modelsim_simulate.tsk | 98 + .../v2019.2/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 163 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2019.2/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + .../Scripts/.gitlab-ci.yml | 53 + .../01-WaveformGenerator/Scripts/LICENSE | 11 + .../01-WaveformGenerator/Scripts/README.md | 238 + .../Scripts/changeDefaultViews.bash | 94 + .../Scripts/cleanGenerated.bash | 38 + .../Scripts/cleanGenerated.bat | 42 + .../Scripts/cleanScratch.bat | 39 + .../Scripts/deployLab.bash | 559 + .../Scripts/deployLibs.bash | 320 + .../Scripts/deployLibsAll.bash | 37 + .../Scripts/deploySEmLabs.bash | 32 + .../Scripts/deployScripts.bash | 331 + .../Scripts/deployScriptsAll.bash | 37 + .../Scripts/generateSSHKey.bash | 42 + .../Scripts/hdlDesigner.bash | 154 + .../Scripts/hdlDesigner.bat | 278 + .../Scripts/img/EDA_scripts.gif | Bin 0 -> 12105 bytes .../Scripts/img/EDA_scripts.png | Bin 0 -> 20462 bytes .../Scripts/img/EDA_scripts.svg | 98 + .../Scripts/img/eln_labs_deployment-staff.png | Bin 0 -> 1773130 bytes .../Scripts/searchPaths.bat | 183 + .../Scripts/start_diamond.pl | 39 + .../Scripts/start_libero.pl | 37 + .../01-WaveformGenerator/Scripts/trimLibs.pl | 163 + .../Scripts/update_diamond.pl | 129 + .../Scripts/update_ise.pl | 91 + .../Scripts/update_libero.pl | 107 + .../Simulation/waveformGen.do | 30 + .../WaveformGenerator/hdl/lowpass_entity.vhg | 28 + .../hdl/lowpass_masterVersion.vhd | 19 + .../hdl/lowpass_studentVersion.vhd | 4 + .../hdl/sawtoothGen_masterVersion.vhd | 21 + .../hdl/sawtoothGen_studentVersion.vhd | 5 + .../hdl/sawtoothToSquare_masterVersion.vhd | 6 + .../hdl/sawtoothToSquare_studentVersion.vhd | 4 + .../hdl/sawtoothToTriangle_masterVersion.vhd | 21 + .../hdl/sawtoothToTriangle_studentVersion.vhd | 4 + .../hdl/sawtoothgen_entity.vhg | 28 + .../hdl/sawtoothtosquare_entity.vhg | 25 + .../hdl/sawtoothtotriangle_entity.vhg | 25 + .../hdl/triangleToPolygon_masterVersion.vhd | 26 + .../hdl/triangleToPolygon_studentVersion.vhd | 4 + .../hdl/triangletopolygon_entity.vhg | 25 + .../hdl/waveformgen_entity.vhg | 33 + .../hdl/waveformgen_struct.vhg | 146 + .../WaveformGenerator/hds/.cache.dat | Bin 0 -> 5487 bytes .../hds/.hdlsidedata/_lowpass_entity.vhd._fpf | 1 + .../hds/.hdlsidedata/_lowpass_entity.vhg._fpf | 1 + .../_lowpass_masterVersion.vhd._fpf | 1 + .../_lowpass_studentVersion.vhd._fpf | 1 + .../_sawtoothGen_masterVersion.vhd._fpf | 1 + .../_sawtoothGen_studentVersion.vhd._fpf | 1 + .../_sawtoothToSquare_masterVersion.vhd._fpf | 1 + .../_sawtoothToSquare_studentVersion.vhd._fpf | 1 + ..._sawtoothToTriangle_masterVersion.vhd._fpf | 1 + ...sawtoothToTriangle_studentVersion.vhd._fpf | 1 + .../.hdlsidedata/_sawtoothgen_entity.vhd._fpf | 1 + .../.hdlsidedata/_sawtoothgen_entity.vhg._fpf | 1 + .../_sawtoothtosquare_entity.vhd._fpf | 1 + .../_sawtoothtosquare_entity.vhg._fpf | 1 + .../_sawtoothtotriangle_entity.vhd._fpf | 1 + .../_sawtoothtotriangle_entity.vhg._fpf | 1 + .../_triangleToPolygon_masterVersion.vhd._fpf | 1 + ..._triangleToPolygon_studentVersion.vhd._fpf | 1 + .../_triangletopolygon_entity.vhd._fpf | 1 + .../_triangletopolygon_entity.vhg._fpf | 1 + .../.hdlsidedata/_waveformgen_entity.vhd._fpf | 1 + .../.hdlsidedata/_waveformgen_entity.vhg._fpf | 1 + .../.hdlsidedata/_waveformgen_struct.vhd._fpf | 1 + .../.hdlsidedata/_waveformgen_struct.vhg._fpf | 1 + .../hds/.xrf/lowpass_entity.xrf | 27 + .../hds/.xrf/sawtoothgen_entity.xrf | 30 + .../hds/.xrf/sawtoothtosquare_entity.xrf | 21 + .../hds/.xrf/sawtoothtotriangle_entity.xrf | 21 + .../hds/.xrf/triangletopolygon_entity.xrf | 21 + .../hds/.xrf/waveformgen_entity.xrf | 42 + .../hds/.xrf/waveformgen_struct.xrf | 215 + .../WaveformGenerator/hds/_lowpass._epf | 2 + .../WaveformGenerator/hds/_sawtoothgen._epf | 2 + .../hds/_sawtoothtosquare._epf | 2 + .../hds/_sawtoothtotriangle._epf | 2 + .../hds/_triangletopolygon._epf | 2 + .../WaveformGenerator/hds/_waveformgen._epf | 3 + .../WaveformGenerator/hds/lowpass/symbol.sb | 1607 + .../hds/sawtooth@gen/symbol.sb | 1648 + .../hds/sawtooth@to@square/symbol.sb | 1465 + .../hds/sawtooth@to@triangle/symbol.sb | 1465 + .../hds/triangle@to@polygon/symbol.sb | 1465 + .../hds/waveform@gen/struct.bd | 4462 +++ .../hds/waveform@gen/symbol.sb | 1936 + .../hdl/waveformGen_tester_test.vhd | 22 + .../hdl/waveformgen_tb_entity.vhg | 15 + .../hdl/waveformgen_tb_struct.vhg | 100 + .../hdl/waveformgen_tester_entity.vhg | 28 + .../WaveformGenerator_test/hds/.cache.dat | Bin 0 -> 1636 bytes .../_waveformGen_tester_test.vhd._fpf | 1 + .../_waveformgen_tb_entity.vhd._fpf | 1 + .../_waveformgen_tb_entity.vhg._fpf | 1 + .../_waveformgen_tb_struct.vhd._fpf | 1 + .../_waveformgen_tb_struct.vhg._fpf | 1 + .../_waveformgen_tester_entity.vhd._fpf | 1 + .../_waveformgen_tester_entity.vhg._fpf | 1 + .../hds/.xrf/waveformgen_tb_entity.xrf | 12 + .../hds/.xrf/waveformgen_tb_struct.xrf | 132 + .../hds/.xrf/waveformgen_tester_entity.xrf | 27 + .../hds/_waveformgen_tb._epf | 3 + .../hds/_waveformgen_tester._epf | 2 + .../hds/waveform@gen_tb/struct.bd | 2865 ++ .../hds/waveform@gen_tb/struct.bd.lck | 6 + .../hds/waveform@gen_tb/symbol.sb | 1268 + .../hds/waveform@gen_tester/interface | 1288 + .../waveformGenerator.bash | 32 + .../waveformGenerator.bat | 83 + .../waveformGenerator.bat.bak | 81 + .../02-SplineInterpolator/Prefs/hds.hdp | 25 + .../Prefs/hds_team/shared.hdp | 23 + .../Prefs/hds_team/v2018.1/hds_team_prefs | 55 + .../Prefs/hds_team/v2018.1/title_block.tmpl | 273 + .../Prefs/hds_team/v2019.2/hds_team_prefs | 55 + .../Prefs/hds_team/v2019.2/hds_team_prefs.bak | 55 + .../Prefs/hds_team/v2019.2/title_block.tmpl | 273 + .../Prefs/hds_team/v2019.3/hds_team_prefs | 55 + .../Prefs/hds_team/v2019.3/title_block.tmpl | 273 + .../hds_user-linux/v2019.3/hds_user_prefs | 6092 ++++ .../hds_user-linux/v2019.3/tasks/generate.tsk | 41 + .../v2019.3/tasks/modelsim_compile.tsk | 98 + .../v2019.3/tasks/modelsim_flow.tsk | 83 + .../v2019.3/tasks/modelsim_simulate.tsk | 98 + .../v2019.3/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 114 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2019.3/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + .../Prefs/hds_user/v2018.1/hds_user_prefs | 6831 ++++ .../Prefs/hds_user/v2018.1/tasks/generate.tsk | 41 + .../v2018.1/tasks/modelsim_compile.tsk | 98 + .../hds_user/v2018.1/tasks/modelsim_flow.tsk | 83 + .../v2018.1/tasks/modelsim_simulate.tsk | 96 + .../v2018.1/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 163 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2018.1/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + .../Prefs/hds_user/v2019.2/hds_user_prefs | 6843 ++++ .../Prefs/hds_user/v2019.2/hds_user_prefs.bak | 6842 ++++ .../tasks/diamond_project_navigator.tsk | 162 + .../Prefs/hds_user/v2019.2/tasks/generate.tsk | 41 + .../v2019.2/tasks/modelsim_compile.tsk | 98 + .../hds_user/v2019.2/tasks/modelsim_flow.tsk | 83 + .../v2019.2/tasks/modelsim_simulate.tsk | 98 + .../v2019.2/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 163 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2019.2/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + .../Scripts/.gitlab-ci.yml | 53 + .../02-SplineInterpolator/Scripts/LICENSE | 11 + .../02-SplineInterpolator/Scripts/README.md | 238 + .../Scripts/changeDefaultViews.bash | 94 + .../Scripts/cleanGenerated.bash | 38 + .../Scripts/cleanGenerated.bat | 42 + .../Scripts/cleanScratch.bat | 39 + .../Scripts/deployLab.bash | 559 + .../Scripts/deployLibs.bash | 320 + .../Scripts/deployLibsAll.bash | 37 + .../Scripts/deploySEmLabs.bash | 32 + .../Scripts/deployScripts.bash | 331 + .../Scripts/deployScriptsAll.bash | 37 + .../Scripts/generateSSHKey.bash | 42 + .../Scripts/hdlDesigner.bash | 154 + .../Scripts/hdlDesigner.bat | 278 + .../Scripts/img/EDA_scripts.gif | Bin 0 -> 12105 bytes .../Scripts/img/EDA_scripts.png | Bin 0 -> 20462 bytes .../Scripts/img/EDA_scripts.svg | 98 + .../Scripts/img/eln_labs_deployment-staff.png | Bin 0 -> 1773130 bytes .../Scripts/searchPaths.bat | 183 + .../Scripts/start_diamond.pl | 39 + .../Scripts/start_libero.pl | 37 + .../02-SplineInterpolator/Scripts/trimLibs.pl | 163 + .../Scripts/update_diamond.pl | 129 + .../Scripts/update_ise.pl | 91 + .../Scripts/update_libero.pl | 107 + .../Simulation/coefficients_sinus.pdf | Bin 0 -> 20972 bytes .../Simulation/sineGen.do | 36 + .../Simulation/waveformGen.do | 30 + ...rpolatorCalculatePolynom_masterVersion.vhd | 56 + ...polatorCalculatePolynom_studentVersion.vhd | 4 + ...interpolatorCoefficients_masterVersion.vhd | 28 + ...nterpolatorCoefficients_studentVersion.vhd | 7 + ...nterpolatorShiftRegister_masterVersion.vhd | 40 + ...terpolatorShiftRegister_studentVersion.vhd | 7 + .../hdl/interpolatorTrigger_masterVersion.vhd | 27 + .../interpolatorTrigger_studentVersion.vhd | 4 + .../interpolatorcalculatepolynom_entity.vhg | 34 + .../hdl/interpolatorcoefficients_entity.vhg | 33 + .../hdl/interpolatorshiftregister_entity.vhg | 31 + .../hdl/interpolatortrigger_entity.vhg | 27 + .../hdl/offsetToUnsigned_masterVersion.vhd | 7 + .../hdl/offsetToUnsigned_studentVersion.vhd | 4 + .../hdl/offsettounsigned_entity.vhg | 25 + .../SplineInterpolator/hdl/resizer_entity.vhg | 26 + .../hdl/resizer_masterVersion.vhd | 33 + .../hdl/resizer_studentVersion.vhd | 4 + .../hdl/sineTable_masterVersion.vhd | 57 + .../hdl/sineTable_studentVersion.vhd | 27 + .../SplineInterpolator/hdl/sinegen_entity.vhg | 31 + .../SplineInterpolator/hdl/sinegen_struct.vhg | 307 + .../hdl/sinetable_entity.vhg | 27 + .../SplineInterpolator/hds/.cache.dat | Bin 0 -> 8272 bytes ...torCalculatePolynom_masterVersion.vhd._fpf | 1 + ...orCalculatePolynom_studentVersion.vhd._fpf | 1 + ...polatorCoefficients_masterVersion.vhd._fpf | 1 + ...olatorCoefficients_studentVersion.vhd._fpf | 1 + ...olatorShiftRegister_masterVersion.vhd._fpf | 1 + ...latorShiftRegister_studentVersion.vhd._fpf | 1 + ...interpolatorTrigger_masterVersion.vhd._fpf | 1 + ...nterpolatorTrigger_studentVersion.vhd._fpf | 1 + ...terpolatorcalculatepolynom_entity.vhd._fpf | 1 + ...terpolatorcalculatepolynom_entity.vhg._fpf | 1 + .../_interpolatorcoefficients_entity.vhd._fpf | 1 + .../_interpolatorcoefficients_entity.vhg._fpf | 1 + ..._interpolatorshiftregister_entity.vhd._fpf | 1 + ..._interpolatorshiftregister_entity.vhg._fpf | 1 + .../_interpolatortrigger_entity.vhd._fpf | 1 + .../_interpolatortrigger_entity.vhg._fpf | 1 + .../_offsetToUnsigned_masterVersion.vhd._fpf | 1 + .../_offsetToUnsigned_studentVersion.vhd._fpf | 1 + .../_offsettounsigned_entity.vhd._fpf | 1 + .../_offsettounsigned_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_resizer_entity.vhd._fpf | 1 + .../hds/.hdlsidedata/_resizer_entity.vhg._fpf | 1 + .../_resizer_masterVersion.vhd._fpf | 1 + .../_resizer_studentVersion.vhd._fpf | 1 + .../_sineTable_masterVersion.vhd._fpf | 1 + .../_sineTable_studentVersion.vhd._fpf | 1 + .../hds/.hdlsidedata/_sinegen_entity.vhd._fpf | 1 + .../hds/.hdlsidedata/_sinegen_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_sinegen_struct.vhd._fpf | 1 + .../hds/.hdlsidedata/_sinegen_struct.vhg._fpf | 1 + .../.hdlsidedata/_sinetable_entity.vhd._fpf | 1 + .../.hdlsidedata/_sinetable_entity.vhg._fpf | 1 + .../interpolatorcalculatepolynom_entity.xrf | 42 + .../.xrf/interpolatorcoefficients_entity.xrf | 42 + .../.xrf/interpolatorshiftregister_entity.xrf | 39 + .../hds/.xrf/interpolatortrigger_entity.xrf | 27 + .../hds/.xrf/offsettounsigned_entity.xrf | 21 + .../hds/.xrf/resizer_entity.xrf | 21 + .../hds/.xrf/sinegen_entity.xrf | 36 + .../hds/.xrf/sinegen_struct.xrf | 519 + .../hds/.xrf/sinetable_entity.xrf | 21 + .../hds/_interpolatorcalculatepolynom._epf | 2 + .../hds/_interpolatorcoefficients._epf | 2 + .../hds/_interpolatorshiftregister._epf | 2 + .../hds/_interpolatortrigger._epf | 2 + .../hds/_offsettounsigned._epf | 2 + .../SplineInterpolator/hds/_resizer._epf | 2 + .../SplineInterpolator/hds/_sinegen._epf | 3 + .../SplineInterpolator/hds/_sinetable._epf | 2 + .../interpolator@calculate@polynom/symbol.sb | 1938 + .../hds/interpolator@coefficients/symbol.sb | 1933 + .../hds/interpolator@shift@register/symbol.sb | 1846 + .../hds/interpolator@trigger/symbol.sb | 1583 + .../hds/offset@to@unsigned/symbol.sb | 1465 + .../SplineInterpolator/hds/resizer/symbol.sb | 1486 + .../SplineInterpolator/hds/sine@gen/struct.bd | 7266 ++++ .../SplineInterpolator/hds/sine@gen/symbol.sb | 1809 + .../hds/sine@gen_tester/interface | 1738 + .../hds/sine@table/symbol.sb | 1506 + .../hdl/sineGen_tester_test.vhd | 19 + .../hdl/sinegen_tb_entity.vhg | 15 + .../hdl/sinegen_tb_struct.vhg | 108 + .../hdl/sinegen_tester_entity.vhg | 32 + .../SplineInterpolator_test/hds/.cache.dat | Bin 0 -> 1571 bytes .../_sineGen_tester_test.vhd._fpf | 1 + .../.hdlsidedata/_sinegen_tb_entity.vhg._fpf | 1 + .../.hdlsidedata/_sinegen_tb_struct.vhg._fpf | 1 + .../_sinegen_tester_entity.vhg._fpf | 1 + .../hds/.xrf/sinegen_tb_entity.xrf | 12 + .../hds/.xrf/sinegen_tb_struct.xrf | 153 + .../hds/.xrf/sinegen_tester_entity.xrf | 36 + .../hds/_sinegen_tb._epf | 3 + .../hds/_sinegen_tester._epf | 2 + .../hds/sine@gen_tb/struct.bd | 3042 ++ .../hds/sine@gen_tb/symbol.sb | 1244 + .../hds/sine@gen_tester/interface | 1807 + .../splineInterpolator.bash | 32 + .../splineInterpolator.bat | 83 + .../splineInterpolator.bat.bak | 79 + .../hdl/DAC_order1_masterVersion.vhd | 29 + .../hdl/DAC_order1_studentVersion.vhd | 4 + .../hdl/DAC_order2_masterVersion.vhd | 59 + .../hdl/DAC_order2_studentVersion.vhd | 4 + .../hdl/dac_entity.vhg | 27 + .../_DAC_order1_masterVersion.vhd._fpf | 1 + .../_DAC_order1_studentVersion.vhd._fpf | 1 + .../_DAC_order2_masterVersion.vhd._fpf | 1 + .../_DAC_order2_studentVersion.vhd._fpf | 1 + .../hds/.hdlsidedata/_dac_entity.vhd._fpf | 1 + .../hds/.hdlsidedata/_dac_entity.vhg._fpf | 1 + .../hds/.xrf/dac_entity.xrf | 27 + .../hds/@d@a@c/symbol.sb | 1585 + .../DigitalToAnalogConverter/hds/_dac._epf | 2 + .../hdl/DAC_tester_test.vhd | 38 + .../hdl/dac_tb_entity.vhg | 15 + .../hdl/dac_tb_struct.vhg | 122 + .../hdl/dac_tester_entity.vhg | 29 + .../.hdlsidedata/_DAC_tester_test.vhd._fpf | 1 + .../hds/.hdlsidedata/_dac_tb_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_dac_tb_struct.vhg._fpf | 1 + .../.hdlsidedata/_dac_tester_entity.vhg._fpf | 1 + .../hds/.xrf/dac_tb_entity.xrf | 12 + .../hds/.xrf/dac_tb_struct.xrf | 172 + .../hds/.xrf/dac_tester_entity.xrf | 30 + .../hds/@d@a@c_tb/struct.bd | 3271 ++ .../hds/@d@a@c_tb/symbol.sb | 1244 + .../hds/@d@a@c_tester/interface | 1653 + .../hds/_dac_tb._epf | 3 + .../hds/_dac_tester._epf | 2 + .../03-DigitalToAnalogConverter/Prefs/hds.hdp | 31 + .../Prefs/hds_team/shared.hdp | 23 + .../Prefs/hds_team/v2018.1/hds_team_prefs | 55 + .../Prefs/hds_team/v2018.1/title_block.tmpl | 273 + .../Prefs/hds_team/v2019.2/hds_team_prefs | 55 + .../Prefs/hds_team/v2019.2/title_block.tmpl | 273 + .../Prefs/hds_team/v2019.3/hds_team_prefs | 55 + .../Prefs/hds_team/v2019.3/title_block.tmpl | 273 + .../hds_user-linux/v2019.3/hds_user_prefs | 6092 ++++ .../hds_user-linux/v2019.3/tasks/generate.tsk | 41 + .../v2019.3/tasks/modelsim_compile.tsk | 98 + .../v2019.3/tasks/modelsim_flow.tsk | 83 + .../v2019.3/tasks/modelsim_simulate.tsk | 98 + .../v2019.3/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 114 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2019.3/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + .../Prefs/hds_user/v2018.1/hds_user_prefs | 6831 ++++ .../Prefs/hds_user/v2018.1/tasks/generate.tsk | 41 + .../v2018.1/tasks/modelsim_compile.tsk | 98 + .../hds_user/v2018.1/tasks/modelsim_flow.tsk | 83 + .../v2018.1/tasks/modelsim_simulate.tsk | 96 + .../v2018.1/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 163 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2018.1/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + .../Prefs/hds_user/v2019.2/hds_user_prefs | 6842 ++++ .../tasks/diamond_project_navigator.tsk | 162 + .../Prefs/hds_user/v2019.2/tasks/generate.tsk | 41 + .../v2019.2/tasks/modelsim_compile.tsk | 98 + .../hds_user/v2019.2/tasks/modelsim_flow.tsk | 83 + .../v2019.2/tasks/modelsim_simulate.tsk | 98 + .../v2019.2/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 163 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2019.2/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + .../Scripts/.gitlab-ci.yml | 53 + .../Scripts/LICENSE | 11 + .../Scripts/README.md | 238 + .../Scripts/changeDefaultViews.bash | 94 + .../Scripts/cleanGenerated.bash | 38 + .../Scripts/cleanGenerated.bat | 42 + .../Scripts/cleanScratch.bat | 39 + .../Scripts/deployLab.bash | 559 + .../Scripts/deployLibs.bash | 320 + .../Scripts/deployLibsAll.bash | 37 + .../Scripts/deploySEmLabs.bash | 32 + .../Scripts/deployScripts.bash | 331 + .../Scripts/deployScriptsAll.bash | 37 + .../Scripts/generateSSHKey.bash | 42 + .../Scripts/hdlDesigner.bash | 154 + .../Scripts/hdlDesigner.bat | 278 + .../Scripts/img/EDA_scripts.gif | Bin 0 -> 12105 bytes .../Scripts/img/EDA_scripts.png | Bin 0 -> 20462 bytes .../Scripts/img/EDA_scripts.svg | 98 + .../Scripts/img/eln_labs_deployment-staff.png | Bin 0 -> 1773130 bytes .../Scripts/searchPaths.bat | 183 + .../Scripts/start_diamond.pl | 39 + .../Scripts/start_libero.pl | 37 + .../Scripts/trimLibs.pl | 163 + .../Scripts/update_diamond.pl | 129 + .../Scripts/update_ise.pl | 91 + .../Scripts/update_libero.pl | 107 + .../Simulation/DAC.do | 27 + .../Simulation/sineGen.do | 36 + .../Simulation/waveformGen.do | 30 + .../digitalToAnalogConverter.bash | 32 + .../digitalToAnalogConverter.bat | 83 + .../digitalToAnalogConverter.bat.bak | 79 + .../Board/concat/concatenated.vhd | 1585 + .../04-Lissajous/Board/concat/lissajous.lpf | 334 + .../04-Lissajous/Board/concat/lissajous.ucf | 19 + .../04-Lissajous/Board/concat/lissajous.vhd | 1585 + .../04-Lissajous/Board/diamond/lissajous.bit | Bin 0 -> 582677 bytes .../04-Lissajous/Board/diamond/lissajous.ldf | 22 + .../04-Lissajous/Board/diamond/programmer.xcf | 50 + .../Board/diamond/reveal_analyze.rva | 638 + .../Board/diamond/reveal_config.rvl | 662 + .../04-Lissajous/Board/diamond/strategy.sty | 203 + .../04-Lissajous/Board/hdl/DFF_sim.vhd | 14 + .../04-Lissajous/Board/hdl/buff_sim.vhd | 7 + .../04-Lissajous/Board/hdl/inverterIn_sim.vhd | 7 + .../04-Lissajous/Board/hdl/inverter_sim.vhd | 7 + ...usgenerator_circuit_EBS2_masterversion.vhd | 110 + .../Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf | 1 + .../Board/hds/.hdlsidedata/_buff_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_dff_entity.vhd._fpf | 1 + .../hds/.hdlsidedata/_dff_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_inverterIn_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_inverter_sim.vhd._fpf | 1 + .../.hdlsidedata/_inverterin_entity.vhd._fpf | 1 + .../.hdlsidedata/_inverterin_entity.vhg._fpf | 1 + ...erator_circuit_EBS2_masterversion.vhd._fpf | 1 + ...jousgenerator_circuit_ebs3_entity.vhg._fpf | 1 + ...erator_circuit_ebs3_masterversion.vhg._fpf | 1 + ...lissajousgenerator_circuit_entity.vhd._fpf | 1 + ...lissajousgenerator_circuit_entity.vhg._fpf | 1 + ...usgenerator_circuit_masterversion.vhd._fpf | 1 + ...usgenerator_circuit_masterversion.vhg._fpf | 1 + .../04-Lissajous/Board/hds/@d@f@f/symbol.sb | 1211 + .../hds/@f@p@g@a_sine@gen_tester/interface | 1571 + .../04-Lissajous/Board/hds/_buff._epf | 2 + .../hds/_lissajousgenerator_circuit_ebs2._epf | 3 + .../hds/_lissajousgenerator_circuit_ebs3._epf | 3 + .../04-Lissajous/Board/hds/buff/symbol.sb | 1107 + .../04-Lissajous/Board/hds/inverter/symbol.sb | 1092 + .../Board/hds/inverter@in/symbol.sb | 1095 + .../master@version.bd | 3971 ++ .../student@version.bd | 3978 ++ .../symbol.sb | 1657 + .../master@version.bd | 4392 +++ .../student@version.bd | 4715 +++ .../symbol.sb | 1569 + .../Board/ise/lissajous-XC3S1200E.bit | Bin 0 -> 480260 bytes .../Board/ise/lissajous-XC3S1200E.mcs | 30019 ++++++++++++++++ .../Board/ise/lissajous-XC3S500E.bit | Bin 0 -> 283887 bytes .../Board/ise/lissajous-XC3S500E.mcs | 17742 +++++++++ .../04-Lissajous/Board/ise/lissajous.dwf3work | Bin 0 -> 268206 bytes .../04-Lissajous/Board/ise/lissajous.xise | 331 + .../Board/ise/lissajousGenerator_circuit.bit | Bin 0 -> 283887 bytes .../_lissajousgenerator_entity.vhd._fpf | 1 + .../_lissajousgenerator_entity.vhg._fpf | 1 + .../_lissajousgenerator_struct.vhd._fpf | 1 + .../_lissajousgenerator_struct.vhg._fpf | 1 + .../Lissajous/hds/_lissajousgenerator._epf | 3 + .../hds/lissajous@generator/struct.bd | 4708 +++ .../hds/lissajous@generator/symbol.sb | 1722 + .../hdl/lissajousGenerator_tester_test.vhd | 13 + .../_lissajousGenerator_tester_test.vhd._fpf | 1 + .../_lissajousgenerator_test_entity.vhd._fpf | 1 + .../_lissajousgenerator_test_entity.vhg._fpf | 1 + .../_lissajousgenerator_test_struct.vhd._fpf | 1 + .../_lissajousgenerator_test_struct.vhg._fpf | 1 + ..._lissajousgenerator_tester_entity.vhd._fpf | 1 + ..._lissajousgenerator_tester_entity.vhg._fpf | 1 + .../hds/_lissajousgenerator_test._epf | 3 + .../hds/_lissajousgenerator_tester._epf | 2 + .../lissajous@generator_test/_struct.bd._fpf | 9 + .../hds/lissajous@generator_test/struct.bd | 3941 ++ .../hds/lissajous@generator_test/symbol.sb | 1248 + .../hds/lissajous@generator_tester/interface | 1793 + zz-solutions/04-Lissajous/Prefs/hds.hdp | 46 + .../04-Lissajous/Prefs/hds_team/shared.hdp | 23 + .../Prefs/hds_team/v2018.1/hds_team_prefs | 55 + .../Prefs/hds_team/v2018.1/title_block.tmpl | 273 + .../Prefs/hds_team/v2019.2/hds_team_prefs | 55 + .../Prefs/hds_team/v2019.2/title_block.tmpl | 273 + .../Prefs/hds_team/v2019.3/hds_team_prefs | 55 + .../Prefs/hds_team/v2019.3/title_block.tmpl | 273 + .../hds_user-linux/v2019.3/hds_user_prefs | 6075 ++++ .../hds_user-linux/v2019.3/tasks/generate.tsk | 41 + .../v2019.3/tasks/modelsim_compile.tsk | 98 + .../v2019.3/tasks/modelsim_flow.tsk | 83 + .../v2019.3/tasks/modelsim_simulate.tsk | 98 + .../v2019.3/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 114 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2019.3/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + .../Prefs/hds_user/v2018.1/hds_user_prefs | 6831 ++++ .../Prefs/hds_user/v2018.1/tasks/generate.tsk | 41 + .../v2018.1/tasks/modelsim_compile.tsk | 98 + .../hds_user/v2018.1/tasks/modelsim_flow.tsk | 83 + .../v2018.1/tasks/modelsim_simulate.tsk | 96 + .../v2018.1/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 163 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2018.1/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + .../Prefs/hds_user/v2019.2/hds_user_prefs | 6853 ++++ .../tasks/diamond_project_navigator.tsk | 162 + .../Prefs/hds_user/v2019.2/tasks/generate.tsk | 41 + .../v2019.2/tasks/modelsim_compile.tsk | 98 + .../hds_user/v2019.2/tasks/modelsim_flow.tsk | 83 + .../v2019.2/tasks/modelsim_simulate.tsk | 98 + .../v2019.2/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 163 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2019.2/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + .../04-Lissajous/Scripts/.gitlab-ci.yml | 53 + zz-solutions/04-Lissajous/Scripts/LICENSE | 11 + zz-solutions/04-Lissajous/Scripts/README.md | 238 + .../Scripts/changeDefaultViews.bash | 94 + .../04-Lissajous/Scripts/cleanGenerated.bash | 38 + .../04-Lissajous/Scripts/cleanGenerated.bat | 42 + .../04-Lissajous/Scripts/cleanScratch.bat | 39 + .../04-Lissajous/Scripts/deployLab.bash | 559 + .../04-Lissajous/Scripts/deployLibs.bash | 320 + .../04-Lissajous/Scripts/deployLibsAll.bash | 37 + .../04-Lissajous/Scripts/deploySEmLabs.bash | 32 + .../04-Lissajous/Scripts/deployScripts.bash | 331 + .../Scripts/deployScriptsAll.bash | 37 + .../04-Lissajous/Scripts/generateSSHKey.bash | 42 + .../04-Lissajous/Scripts/hdlDesigner.bash | 154 + .../04-Lissajous/Scripts/hdlDesigner.bat | 278 + .../04-Lissajous/Scripts/img/EDA_scripts.gif | Bin 0 -> 12105 bytes .../04-Lissajous/Scripts/img/EDA_scripts.png | Bin 0 -> 20462 bytes .../04-Lissajous/Scripts/img/EDA_scripts.svg | 98 + .../Scripts/img/eln_labs_deployment-staff.png | Bin 0 -> 1773130 bytes .../04-Lissajous/Scripts/searchPaths.bat | 183 + .../04-Lissajous/Scripts/start_diamond.pl | 39 + .../04-Lissajous/Scripts/start_libero.pl | 37 + zz-solutions/04-Lissajous/Scripts/trimLibs.pl | 163 + .../04-Lissajous/Scripts/update_diamond.pl | 129 + .../04-Lissajous/Scripts/update_ise.pl | 91 + .../04-Lissajous/Scripts/update_libero.pl | 107 + zz-solutions/04-Lissajous/Simulation/DAC.do | 31 + .../Simulation/lissajousGenerator.do | 32 + .../04-Lissajous/Simulation/sineGen.do | 36 + .../04-Lissajous/Simulation/waveformGen.do | 30 + zz-solutions/04-Lissajous/lissajous.bash | 32 + zz-solutions/04-Lissajous/lissajous.bat | 81 + 612 files changed, 272868 insertions(+) create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/dp_user/v2_72/dp_user_prefs create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds.hdp create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_team/shared.hdp create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2018.1/hds_team_prefs create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2018.1/title_block.tmpl create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs.bak create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.2/title_block.tmpl create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.3/hds_team_prefs create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.3/title_block.tmpl create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/hds_user_prefs create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/hds_user_prefs create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/generate.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs.bak create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/generate.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/.gitlab-ci.yml create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/LICENSE create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/README.md create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/changeDefaultViews.bash create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/cleanGenerated.bash create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/cleanGenerated.bat create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/cleanScratch.bat create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/deployLab.bash create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/deployLibs.bash create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/deployLibsAll.bash create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/deploySEmLabs.bash create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/deployScripts.bash create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/deployScriptsAll.bash create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/generateSSHKey.bash create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/hdlDesigner.bash create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/hdlDesigner.bat create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/img/EDA_scripts.gif create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/img/EDA_scripts.png create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/img/EDA_scripts.svg create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/img/eln_labs_deployment-staff.png create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/searchPaths.bat create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/start_diamond.pl create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/start_libero.pl create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/trimLibs.pl create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/update_diamond.pl create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/update_ise.pl create mode 100644 zz-solutions/01-WaveformGenerator/Scripts/update_libero.pl create mode 100644 zz-solutions/01-WaveformGenerator/Simulation/waveformGen.do create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_entity.vhg create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_masterVersion.vhd create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_studentVersion.vhd create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothGen_masterVersion.vhd create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothGen_studentVersion.vhd create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_masterVersion.vhd create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_studentVersion.vhd create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToTriangle_masterVersion.vhd create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToTriangle_studentVersion.vhd create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothgen_entity.vhg create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtosquare_entity.vhg create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtotriangle_entity.vhg create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_masterVersion.vhd create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/triangletopolygon_entity.vhg create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_entity.vhg create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_struct.vhg create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.cache.dat create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhg._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_masterVersion.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_studentVersion.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothGen_masterVersion.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothGen_studentVersion.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToSquare_masterVersion.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToSquare_studentVersion.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToTriangle_masterVersion.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToTriangle_studentVersion.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhg._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhg._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhg._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangleToPolygon_masterVersion.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangleToPolygon_studentVersion.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhg._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhg._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhg._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/lowpass_entity.xrf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothgen_entity.xrf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtosquare_entity.xrf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtotriangle_entity.xrf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/triangletopolygon_entity.xrf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_entity.xrf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_struct.xrf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_lowpass._epf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothgen._epf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtosquare._epf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtotriangle._epf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_triangletopolygon._epf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_waveformgen._epf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/lowpass/symbol.sb create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@gen/symbol.sb create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@square/symbol.sb create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@triangle/symbol.sb create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/triangle@to@polygon/symbol.sb create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/struct.bd create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/symbol.sb create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformGen_tester_test.vhd create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_entity.vhg create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_struct.vhg create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tester_entity.vhg create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.cache.dat create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformGen_tester_test.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhg._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhg._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhd._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhg._fpf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_entity.xrf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_struct.xrf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tester_entity.xrf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tb._epf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tester._epf create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.lck create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/symbol.sb create mode 100644 zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface create mode 100644 zz-solutions/01-WaveformGenerator/waveformGenerator.bash create mode 100644 zz-solutions/01-WaveformGenerator/waveformGenerator.bat create mode 100644 zz-solutions/01-WaveformGenerator/waveformGenerator.bat.bak create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds.hdp create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_team/shared.hdp create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2018.1/hds_team_prefs create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2018.1/title_block.tmpl create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.2/hds_team_prefs create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.2/hds_team_prefs.bak create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.2/title_block.tmpl create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.3/hds_team_prefs create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.3/title_block.tmpl create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/hds_user_prefs create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/hds_user_prefs create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/generate.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/hds_user_prefs create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/hds_user_prefs.bak create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/generate.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/.gitlab-ci.yml create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/LICENSE create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/README.md create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/changeDefaultViews.bash create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/cleanGenerated.bash create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/cleanGenerated.bat create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/cleanScratch.bat create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/deployLab.bash create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/deployLibs.bash create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/deployLibsAll.bash create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/deploySEmLabs.bash create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/deployScripts.bash create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/deployScriptsAll.bash create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/generateSSHKey.bash create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/hdlDesigner.bash create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/hdlDesigner.bat create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/img/EDA_scripts.gif create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/img/EDA_scripts.png create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/img/EDA_scripts.svg create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/img/eln_labs_deployment-staff.png create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/searchPaths.bat create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/start_diamond.pl create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/start_libero.pl create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/trimLibs.pl create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/update_diamond.pl create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/update_ise.pl create mode 100644 zz-solutions/02-SplineInterpolator/Scripts/update_libero.pl create mode 100644 zz-solutions/02-SplineInterpolator/Simulation/coefficients_sinus.pdf create mode 100644 zz-solutions/02-SplineInterpolator/Simulation/sineGen.do create mode 100644 zz-solutions/02-SplineInterpolator/Simulation/waveformGen.do create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCalculatePolynom_masterVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCalculatePolynom_studentVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCoefficients_masterVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCoefficients_studentVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorShiftRegister_masterVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorShiftRegister_studentVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorTrigger_masterVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorTrigger_studentVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorcalculatepolynom_entity.vhg create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorcoefficients_entity.vhg create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorshiftregister_entity.vhg create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatortrigger_entity.vhg create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/offsetToUnsigned_masterVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/offsetToUnsigned_studentVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/offsettounsigned_entity.vhg create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/resizer_entity.vhg create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/resizer_masterVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/resizer_studentVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sineTable_masterVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sineTable_studentVersion.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sinegen_entity.vhg create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sinegen_struct.vhg create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sinetable_entity.vhg create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.cache.dat create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorCalculatePolynom_masterVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorCalculatePolynom_studentVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorCoefficients_masterVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorCoefficients_studentVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorShiftRegister_masterVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorShiftRegister_studentVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorTrigger_masterVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorTrigger_studentVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcalculatepolynom_entity.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcalculatepolynom_entity.vhg._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcoefficients_entity.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcoefficients_entity.vhg._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorshiftregister_entity.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorshiftregister_entity.vhg._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatortrigger_entity.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatortrigger_entity.vhg._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_offsetToUnsigned_masterVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_offsetToUnsigned_studentVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_offsettounsigned_entity.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_offsettounsigned_entity.vhg._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_resizer_entity.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_resizer_entity.vhg._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_resizer_masterVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_resizer_studentVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sineTable_masterVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sineTable_studentVersion.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_entity.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_entity.vhg._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_struct.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_struct.vhg._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinetable_entity.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinetable_entity.vhg._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.xrf/interpolatorcalculatepolynom_entity.xrf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.xrf/interpolatorcoefficients_entity.xrf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.xrf/interpolatorshiftregister_entity.xrf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.xrf/interpolatortrigger_entity.xrf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.xrf/offsettounsigned_entity.xrf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.xrf/resizer_entity.xrf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.xrf/sinegen_entity.xrf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.xrf/sinegen_struct.xrf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.xrf/sinetable_entity.xrf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/_interpolatorcalculatepolynom._epf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/_interpolatorcoefficients._epf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/_interpolatorshiftregister._epf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/_interpolatortrigger._epf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/_offsettounsigned._epf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/_resizer._epf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/_sinegen._epf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/_sinetable._epf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/interpolator@calculate@polynom/symbol.sb create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/interpolator@coefficients/symbol.sb create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/interpolator@shift@register/symbol.sb create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/interpolator@trigger/symbol.sb create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/offset@to@unsigned/symbol.sb create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/resizer/symbol.sb create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/struct.bd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/symbol.sb create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@gen_tester/interface create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@table/symbol.sb create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sineGen_tester_test.vhd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sinegen_tb_entity.vhg create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sinegen_tb_struct.vhg create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sinegen_tester_entity.vhg create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.cache.dat create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sineGen_tester_test.vhd._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_entity.vhg._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_struct.vhg._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tester_entity.vhg._fpf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.xrf/sinegen_tb_entity.xrf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.xrf/sinegen_tb_struct.xrf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.xrf/sinegen_tester_entity.xrf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tb._epf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tester._epf create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/struct.bd create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/symbol.sb create mode 100644 zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tester/interface create mode 100644 zz-solutions/02-SplineInterpolator/splineInterpolator.bash create mode 100644 zz-solutions/02-SplineInterpolator/splineInterpolator.bat create mode 100644 zz-solutions/02-SplineInterpolator/splineInterpolator.bat.bak create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order1_masterVersion.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order1_studentVersion.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order2_masterVersion.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order2_studentVersion.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/dac_entity.vhg create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order1_masterVersion.vhd._fpf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order1_studentVersion.vhd._fpf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order2_masterVersion.vhd._fpf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order2_studentVersion.vhd._fpf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhd._fpf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhg._fpf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.xrf/dac_entity.xrf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/@d@a@c/symbol.sb create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/_dac._epf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/DAC_tester_test.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/dac_tb_entity.vhg create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/dac_tb_struct.vhg create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/dac_tester_entity.vhg create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_DAC_tester_test.vhd._fpf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_entity.vhg._fpf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_struct.vhg._fpf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tester_entity.vhg._fpf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.xrf/dac_tb_entity.xrf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.xrf/dac_tb_struct.xrf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.xrf/dac_tester_entity.xrf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/struct.bd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/symbol.sb create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tester/interface create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tb._epf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tester._epf create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds.hdp create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/shared.hdp create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/hds_team_prefs create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/title_block.tmpl create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/hds_team_prefs create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/title_block.tmpl create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/hds_team_prefs create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/title_block.tmpl create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/hds_user_prefs create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/hds_user_prefs create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/generate.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/generate.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/.gitlab-ci.yml create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/LICENSE create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/README.md create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/changeDefaultViews.bash create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bash create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bat create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/cleanScratch.bat create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/deployLab.bash create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/deployLibs.bash create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/deployLibsAll.bash create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/deploySEmLabs.bash create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/deployScripts.bash create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/deployScriptsAll.bash create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/generateSSHKey.bash create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bash create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bat create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/img/EDA_scripts.gif create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/img/EDA_scripts.png create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/img/EDA_scripts.svg create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/img/eln_labs_deployment-staff.png create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/searchPaths.bat create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/start_diamond.pl create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/start_libero.pl create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/trimLibs.pl create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/update_diamond.pl create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/update_ise.pl create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Scripts/update_libero.pl create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Simulation/DAC.do create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Simulation/sineGen.do create mode 100644 zz-solutions/03-DigitalToAnalogConverter/Simulation/waveformGen.do create mode 100644 zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bash create mode 100644 zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bat create mode 100644 zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bat.bak create mode 100644 zz-solutions/04-Lissajous/Board/concat/concatenated.vhd create mode 100644 zz-solutions/04-Lissajous/Board/concat/lissajous.lpf create mode 100644 zz-solutions/04-Lissajous/Board/concat/lissajous.ucf create mode 100644 zz-solutions/04-Lissajous/Board/concat/lissajous.vhd create mode 100644 zz-solutions/04-Lissajous/Board/diamond/lissajous.bit create mode 100644 zz-solutions/04-Lissajous/Board/diamond/lissajous.ldf create mode 100644 zz-solutions/04-Lissajous/Board/diamond/programmer.xcf create mode 100644 zz-solutions/04-Lissajous/Board/diamond/reveal_analyze.rva create mode 100644 zz-solutions/04-Lissajous/Board/diamond/reveal_config.rvl create mode 100644 zz-solutions/04-Lissajous/Board/diamond/strategy.sty create mode 100644 zz-solutions/04-Lissajous/Board/hdl/DFF_sim.vhd create mode 100644 zz-solutions/04-Lissajous/Board/hdl/buff_sim.vhd create mode 100644 zz-solutions/04-Lissajous/Board/hdl/inverterIn_sim.vhd create mode 100644 zz-solutions/04-Lissajous/Board/hdl/inverter_sim.vhd create mode 100644 zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_EBS2_masterversion.vhd create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_EBS2_masterversion.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs3_entity.vhg._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs3_masterversion.vhg._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhg._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_masterversion.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_masterversion.vhg._fpf create mode 100644 zz-solutions/04-Lissajous/Board/hds/@d@f@f/symbol.sb create mode 100644 zz-solutions/04-Lissajous/Board/hds/@f@p@g@a_sine@gen_tester/interface create mode 100644 zz-solutions/04-Lissajous/Board/hds/_buff._epf create mode 100644 zz-solutions/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs2._epf create mode 100644 zz-solutions/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs3._epf create mode 100644 zz-solutions/04-Lissajous/Board/hds/buff/symbol.sb create mode 100644 zz-solutions/04-Lissajous/Board/hds/inverter/symbol.sb create mode 100644 zz-solutions/04-Lissajous/Board/hds/inverter@in/symbol.sb create mode 100644 zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/master@version.bd create mode 100644 zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/student@version.bd create mode 100644 zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/symbol.sb create mode 100644 zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/master@version.bd create mode 100644 zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/student@version.bd create mode 100644 zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/symbol.sb create mode 100644 zz-solutions/04-Lissajous/Board/ise/lissajous-XC3S1200E.bit create mode 100644 zz-solutions/04-Lissajous/Board/ise/lissajous-XC3S1200E.mcs create mode 100644 zz-solutions/04-Lissajous/Board/ise/lissajous-XC3S500E.bit create mode 100644 zz-solutions/04-Lissajous/Board/ise/lissajous-XC3S500E.mcs create mode 100644 zz-solutions/04-Lissajous/Board/ise/lissajous.dwf3work create mode 100644 zz-solutions/04-Lissajous/Board/ise/lissajous.xise create mode 100644 zz-solutions/04-Lissajous/Board/ise/lissajousGenerator_circuit.bit create mode 100644 zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhg._fpf create mode 100644 zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhg._fpf create mode 100644 zz-solutions/04-Lissajous/Lissajous/hds/_lissajousgenerator._epf create mode 100644 zz-solutions/04-Lissajous/Lissajous/hds/lissajous@generator/struct.bd create mode 100644 zz-solutions/04-Lissajous/Lissajous/hds/lissajous@generator/symbol.sb create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hdl/lissajousGenerator_tester_test.vhd create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousGenerator_tester_test.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhg._fpf create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhg._fpf create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhd._fpf create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhg._fpf create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_test._epf create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_tester._epf create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/_struct.bd._fpf create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/struct.bd create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/symbol.sb create mode 100644 zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_tester/interface create mode 100644 zz-solutions/04-Lissajous/Prefs/hds.hdp create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_team/shared.hdp create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_team/v2018.1/hds_team_prefs create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_team/v2018.1/title_block.tmpl create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_team/v2019.2/hds_team_prefs create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_team/v2019.2/title_block.tmpl create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_team/v2019.3/hds_team_prefs create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_team/v2019.3/title_block.tmpl create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/hds_user_prefs create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/hds_user_prefs create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/generate.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/generate.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd create mode 100644 zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd create mode 100644 zz-solutions/04-Lissajous/Scripts/.gitlab-ci.yml create mode 100644 zz-solutions/04-Lissajous/Scripts/LICENSE create mode 100644 zz-solutions/04-Lissajous/Scripts/README.md create mode 100644 zz-solutions/04-Lissajous/Scripts/changeDefaultViews.bash create mode 100644 zz-solutions/04-Lissajous/Scripts/cleanGenerated.bash create mode 100644 zz-solutions/04-Lissajous/Scripts/cleanGenerated.bat create mode 100644 zz-solutions/04-Lissajous/Scripts/cleanScratch.bat create mode 100644 zz-solutions/04-Lissajous/Scripts/deployLab.bash create mode 100644 zz-solutions/04-Lissajous/Scripts/deployLibs.bash create mode 100644 zz-solutions/04-Lissajous/Scripts/deployLibsAll.bash create mode 100644 zz-solutions/04-Lissajous/Scripts/deploySEmLabs.bash create mode 100644 zz-solutions/04-Lissajous/Scripts/deployScripts.bash create mode 100644 zz-solutions/04-Lissajous/Scripts/deployScriptsAll.bash create mode 100644 zz-solutions/04-Lissajous/Scripts/generateSSHKey.bash create mode 100644 zz-solutions/04-Lissajous/Scripts/hdlDesigner.bash create mode 100644 zz-solutions/04-Lissajous/Scripts/hdlDesigner.bat create mode 100644 zz-solutions/04-Lissajous/Scripts/img/EDA_scripts.gif create mode 100644 zz-solutions/04-Lissajous/Scripts/img/EDA_scripts.png create mode 100644 zz-solutions/04-Lissajous/Scripts/img/EDA_scripts.svg create mode 100644 zz-solutions/04-Lissajous/Scripts/img/eln_labs_deployment-staff.png create mode 100644 zz-solutions/04-Lissajous/Scripts/searchPaths.bat create mode 100644 zz-solutions/04-Lissajous/Scripts/start_diamond.pl create mode 100644 zz-solutions/04-Lissajous/Scripts/start_libero.pl create mode 100644 zz-solutions/04-Lissajous/Scripts/trimLibs.pl create mode 100644 zz-solutions/04-Lissajous/Scripts/update_diamond.pl create mode 100644 zz-solutions/04-Lissajous/Scripts/update_ise.pl create mode 100644 zz-solutions/04-Lissajous/Scripts/update_libero.pl create mode 100644 zz-solutions/04-Lissajous/Simulation/DAC.do create mode 100644 zz-solutions/04-Lissajous/Simulation/lissajousGenerator.do create mode 100644 zz-solutions/04-Lissajous/Simulation/sineGen.do create mode 100644 zz-solutions/04-Lissajous/Simulation/waveformGen.do create mode 100644 zz-solutions/04-Lissajous/lissajous.bash create mode 100644 zz-solutions/04-Lissajous/lissajous.bat diff --git a/zz-solutions/01-WaveformGenerator/Prefs/dp_user/v2_72/dp_user_prefs b/zz-solutions/01-WaveformGenerator/Prefs/dp_user/v2_72/dp_user_prefs new file mode 100644 index 0000000..6a67047 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/dp_user/v2_72/dp_user_prefs @@ -0,0 +1,64 @@ +[LexParser.LexVHDL2008] +[LexParser] +[Editor] +recentFile0=/usr/opt/HDS/hdl_libs/ieee/hdl/std_logic_1164.vhdl +lastFilter=.vhdl +mark.lineImage=blueball +[Printer] +ENSCRIPT_LIBRARY=/usr/opt/HDS/resources/enscript/share/enscript +[ToolbarFrames] +geom0Group1=top H +geom0Group2=top H +geom0Group3=top H +state0Search=1 +Num=0 +state0VersionManagement=1 +state0Tasks=1 +state0View=1 +state0Standard=1 +state0Edit=1 +Group1=Standard Search +Group2=Edit Bookmarks View Macros DocumentTools Windows +Group3=VersionManagement Tasks +state0Macros=1 +state0Bookmarks=1 +state0Windows=1 +state0DocumentTools=1 +[LexParser.LexPSL] +[DND] +TrackerBg=#c3c3c3 +signalAcceptDropBg=white +signalRefuseDropBg=red +[General] +[Browser] +normalTextBg=white +normalTextFg=black +[Replace] +historyMax=4 +atomicReplaceAll=No +[Console] +[Templates] +Visibility=No +[SearchInFiles] +SearchAsRegExp=0 +MatchCase=0 +LookInSubfolders=0 +historyMax=4 +[VDiff] +[R72] +indentType=spaces +indentString=\#\#\# +[TCOM] +logTCOMActivity=No +afterIdleHandlerTimeSlice=300 +[Menus] +DocAndVis= +[Help] +default=te_guide +[Plugins] +userLanguages= +[Search] +historyMax=4 +[Geometry] +TopWindow0=1286x981+317+1103 +FrameSupp0,0=165 diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds.hdp b/zz-solutions/01-WaveformGenerator/Prefs/hds.hdp new file mode 100644 index 0000000..b986ef0 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds.hdp @@ -0,0 +1,19 @@ +[Concat] +[ModelSim] +WaveformGenerator = $SCRATCH_DIR/WaveformGenerator +WaveformGenerator_test = $SCRATCH_DIR/WaveformGenerator_test +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +WaveformGenerator = $HDS_PROJECT_DIR/../WaveformGenerator/hdl +WaveformGenerator_test = $HDS_PROJECT_DIR/../WaveformGenerator_test/hdl +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +WaveformGenerator = $HDS_PROJECT_DIR/../WaveformGenerator/hds +WaveformGenerator_test = $HDS_PROJECT_DIR/../WaveformGenerator_test/hds +[library_type] +ieee = standard +std = standard +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_team/shared.hdp b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/shared.hdp new file mode 100644 index 0000000..41c9387 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/shared.hdp @@ -0,0 +1,23 @@ +[hds_settings] +version = 1 +project_description = The standard HDS shared project +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +synopsys = $HDS_HOME/hdl_libs/synopsys/hds +verilog = $HDS_HOME/hdl_libs/verilog/hds +vital2000 = $HDS_HOME/hdl_libs/vital2000/hds + +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +synopsys = $HDS_HOME/hdl_libs/synopsys/hdl +verilog = $HDS_HOME/hdl_libs/verilog/hdl +vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl + +[library_type] +ieee = standard +std = standard +synopsys = standard +verilog = standard +vital2000 = standard diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2018.1/hds_team_prefs b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2018.1/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2018.1/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2018.1/title_block.tmpl b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2018.1/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2018.1/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs.bak b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs.bak new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs.bak @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.2/title_block.tmpl b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.3/hds_team_prefs b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.3/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.3/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.3/title_block.tmpl b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.3/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_team/v2019.3/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/hds_user_prefs b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/hds_user_prefs new file mode 100644 index 0000000..87d40fc --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/hds_user_prefs @@ -0,0 +1,6097 @@ +version "49.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Atom" +second "atom %(p):%(l)" +) +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl %(p):%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl '%(p)':%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Sublime" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Favorites/ElN_local/Labs/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs_SI/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/01-WaveformGenerator/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/SEm_labs/01-WaveformGenerator/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "task_DesignCompilerPath" +second "" +) +(pair +first "task_LeonardoPath" +second "" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +(pair +first "task_NC-SimPath" +second "" +) +(pair +first "task_PrecisionRTLPath" +second "" +) +(pair +first "task_QuestaSimPath" +second "" +) +(pair +first "task_VCSPath" +second "" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Xilinx Project Navigator" +"USER:Prepare for Synthesis" +] +tasksToolbarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"\"USER:Xilinx Project Navigator\"" +] +tasksMenubarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +"\"USER:Prepare for Synthesis\"" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" \"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Update Project\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "850x916--10+40" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator" +showingHierarchy 0 +openLibs [ +"WaveformGenerator" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator_test" +showingHierarchy 0 +openLibs [ +"WaveformGenerator_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "ieee" +showingHierarchy 0 +openLibs [ +"ieee" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 155 +yPos 1148 +width 1066 +height 937 +activeSidePanelTab 2 +activeLibraryTab 3 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserXpos 59 +componentBrowserYpos 18 +componentBrowserWidth 413 +componentBrowserHeight 846 +componentBrowserLibraryNames [ +"PHA" +"gates" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk new file mode 100644 index 0000000..0b7cc38 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..7348b0e --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/waveformGen.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..4eeef16 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..6cd6247 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,114 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "/usr/opt/HDS/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update Project" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilinx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$SYNTHESIS_BASE_DIR/../../Scripts/update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR/$DESIGN_NAME.vhd $CONCAT_DIR/$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$SYNTHESIS_HOME/bin/lin64/ise" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exePath" +"/usr/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/hds_user_prefs b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/hds_user_prefs new file mode 100644 index 0000000..9186cf1 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/hds_user_prefs @@ -0,0 +1,6831 @@ +version "48.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "FinePrint" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Letter Small" +type 2 +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A4 Small" +type 10 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "Folio" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "Quarto" +type 15 +width 780 +height 997 +) +(PageSizeInfo +name "10x14" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Note" +type 18 +width 783 +height 1013 +) +(PageSizeInfo +name "Envelope #9" +type 19 +width 357 +height 817 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope #11" +type 21 +width 414 +height 956 +) +(PageSizeInfo +name "Envelope #12" +type 22 +width 437 +height 1013 +) +(PageSizeInfo +name "Envelope #14" +type 23 +width 460 +height 1059 +) +(PageSizeInfo +name "C size sheet" +type 24 +width 1566 +height 2027 +) +(PageSizeInfo +name "D size sheet" +type 25 +width 2027 +height 3133 +) +(PageSizeInfo +name "E size sheet" +type 26 +width 3133 +height 4055 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope C3" +type 29 +width 1175 +height 1661 +) +(PageSizeInfo +name "Envelope C4" +type 30 +width 830 +height 1175 +) +(PageSizeInfo +name "Envelope C6" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Envelope C65" +type 32 +width 413 +height 830 +) +(PageSizeInfo +name "Envelope B4" +type 33 +width 907 +height 1280 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope B6" +type 35 +width 453 +height 638 +) +(PageSizeInfo +name "Envelope Italy" +type 36 +width 399 +height 834 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "6 3/4 Envelope" +type 38 +width 333 +height 599 +) +(PageSizeInfo +name "US Std Fanfold" +type 39 +width 1013 +height 1370 +) +(PageSizeInfo +name "German Std Fanfold" +type 40 +width 783 +height 1105 +) +(PageSizeInfo +name "German Legal Fanfold" +type 41 +width 783 +height 1198 +) +(PageSizeInfo +name "B4 (ISO)" +type 42 +width 907 +height 1280 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "9x11" +type 44 +width 829 +height 1013 +) +(PageSizeInfo +name "10x11" +type 45 +width 921 +height 1013 +) +(PageSizeInfo +name "11x15" +type 46 +width 1013 +height 1382 +) +(PageSizeInfo +name "Envelope Invite" +type 47 +width 798 +height 798 +) +(PageSizeInfo +name "Letter Extra" +type 50 +width 875 +height 1105 +) +(PageSizeInfo +name "Legal Extra" +type 51 +width 875 +height 1382 +) +(PageSizeInfo +name "Tabloid Extra" +type 52 +width 1077 +height 1658 +) +(PageSizeInfo +name "A4 Extra" +type 53 +width 854 +height 1169 +) +(PageSizeInfo +name "Letter Transverse" +type 54 +width 783 +height 1013 +) +(PageSizeInfo +name "A4 Transverse" +type 55 +width 761 +height 1077 +) +(PageSizeInfo +name "Letter Extra Transverse" +type 56 +width 875 +height 1105 +) +(PageSizeInfo +name "SuperA/SuperA/A4" +type 57 +width 823 +height 1291 +) +(PageSizeInfo +name "SuperB/SuperB/A3" +type 58 +width 1106 +height 1767 +) +(PageSizeInfo +name "Letter Plus" +type 59 +width 783 +height 1169 +) +(PageSizeInfo +name "A4 Plus" +type 60 +width 761 +height 1197 +) +(PageSizeInfo +name "A5 Transverse" +type 61 +width 536 +height 761 +) +(PageSizeInfo +name "B5 (JIS) Transverse" +type 62 +width 660 +height 932 +) +(PageSizeInfo +name "A3 Extra" +type 63 +width 1168 +height 1614 +) +(PageSizeInfo +name "A5 Extra" +type 64 +width 631 +height 852 +) +(PageSizeInfo +name "B5 (ISO) Extra" +type 65 +width 729 +height 1001 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "A3 Transverse" +type 67 +width 1077 +height 1523 +) +(PageSizeInfo +name "A3 Extra Transverse" +type 68 +width 1168 +height 1614 +) +(PageSizeInfo +name "FinePrint 2 Seiten Letter" +type 257 +width 783 +height 1210 +) +(PageSizeInfo +type 256 +width 0 +height 0 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x867+1228+98" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 297 +yPos 173 +width 1070 +height 838 +activeSidePanelTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/generate.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..4490ea2 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR\\IND.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs new file mode 100644 index 0000000..91575dd --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs @@ -0,0 +1,6800 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\Users\\andrea.guerrier\\Documents\\SEm_labs\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "Adobe PDF" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1566 +height 1013 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "11 x 17" +type 119 +width 1013 +height 1566 +) +(PageSizeInfo +name "Screen" +type 120 +width 599 +height 477 +) +(PageSizeInfo +name "ANSI C" +type 121 +width 1566 +height 2027 +) +(PageSizeInfo +name "ANSI D" +type 122 +width 2027 +height 3133 +) +(PageSizeInfo +name "ANSI E" +type 123 +width 3133 +height 4055 +) +(PageSizeInfo +name "ANSI F" +type 124 +width 2580 +height 3686 +) +(PageSizeInfo +name "ARCH A" +type 125 +width 829 +height 1105 +) +(PageSizeInfo +name "ARCH B" +type 126 +width 1105 +height 1658 +) +(PageSizeInfo +name "ARCH C" +type 127 +width 1658 +height 2211 +) +(PageSizeInfo +name "ARCH D" +type 128 +width 2211 +height 3317 +) +(PageSizeInfo +name "ARCH E" +type 129 +width 3317 +height 4423 +) +(PageSizeInfo +name "ARCH E1" +type 130 +width 2764 +height 3870 +) +(PageSizeInfo +name "ARCH E2" +type 131 +width 2396 +height 3502 +) +(PageSizeInfo +name "ARCH E3" +type 132 +width 2488 +height 3594 +) +(PageSizeInfo +name "A1" +type 133 +width 2155 +height 3051 +) +(PageSizeInfo +name "A0" +type 134 +width 3051 +height 4313 +) +(PageSizeInfo +name "Oversize A2" +type 135 +width 1741 +height 2268 +) +(PageSizeInfo +name "Oversize A1" +type 136 +width 2268 +height 3265 +) +(PageSizeInfo +name "Oversize A0" +type 137 +width 3265 +height 4516 +) +(PageSizeInfo +name "ISO B5" +type 138 +width 638 +height 907 +) +(PageSizeInfo +name "ISO B4" +type 139 +width 907 +height 1281 +) +(PageSizeInfo +name "ISO B2" +type 140 +width 1813 +height 2564 +) +(PageSizeInfo +name "ISO B1" +type 141 +width 2564 +height 3628 +) +(PageSizeInfo +name "C5" +type 142 +width 587 +height 830 +) +(PageSizeInfo +name "JIS B4" +type 143 +width 932 +height 1320 +) +(PageSizeInfo +name "JIS B3" +type 144 +width 1320 +height 1868 +) +(PageSizeInfo +name "JIS B2" +type 145 +width 1868 +height 2641 +) +(PageSizeInfo +name "JIS B1" +type 146 +width 2641 +height 3737 +) +(PageSizeInfo +name "JIS B0" +type 147 +width 3737 +height 5282 +) +(PageSizeInfo +name "92 x 92" +type 148 +width 8478 +height 8478 +) +(PageSizeInfo +name "Slide 7.5 x 10" +type 149 +width 691 +height 921 +) +(PageSizeInfo +name "PostScript Custom Page Size" +type 32767 +width 761 +height 1077 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x200+2349+55" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator" +showingHierarchy 0 +openLibs [ +"WaveformGenerator" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator_test" +showingHierarchy 0 +openLibs [ +"WaveformGenerator_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1552 +height 840 +activeSidePanelTab 2 +activeLibraryTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs.bak b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs.bak new file mode 100644 index 0000000..41be6f5 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs.bak @@ -0,0 +1,6800 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\Users\\andrea.guerrier\\Documents\\SEm_labs\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "Adobe PDF" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1566 +height 1013 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "11 x 17" +type 119 +width 1013 +height 1566 +) +(PageSizeInfo +name "Screen" +type 120 +width 599 +height 477 +) +(PageSizeInfo +name "ANSI C" +type 121 +width 1566 +height 2027 +) +(PageSizeInfo +name "ANSI D" +type 122 +width 2027 +height 3133 +) +(PageSizeInfo +name "ANSI E" +type 123 +width 3133 +height 4055 +) +(PageSizeInfo +name "ANSI F" +type 124 +width 2580 +height 3686 +) +(PageSizeInfo +name "ARCH A" +type 125 +width 829 +height 1105 +) +(PageSizeInfo +name "ARCH B" +type 126 +width 1105 +height 1658 +) +(PageSizeInfo +name "ARCH C" +type 127 +width 1658 +height 2211 +) +(PageSizeInfo +name "ARCH D" +type 128 +width 2211 +height 3317 +) +(PageSizeInfo +name "ARCH E" +type 129 +width 3317 +height 4423 +) +(PageSizeInfo +name "ARCH E1" +type 130 +width 2764 +height 3870 +) +(PageSizeInfo +name "ARCH E2" +type 131 +width 2396 +height 3502 +) +(PageSizeInfo +name "ARCH E3" +type 132 +width 2488 +height 3594 +) +(PageSizeInfo +name "A1" +type 133 +width 2155 +height 3051 +) +(PageSizeInfo +name "A0" +type 134 +width 3051 +height 4313 +) +(PageSizeInfo +name "Oversize A2" +type 135 +width 1741 +height 2268 +) +(PageSizeInfo +name "Oversize A1" +type 136 +width 2268 +height 3265 +) +(PageSizeInfo +name "Oversize A0" +type 137 +width 3265 +height 4516 +) +(PageSizeInfo +name "ISO B5" +type 138 +width 638 +height 907 +) +(PageSizeInfo +name "ISO B4" +type 139 +width 907 +height 1281 +) +(PageSizeInfo +name "ISO B2" +type 140 +width 1813 +height 2564 +) +(PageSizeInfo +name "ISO B1" +type 141 +width 2564 +height 3628 +) +(PageSizeInfo +name "C5" +type 142 +width 587 +height 830 +) +(PageSizeInfo +name "JIS B4" +type 143 +width 932 +height 1320 +) +(PageSizeInfo +name "JIS B3" +type 144 +width 1320 +height 1868 +) +(PageSizeInfo +name "JIS B2" +type 145 +width 1868 +height 2641 +) +(PageSizeInfo +name "JIS B1" +type 146 +width 2641 +height 3737 +) +(PageSizeInfo +name "JIS B0" +type 147 +width 3737 +height 5282 +) +(PageSizeInfo +name "92 x 92" +type 148 +width 8478 +height 8478 +) +(PageSizeInfo +name "Slide 7.5 x 10" +type 149 +width 691 +height 921 +) +(PageSizeInfo +name "PostScript Custom Page Size" +type 32767 +width 761 +height 1077 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x200+2349+55" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator" +showingHierarchy 0 +openLibs [ +"WaveformGenerator" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator_test" +showingHierarchy 0 +openLibs [ +"WaveformGenerator_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1556 +height 884 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk new file mode 100644 index 0000000..43e7dbe --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Diamond Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Diamond synthesis Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.ldf" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Diamond project .prjx file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_diamond.pl $DESIGN_NAME.ldf $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.lpf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$DIAMOND_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Diamond Project IDE" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Diamond tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\start_diamond.pl $DESIGN_NAME.ldf" +"captureOutput" +"1" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$LIBERO_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/generate.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..7348b0e --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/waveformGen.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/01-WaveformGenerator/Scripts/.gitlab-ci.yml b/zz-solutions/01-WaveformGenerator/Scripts/.gitlab-ci.yml new file mode 100644 index 0000000..9d1d7af --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/.gitlab-ci.yml @@ -0,0 +1,53 @@ +stages: + - deploy + +image: alpine:latest + +scripts: + stage: deploy + rules: + - if: '$CI_COMMIT_BRANCH == $CI_DEFAULT_BRANCH' + changes: + - deployScriptsAll.bash + - deployScripts.bash + - /*.{pl} + - when: manual + + before_script: + - echo "install additional packages" + - apk update + - apk upgrade + - echo "Install Bash" + - apk add bash + - echo "Install Core Utils" + - apk add coreutils + - echo "Install Git" + - apk add git + - echo "Set Git credentials" + - git config --global user.email "silvan.zahno@hevs.ch" + - git config --global user.name "Silvan Zahno" + - echo "Setting up deploy-eda_scripts environment" + + script: + - bash ./deployScriptsAll.bash + +libs_trigger: + stage: deploy + rules: + - if: '$CI_COMMIT_BRANCH == $CI_DEFAULT_BRANCH' + changes: + - deployLibsAll.bash + - deployLibs.bash + - when: manual + variables: + GIT_CHECKOUT: "false" + + before_script: + - echo "install additional packages" + - apk update + - apk upgrade + - echo "Install Curl" + - apk add curl + + script: + - 'curl -X POST --fail -F token=glptt-0235c37f03e3060733df7d1151555fd0c63d0adb -F ref=master "https://gitlab.hevs.ch/api/v4/projects/762/trigger/pipeline"' diff --git a/zz-solutions/01-WaveformGenerator/Scripts/LICENSE b/zz-solutions/01-WaveformGenerator/Scripts/LICENSE new file mode 100644 index 0000000..fdf8bfa --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/LICENSE @@ -0,0 +1,11 @@ +All Rights Reserved + +Copyright (c) 2019 - HES-SO Valais Wallis + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN +THE SOFTWARE. \ No newline at end of file diff --git a/zz-solutions/01-WaveformGenerator/Scripts/README.md b/zz-solutions/01-WaveformGenerator/Scripts/README.md new file mode 100644 index 0000000..618a38f --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/README.md @@ -0,0 +1,238 @@ +

+
+ EDA scripts Logo +
+ Hevs EDA Scripts +
+

+ +

Common Files and Scripts for ELN Laboratories practical sessions.

+ +[![pipeline status](https://gitlab.hevs.ch/course/ElN/eda_scripts/badges/master/pipeline.svg)](https://gitlab.hevs.ch/course/ElN/eda_scripts/commits/master) +[![coverage report](https://gitlab.hevs.ch/course/ElN/eda_scripts/badges/master/coverage.svg)](https://gitlab.hevs.ch/course/ElN/eda_scripts/commits/master) + +# Table of contents +

+ Description • + How To Use • + Download • + Credits • + License + Find us on +

+ +## Description +[(Back to top)](#table-of-contents) + +These scripts can be used as for: +**Git Repos** +* [EDA_libs](https://gitlab.hevs.ch/course/ElN/eda_libs.git) +* [ELN_labs](https://gitlab.hevs.ch/course/ElN/eln_labs.git) +* [SEm_exams](https://gitlab.hevs.ch/course/SEm/exams.git) +* [ELN_chrono](https://gitlab.hevs.ch/course/ElN/eln_chrono.git) +* [ELN_cursor](https://gitlab.hevs.ch/course/ElN/eln_cursor.git) +* [ELN_kart](https://gitlab.hevs.ch/course/ElN/eln_kart.git) +* [ELN_inverter](https://gitlab.hevs.ch/course/ElN/eln_inverter.git) +* [ELN_synchro](https://gitlab.hevs.ch/course/ElN/eln_synchro.git) +* [SEm_labs](https://gitlab.hevs.ch/course/SEm/sem_labs.git) +* [ELN-kart](https://gitlab.hevs.ch/course/ElN/eln_kart_sodimm200.git) +* [ELN-display](https://gitlab.hevs.ch/course/ElN/eln_display.git) + +**SVN Repos** +* [CanSat](https://repos.hevs.ch/svn/eda/VHDL/labs/CanSat) (TODO) :rotating_light: +* [ELN_support](https://repos.hevs.ch/svn/eda/VHDL/labs/ELN_support) (TODO) :rotating_light: +* [EPTM_AudioAmp](https://repos.hevs.ch/svn/eda/VHDL/labs/AudioAmp) (TODO) :rotating_light: +* [EPTM_Radio](https://repos.hevs.ch/svn/eda/VHDL/labs/EPTM_radio) (TODO) :rotating_light: +* ... + +### Files +**Linux** +* ``changeDefaultViews.bash`` - Changes RTL <=> studentVersion + * Usage master => student: ``changeDefaultViews.bash -v -a masterVersion -n studentVersion`` + * Usage master => student: ``changeDefaultViews.bash -v -a master@version -n student@version`` + * Usage student => master: ``changeDefaultViews.bash -v -a studentVersion -n masterVersion`` + * Usage student => master: ``changeDefaultViews.bash -v -a student@version -n master@version`` + * Note: use the ``-r`` otion to also delete the specified actual_view +* ``cleanGenerated.bash`` - Deletes all intermediate files + * Usage: ``./cleanGenerated.bash`` +* ``hdlDesigner.bash`` - Main script for starting HDL-Designer +* ``deployLibs.bash`` - Execution from eln_libs repo, copy required libraries to student repo and commit/push it. + * Usage: ``./Scripts/deployLibs.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git`` +* ``deployLibsAll.bash`` - Execution from eln_libs repo, copy required libraries to all student repo's and commit/push it. + * Usage: ``./Scripts/deployLibsAll.bash`` +* ``deployLab.bash`` - Execution from Laborepo, copy required laboratory files to student repo and commits/push it. + * Usage: ``./Scripts/deployLab.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git`` +* ``deployScripts.bash`` - Copy required scripts to student repo and commits/push it. + * Usage: ``./deployScripts.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git`` +* ``deployScriptsAll.bash`` - Execution from eln_scripts repo, copy required scripts to all student repo's and commit/push it. + * Usage: ``./deployScriptsAll.bash`` + +**Windows** +* ``cleanGenerated.bat`` - Deletes all intermediate files + * Usage: ``./cleanGenerated.bat`` +* ``cleanScratch.bat`` - Delete scratch directory + * Usage: +``` bash +set SCRATCH_DIR=C:\temp\eda\%username% +./cleanScratch.bat +``` + +* ``hdlDesigner.bat`` - Main script for starting HDL-Designer +* ``searchPaths.bat`` - Search for required Libraries and tools + * Usage: +``` bash +:: Define required Tools to be present +set REQUIRE_LIBS=1 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 +set REQUIRE_ISE=1 +set REQUIRE_LIBERO=0 +set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries +set HDS_HOME=C:\eda\MentorGraphics\HDS +set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +set ISE_VERSION=14.7 +set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +set LIBERO_HOME=C:\eda\Microsemi\Libero +set design_name=eln_labs +./searchPaths.bat +``` + +**Perl Scripts** +Perl scripts are used to launch different tasks from HDL-Designer +* ``trimLibs.pl`` - Comment regular libraries in an concatenated file + * Parameter : ``trimlibs.pl `` +* ``update_ise.pl`` - + * Parameter : ``update_ise.pl `` +* ``update_libero.pl`` - + * Parameter : ``update_libero.pl `` +* ``start_libero.pl`` - + * Parameter : ``start_libero.pl `` + +## How To Use +[(Back to top)](#table-of-contents) + +To clone and run this application, you'll need [Git](https://git-scm.com) installed on your computer. +This repo is normally used as submodule to the laboratories and projects. + +To deploy the studentVersion to github cd to root of Labor master repo: +```bash +cd eln_labs +./Scripts/deployLab.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git +./Scripts/deployLab.bash -v -p ete_eln_labs -r https://github.com/hei-ete-8132-eln/eln_labs.git +./Scripts/deployLab.bash -v -p isc-eln-labs -r https://github.com/hei-isc-eln/eln-labs.git + +cd sem_labs +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 01-WaveformGenerator +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 02-SplineInterpolator +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 03-DigitalToAnalogConverter +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 04-Lissajous +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 05-Morse +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 06-07-08-09-SystemOnChip +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 10-PipelinedOperators + +cd eln_chrono +./Scripts/deployLab.bash -v -p eln_chrono -r https://github.com/hei-synd-2131-eln/eln_chrono.git + +cd eln_cursor +./Scripts/deployLab.bash -v -p eln_cursor -r https://github.com/hei-synd-2131-eln/eln_cursor.git + +cd eln-display +./Scripts/deployLab.bash -v -p eln-display -r https://github.com/hei-isc-eln/eln-display.git + +cd eln-kart +./Scripts/deployLab.bash -v -p eln-kart -r https://github.com/hei-synd-2131-eln/eln-kart.git +``` + +To deploy the Libraries to github cd to root of Libs master repo: +```bash +./Scripts/deployLibs.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git +./Scripts/deployLibs.bash -v -p ete_eln_labs -r https://github.com/hei-ete-8132-eln/eln_labs.git +./Scripts/deployLibs.bash -v -p isc_eln_labs -r https://github.com/hei-isc-eln/eln-labs.git +./Scripts/deployLibs.bash -v -p sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git +./Scripts/deployLibs.bash -v -p eln_chrono -r https://github.com/hei-synd-2131-eln/eln_chrono.git +./Scripts/deployLibs.bash -v -p eln_cursor -r https://github.com/hei-synd-2131-eln/eln_cursor.git +./Scripts/deployLibs.bash -v -p eln_kart -r https://github.com/hei-synd-2131-eln/eln_kart.git +./Scripts/deployLibs.bash -v -p eln_inverter -r https://github.com/hei-synd-2131-eln/eln_inverter.git +./Scripts/deployLibs.bash -v -p eln_synchro -r https://github.com/hei-synd-2131-eln/eln_synchro.git +./Scripts/deployLibs.bash -v -p eln-kart -r https://github.com/hei-synd-2131-eln/eln-kart.git +./Scripts/deployLibs.bash -v -p eln-display -r https://github.com/hei-isc-eln/eln-display.git +# or +./Scripts/deployLibsAll.bash +``` + +To deploy the Scripts to github cd root of Scripts master Repo +```bash +./deployScripts.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git +./deployScripts.bash -v -p ete_eln_labs -r https://github.com/hei-ete-8132-eln/eln_labs.git +./deployScripts.bash -v -p isc_eln_labs -r https://github.com/hei-isc-eln/eln-labs.git +./deployScripts.bash -v -p sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git +./deployScripts.bash -v -p eln_chrono -r https://github.com/hei-synd-2131-eln/eln_chrono.git +./deployScripts.bash -v -p eln_cursor -r https://github.com/hei-synd-2131-eln/eln_cursor.git +./deployScripts.bash -v -p eln_kart -r https://github.com/hei-synd-2131-eln/eln_kart.git +./deployScripts.bash -v -p eln_inverter -r https://github.com/hei-synd-2131-eln/eln_inverter.git +./deployScripts.bash -v -p eln_synchro -r https://github.com/hei-synd-2131-eln/eln_synchro.git +./deployScripts.bash -v -p eln-kart -r https://github.com/hei-synd-2131-eln/eln-display.git +./deployScripts.bash -v -p eln-display -r https://github.com/hei-isc-eln/eln-display.git +# or +./deployScriptsAll.bash +``` + +
+![eln labs deployment](img/eln_labs_deployment-staff.png) +
+ +### Download +```bash +# Clone repo including submodules +git clone --recursive +``` + +### Pull changes repo and submodules +```bash +# Pull all changes in the repo including changes in the submodules (of given commit) +git pull --recurse-submodules +``` + +#### Update to latest commit +Update submodule to latest commit and update parentrepo +```bash +# Update submodule to latest commit +git submodule update --remote --merge + +# Afterwared you need to commit in the parentrepo the new pointer to the new commit in the submodule +git commit -am "Update submodule to latest commit" +``` + +### Add submodule +If the folder ``Scripts`` already exists, delete it and commit these changes. +Add submodule and define the master branch as the one you want to track +```bash +git submodule add -b master +git submodule add -b master https://gitlab.hevs.ch/course/ElN/eda_scripts.git Scripts +git submodule add -b master https://gitlab.hevs.ch/course/ElN/eda_libs.git Libs + +git submodule init + +git submodule update +``` + +## Credits +[(Back to top)](#table-of-contents) +* COF +* PRC +* ZAS +* AMA + +## License +[(Back to top)](#table-of-contents) + +:copyright: [All rights reserved](LICENSE) + +--- + +## Find us on +> Website [hevs.ch](https://www.hevs.ch)  ·  +> LinkedIn [HES-SO Valais-Wallis](https://www.linkedin.com/groups/104343/)  ·  +> Youtube [HES-SO Valais-Wallis](https://www.youtube.com/user/HESSOVS) +> Twitter [@hessovalais](https://twitter.com/hessovalais)  ·  +> Facebook [@hessovalais](https://www.facebook.com/hessovalais)  ·  \ No newline at end of file diff --git a/zz-solutions/01-WaveformGenerator/Scripts/changeDefaultViews.bash b/zz-solutions/01-WaveformGenerator/Scripts/changeDefaultViews.bash new file mode 100644 index 0000000..be6fa62 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/changeDefaultViews.bash @@ -0,0 +1,94 @@ +#!/bin/bash + +#================================================================================ +# changeDefaultViews.bash - change HDL Project views +# * Usage master => student: ``changeDefaultViews.bash -v -a masterVersion -n studentVersion`` +# * Usage student => master: ``changeDefaultViews.bash -v -a studentVersion -n masterVersion`` +# * Usage: add ``-r`` for deleting the specified actual view **dangerous** +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +project_directory='' +actual_view='masterVersion' +new_view='studentVersion' + +usage='Usage: changeDefaultViews.bash [-p projectDir] [-r] [-v] [-h]' +while getopts 'p:a:n:rvh' options; do + case $options in + p ) project_directory=$OPTARG;; + a ) actual_view=$OPTARG;; + n ) new_view=$OPTARG;; + r ) delete_actual_view=1;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done +if [ -z "$project_directory" ]; then + project_directory="$base_directory" +else + project_directory="$base_directory/$project_directory" +fi + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "Changing default views for HDL Designer" + echo "${INDENT}in $project_directory" + echo "${INDENT}from $actual_view to $new_view" + if [ -n "$delete_actual_view" ] ; then + echo "Delete all $actual_view in $project_directory" + fi +fi + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +./cleanGenerated.bash + +#------------------------------------------------------------------------------- +# Change views in configuration files +# +find $project_directory -type f -name '*._epf' \ + | xargs sed -i "s/$actual_view/$new_view/g" + +#------------------------------------------------------------------------------- +# Remove all acutal views File +# +if [ -n "$delete_actual_view" ] ; then + project_directory=`realpath $project_directory` + echo $project_directory + echo "Delete $actual_view" + find $project_directory/ -type f -iname "*$actual_view*" -exec echo "rm {}" \; + find $project_directory/ -type f -iname "*$actual_view*" -exec rm {} \; + # For HDL Designer file naming convention + # making uppercase letters to @lowercase masterVersion = master@version + actual_view="$(sed -E s/\([A-Z]\)/@\\L\\1/g <<< $actual_view)" + find $project_directory/ -type f -name "*$actual_view*" -exec echo "rm {}" \; + find $project_directory/ -type f -name "*$actual_view*" -exec rm {} \; + +fi + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/01-WaveformGenerator/Scripts/cleanGenerated.bash b/zz-solutions/01-WaveformGenerator/Scripts/cleanGenerated.bash new file mode 100644 index 0000000..ca088c6 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/cleanGenerated.bash @@ -0,0 +1,38 @@ +#!/bin/bash + +#================================================================================ +# cleanGenerated.bash - Clean intermediate files form folder +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +find $base_directory -type f -name '.cache.dat' | xargs -r rm -v +find $base_directory -type f -name '*.bak' | xargs -r rm -v +find $base_directory -type f -name '*.lck' | xargs -r rm -v +find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v +find $base_directory -type f -name 'default_view' | xargs -r rm -v +find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v +find $base_directory -type f -name '*.vhg' | xargs -r rm -v +find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v +find $base_directory -type d -name '.xrf' | xargs -r rm -Rv + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd diff --git a/zz-solutions/01-WaveformGenerator/Scripts/cleanGenerated.bat b/zz-solutions/01-WaveformGenerator/Scripts/cleanGenerated.bat new file mode 100644 index 0000000..0084edb --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/cleanGenerated.bat @@ -0,0 +1,42 @@ +::============================================================================== +:: cleanGenerated.bat +:: Clean intermediate files from folder +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. +::------------------------------------------------------------------------------ +:: Delete intermediate files +:: +set base_directory="%cmd_location:"=%.." + +echo "Delete intermediate files in: %base_directory%" +del /f /s /a %base_directory%\*cache.dat +del /f /s /a %base_directory%\*.bak +del /f /s /a %base_directory%\*.lck +del /f /s /a %base_directory%\*.vhd.info +del /f /s /a %base_directory%\default_view +del /f /s /a %base_directory%\*_entity.vhd +del /f /s /a %base_directory%\*_struct.vhd +del /f /s /a %base_directory%\*_fsm.vhd +del /f /s /a %base_directory%\*.vhg +del /f /s /a %base_directory%\*.DS_Store + +echo "Delete intermediate directories in: %base_directory%" +for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a" + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/zz-solutions/01-WaveformGenerator/Scripts/cleanScratch.bat b/zz-solutions/01-WaveformGenerator/Scripts/cleanScratch.bat new file mode 100644 index 0000000..098bf97 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/cleanScratch.bat @@ -0,0 +1,39 @@ +::============================================================================== +:: cleanScratch.bat +:: Clean scratch directory +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +if "%SCRATCH_DIR%" == "" ( + set SCRATCH_DIR=C:\temp\eda\ +) + +echo Delete scratch directory %SCRATCH_DIR% +if exist %SCRATCH_DIR% ( + echo Scratch directory found, deleting! + rmdir /S /Q "%SCRATCH_DIR%" +) else ( + echo Scratch directory not found! +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof \ No newline at end of file diff --git a/zz-solutions/01-WaveformGenerator/Scripts/deployLab.bash b/zz-solutions/01-WaveformGenerator/Scripts/deployLab.bash new file mode 100644 index 0000000..10652b0 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/deployLab.bash @@ -0,0 +1,559 @@ +#!/bin/bash + +#================================================================================ +# deployLab.bash - updates github repos for individual labos +# Example usage 01: ./Scripts/deployLab.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +# Example usage 02: ./Scripts/deployLab.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +# Example usage 03: ./Scripts/deployLab.bash -v -p isc-did-labs -r https://github.com/hei-isc-eln/did-labs.git +# Example usage 04: ./Scripts/deployLab.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +# Example usage 05: ./Scripts/deployLab.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +# Example usage 06: ./Scripts/deployLab.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +# Example usage 07: ./Scripts/deployLab.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +# Example usage 08: ./Scripts/deployLab.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +# Example usage 09: ./Scripts/deployLab.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git + +# Example usage 10: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 01-WaveformGenerator +# Example usage 11: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 01-WaveformGenerator +# Example usage 12: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 02-SplineInterpolator +# Example usage 13: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 03-DigitalToAnalogConverter +# Example usage 14: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 04-Lissajous +# Example usage 15: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 05-Morse +# Example usage 16: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 06-07-08-09-SystemOnChip +# Example usage 17: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 10-PipelinedOperators + +# Example usage 18: ./Scripts/deployLab.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git -s 1 +# Example usage 19: ./Scripts/deployLab.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Parse command line options +# +project='synd-did-labs' +repo='https://github.com/hei-synd-did/did-labs.git' +dir='01-StepperMotor' +sourceDirUp=0 + +usage='Usage: deployLab.bash [-p projectName] [-r repourl] [-d directory] [-s sourceDirUp] [-v] [-h]' +while getopts 'p:r:d:s:vh' options; do + case $options in + p ) project=$OPTARG;; + r ) repo=$OPTARG;; + d ) dir=$OPTARG;; + s ) sourceDirUp=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "-- $DATE: Deploy Laboratory for Students" + echo "${INDENT}for $project" + echo "${INDENT}to $repo" + echo "${INDENT}in $dir" + echo "" +fi + +#------------------------------------------------------------------------------- +# Clean current repo +# +echo "Clean parent repo from intermediate files" +./cleanGenerated.bash + +#------------------------------------------------------------------------------- +# Clone student repo +# +# Create a tmp subdirectory if it doesn't exist +echo "Create tmp folder" +mkdir -p tmp +cd tmp + +# Get repo +echo "Clone student repo $project" +# Add login and access token to url +repo_access=$(echo $repo | sed 's/https\?:\/\///') +github_username=tschinz +github_accesstoken=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 +repo_access="https://$github_username:$github_accesstoken@${repo_access}" +git clone $repo_access +if [ "$project" == "synd-did-labs" ]; then + cd did-labs +elif [ "$project" == "ete-did-labs" ]; then + cd did-labs +elif [ "$project" == "isc-did-labs" ]; then + cd did-labs +else + cd $project +fi + +repo_dest=`realpath "./"` +repo_source="./" +# If Scripts folder is at root of project, needs to get up 3 times (scripts, project name, tmp) +# If more is needed (i.e. see car-labs structure), can be added with -s switch +for ((i=0;i<$sourceDirUp+3;i++)); do + repo_source="$repo_source/.." +done +repo_source=`realpath "$repo_source"` + +echo "Update files in student repo $project" +# Copy needed files per project +if [ "$project" == "synd-did-labs" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + rm -v -f ./Num/hdl/sinewaveGenerator_comb.vhd + +elif [ "$project" == "ete-did-labs" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + rm -v -f ./Num/hdl/sinewaveGenerator_comb.vhd + +elif [ "$project" == "isc-did-labs" ]; then + # copy root files except some specific ones + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + # iterate over folders to choose what to copy + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + rm -v -f ./Num/hdl/sinewaveGenerator_comb.vhd + +elif [ "$project" == "car-labs" ]; then + # bem + echo "copy $repo_source/bem" + cp -ar "$repo_source/bem" ./ + # isa + echo "copy $repo_source/isa" + cp -ar "$repo_source/isa" ./ + # heirv32_sc + mkdir -p heirv32_sc + # copy root files except some specific ones + find $repo_source/hdl -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest/heirv32_sc \; + # iterate over folders to choose what to copy + for folder in $(find $repo_source/hdl -maxdepth 1 -type d ) + do + if [[ "$repo_source/hdl" != "$folder" && "$repo_source/hdl/.git" != "$folder" && "$repo_source/hdl/Libs" != "$folder" && "$repo_source/hdl/Scripts" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder heirv32_sc/ + else + echo "skip $folder" + fi + done + + rm -v -f heirv32_sc/Board/concat/concatenated.vhd + rm -v -f heirv32_sc/Board/concat/car-labs.vhd + + rm -v -f heirv32_sc/HEIRV32/hdl/ALU_rtl.vhd + rm -v -f heirv32_sc/HEIRV32/hdl/extend_rtl.vhd + rm -v -f heirv32_sc/HEIRV32/hdl/aludecoder_entity.vhg + rm -v -f heirv32_sc/HEIRV32/hdl/aluDecoder_rtl.vhd + rm -v -f -r heirv32_sc/HEIRV32/hds/alu@decoder + rm -v -f heirv32_sc/HEIRV32/hds/_aludecoder._epf + + rm -v -f heirv32_sc/HEIRV32/SingleCycle/hdl/mainDecoder_entity.vhg + rm -v -f heirv32_sc/HEIRV32/SingleCycle/hdl/mainDecoder_rtl.vhd + rm -v -f -r heirv32_sc/HEIRV32/SingleCycle/hds/main@decoder + rm -v -f heirv32_sc/HEIRV32/SingleCycle/hds/_maindecoder._epf + + rm -v -f heirv32_sc/Simulation/empty_ram.txt + +elif [ "$project" == "car-heirv" ]; then + # heirv32_mc + # copy root files except some specific ones + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + # iterate over folders to choose what to copy + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source/" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + + rm -v -f ./Board/concat/concatenated.vhd + rm -v -f ./Board/concat/car-labs.vhd + + rm -v -f ./HEIRV32/hdl/ALU_rtl.vhd + rm -v -f ./HEIRV32/hdl/extend_rtl.vhd + rm -v -f ./HEIRV32/hdl/aludecoder_entity.vhg + rm -v -f ./HEIRV32/hdl/aluDecoder_rtl.vhd + rm -v -f -r ./HEIRV32/hds/alu@decoder + rm -v -f ./HEIRV32/hds/_aludecoder._epf + + rm -v -f ./HEIRV32/MultiCycle/hdl/instrdecoder_entity.vhg + rm -v -f ./HEIRV32/MultiCycle/hdl/instrDecoder_rtl.vhd + rm -v -f ./HEIRV32/MultiCycle/hdl/mainfsm_entity.vhg + rm -v -f ./HEIRV32/MultiCycle/hdl/mainfsm_fsm.vhg + rm -v -f -r ./HEIRV32/MultiCycle/hds/instr@decoder + rm -v -f -r ./HEIRV32/MultiCycle/hds/main@f@s@m + rm -v -f ./HEIRV32/MultiCycle/hds/_instrdecoder._epf + rm -v -f ./HEIRV32/MultiCycle/hds/_mainfsm._epf + + rm -v -f ./HEIRV32_test/hdl/universalTester_test.vhd + rm -v -f -r ./HEIRV32_test/hds/universal@tester + rm -v -f ./HEIRV32_test/hds/_universaltester._epf + + rm -v -f ./Simulation/code_mc_disassembled.svg + rm -v -f ./Simulation/code_mc_disassembled_labels.svg + rm -v -f ./Simulation/code_mc_ghidra_labels.png + rm -v -f ./Simulation/empty_ram.txt + + #search='design_root = Board.ebs2_sc(struct)ebs2_sc/struct.bd' + #replace='design_root = Board.ebs2_mc(struct)ebs2_mc/struct.bd' + #sed -i "s/$search/$replace" Prefs/hds.hdp + +elif [ "$project" == "ele_labs" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "sem-labs" ]; then + mkdir -p $dir + repo_dest=`realpath "./$dir/"` + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/01-WaveformGenerator/Scripts" != "$folder" && "$repo_source/02-SplineInterpolator/Scripts" != "$folder" && "$repo_source/03-DigitalToAnalogConverter/Scripts" != "$folder" && "$repo_source/04-Lissajous/Scripts" != "$folder" && "$repo_source/05-Morse/Scripts" != "$folder" && "$repo_source/06-07-08-09-SystemOnChip/Scripts" != "$folder" && "$repo_source/10-PipelinedOperators/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder $repo_dest + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for sem-labs $dir" + + if [ "$dir" == "01-WaveformGenerator" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "02-SplineInterpolator" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "03-DigitalToAnalogConverter" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "04-Lissajous" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "05-Morse" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "06-07-08-09-SystemOnChip" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "10-PipelinedOperators" ]; then + echo "Info: Nothing to be deleted" + fi + +elif [ "$project" == "did-cursor" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-cursor" + rm -v -f ./Cursor/hdl/amplitudeControl_RTL.vhd + rm -v -f ./Cursor/hdl/bridgeControl_RTL.vhd + rm -v -f ./Cursor/hdl/decelerationPositions_RTL.vhd + rm -v -f ./Cursor/hdl/divider_RTL.vhd + rm -v -f ./Cursor/hdl/findDistance_RTL1.vhd + rm -v -f ./Cursor/hdl/positionCounter_RTL.vhd + rm -v -f ./Cursor/hdl/pulseWidthModulator_RTL.vhd + rm -v -f ./Cursor/hds/_amplitudecontrol._epf + rm -v -f ./Cursor/hds/_bridgecontrol._epf + rm -v -f ./Cursor/hds/_control._epf + rm -v -f ./Cursor/hds/_decelerationpositions._epf + rm -v -f ./Cursor/hds/_divider._epf + rm -v -f ./Cursor/hds/_positioncounter._epf + rm -v -f ./Cursor/hds/_pulsewidthmodulator._epf + rm -v -f ./Cursor/hds/.hdlsidedata/_amplitudecontrol_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_amplitudeControl_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_bridgecontrol_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_bridgeControl_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_control_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_control_fsm.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_decelerationpositions_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_decelerationPositions_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_divider_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_divider_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_findDistance_RTL1.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_positioncounter_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_positionCounter_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_pulsewidthmodulator_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_pulseWidthModulator_RTL.vhd._fpf + rm -v -f -r ./Cursor/hds/amplitude@control + rm -v -f -r ./Cursor/hds/bridge@control + rm -v -f -r ./Cursor/hds/control + rm -v -f -r ./Cursor/hds/deceleration@positions + rm -v -f -r ./Cursor/hds/divider + rm -v -f -r ./Cursor/hds/position@counter + rm -v -f -r ./Cursor/hds/pulse@width@modulator + rm -v -f -r ./Cursor/hds/rising@detector + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-cursor.vhd + +elif [ "$project" == "did-chrono" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-chrono" + rm -v -f ./Chronometer/hdl/coilControl_RTL.vhd + rm -v -f ./Chronometer/hdl/divider1Hz_RTL.vhd + rm -v -f ./Chronometer/hdl/tickLengthCounter_RTL.vhd + rm -v -f ./Chronometer/hdl/lcdDisplay_masterVersion.vhd + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/_control._epf + rm -v -f ./Chronometer/hds/_divider1hz._epf + rm -v -f ./Chronometer/hds/_ticklengthcounter._epf + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/.hdlsidedata/_coilcontrol_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_coilControl_RTL.vhd._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_control_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_control_fsm.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_divider1hz_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_divider1Hz_RTL.vhd._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_ticklengthcounter_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_tickLengthCounter_RTL.vhd._fpf + rm -v -f -r ./Chronometer/hds/coil@control/ + rm -v -f -r ./Chronometer/hds/control/ + rm -v -f -r ./Chronometer/hds/divider1@hz/ + rm -v -f -r ./Chronometer/hds/rising@detector/ + rm -v -f -r ./Chronometer/hds/tick@length@counter/ + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-chrono.vhd + +elif [ "$project" == "did-kart-ebs2" ]; then + mkdir -p $dir + repo_dest=`realpath "./$dir/"` + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder $repo_dest + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-kart-ebs2 $dir" + + if [ "$dir" == "01-StepperMotor" ]; then + rm -v -f ./01-StepperMotor/StepperMotor/hdl/angleDifference_RTL.vhd + rm -v -f ./01-StepperMotor/StepperMotor/hdl/coilControl_RTL.vhd + rm -v -f ./01-StepperMotor/StepperMotor/hdl/stepperCounter_RTL.vhd + rm -v -f ./01-StepperMotor/StepperMotor/hds/angle@control/master@version.bd + rm -v -f ./01-StepperMotor/StepperMotor/hds/coil@control/master@version_counter@demux.bd + rm -v -f ./01-StepperMotor/StepperMotor/hds/coil@control/master@version_shift@reg.bd + elif [ "$dir" == "02-DcMotor" ]; then + rm -v -f ./02-DcMotor/DcMotor/hdl/dcMotorPwm_RTL.vhd + elif [ "$dir" == "03-Sensors" ]; then + rm -v -f ./03-Sensors/Sensors/hdl/hallCounters_RTL.vhd + rm -v -f ./03-Sensors/Sensors/hdl/ultrasoundRanger_RTL.vhd + elif [ "$dir" == "04-Controller" ]; then + echo "Info: Nothing to be deleted" + fi + +elif [ "$project" == "did-kart-ebs3" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-kart-ebs3" + rm -v -f ./DcMotor/hdl/dcMotorPwm_RTL.vhd + rm -v -f ./Kart_test/hdl/txFIFO_tester_test.vhd + rm -v -f -r ./Kart_test/hds/tx@f@i@f@o_tb/ + rm -v -f -r ./Kart_test/hds/tx@f@i@f@o_tester/ + rm -v -f ./Kart_test/hds/_txfifo_tb._epf + rm -v -f ./Kart_test/hds/_txfifo_tester._epf + rm -v -f -r ./Kart_test/hds/kart@controller_full_tb/ + rm -v -f ./Kart_test/hds/_kartcontroller_full_tb._epf + rm -v -f ./Sensors/hdl/hallCounters_RTL.vhd + rm -v -f ./Sensors/hdl/ultrasoundRanger_RTL.vhd + rm -v -f ./Stepper/hdl/angleDifference_RTL.vhd + rm -v -f ./Stepper/hdl/coilControl_RTL.vhd + rm -v -f ./Stepper/hdl/stepperCounter_RTL.vhd + rm -v -f ./Stepper/hds/angle@control/master@version.bd + rm -v -f ./Stepper/hds/coil@control/master@version_counter@demux.bd + rm -v -f ./Stepper/hds/coil@control/master@version_shift@reg.bd + rm -v -f ./Stepper_test/hdl/stepperMotorRegisters_tester_test.vhd + rm -v -f -r ./Stepper_test/hds/stepper@motor@registers_tb/ + rm -v -f -r ./Stepper_test/hds/stepper@motor@registers_tester/ + rm -v -f ./Stepper_test/hds/_steppermotorregisters_tb._epf + rm -v -f ./Simulation/Kart/UVM/uvmCommands.txt + rm -v -f ./Simulation/Kart/kartUVM.do + rm -v -f ./Simulation/Kart/txFIFO.do + rm -v -f ./Simulation/Stepper/stepperMotorRegisters.do + rm -v -f -r ./Simulation/UART/ + rm -v -f -r ./UART_test/ + + rm -v -f ./Board/concat/*.vhd + find ./Board/libero/designer/ ! \( -name "*.prjx" -o -name "*.adb" -o -name "*.ide_des" -o -name "*.pdb" -o -name "*_syn.prj" \) -type f -exec rm -v -f -r {} + + rm -v -f -r ./Documentation/ + rm -v -f -r ./CommandInterpreter/src/ + +elif [ "$project" == "did-synchro" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-synchro" + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-synchro.vhd + +elif [ "$project" == "did-inverter" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-inverter" + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-inverter.vhd + +elif [ "$project" == "did-display" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-display" + find ./Board/ise/ -name "*.mcs" -type f -exec rm -v -f {} + + rm -v -f -r ./Display/concat/ + find ./Display/hdl/ -name "*.vhg" -type f -exec rm -v -f {} + + rm -v -f ./Display/hdl/test_pattern_calculated_rtl.vhd + rm -v -f ./Display/hdl/vgaDataCreator_rgb.vhd + rm -v -f ./Display/hdl/vgaDataSelector_rtl.vhd + rm -v -f ./Display/hds/display@circuit/master@version.bd + rm -v -f -r ./Display/hds/vga@data@calculated/ + rm -v -f -r ./Display/hds/vga@data@selector/ + + rm -v -f -r ./Display_test/concat/ + + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-display.vhd + +elif [ "$project" == "eln_support" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "eptm_radio" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "eptm_audioamp" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "cansat" ]; then + echo "Error: Not implemented yet" +fi + +echo "Source taken from $repo_source to $repo_dest" + +#------------------------------------------------------------------------------- +# change from masterVersion to studentVersion and delete all masterVersion +# +if [ "$project" == "synd-did-labs" ]; then + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a master@version -n student@version -r +elif [ "$project" == "ete-did-labs" ]; then + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a master@version -n student@version -r +elif [ "$project" == "isc-did-labs" ]; then + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a master@version -n student@version -r +else + ./../../changeDefaultViews.bash -p "Scripts/tmp/$project" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/$project" -a master@version -n student@version -r +fi + +# add/commit/push changes to student repo +git add -A +git commit -a -m "$DATE: Automatic Laboratory Update with ``deployLab.bash`` :shipit:" +git push origin main +cd .. + +# Delete tmp directory +echo "Delete tmp directory" +cd .. +pwd +rm -rf "./tmp" + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: $project updated at $repo" +echo "$SEPARATOR" +popd diff --git a/zz-solutions/01-WaveformGenerator/Scripts/deployLibs.bash b/zz-solutions/01-WaveformGenerator/Scripts/deployLibs.bash new file mode 100644 index 0000000..3498c2b --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/deployLibs.bash @@ -0,0 +1,320 @@ +#!/bin/bash + +#================================================================================ +# deployLibs.bash - updates github repos for individual labos +# indend to push libs from [DiD-libs](https://gitlab.hevs.ch/course/did/did-libs.git) +# Example usage 1: ./Scripts/deployLibs.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +# Example usage 2: ./Scripts/deployLibs.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +# Example usage 3: ./Scripts/deployLibs.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +# Example usage 4: ./Scripts/deployLibs.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +# Example usage 5: ./Scripts/deployLibs.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +# Example usage 6: ./Scripts/deployLibs.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +# Example usage 7: ./Scripts/deployLibs.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +# Example usage 8: ./Scripts/deployLibs.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +# Example usage 9: ./Scripts/deployLibs.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +# Example usage 10: ./Scripts/deployLibs.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +# Example usage 11: ./Scripts/deployLibs.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git +# Example usage 12: ./Scripts/deployLibs.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Parse command line options +# +project='did-labs' +repo='https://github.com/hei-synd-did/did-labs.git' + +usage='Usage: deployLibs.bash [-p projectName] [-r repourl] [-v] [-h]' +while getopts 'p:r:vh' options; do + case $options in + p ) project=$OPTARG;; + r ) repo=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "-- $DATE: Deploy Libraries for Students" + echo "${INDENT}for $project" + echo "${INDENT}to $repo" + echo "" +fi + +#------------------------------------------------------------------------------- +# Clean current repo +# +echo "Clean parent repo from intermediate files" +./cleanGenerated.bash + +#------------------------------------------------------------------------------- +# Clone student repo +# +# Create a tmp subdirectory if it doesn't exist +echo "Create tmp folder" +mkdir -p tmp +cd tmp + +# Get repo +echo "Clone student repo $project" +# Add login and access token to url +repo_access=$(echo $repo | sed 's/https\?:\/\///') +github_username=tschinz +github_accesstoken=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 +repo_access="https://$github_username:$github_accesstoken@${repo_access}" +git clone $repo_access +if [ "$project" == "synd-did-labs" ]; then + cd did-labs +elif [ "$project" == "ete-did-labs" ]; then + cd did-labs +elif [ "$project" == "isc-did-labs" ]; then + cd did-labs +else + cd $project +fi + +library_dest=`realpath "./Libs"` +library_source=`realpath "./../../.."` +mkdir -p $library_dest + +# Copy needed libraries per project +echo "Update files in student repo $project" +if [ "$project" == "synd-did-labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, NanoBlaze" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/IO/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/NanoBlaze/" "$library_dest/" +elif [ "$project" == "ete-did-labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Operators, Common, NanoBlaze" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/IO/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" + cp -arf "$library_source/Operators/" "$library_dest/" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/NanoBlaze/" "$library_dest/" +elif [ "$project" == "isc-did-labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, NanoBlaze" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/IO/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/NanoBlaze/" "$library_dest/" +elif [ "$project" == "car-labs" ]; then + echo " Copy libraries: Common, Gates, Memory, Operators, Sequential" + library_dest=`realpath "./heirv32_sc/Libs"` + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -arf "$library_source/Memory/" "$library_dest/" + cp -arf "$library_source/Operators/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" +elif [ "$project" == "car-heirv" ]; then + echo " Copy libraries: Common, Gates, Memory, Operators, Sequential" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -arf "$library_source/Memory/" "$library_dest/" + cp -arf "$library_source/Operators/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" +elif [ "$project" == "ele_labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Operators, Common, Memory, Modulation, NanoBlaze" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Operators" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/Modulation" "$library_dest/" + cp -ar "$library_source/Modulation_test" "$library_dest/" + cp -ar "$library_source/NanoBlaze" "$library_dest/" + cp -ar "$library_source/NanoBlaze_test" "$library_dest/" +elif [ "$project" == "sem-labs" ]; then + echo " Copy libraries: Common, RS232, AhbLite, Memory, RiscV, NanoBlaze" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" + cp -ar "$library_source/AhbLite" "$library_dest/" + cp -ar "$library_source/AhbLite_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RiscV" "$library_dest/" + cp -ar "$library_source/RiscV_test" "$library_dest/" + cp -ar "$library_source/NanoBlaze" "$library_dest/" + cp -ar "$library_source/NanoBlaze_test" "$library_dest/" +elif [ "$project" == "did-cursor" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Lcd" "$library_dest/" + cp -ar "$library_source/Lcd_test" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" +elif [ "$project" == "did-chrono" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory, RS232" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Lcd" "$library_dest/" + cp -ar "$library_source/Lcd_test" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + #cp -ar "$library_source/RS232" "$library_dest/" + #cp -ar "$library_source/RS232_test" "$library_dest/" +elif [ "$project" == "did-kart-ebs2" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory, RS232" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/I2C" "$library_dest/" + cp -ar "$library_source/I2C_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" +elif [ "$project" == "did-kart-ebs3" ]; then + echo " Copy libraries: Common, Gates, I2C, Memory, RS232, IO, Sequential, UVM" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/I2C" "$library_dest/" + cp -ar "$library_source/I2C_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/UVM" "$library_dest/" + cp -ar "$library_source/UVM_test" "$library_dest/" +elif [ "$project" == "did-synchro" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Cordic" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Operators" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" +elif [ "$project" == "did-inverter" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Cordic" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Operators" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/Cordic" "$library_dest/" + cp -ar "$library_source/Cordic_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" +elif [ "$project" == "eln_support" ]; then + echo "Nothing todo, no Libararies needed" +elif [ "$project" == "did-display" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory, RS232" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Lcd" "$library_dest/" + cp -ar "$library_source/Lcd_test" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" +elif [ "$project" == "eptm_radio" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" +elif [ "$project" == "eptm_audioamp" ]; then + echo " Copy libraries: AD_DA, Common, Filter" + cp -ar "$library_source/AD_DA" "$library_dest/" + cp -ar "$library_source/AD_DA_test" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/Filter" "$library_dest/" + cp -ar "$library_source/Filter_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" +elif [ "$project" == "cansat" ]; then + echo " Copy libraries: AhbLite, AhbLiteComponents, Common, Commandline, Memory, NanoBlaze, RS232" + cp -ar "$library_source/AhbLite" "$library_dest/" + cp -ar "$library_source/AhbLite_test" "$library_dest/" + cp -ar "$library_source/AhbLiteComponents" "$library_dest/" + cp -ar "$library_source/AhbLiteComponents_test" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Commandline" "$library_dest/" + cp -ar "$library_source/Commandline_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/NanoBlaze" "$library_dest/" + cp -ar "$library_source/NanoBlaze_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" +fi + +# add/commit/push changes to student repo +echo " Git: Add => Commit => Push" +git add -A +git commit -a -m "$DATE: Automatic Library Update with ``deployLibs.bash`` :shipit:" +git push origin main +cd .. + +# Delete tmp directory +cd .. +echo " Delete tmp directory" +rm -rf "./tmp" + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: $project updated at $repo" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/01-WaveformGenerator/Scripts/deployLibsAll.bash b/zz-solutions/01-WaveformGenerator/Scripts/deployLibsAll.bash new file mode 100644 index 0000000..46c6c3c --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/deployLibsAll.bash @@ -0,0 +1,37 @@ +#!/bin/bash + +#================================================================================ +# deployLibsAll.bash - updates github repos for all labo's at once +# indend to push libs from [eda_libs](https://gitlab.hevs.ch/course/ElN/eda_libs.git) +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +./deployLibs.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +./deployLibs.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +./deployLibs.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +./deployLibs.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +./deployLibs.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +#./deployLibs.bash -v -p did-kart-ebs2 -r https://github.com/hei-synd-did/did-ket-ebs2.git # repo does not exist !!! +./deployLibs.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +./deployLibs.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +./deployLibs.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +./deployLibs.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +./deployLibs.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +./deployLibs.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git +./deployLibs.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: ${0##*/} finished" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/01-WaveformGenerator/Scripts/deploySEmLabs.bash b/zz-solutions/01-WaveformGenerator/Scripts/deploySEmLabs.bash new file mode 100644 index 0000000..b36ba70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/deploySEmLabs.bash @@ -0,0 +1,32 @@ +#!/bin/bash + +#================================================================================ +# deployLabsAll.bash - updates github repos for all labo's at once +# indend to push labs from [sem-labs](https://gitlab.hevs.ch/course/SEm/hd-labs.git) +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + + +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 01-WaveformGenerator +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 02-SplineInterpolator +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 03-DigitalToAnalogConverter +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 04-Lissajous +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 05-Morse +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 06-07-08-09-SystemOnChip +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 10-PipelinedOperators + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: ${0##*/} finished" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/01-WaveformGenerator/Scripts/deployScripts.bash b/zz-solutions/01-WaveformGenerator/Scripts/deployScripts.bash new file mode 100644 index 0000000..a91e55b --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/deployScripts.bash @@ -0,0 +1,331 @@ +#!/bin/bash + +#================================================================================ +# deployScripts.bash - updates github repos for individual labos +# indend to push scripts from [DiD-scripts](https://gitlab.hevs.ch/course/did/did-scripts.git) +# Example usage 1: ./Scripts/deployScripts.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +# Example usage 2: ./Scripts/deployScripts.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +# Example usage 3: ./Scripts/deployScripts.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +# Example usage 4: ./Scripts/deployScripts.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +# Example usage 5: ./Scripts/deployScripts.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +# Example usage 6: ./Scripts/deployScripts.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +# Example usage 7: ./Scripts/deployScripts.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +# Example usage 8: ./Scripts/deployScripts.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +# Example usage 9: ./Scripts/deployScripts.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +# Example usage 10: ./Scripts/deployScripts.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +# Example usage 11: ./Scripts/deployScripts.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git -d heirv32_sc +# Example usage 12: ./Scripts/deployScripts.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory" + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Parse command line options +# +project='did-labs' +repo='https://github.com/hei-synd-did/did-labs.git' +destdir='' + +usage='Usage: deployScripts.bash [-p projectName] [-r repourl] [-d destdir] [-v] [-h]' +while getopts 'p:r:d:vh' options; do + case $options in + p ) project=$OPTARG;; + r ) repo=$OPTARG;; + d ) destdir=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "-- $DATE: Deploy Scripts for Students" + echo "${INDENT}for $project" + echo "${INDENT}to $repo" + echo "" +fi + +#------------------------------------------------------------------------------- +# Clone student repo +# +# Create a tmp subdirectory if it doesn't exist +echo "Create tmp folder" +mkdir -p tmp +cd tmp + +# Get repo +echo "Clone student repo $project" +# Add login and access token to url +repo_access=$(echo $repo | sed 's/https\?:\/\///') +github_username=tschinz +github_accesstoken=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 +repo_access="https://$github_username:$github_accesstoken@${repo_access}" +git clone $repo_access +if [ "$project" == "synd-did-labs" ]; then + cd did-labs +elif [ "$project" == "ete-did-labs" ]; then + cd did-labs +elif [ "$project" == "isc-did-labs" ]; then + cd did-labs +else + cd $project +fi + +library_source=`realpath "./../.."` + +# DiD Kart (EBS2 version) has a different project structure +if [ "$project" == "did-kart-ebs2" ]; then + # Copy needed libraries per project + mkdir -p "01-StepperMotor/Scripts" + library_dest=`realpath "./01-StepperMotor/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + + # Copy needed libraries per project + mkdir -p "02-DcMotor/Scripts" + library_dest=`realpath "./02-DcMotor/Scripts"` + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + # Copy needed libraries per project + mkdir -p "03-Sensors/Scripts" + library_dest=`realpath "./03-Sensors/Scripts"` + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + # Copy needed libraries per project + mkdir -p "04-Controller/Scripts" + library_dest=`realpath "./04-Controller/Scripts"` + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + +# SEm Labs has also a different project structure +elif [ "$project" == "sem-labs" ]; then + # Copy needed libraries per project + mkdir -p "01-WaveformGenerator/Scripts" + library_dest=`realpath "./01-WaveformGenerator/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "02-SplineInterpolator/Scripts" + library_dest=`realpath "./02-SplineInterpolator/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "03-DigitalToAnalogConverter/Scripts" + library_dest=`realpath "./03-DigitalToAnalogConverter/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "04-Lissajous/Scripts" + library_dest=`realpath "./04-Lissajous/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "05-Morse/Scripts" + library_dest=`realpath "./05-Morse/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "06-07-08-09-SystemOnChip/Scripts" + library_dest=`realpath "./06-07-08-09-SystemOnChip/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "10-PipelinedOperators/Scripts" + library_dest=`realpath "./10-PipelinedOperators/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + +else + + if [ -n "$destdir" ]; then + mkdir -p "$destdir/Scripts" + library_dest=`realpath "$destdir/Scripts"` + else + mkdir -p "Scripts" + library_dest=`realpath "./Scripts"` + fi + + # Copy needed libraries per project + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/update_diamond.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + cp -arf "$library_source/start_diamond.pl" "$library_dest/" +fi + +# add/commit/push changes to student repo +echo " Git: Add => Commit => Push" +git add -A +git commit -a -m "$DATE: Automatic Scripts Update with ``deployScripts.bash`` :shipit:" +git push origin main +cd .. + +# Delete tmp directory +cd .. +echo " Delete tmp directory" +rm -rf "./tmp" + +#------------------------------------------------------------------------------- +# Exit +#s +echo "" +echo "-- $DATE: $project updated at $repo" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/01-WaveformGenerator/Scripts/deployScriptsAll.bash b/zz-solutions/01-WaveformGenerator/Scripts/deployScriptsAll.bash new file mode 100644 index 0000000..bb9e937 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/deployScriptsAll.bash @@ -0,0 +1,37 @@ +#!/bin/bash + +#================================================================================ +# deployScriptsAll.bash - updates github Scripts folder for all labo's at once +# indend to push scripts from [eda_scripts](https://gitlab.hevs.ch/course/ElN/eda_scripts.git) +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +./deployScripts.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +./deployScripts.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +./deployScripts.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +./deployScripts.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +./deployScripts.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +#./deployScripts.bash -v -p did-kart-ebs2 -r https://github.com/hei-synd-did/did-ket-ebs2.git # repo does not exist !!! +./deployScripts.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +./deployScripts.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +./deployScripts.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +./deployScripts.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +./deployScripts.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +./deployScripts.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git -d heirv32_sc +./deployScripts.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: ${0##*/} finished" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/01-WaveformGenerator/Scripts/generateSSHKey.bash b/zz-solutions/01-WaveformGenerator/Scripts/generateSSHKey.bash new file mode 100644 index 0000000..c906a16 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/generateSSHKey.bash @@ -0,0 +1,42 @@ +#!/bin/bash + +#============================================================================== +# generates an SSH key under default user location ~/.ssh/ +# and add the public key to the clipboard +# +# the email could be given when calling the script or be prompted later + +email='' +filename=$(hostname) +user=$(whoami) + +usage='Usage: generateSSH.bash [-e email]' + +# handle options +while getopts 'e:v' flag; do + case "${flag}" in + e) email=${OPTARG};; + esac +done + +# if the mail is not given, prompt it +if [ -z "$email" ] +then + echo -n "Please enter your mail: " + read email +fi +# generates the key-couple +# cat /dev/zero create an empty file to be filled by the key +# then ssh-keygen is called with: +# -q to call it silently (no verbose) +# -N with empty string to set no-password +# -t to specify the type of key +# -C to set the user mail +cat /dev/zero | ssh-keygen -q -N "" -t ed25519 -C "${user}@${filename}" -f ~/.ssh/$filename + +# then copy the public key into the clipboard +clip < ~/.ssh/${filename}.pub + +# print output for user +echo -e "\nThe keyfiles are generated under ~/.ssh/" +echo -e "\nThe public key is in your clipboard, ready to be added to your Github account." \ No newline at end of file diff --git a/zz-solutions/01-WaveformGenerator/Scripts/hdlDesigner.bash b/zz-solutions/01-WaveformGenerator/Scripts/hdlDesigner.bash new file mode 100644 index 0000000..4b54b46 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/hdlDesigner.bash @@ -0,0 +1,154 @@ +#!/bin/bash + +#================================================================================ +# hdl_designer.bash - Starts HDL designer +# +base_directory="$(dirname "$(readlink -f "$0")")" +base_directory="$base_directory/.." +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +command_switches='n:d:p:m:i:u:t:s:c:y:vh' +usage='Usage: hdl_designer.bash [-v] [-h]' +usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]" + # set name and base directory +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + esac +done + # continue with preferences directory +prefs_directory="$design_directory/Prefs" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + p ) prefs_directory=$OPTARG;; + esac +done + # finish with other parameters +library_matchings="$design_name.hdp" +library_matchings='hds.hdp' +simulation_directory="$design_directory/Simulation" +user_prefs_directory="$prefs_directory/hds_user-linux" +team_prefs_directory="$prefs_directory/hds_team" +scratch_directory='/tmp/eda/' +synthesis_subdirectory="Board/ise" +concat_directory="$design_directory/Board/concat" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) ;; + d ) ;; + m ) library_matchings=$OPTARG;; + i ) simulation_directory=$OPTARG;; + u ) user_prefs_directory=$OPTARG;; + t ) team_prefs_directory=$OPTARG;; + s ) scratch_directory=$OPTARG;; + c ) concat_directory=$OPTARG;; + y ) synthesis_subdirectory=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +design_directory=`realpath $design_directory` +library_matchings=`realpath $prefs_directory/$library_matchings` +simulation_directory=`realpath $simulation_directory` +user_prefs_directory=`realpath $user_prefs_directory` +team_prefs_directory=`realpath $team_prefs_directory` +concat_directory=`realpath $concat_directory` +mkdir -p $scratch_directory +scratch_directory=`realpath $scratch_directory` + +#================================================================================ +# Main script +# + +#------------------------------------------------------------------------------- +# System environment variables +# +export HDS_HOME=/usr/opt/HDS +export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/ +export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE +export LC_ALL=C +export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin +export MGLS_HOME=/usr/opt/HDS/license/mgls + +#------------------------------------------------------------------------------- +# Project environment variables +# +export DESIGN_NAME=$design_name +export HDS_LIBS=$library_matchings +export HDS_USER_HOME="$user_prefs_directory" +export HDS_TEAM_HOME=$team_prefs_directory +export SIMULATION_DIR=$simulation_directory +export SCRATCH_DIR=$scratch_directory +export CONCAT_DIR=$concat_directory +export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory` +export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "Environment variables:" + echo "${INDENT}Design name is $DESIGN_NAME" + echo "${INDENT}Lib matchings file is $HDS_LIBS" + echo "${INDENT}Simulation directory is $SIMULATION_DIR" + echo "${INDENT}User prefs directory is $HDS_USER_HOME" + echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME" + echo "${INDENT}Scratch directory is $SCRATCH_DIR" + echo "${INDENT}Concat directory is $CONCAT_DIR" + echo "${INDENT}HDS location is $HDS_HOME" + echo "${INDENT}Modelsim location is $MODELSIM_HOME" + echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME" + echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR" + echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR" +fi + +#------------------------------------------------------------------------------- +# Copy synthesis data to scratch +# +if true; then + echo "Copying" + echo "${INDENT}$SYNTHESIS_BASE_DIR" + echo "${INDENT}-> $SYNTHESIS_WORK_DIR" +fi +if [ -e "$SYNTHESIS_BASE_DIR" ]; then + rm -Rf $SYNTHESIS_WORK_DIR + mkdir -p $SYNTHESIS_WORK_DIR + cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/ +fi + +#------------------------------------------------------------------------------- +# Launch application +# +hdl_designer & + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/01-WaveformGenerator/Scripts/hdlDesigner.bat b/zz-solutions/01-WaveformGenerator/Scripts/hdlDesigner.bat new file mode 100644 index 0000000..87ed140 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/hdlDesigner.bat @@ -0,0 +1,278 @@ +::============================================================================== +:: hdl_designer.bash - Starts HDL designer +:: +:start + setlocal EnableExtensions EnableDelayedExpansion + set cmd_location=%~dp0 + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +:: ----------------------------------------------------------------------------- +:: Define default environment variables +IF NOT DEFINED REQUIRE_LIBS SET "REQUIRE_LIBS=0" +IF NOT DEFINED REQUIRE_HDS SET "REQUIRE_HDS=0" +IF NOT DEFINED REQUIRE_MODELSIM SET "REQUIRE_MODELSIM=0" +IF NOT DEFINED REQUIRE_ISE SET "REQUIRE_ISE=0" +IF NOT DEFINED REQUIRE_LIBERO SET "REQUIRE_LIBERO=0" +IF NOT DEFINED REQUIRE_DIAMOND SET "REQUIRE_DIAMOND=0" +:: +::remove trailing backslash +if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1% + +set design_name=%~n0 +set hpd_name=hds + +if "%ISE_VERSION%"== "" ( + set ISE_VERSION=14.7 +) +set prefs_directory="%design_directory:"=%\Prefs" +set library_matchings="%hpd_name%.hdp" +set simulation_directory="%design_directory:"=%\Simulation" +set user_prefs_directory="%prefs_directory:"=%\hds_user" +set team_prefs_directory="%prefs_directory:"=%\hds_team" +if "%SCRATCH_DIR%" == "" ( + set scratch_directory=C:\temp\eda\%username% +) else ( + set scratch_directory=%SCRATCH_DIR% +) + +set synthesis_subdirectory="" +if %REQUIRE_ISE% == 1 ( + set synthesis_subdirectory="Board\ise" +) +if %REQUIRE_LIBERO% == 1 ( + set synthesis_subdirectory="Board\libero" +) +if %REQUIRE_DIAMOND% == 1 ( + set synthesis_subdirectory="Board\diamond" +) +set concat_directory="%design_directory:"=%\Board\concat" + +::------------------------------------------------------------------------------ +:: Parse command line options +:: +:parse +set usage1="Usage: hdl_designer.bat [-v] [-h]" +set usage2=" [-n designName] [-d designDirectory]" +set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]" +set usage4=" [-s scratchDirectory] [-c concatDirectory]" +set usage5=" [-y synthesisDirectory] [-m library_matchings]" + +echo Search Commandline Parameters +:parseloop +if not "%1"=="" ( + if "%1"=="-v" ( + set VERBOSE=1 + echo %INDENT:"=%verbose enabled + shift + ) + if "%1"=="-h" ( + goto :HELP + shift + ) + if "%1"=="-n" ( + set design_name=%2 + echo %INDENT:"=%design_name=!design_name:"=! + shift & shift + ) + if "%1"=="-d" ( + set design_directory=%2 + echo %INDENT:"=%design_directory=!design_directory:"=! + shift & shift + ) + if "%1"=="-p" ( + set prefs_directory=%2 + echo %INDENT:"=%prefs_directory=!prefs_directory:"=! + shift & shift + ) + if "%1"=="-u" ( + set user_prefs_directory=%2 + echo %INDENT:"=%user_prefs_directory=%user_prefs_directory:"=% + shift & shift + ) + if "%1"=="-t" ( + set team_prefs_directory=%2 + echo %INDENT:"=%team_prefs_directory=%team_prefs_directory:"=% + shift & shift + ) + if "%1"=="-s" ( + set scratch_directory=%2 + echo %INDENT:"=%scratch_directory=%scratch_directory:"=% + shift & shift + ) + if "%1"=="-c" ( + set concat_directory=%2 + echo "%INDENT:"=%concat_directory=%concat_directory:"=% " + shift & shift + ) + if "%1"=="-y" ( + set synthesis_subdirectory=%2 + echo %INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=% + shift & shift + ) + if "%1"=="-m" ( + set set library_matchings="%2.hdp" + echo %INDENT:"=%library_matchings=%library_matchings:"=% + shift & shift + ) + goto :parseloop +) +echo. + +:: Setting all concurrent variables +set prefs_directory="!design_directory:"=!\Prefs" +set user_prefs_directory="!prefs_directory:"=!\hds_user" +set team_prefs_directory="!prefs_directory:"=!\hds_team" +set library_matchings=!prefs_directory:"=!\!library_matchings:"=! +set simulation_directory="!design_directory:"=!\Simulation" +set concat_directory="!design_directory:"=!\Board\concat" + +:: Getting realpath +:: TODO + +:: create scratch dir +if not exist "%scratch_directory%" ( + mkdir "%scratch_directory:"=%" +) + +::================================================================================ +:: Main script +:: +echo. +call "./searchPaths.bat" + +::------------------------------------------------------------------------------ +:: Project environment variables +:: +set DESIGN_NAME=%design_name:"=% +set HDS_LIBS=%library_matchings:"=% +set HDS_USER_HOME=%user_prefs_directory:"=% +set HDS_TEAM_HOME=%team_prefs_directory:"=% +set SIMULATION_DIR=%simulation_directory:"=% +:: Changing Slashed (Needed for Modelsim) +set SIMULATION_DIR=%SIMULATION_DIR:\=/% +set SCRATCH_DIR=%scratch_directory:"=% +set CONCAT_DIR=%concat_directory:"=% +set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set DIAMOND_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set DIAMOND_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% + +::------------------------------------------------------------------------------ +:: Display info +:: +if !VERBOSE! == 1 ( + echo Program Parameters + echo %INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=% + echo %INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=% + echo %INDENT:"=%HDS_LIBS is %HDS_LIBS:"=% + echo %INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=% + echo %INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=% + echo %INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=% + echo %INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=% + echo %INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=% + if %REQUIRE_HDS% == 1 ( + echo %INDENT:"=%HDS_HOME is %HDS_HOME:"=% + ) + if %REQUIRE_MODELSIM% == 1 ( + echo %INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=% + ) + if %REQUIRE_ISE% == 1 ( + echo %INDENT:"=%ISE_HOME is %ISE_HOME:"=% + echo %INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=% + echo %INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=% + ) + if %REQUIRE_LIBERO% == 1 ( + echo %INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=% + echo %INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=% + echo %INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=% + ) + if %REQUIRE_DIAMOND% == 1 ( + echo %INDENT:"=%DIAMOND_HOME is %DIAMOND_HOME:"=% + echo %INDENT:"=%DIAMOND_BASE_DIR is %DIAMOND_BASE_DIR:"=% + echo %INDENT:"=%DIAMOND_WORK_DIR is %DIAMOND_WORK_DIR:"=% + ) + echo. +) + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +echo. +call "./cleanScratch.bat" + +::------------------------------------------------------------------------------ +:: Copy synthesis data to scratch directory +:: +if %REQUIRE_ISE% == 1 ( + if exist %ISE_BASE_DIR% ( + echo %ISE_BASE_DIR:"=% + echo -> %ISE_WORK_DIR:"=% + if exist %ISE_WORK_DIR% ( + rmdir /S /Q "%ISE_WORK_DIR%" + ) + mkdir "%ISE_WORK_DIR%" + xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\" + ) +) + +if %REQUIRE_LIBERO% == 1 ( + if exist %LIBERO_BASE_DIR% ( + echo %LIBERO_BASE_DIR:"=% + echo -> %LIBERO_BASE_DIR:"=% + if exist %LIBERO_WORK_DIR% ( + rmdir /S /Q "%LIBERO_WORK_DIR%" + ) + mkdir "%LIBERO_WORK_DIR%" + xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\" + ) +) + +if %REQUIRE_DIAMOND% == 1 ( + if exist %DIAMOND_BASE_DIR% ( + echo %DIAMOND_BASE_DIR:"=% + echo -> %DIAMOND_BASE_DIR:"=% + if exist %DIAMOND_WORK_DIR% ( + rmdir /S /Q "%DIAMOND_WORK_DIR%" + ) + mkdir "%DIAMOND_WORK_DIR%" + xcopy /S /Y "%DIAMOND_BASE_DIR%" "%DIAMOND_WORK_DIR%\" + ) +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +echo -- Launching program +::echo %INDENT:"=%Waiting until programs finished... +::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe +%windir%\system32\cmd.exe /c start !HDS_HOME!\bin\hdldesigner.exe +::echo -- Finished... YOU CAN CLOSE THIS WINDOW NOW! + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof + +::------------------------------------------------------------------------------ +:: Helper Functions +:: +:HELP + echo. + echo %usage1:"=% + echo %usage2:"=% + echo %usage3:"=% + echo %usage4:"=% + echo %usage5:"=% + echo.&pause&goto:eof diff --git a/zz-solutions/01-WaveformGenerator/Scripts/img/EDA_scripts.gif b/zz-solutions/01-WaveformGenerator/Scripts/img/EDA_scripts.gif new file mode 100644 index 0000000000000000000000000000000000000000..2e348ba6d713a957b7e0ddc6614a9c53a8531031 GIT binary patch literal 12105 zcmeI&a<_Kp7ZPL=h>ep;1t2M3fEz6{SPEL_kVPx+`+q_gnY=2lvIZ_F4O!v)}JGpS=~7y9~|``Ruyk{O>a%9}7723OV&71wT}97#DFFL_g6f<~FQ^ zn80|9s<=$UpXngDKQ(e${^T`_7S(IwwyNecui>|7=C%IKZQsIY)5dT6hu5K-?{mAL zEmFV{C1~3t=vX3QhI;&^Q`n(T$f;P?4k_x?Bj$_}cj=Y*nyhZqC-rUMg?GB9eaCAL zr0h4;E4P$)4yf1Oy>dPS3Vwr1-!pYxphm9!ZvuuigR)FLhP8sT&HVbcg9ko@eZ0%eMCE)ep_J@kQ%L4jM(EOu~mvqJ~W35O%?xR^g+cVn#kClza&uHB0QV zPAK{sI%b#9>y$d`1TFLXfp*RqcTOq)9yj5V`qM9d;%gStE3?lxXVSX>?U#>;N}miY zo{T6TiY@PrFK8?uFo#dFV5}Ft}Xn%R~B}bHka3yHde8#>nodUTYIZp>zix1we9`& zo$bw?gN@xC?C#Mf4!g5?jNL!kI>7B5Z0~LD?;alR?(F>i>>VBL?H=NePjGvu`?%wS zll>#y+2Q`_(fRq&!Nu|6(dpsk$?@^o(Z%W2)#=H}`N`$kHU8}M?Bew1{OtVl?B?S9 z;_4iKd2@MvadY+e{Ef@&zv<@Y(G6H#?%ms0FE!+Z1RfIt0RX@wJfWwFGvLuV5l{$l z1K|5x0{qi001zHFuZJWKm}BpZov#3Otu}b4kr)}lhvZdjFLrBXw+yER)A z$~wK7-gj$vX85eP<``+}_U7+;fSKp0>-U#$hw&O^Qa2pV^yLZrsxwv}Z4H;&T1K`s z9qlX}<2{*gq?*ssE2Bm)2AO!}k8!6jNg|R$u~~r0hMj6&9pUjM+%_Ih1^OR!LZ4q$6+=+runj8v9Rw27gVKq zIKdjvtEzF&iL%rwn5|wtXWdq%|M3MSyz6$lO%+nW)eh0;BWLq-$W`9D8m)Y6RWnKd z-l`T;YhhWpFn-sver3SLqG97C*t~Ju^Qn0gE?Um4`N%*2Q_C4v<5TN-1yZo>5&m*7 zhycuB^6Qph=;Myt0#L^giWg9$F7O-GFTZyT7@mBie`{yheLsNM2{{v`D)g1pHqr^j z!`3Z?6llW;ISO@N>GiW+2LJ4l*o6w)NgtA#4asK7Kkb!g&TuNsPBRByw$ptX$T zzm6pu@H>y|e%|>wVdzZkI++t?Ae?9spJ6_2dHVZgz@crn*4=4Y)os>wFh~TCaoX8& zp7&XovRLrSlXD-kX2gr6g$o*LFGsuG5?hLU!_=Ui^fuaKE$vf{8Exc|kec}M(Wi^e z;-ZQRY+2pz#TL9<;Bvcq!r^kKzSQuI_~=mw@~(;lQ~q6OWc=km5>k0}&?mBYwYliX z8uY{I#9dDCLbCGu1mm`MeR{ny+DrS=bAXKsxfA$9iQ*{fmE|^u$my?+@^erMm7;d@z>sa0tHGaH3tv!7lkLz{eJV0 zYm0ZGlxes?F0%~g*L3L*z5|)LBXh{4LMflXskns?UW!^EJDGTJ5AjkdnpzG=B+T^t zp_f0q$bE&_xDzPCG$Kt;ar=ai2v+5P#^&Cun{3wdY7duArKT}P{uW9bc0FSOlA3kB zCePfDatvgAL;xUsPH!VW9-7B=>Mm{xU;zp{4l|mY5lPc)#V`T@1U5st7cXt(y+!lc z2R{-60LBFVn3%|SPkPiHzR)BQ`Q6gZS9(C(8DAWj`&gF5Pq5HRQ)Ee=$8xjN7Jdi7 z>CT}(C!BXRe31Nvy_zxmt`SGUHKElAAq)CsV@dtbUdS z?g;}v^wr;P>?{C=v4h_|53{LO4Q10){VY9^IU+n(uSH@CCuJ@3S29u+jGSPRdmK1!&+TPRwPp_(4{hP39WP>dYA`jy|E>-f*)D!lX7D9cEacMCp@`8=m_|&kynfz@8Oz;0=5ljYwI%cZ|Gk!lc zFkaPezeeB?%Ys=O^C*uBW2j!#V;|8j_)SicFxl9=g6g9BVlP0MHPiQNPW!!TZpA?v zZ~P-T>$mB|M{emPQ$Qt==8!}bZRn3Vx{BnV1O$R!4Rz5F4LM4QvPzP@Z_`)G`N~W0 z4W$&R150r2)Q41XViJ8l!dFDU7Tzi_7i$F`D%bnpZC!P|bY9@%sQ2gt5x(z;jih|@ zxa%v&e$W$4s{z+{9xq%$1SROxFI4@@rzb^h#Rm(Zy?S4%R`YK=!k=jN1|Pa+E7Ks{ zSFSnEljO}0O8v`Tn5ur}F*BA=oOd(Vz743^pAy0+AJ;A1eiKBwN+*1YvRk9IO4ZgD z{}L=BNy}oq6y_vvR>^_*FyF2+fZ+m_I7zp z@)kk70y;d?IK9Ma$|UdI$dIcYU|W*O?9)X6J)RVnA=P9GPOhKZtf|yQZj>}Xuf@1# z)V&6aHEgjxu-i!mOn%<4kRX-@~gjnW8QG=Fx#S&u}AVtFZgF6sVm_C+Dd|< zzUj8+%hs;Xq^MWF`{do)i7SSlB_Vn5?wLHsUr8i#&!jCDF4xPJsIh(bD_J6`mVX#Z zW|AOswJ17hLC~JTX2ggI+``qpbFw=eD}h3HPJS54`gEN2f95&l7vGA`R8u|iT2j4X z5w34Hp;VdO5TpF|Tu+L<%rYZj5!v~{27g=> z0T<}4yU}*!l<#Oda z;dK&HbA967I=JcVyA#K3Ju1w6c1$c?3h|yQ@LeMI^@V&xeDUcyk*pJ;g4)}H7=+!<^{em&0LKYH2C?Wp%%sau3laecACJ==XtKwkWP>;u=4?chVHnvJ_?k?kx zd>Ck@7JTN|wZau18n!$}?b_}}f(~oi4;#B1PU#ky^f7#3J%s*;J84&V<6=09D1!1d zD3et!Vp98oiQxvv%SOeA%_*v_XO8wMe9~MJ#lE9KMChHA zP!9>~Fb~UnJkjSV{KYA9lkv$~sYxDwDX9S=7TnOO)TYx^x2cqTZuP>=V+lrp%;YdAi6Z{NI;); z+#ip$HCE`v^Ngo>os6N*r<|)#$Dd09bORGO1&>qphHGdW3fxWvOoYjaPga!t>3_v-;dHXomQ z=05j?iea-QrZuG+gF!Oxx9RhHnrQ)VJ@em-r+m(x*23MF+7zi@M&E)KaU_NFiSd5wa| z9b4Feb?u_Jj|_+XN-L{xEE~QJv@t2|z?QPOTO&!!O9ILbb<3M!u(`&$Kp7OYHvl(o~b!-J3Qn{vFc@pkXp<5y2S#gPS-y*Fz$5sHr?i|l6Z-XlX zEy~U=Dlwk$f@JtDFq}-niYD8L3S55Y(sggF;z+mhK3LxzR@GetXOpm|&4J%b$0Mf9 z^krq!H>Rq@*5RBF*@YfvK8^Z!gK!^$?mI>|+ZxLkrbtSe=v;nV|%kdW5EMF4)i(EIU&f8o`#g zP?OD49U`G6HA1r9UcH`8BG6m=y_YS+k4!a!EH@qD+}j{JLQ>-xxelYOfj6?*suqI5 zBUFeH0Jydn?$>lD0}O6pY3hMdHY_x8r&rZ~W6J=5;W)D0X|kcqrV+eyV}%4;Dxjr$ zfdYf2s0OseJVvC?Gz$@s41vL|#?5&!%C^|n5XUC5?`*!Yt#D(q9viZgCgS@ujcYTk zZpLlH-%8Q8ZPt!el3&;iS=tFDVT0}MuiN31rEi1?h|1gBQ9~uj_V!8^Albv(0yJw_ zdpjHlp6e|-0+6vwg6=TL3nOl`lYwjCO`p@qYp6Ok+u<4!G{Sd?il{m{FywmED&!y}I3@-JZ?eUYKs5t8Tm>1JYj-8K{p8_CmVpgAr8S z1khV#TWtX=tnuy01pS^QubvcWPg-*i6w{OG)e}kuu$O!-97m*o)l&>b!J1KJ7*qxH zPi`zhdoM(o^>!5wRS)fLZ0>C?LsdEwfmC}1y?R^p`~G67ONU za#R%@WRMM1S7{(bv+?2v83DH(SwP8Dc+%3?VZPZBwPw;BM-o2(vBuDl`sL6jhE2o| z@P1}gn$ey`U+Se6AvJDP-Wxp?IErClXYfO-d!t|SOUkkmA-4mt0c<|t>YpB!1UGaZc9uH_;| zrUXS&7?hN%(IH293ucH>nG`>SF%lXdZoxpM=*(o%6H=Hea#7Q;srmTXN$osNr>OaW@;S5tCr2y>Z!kV@K<6+rcWYq0 zRx?}Ae-dmsK1Ke_fnbVycZ_(B&e;@rACG>(v|xP)!-yYc#fQ9dcE~oRr4t6fzJ#PKCAy(a=%Ll(T63rV*u$vLRvp1LH zoV$_11n9fm8%Tr1GHo6WY=xL^2DRe+{J9#U*SdPz92h?AF=)?;M1 zmJzV3ck)OTa*#RwV(?@(5OT^ittog)qyphHKK7T|b#FrhvnJ!!PJCaqsWVN5X8@=* z&K#p46mKSc2G4wVIHA%La)Rf;e|r}9P1;KhE_MHlw4vvP5J_acSLxcY2LINOW8@~6 zz!1`c;SDGoauFn#-eO6IiqwSo#xW(a9V!Dt`yBr z@{Ej9K12_+Rutnn)G}YEj(j2m0`yx0AG}>tO?zfPaQCsvvU;YJH=c<8-NsN3PZX0} z+PjiydKw4mAo@fL0?_Mn!{2G|x3nuHlYMSI)Hc6eV&0EZUC7?jt+k(R4bs!x)^9X@ z0sRe5w9#+%zQ*5TsNOa1;MOEUrqiwY!@e`V2xibZXzNJiue2+fv;zQla^Mqc-7YP{xBGlFm z@ne~aT^bb`Ldw^U`~(Wh@R!8QE~P>sNFNu=zYP5^L;uUr|1$Ky4E--d|I5(-GW5R; z{ePRG-#rg}@;=aS-8YamfI~6hnWmX~sI3O*e{gehkZygDZ!%C(G@$Gm4WE24qj|6& z#6MFu$oRjJc|G_IC}cv!U#d9p;rAfVvtTcf+h1G8yZjaB|KM^!z2sdkR|U}rM#Z7a zHPm6Jp|KxBHB(%E>{E`s3$ah6UJEizs}F;w_@S2q5QN$}ZsD+`aKFElOdX!|A4-Ow zN&YxAMrzp=i+sat{gRL#9OHt0U#<6bC?2Th zd><4?;t~y-jN!13ljMveu+}R)!2jSo_Yp{qo#EmU5{pNEh)=oyBO@+eT*q6I#Cx9~H3nqD_Hglzp-%s9MO4(RX%_2#IyCp+Y0}DOU zij_RQ8`5kh(~8g1uB;LfIziPs>9sxXtI6rP_34kM(o+;t`+?zQ+)xT7=;B5gpg0|+ z6xgTa`K8Bi;$FsxSVjt7!S&(*`YSAhxHu!_{+Dqvg9V)*OFD_O)+yxfnG&g)SM>y| z4Mw{j5~&Yj!H=_o-)EhWWJQ!@HT=%n+sx8bbT8n}UR260Pg5wx zJ*i3EXgsrNZF26@y8>f#C>nDtr*h&zxf9&E$qKn*5CEr5CKoD)2kS^SoqKtn%T1q0 zVd+?RmV2rbBd(nDTs&8l-k!P9MIt;`iYJQCCSQg=-$5*Y=y{$heXb;F!O#idqA*9@ zQ(UPra&J6eeYybgG5>{ffuc>pkEARen?hEe!je$HQelDKG^GP7OiQ;=K)gscyfDDR z-UC+j236E9SBSU0DDu-S@~Y1_LKQv?FLne0Z5|crVT&!?t%G4j38X=Rjm630MX9=h z;q*n2@DhJgeK#K15lOL&E-ZS?EJM7cZ2DtG8Z3mqwBuRnGZ-uj>tBQ|>Rv6W^;F?F zftk>k7O*fv`snOrmO{Kv7lS_ z$3|aPDA|I$93E0uPtT6MNZeNTT)wCvDuC&GR=^S~&ghHFuoZ)i<@q+H$MoytDzNQNUT$Xp=t?KK7<>*$)=2B2cP>@`{eQ7Fr zeSs%0!)G z{L8`q(^c#<)kwceBukB#I6^dnEkgrbSYL3V0oIKu{DZEBvyl7oki#`z%+f~*>=y?EJT zadq$o@K?Q@3miD*8^|J^M)ZI<1q}XUocBkg@tbjh{=+vyPQ(S^dM@$$g7n+kC>oKa zTUESGv=?MeDnuHd^{QK}GDD2G|CiIi&$!cz8=g7oM2T`qGhfL8WCj8#=oH-s2_hx2^y1l+7L2>bnIV zF{aG4rW}!JyXw8Y`H)M1m1wuO4bB2?gOkm+QyBG7%)-esd)whGq;!A+(Wh(1fZ9Y8^OM3b-(iG*a= zYyB>HuP#MsmvVELDyB<55(I4j_39~`61-jA>-R_KZ{6nK`k3Eze5BmCS}!3sQ!22b z*B>kBADiYsI#;9$j!mDoTP*tixaj}G(3T9~cg6*dGqS>_xAB#J-T%$ddzYlzRE=yd zYKWNRk65MK9M9Bdi1;TpmN_NMXD-QRtjjs?0Wdp!7}I4= zTYJo}a7@$_h-N>g7B3EXA_Vc^pRL?xa9J9gj4B-Hf99+;KFgRlZ~wp@fm!y>S+$>Y zXPwye&Jki1c5#~B%g8KI6L#;IJ?76mwP*0qn!N0XZtYBYASQwMG{VfM?gUfB0;wd? z^xi@<*9^pLlmnb2$8f7_#`YF7gUQ zUGua0#LYYrSjI0w+Ps%PH46I6q9qMOY4HpJrXw#Mf?u@?`P`XRm_zTeavjaCjEgMO zv2tDTufi2p|Jv_T)T+FwfZXn?5uQI_Y0i|%RhNP-tljvvI?iBgm#%yaDAPb{g!72oR5944o>y~U+LZI&yHC0Mxr5-6X@qcozbX=s zeeOL<^5$Sj0s@borp`XVsX}TphuJ6(Wv@Bq1%|gA4)5%7wn-033?9y2a{g%@AbGqa z`$Fb3>-yFePAO}0v424Q=EyG(GfBVqZg0ribgdxmK*vbTTI+}>{K)8qsCmZ`yYjC2 z{Dj%TE>`WtmU6;u3CO5>_+@a;Sa#!teBbT!GvhnB$_9Yo{OP^9G2%B+$2VsS1{?_j zBVm==1o zy0;`RblBy7RAsa(<+S4kx~%)mDs^zEQ4h$NzXUq76=lVN9-no!2?+R~5Tu0xlG?7! zGFgWmLMgJZMtu3%b1y0HZ+B*0ryV@fr?e+n0MG*PXv0UQFI4|d2LA3o9AvV@FexkS z;c;0<*P4^SSO5rjeVTO)$a%FO^Zyyz|AygzG4!&0^#5k)gGaBD_zhtHkD=$fQr|*U Slcm0H|HIH4Q9vL-;=cf=r)(Sm literal 0 HcmV?d00001 diff --git a/zz-solutions/01-WaveformGenerator/Scripts/img/EDA_scripts.png b/zz-solutions/01-WaveformGenerator/Scripts/img/EDA_scripts.png new file mode 100644 index 0000000000000000000000000000000000000000..34917a9631c0dd368e83da36694991dfa10bf1f5 GIT binary patch literal 20462 zcmYg&1yodDwD-{62uOzr2m%7qBs5<_=~G)RZ^&>;QY z@&DHMo@=>O?z#K!{o8r=*~dstbp;}PT6_=)M5Lq$(FTFgPEo&cv4NiqOf5wLe_*Nnc86-Wp8;X~+41E`L(4HRbKW(|VDV7&HD@7ygd zT&#JW-E32klC&TYGe`;YLf12OZ^6slSg%d^a5GiH=dnr((q1Ps4-4_gQTcPCpFXA* z8L6M1?hif{k(Vw7%g0gK3#4DDVHn;b7Tyj92M_hg_{hnWTYhTLFw^q=Ay#wp4+!pZ%xMnDH~^KvMWmaC{a> z`3(V)%B{%N4lu_~&pRC?&3Zzq5@`{-@+;Xwe9-3d#Jh2;mL_}y0{GAJ&esJxlfwoL zR%J*{$aYkiI&>mR33j!>n*%neRL0ds`-*3tfHZ~%+h9#77QWRf{3bbWVl?&FMHa@H z4Q&M%r0Pj|Ann*=R5B;Rz;MIBZ-lL*X&+X}vC3b7ib0J|PcHlS!n@QEa%9`6)fG1V z?NzGwrrDv55LpwverPKvRIUX^ZV8pJ!qkO?|}S?$+`V(+r1aSf*pTMI-f3WTiC--eN{!aikQ zCll1(Wa_|}vn>s_a?{#b4Rzjck<0pHgZ(gN(~+u>XSg8G*Dr30iv0Vy(KQhmT27W( zpM@uNHe+9b{lWOYrf6%>O%~;^txjl?EIG#&C)0e3SrWt>kEz7-bRE0s3+%mRthjt% z;2L8)xq)Z9&<~k#kG{%b--}!BTaqmVal!yO*iAFuUvPE^NOL_xt_tTQP*A=O?R4g0 z_ZKeq?^9oJ<-D#K<+7(_e%t@Y6w6}X>okkc_buH9D+OslgaA{CgTWno*-xFy^3lHc z_cSuoCaHYR6_m|9fqjS*(!5W#pfifH4e!+7&G8EcTUIG^QCMtjkDHk@k=U9)BuKPq zcLUp<>4|Uby65s5pb=Snfa=kT)1?E7$|sueSE)e63tn&mMa*pg`eO1g=2jv zPS$BBxIjl&BSYo^RWsW1;{`+bmetNnyrZAVXDZWM>fr6LF!h7if*y-jI#JGOe>wl! zhHwsjr~blzkTO|km4}5&2&5EBz9A01SxT%y!=q_o zaEB@dTwgz%g|Q`9im&q>;E`vO?f5_z>qgrPng5 zFt?iMWR+bYmfabagD4ebb{^z$`yLEFkE_`tmh0;QkGRosJazLBCjkO04jSbau!@UX zlavHT{WIXcGAUr8M1qi=gh1*8ptB}g@Ev8VA)<=()BE4Kn)gE-!`6IM-c&{0W||Wi zl9+`fA@@uazarD!XksO7f81&nBblxE{AX;Fb6{ongsq$aoScsP6zCVPrk>uG01ug{ zyb}vc4EP1!epkpmBtt#7l;q3lW)Kv)@vKEM3%T%|5*C28bfgbX0{^mjj?lw;;*0-{%z-W}|38X?wQkz{GtAF&gI;ut5EiYVpt>u4XDogKmJ?X)KGbfjgDci3Is_DlsxLFhHDk<^DYUdBlgBEj^pZwWawPD&Vg*kLHH}=&EIRl6 zbwNzifV$kG+s9w&4ktIc9j>T%g9HYPMxIT*>QHl*2ZtZ!1YT!jc3jTDvB zpy1|h8d|gG_J!d3s>7&Qv%hj4Nepl_^ZQJ$enfJ1f;9+=IRadxxA`-uS}y@@lXb~~ zJjw^@{>ul6#y#Nay)Bcy08D;W)a#fGp_S66ljS!{h>&#wD#T-a(n;%+g9qqKSO;%7 zvIlLSzz=jMsik_=Oi#_T-W^_b`kXMNr<=W4mPc{F_bAkRIB&fmf0ECBI*on0d{oC_ zXyTGWEwTj!WEtQC>zYPH1S086bq*oONA;ltQ`&_H-$kPQ&bQ@&e8rJ?`INWh5auz;7@ zs1QRyK=w1he}@&gf`T_Miv|3hTK~&XgCXprLkGB43sB#sGF%4ZaC;aGNvOe;1jA)Y zpO}>^!V(Um1Oue$169;|Vq3^Yld=BTU2`rh)C0C<~~Z z|5M0c)>w}GWV7%>0E<9M{^x@em7K?>m@YLa@WoagEt2(hg{6;ckj%+}VT>U;Bh~?A z{~{6D207Lqxu*W{@3}a^cV{T%Bc?`(YTK4|~fh4OeTRz`#lo?}?t4-xS-LXGu$qoHhEP+Et zMl=(wH~wd2oB^l{o5D?Xgzv@K4|zaxDF3JoV`p~y@x$!+2a;KQ-70HZ)ksv1Yu6g& zg<|7V3HamGhTd+X{w{I+TQU7D1(>=KmU$ftUn?0jdN}RW598m1>; zf$&LY{S=Gvx$32HsgxS8Eq+tYn^-~eegAK9P?&hg%$`w|Fbf}1_i=*e!9be@EwfVD^8f02~-IS?uU%B&@b+;}}fIx6Q0 zhDU~#=d@S56bC~|`A5_!Yrs7;YQIr&?D7i(NUOY}LZF9sjGu6WHg`~(-Uh3}ze%<9 zO{J_?R*n`A{0U${7ugwp0X&gkCb$v%C~dv++me>Om6GM{;aG~DeRP` zKq+>`xA0;wK`-pCySky9wqJ|D9F9UTVTu@UAXRJjZ|`qJ$PD{C_4=~9Q{lKQdW{fk zbqJ(D#6W3{kK6X?=??Lmq#s;RXP=cE&=cq;GhhZSm&*uU|2MTK;|R6MA@9)CpaZ(=P@qW&!VTQy>U%@$BNE z0N`}>%I{8P2;7#^8E^y+U?D9orp zeGX4vr6Bo}%B(;sfG3qN6@Kj&3eQ<(-)irFf`Qf$iK|LKBNmLhOvTfF)}cakW0> zITQSXMzLJYcRFe92t%*a{0E95^>o6>bt96r;Pcdy=)Y<`LO>Xea{?7S+~zD)`c7;_ zc$~%TPFBV$+&$NU+xCXPE@T5=fqAMdzj4cK9utm z5dzv4l7lVdpoG)<(_70rjN=g@`uM5MR&hS>SKi#$A<(N}K(kP9s@!jeKb>Y@FTALx zE<_Lg_|{(B3h>LQ&!Oyz`5k|r&Z$5>E^mz5hV+3ixSMDSItHC{^xEIbSGY}q%Lu;@ z1=1D^0?Paqh`aZ`cT`MpN}6io!HZo&lh6r2lm+yA;#;bYH^|yzItrfde0Nun>lZ;= z-|m+Mf>lmwAwP$y!G&T~Y{gOUTQ0ypDpq)=p zxOI=C3ku%NXLH^$@KhiUFK#6%_>E^zLkhlDOM@6HaA6Gyk!?pZ&;JV39ALqueV@H{ z>4EFKR3O2amW~x^4}?tN{HxYjT_#dcu7w{C&q?*h6Ncc`-({^IKX7#De=U0<} zx4MX$i_#yiduj2%<>dEcJxqQ_>Tm$reMjeVcy!O)SgZ%r-AN10YsOp7*JTpj(D|{m zee%RS^0|1d+O2zr1b$BQ4GSR`9SPT^-v>?;!^E(uD@VJlwkllbUvBk@R|P!QdV@{| zrb+!F`TpUhov=0m4es{+PI#FgO^%EvmVJ3|qidci-BSyyQ^*{3oLJF zPxb|_Toew-mp>0jZKLf~;z-IZzMbx$#El)Fq!O1Db=fi57PgO$S z!nR_;Jz7*nz=p3xY4S*0oZ&O+lG4UeVL+Ez9rRlB82eoFg zf=*4UFhW-4Y&@nWO0QazTnTKnKyvCyRg;hL?^w9Fp!Sh3zl#!|jTi}2+1_2?Kzo?8 zA5nmp=Nn`&4NZ$A@X-Qwm(=?#;{5{kbf`^9G6iOPvhMH-f{s+2A}nYSIiy{(p>iD zZ>N@z+z-Eu|1r%Dob8juPD?L_;wmq~ngIxM+j8l6yVA1|I;4Rg^bK!Vb$+llPvVQ7Qj~=y&=*M8Askk6tzy!v!TMB4jEvdRg^weq!*nZfw^R!n(fbye z;BHw#aW&}^6E+LH-E=FW^aOBO$yc){fhnJ#33nCzjS^TOgL4w4!uDUnpAejxsNovr zBSq`?*2***d~^k{)s?dpR9&fs_J67$xDp-6E2lj0 zo9^7uJs!3qbnpRcioqki!jV}i-Y&lTIXB$4Vnzt`)Iw0i7#(C67hcX%r|+IUpT~?W zEcv=tccpIJSc70mmNW z#1vRRkW_i-r@N|hs_iK$iv1WuMxWKZ-}_mw_K29K5+2v$nKxHncDou8H03)W^+0v< zPt@u@5I_}}vxQcf#J3VM9PyEIK0>)HYQrhIQjRMR-V@us%Oc=H*0@X3(sXQ0pg4e! z?~8BP=2vPm*@1hb>=YB$60CQJTx%X&?ev+^=Oolkl^)qG6XHx~Q2g?tA6{%(k9bP4 zi#TV_u1kIT1=HoWAE?sa$JiQWaVwk>fM%GtA^BD?W7d9B@VQ@~uz7feba@h8eQsh0 z>7V`gL^cB){-`cf@ZV?#OER)gj3u8y!Z=3Io?b5eRRW+yb*PtqtCFRVolb(G*#FrX zh_)W*r%-c!4Zy+bt#a+Jq|JL|h0{JiaNm0v0f$3>lS>9-eBxbr<`$uCR&Mzfjrxji z97)TK$y>!nNJh3@kXim8190*>@3VEj*oRx97`)K$sTmcP^gv=}n(t-L%XRyjL4U}M z;Z=nm8c6Gc3;bM$g*(_IND6q0Md|WeHiKRy6Kp2s(Pj<$+v);rN<2j8V$Oi44~9#* zQUcm!v1w)qO`h=Tv9b2MUAL#rEG`sD$e+`h7d$rJ;(olajdQpXL=OaYfsXUh7f$Q( z4$AY@Kr=be2>$~g&wZc)eG+`KLAUA~+Cs2mums@9-7Dv+6KN|VT&GD2z zwU>_K2^7_HY;6+bvRMdXCKhrESv{uCj;7}CC_H!@-c@28-^QN0!xq{2tW5{`WJL!( zA?1f6rG)e??o_}E8B}P0njr#?UHFu?Go{TBVQIyV_GOfPzmDK`Yq6w?LX1YsZs>iZ z*TTi&)R^rk1>ttA90a4hpaA2*g)PadF1O}QTd(I5B`k};egTdqJruq*T4wg5V`Hhk zw524}{`fV$R{k8VWZ><5Z|MST|K>UgNH)j6XoS@&m{_dz#Dz-;lZ?{Iooh`Do63qwAy>h6r>lu36AGgEa&*w zez1u?1)`)7$vL}TITyu86uC*{`oMc4+7~mBtyB^6Y{%Q4P1y7n*u(F#`2XhUekc<3pst#Q zME>PwKt?Vw6!R7p3PAsJ8MWq+$usqrKkY$;q2@g zHDY?BQ63H1`G$-=-`0oVz3&y7!_D#9)>}su{%;Q7U%c4cURlzo^JM$f$o+zJw{)#*=hTvPh+4*AwQ<~pgu<^S0vaXz)51pv#+3_5i zCkhUynr6-`x@H=;E^upLbK=5evut+qnoE4FIkA!bv&@^> zvaI!MrijD22Cb!)mZ>+ldKZ>G&Ze@D2FHCM+xbsv+id{%;b)ngtY}15hnea(XX27R zz=(s?54(u+Uwupddex4>dDR(qPR#hz#k}vNu*HT9u$sKA{B+{IJ?UHtc^mCFuTcBt z@ut1%utm0s2OvTtFd5q6`X&ESdfc1UrDpVt32mdEnz@jfrbCgL!}>hC-@mMOXCOlS zMshf#rk-sV z^IwKte+p1bZVF*)X!gH_mBTi$oyL`OABwms^>$8vZV}CIp*}U*%GXVrqm9@SI>x46 zZ9E9TUc0X&Ev<0fr}upQ=Z<&}vFj?d*i5+JVC2A%0$+8X*^{?4=cBIbY7-dr2fl5z zrM&#cXN|Ng-*eSK_4Y(RzH+d{XW{qEaofS#(7`QPmb$4K$JmB!E`f6x@mB07G4=7j zTPj508{jNL$>a}q=GynSKU#Ls_I!`6A#8xX=5`DtK-f>e!@9ie}Q6b{H?WY^b^0a|hUS8B# zZtlx;UGYf<$L8PG#HvAVs}jI+nRq5PaY#=45h1;d0`6-bD9gmlzDT)*Ix9YRf|A)- zk+N&X#n)Ga-oY$JJ&&`SU&is;5*W?*=E=O0nf`TsC#3uJOM(zYSaC-{1Qg+ai%-jY zPxb!Rk$sR;#vHEAVkbXJx-8FoONOuKcUw9QcK+(E!5DZFp9t@L+-Lmfe`A>h%12op ze~}7fp6|qd8tw7#3zQ)FK8y;R{!Y9v5*(hc zsPAn_7fQ$@+~ji>x0`OWFLaRFx{sd|YmqWjWTq)?%tRKKm*s)ZB(V zhcpiYfN5y5V0YC|VGuAt;+pB20@&g&G6i8bd->kgkPLNZ?+j>$_Lc&5Nu07bb2g>o zxj=3D{?nPGZH4lqfw-LFpF>j>k+`@*b>2jApHI z#tqATruj;jLtDP(66c%r>8VJEDOx=9cW@0?NqMEzvB?4+H40_55JffSY+Y^TERWmw zdslN5aN*gOn?aga@c3)zc6gBzX?#E=eD7QxM&~;g_6*4iG|bw#1W$FQzY&}wnqxRW zdmts?u;!CT=w;3o0WJ}pqYX=OV@7;o9o7f5o~+`(Qrd106>-AFZxK0LdAj$4r*l!) z_&GKg54i{+305me#=Xn&V$t&T%iL%)PfPah;ReRVVETI(7G*N5u(O~hQJEIX%WxwY zeN5-y2cMCrW;I8@N-br?vPRi#My$}%T?z(m4XIw6I?9eu-{W%I-4m;qx+`lUwrnUh zRNH@gvhL{X8Um>tY<*`?mzyOK%R9F3l7VA>R>71C?Ke5P+)p@~gViplmEABdq@2%4 z*YF%O)T{fuKFl3bS%!1I|F78jy3H3xSuaNN0DNY$6--yDiA_)gTTSa4Sv_2unv?>@FJTE2Dh2uCngl3a#is5C1QqMhxM!inA z^0|P}bm%8ezeCGLb@hZlH4(2@KC4GVA8f_Ozik>BjaytXjlYtF9I5;f5HehT*@q!= zA}*$dJxe){QwGiMU72KH|I*DEP;lK4=9IiZ(JC>|HN@`m@LK|Bx;vJ#j0cvnY257^ zh1MATY;PW(;EBr0XEFDT4=xhXRcI4vJr5A%ZzL(pGIYFAoZNr;{Or7$ZiPvp_q-yK z^Lcw>B%+ip4@p^Hkvc@`CaKN^HCPjSQ2K)|$8=0>z1-a9H1$Vn>74rX(U|38Gr=dm zY)}Oy@w|yT%lq2}FtuiCw&rWVy{g-4O@hb74kA*f`ywl+($k6m5{W1;%=$f4!%cm? z1p*F+^(vFHF8MM-$F7|qcjav;KDKRD4nd7$$@_Hmsh<6)z9-+?H+9XD3A^G(#H(os zk8K}*vz%a#_CK_w5%xoe#7eWqb5d{g%JZ_L8lrytH5*dIAlweU{>hqLIf0}syjlvj z=5vb#V+ktYv)!E1ymqT*sHxINwiB|-A8 zOEukY9FYATzwqYLV9+;(^M*c`w_do1D2wzNhyS554E?p#`~^UzPysr33R;L(9$(Rr&Dtqky!cB< zEpPoxo)=6nB9|nKr%|UPz%^doG)UlF)IL)`-I0=EyITiISP;fCTK+^#`2H_cp(2u& zi2f;*y;BhxqMqC?c&i$10wsv*wz}8Jg!lR;>7@nz`jOJis4X2q}y8n#zr0ILga4nvBOi2K99g~U9Rs|#A3Z`gH-a1 z3WTfjITU2t5oz%d@#%vA|2*3FC=VPK@%L%IgC$9=+x<}u>XDowjkTW!XeeotYkyX1 zzbWrPj}j$eO*<~*>X_jRpz-Q@iRxDn3w{Yhls?w|6BowO;qY_#y zIff$>z8Y!ip}@k5?qbE3bXEE|HA4nFyf>9@FjqT-KJW>|W~o%;p*)qR)^Y0)jVloC z22)%3AqjNEDGPv0zN~L~WI6YXJbN??l)jle@sYgCy;OF)pe%G?Z7MAsUZ{b?YU0Ta z1yMB0c_>6iYQFs_cbFiN7ud1ey-tl(SX>4#Xu;ucDyyzZea9kyAql@;;8TiGLYk5E z3Ae^hBF0X`{gIqijSuHYGFJLSV~p|X1CNhr#XmK5FWkOJ^_V^bbS?i)MnA)jnI~^_ z)B})0k}W1_0^gGXy}d^V2|16K?{+?yg($pCh(xq90zjy(#TX}OJYn7+VIu{$x0FI` zK->@hQmXMvubm?<)r|wy9<6>UH$yp7AR%w&OAhw*C;(cx{g^{5#ElDnim>QKPj*U! zEeHhi%o`96C?^)4ssH&5jI8JY6s_Z9+NhwA29F-mbUAdzX`^#E96lERoo52)+o{o}j_{~MVQrgr0}53&hiz;T3CRgE1k9lDQ>m*Vg! z@agz0_W4ML1soj@Q5|%6?v5QGZM~II;d4E@dI&ov_`4`M8JQOE9F4;ZAE9kMx&+P9 zTDw@F*)YKHyO-UH{Vdc|6Fv2xoH{cCTGNvWgE7Wn?La{&0I!wG4iFl!@`B%>7Uapk zdldMz?N*7#5R;wxA9q}p#RWtS-gA2lx-5^-=xboQtgNg%BZs*)DJSYi;%(=qCWd(y@iI!eGB;J%3 za|dRVf_$=3;)Vg6Z-}nLz%1sdPQ`gvhc*abc2$2B0KNAWnqYKy>*7~{arA4f%=KRZ zf9zo>a&G+Ot$)l1w#^D-p>>#`EYc1MKqAgBKxbz;ZQOail1cRS7U^4!ctPM)KnuMF zo5VaohOVA7%%t@fSRcHnuEh%=7}c>Y?Dh%0A;?k?s#1Yc^H{?7e<{a_+q?fDLG$1N zA|9I{4WJxzW}r0zd)}ryg(p*+xhS#0`?NwuPWT@Yiz+(0;HMlsw(jx_2ScMo3e?U~ zY=GT?P$`4T`{L-?jx6UKCjHaXhwqpOg5UiDJ|xiodjY4Spnw7Nt#qNL5l8gB&OF)g z>Rf*y*=byml-PR5zfmj zCWkU~Qm-*Adf5BhCa^xR4FpudauZIMXq_%DS35sN#hjlu2Y{qlCE1bZo&D$g^l0(h zL?-OfT=oY5NL^jdb?;4pG7$!I{KRqd%G|OaP!4c3YdjXHA}UOOUqyj$!sVds_y{Gl zemWFGw4zEQHA}AiA8y9!E5U){D?hFx1)&E2seDt!n3O}UU4s+4{fe$tVgUv3>*ke; z`NXONa9NR|zX3=>n+|1(Z`heN?L2D|pG(t=-fbSQQ$Hz0Omv~3@LZ>JQe;uz-T^B0 z2lr5Ns5%Z}I%(@(p zXmFht5vg3D*{Lf%K{21q^5`b~-3V3;$$`uztbkG+#F_c{vI6xIqkjhxT18Rq(%I`R z&3|G*b?iJrzkC!fq~(%cdAPBmSZKtjXXIq}-rq7MSYY>=0>K|Y{|BM<#|}Cz(_gzo zyG>MA(Ldv`&>Xh*VJHT@8Mj0A#dmDVUeoXFUo@G?;bc;J&Y=uTjnK`LC1LM`u~wSE z1ivvcRS{TES=L6(l+ao1ciTJy7Wv}KF7}^DA!*WK@E!Nc1>39BS6OoL(TtP2?i-4uk#v!$>a8OP0{Y zcMgn$iSiA_&n)UPm=2uKxK`QO=TN?{uUc{$@Zar4 z0vtmsp166gP< ztV0-D;lC$LUMw07sF2f7YRd>BbHC^|-eTOi5}`y;D8B?~JrfM=G>_o3qG@|j(aiA& z%=gY$6cqFo=P_W3orLaJ7K)9cz|dlw!4idg7Diz3UzMBx5a}?v3~V1iYUC&d!)Uv! zK-sQ&DC_+y7TQUwVA>f?Gtza^T!-)1J;(GDeVK6^_?D8u#CAl&Y98viIBL_+^}!Vx zYpD(hB~imlsc)gEMlA*&B?20rfo)hUMzB=$r8~k{ zBQDA}BK-stKeHQeS;vsz1pb}w)7m+Oy7MuxdTYSo8F<@8oqD)vXX~h;=E&GjF8|=2 zlwH*5n`BV@JAy@Kou$eD$4{Cw3=>lxfp3nEu`_}8z+3M&ULUjEkJ(c57*nF*-$@0z z==^sH^t0@l?GOc8Bil(nqvs!8v30l5HI7*7gUvmz$xo&URMMiX3+=V^v*LwQ&DQ-mEUS8hc zE@{WQJd6(GyF%+evzh1lj$!_%e?*Pc={~A?FJ6yLkBtR8NsGmD7C>RJj6-W_+MQot z!(&CdO)$ASmw@B{ntivQeu7Yt=9Gp5Lmc*FO0SES*NI^a67LTuB(!47c?g_aZqM-o zHgjH3d5eDpn^za(;vW~(#J<}!*CQIZCki@yAFC9pgQM=NZOlp8j$FVnefR?M(EI|q zP#_t>I@6x_Zri+0kV)O%p|Hs4hDoC5CoG&;)?k@O%A}fJdPAY4q-3T6Ru&G_hHnoRoBZx> zotA1iy6m^Cu!u>b=+iOs6{IaLW@@OfP==}b*Q}u@@2{cJ1=_F0vSbkv5letG-zf>L zL``Lj=~5FrCnx0D#j6R(??e+W^_LuUyNsB5og>(lYH!UP_@JO3r`Qjv=N<{hE1VNX zeti1BTLNTi9A<;l85cR_68hR#_-mXNqA+lXPcOg8(Jh6~GN@ZT5l>bSe>VSx>Ak4= z?vP8*qrA~LUW;oTf$sdj2E~iWi;EyxP>P6i_ucKam=Q<6EDi}{=bxdJUz3yf@B7rs zCJH#o&Nfs>%oT%{MhqGraZO8p76kH7?q5bw>8CNr1zzTv`&zegp$eZ1r^d@aDR$1z z8e06UwD9xu_YQN_coHzA@0QK>N}O)z z;YBA{{49n&nKY26t!u$w`S+^%Vj!$`@7~E78PO$ZyEH8DN9W#>v1E(BWF-UadNmEU z*cOC=^TuELI@Z=y?p;UCqk^2f)D5m~^Q431B80V)5#Argsa6m(C1Y@_$G=nJv-Zcm z103k4wyI?ZNMv`ql+WnI1ZLUvhnpMU^^J|TwWHRxBU#)}>z$C?1TYLHf@o+U!^Iq( zo%QNR92-E;7iKO;b{;*-H2`9>9gGFDv!hQ^eM}~~cwn=IcsbaLDdLKwOcdvM>csJ( z3NBg)zo-v~GWxiX#kYLNi)x3PrOm_P`{D{~9_7L0^f#&d43*hM{@WV>5p7!_LNebe zyttJ@os%2#IR+Jq6RZxdqQ&JUfQ4u`-bX|1OZ|488cz-;5ti#hT$suWF9R!^Gfpkp zuR&kVRMI2RM=rMVDF-u}(J|{B!Z@h`ehZCm9+|tIMX9rwg6s0uVv52y?$ysL;!U>{ z3@!@(h)$Hrp&7Jzqo&5Pf8?tY5UFHu$H;xu?Yr77_4pI{di)i#;d|ZZJVu|Q*7EGai8=F{KNVSO z-RqtaWBOk{N$BgrB(;8^VsSfiAHHyJSS+D53}j8i^f!v^Op24wbY5-g5viEz6Idn+t2rxnId)j;w?TO@z!b-Jms?8RP(?1vG2C!3yGchO>2lf9f&_S_4s6J1W6g zA#=u)_VwsVt2=Jv_{GU$BH0er7iaRJBt7>u2FlHNi&>)MIyY9OFl8AQE$8Adt*?Rl-<@MBpXx)lqsKnJ0>z7kE6&!$HRh4{y;MiVr-^4`v z9tO+}Pak~`uo!^&W#aAs0*0o6BjFXvC70;;)VIKuk8N8Idb8hzv)aepAaq2m#;v;& zhTw5eW~WJ^1U{M8+zFjiLfr>(hVZ|LQo=cWzxN%hk8#gFmadI&E8(gh$FxG0_;D9I z6d@A@k&70A?J(OPCYAd7*d^2DPvegG1JlF>N4H}jz48|JZh zWkhL#8^k-67NX9Vtty21&6TsAT@V7X^~AOehHXU^UF9Y14#!h%YCg4o3TjOQ3k2%W z6duO4(ZD{TWPe?s8&oCP_4pCh)-Zam5~|8J#mVw7k-+Ja2Z>IL&~ILb%N=J4LK1Si zeZpJ0)-6%9PdoqCVg%YFnt?lDFyg2MI~ZC2iSjaKIEpCO7w5WX{U#GmG_lUx!)~f` zlvk*|hd%y&4nWryE=ckPE3a3u?mGe5My$JU2Qv%f*MIZbRrH^Q0l!dizFf)&R`zh1 zd`&isdSjc8qN*e^XA=4%{6H;&>?RV))1y)hZh8Ovm=Wv93j~ZgN5$#Td_^+F2ugJU zjW06bDwViP4Gd1MJpcUPy&JFCq*nm#s-2`p!sY32mYV38fmKa%{Cy(9A_HVvuf%&K z2#INA${dW3cZDh*&9P{fKMZl)oOSXni)fmHCuayfoJCI()qP$5XdW_;-*1@_g0 zB`)S#XN?$KYes0l%>vq1b*vH8UoP_w>6}olE$l&3aukG|9@EuV?E8q5)5lK<7(h19 zd3?xQs}Sy*tBqrk$(MOFJEyy`V2BX2tyk2_mslBnVICiOSYmUoV-|+2?pGL^;(#-l zk}l#S=S9+?jTmdcfqzGgpyW%2M1ir@NEbh)U2qF(3Y)!i8oHSo82amn*-rv^fQ=wZtg8)?dEmSO`7CBD-~*wjwDKIaI7nCc z#~Y3mcQ17HA+s%q@wd zui-O*=1F1bs|*Wby{1mvt*QZB@^!~R^E8`YsCPx~J8TpQe>mJL*!IwHYkViEnn1;u zJn*{&!K?~?(08vKz!*6pHVdmjc{j*KsM<$o&t;I&Xm_je8@j0kk*7l8$Lmj|DKnZD z62AtNFRCX=tt%$U*djGnAbJiVXu>D#|4B~6F`3@J*TAGZmvC~8~qy>Pg z@5zZu115h{k^ig_;)D<)4@BhU;GYAva*@@GXc5@mT!gyoaq^K3|6X8Kx5(sirVMRO zOE&!@O9iwNfYf=J7wByacnKq3kWw?**DbnkfRkRT&8zSZjx?E)BBOJO1;f3M4*>VW zX_#2R2l62f`?SFYGs;3Sgu6{b$x3g&g_ul%fo1V}I%%k5c6qVEpZLod?Nz9z=#?x* zu+6^~IzLu8j^IhpUK0KT)Lvw3*fQzIw?BjPhiePvojo9khi%sSF`X08=j}2t&_Kz^ z%xj-HDD&h=sH=+b7qO41#QP;i#Z()A35E0sAl~1e%n2z))LIa_0;BN;S?RUSB%hZd zjIqIblIy|}bq#Ox9c(F#_;SD(l;zk=usA)4ymjhIepglu2Jxh2F;&^@ z+(hO>h(NsN!(=bGqk)D!<{&Vi1W9-tJCCV9$3+NSW?YCLLYx4@>%*gQVlA%QRI+}w zhF6Z!>KmVVQNn5#n4!pH@NUc2w?LG*q(2W?6I*!!(L?XHvgHXJ$73^d!WB2tEdX!$ zloAzdXq8wr6Gh0Kwr_0o7RCxZHkE2p>z4m|s+@8A$PbFkfi_U$;)1%=ndZlWLVhu8 z{36}Rboc9cHot$r@#88F06!kzP(}_XcK*?(P;ds$#0S(HG4H7@!UG76$Fcx#%NqrX zMj*E~r7|)4Mj&~<$4`oMrTsv-Iia;5dvK}(cQLfNtzK$QPlobVDWD{%1UB!0cl_+b zaBcf9WacWXKM)7~yWyqz_5r5+)-d?H^%H{K5T6Q}d7yVr#@@#qK|OwJc@F+o#anpY zCxJN0)mBe+lUe+6#V%n{xC_EWDMBC=;$@20?d4TPuO)o^P(9&VB^bRs!mVU5h@J-s zimz)ON&@S!P(GWtC^|x_Iz)#RB2hzTO_U_WzaTRQ#lJk7CHkPhJDejo?4PYS#ph+p z2{3@O{jB{<9R9r>V9p6xv1xt-6s1^tX9IUd5^=m@MnHs_EkE7VGyqcCw$}fIQ9@vb z0+PUt76f>LGbp>#n{}8Yje?WMy(G#@deON zzQ67de{r!hoNY8reKn*ZWsUqcdSeDkA4>~6#GMU%=Il*$11^x|%1#Z2iw9_#{*bTd z7;JHTFHJSd^j`mfx@SN&R?ribEj=0?Ylm_)@nJ=Mw8xt<29Dv9`lfXoIB9^&D5$*o zcKWF)!?H}ZTZI6=m{hocFmLYs7v&3>=0Ke!5YXqn9c}um{)z)IXeAMz)BkS$ghvBO z-57YK3^=l=csfxefvu&^{r-;yqGkPQ$HOMiKi?FLfHsC;m@lM0HUsOauVoNv! z#v3?K;=FOj>fFu|U8uMZ<2j|7e8ofa@cASG=rM3*%-c|NhJHQ*7_?gWO2Wem0+HyT z{$Btsc;(bDfu&WrccI= z1?Z^(23+4qIi*KEB{lX#LL=Wit7rQs7mUzNd0K`UxH>_w-2(*bz2|`Sa(S^$D<^po zCFOTTgrx_fMkstthFicZZU9Ivyj3`7L60%j00S2y1p?UDb*7_l(C@oNZxc_@NL}A# zaTNYL4Zli9o0vpYee@@@&Sn{nmLo%X1YG-qS+$q$Tw6obt;3p*X@4w@gJR>x>0Kmn zDX+rZKX=O;_vR&l?*9$N+0lZ)#>M?4TzXn(<_Im(Yt>*2gWrBF`>^zANCe|=36du_ z1LP9xOf!5!6hSF@`4TFQj{ez~jel5h{XRG>M8<7)panUV#`XCj0>cVXAnd5EZ>D=? zypk0R4ixDp(_B8x96ii_P9E4Lu^j2m9@eURuNV!bx+$!*7-g_U8e8oQCv1zxl%sC+ zi3R?}0|C)1*K8C&r?eOkP4I^VzSe73e2noM_CL%W4Vje|+iZvvD+1t#;4Afv3u*gE z4zzWkZn^>vqEO>0q$}1hSV1mIIQf?-^Fn8kNdV`jyXUSf{(TYz!4E z^A;vehrO=a-_wO-`hGgy+qm%BJR$)+p~w!XT99T-|xzSlCct;F38~h16R@XyIKjwQUxSzm(fP z@#KEiZY}l%*ZLKRJLLI+xVHay_Nk*pWcr)-pwhOx2S#%K16OE)Lnz`dhOzi;wUF9|Myg7Q2I&v)fuAeXeV#@*JS(%H7|8nbxiJB+|53r}-1iX|J9Y1)!c6OfeI1LQ&uKk#{PGCQkV z=IDPnXuvd{t;vp{nGiYtBt7=Rm7Wjd6@4xkd$&$Q$p__(n4Dp+n>F)*&@Lu<8ASUx zl1SlH)9=&J#QD&9wTPQk&gh4KX``hH=F{qzLPsCCAt)E9JKPqiZT!+9DRPJ})dMY= zyZcT0hA;3Vl4|UrQ6gmKlv&$2F^7I7iRu;hyL`4p=XWX&2UQ54t$WwZ%}ivt4>h;F zB!PJdu%2(j`WGEjd%c4;4F5s>6N%`Jp#F}0i>04;y-5EBsZ)y^Nj{wa7jX)X^{qSl z_^D(hx=}&=DF9b@59#Gd6Ss!zu+ZjtM5Ko)ByRCnxvN799}Sq94IJjViC5@3u4_$O z3q1K8fKTaeA^o^4NAs=3^r1db^L~kGf4w=j=QZ6u^owzz=Yg(uZ6!#_Cjfk1cMIt^ zmWktNd5+<8Gy}8$g-v9@1wl6UVXmFfxjFI@0CwOAE^b zmFFw0;DhUW%Q^%e;-+OD<3KMEhi=_k?PbgkKzv1a3+a>a(uhqDBJQSjr%;Zobs|{m zulP4xiQj8E+A*NJhprt5dV%R|*tJPW?@0i)x?4z(jB?QzAHsMhp*w|gP{6RU++Q_X zZU?uu#M)ob-9xj71HAyf(ey{9+3d{25V#jyd@M=|z&nXioKEr9VYISF$ zIgvGXtUtbcTz3=AE)Mhp*13A;Mgi|BK-{Rih4dImP2xmy6rSR;Trpca+4^4+j$ zeUqoX6)q0+0{2GKJyzEBZN-Ii;dy^k5t_&*&=(&<+T*fZu?ZL!miZQ(ue8G7orrtw zU+C{h>29LA!+~BTx|_F^tCaa51hxS%bobEw;<8+EkwRdRQ|5ijSN=mN`}a2-{r;%# zCYpO3=tZV;&CcaQsohZc72Pc~zcR|jvG_2?GI8B0G=~;gAuRJ(ycRaC?dxJ4eY$&S z{&1idsf*k2?ch_~ii!fzpB%%fnGbZQ&@2lX4wm@KhpO%H{p(_T{#JJn%|8zGB6fVm zL-v$4z6B5u11QnmL-S`abq;SQ&v03;0QjVZ8+_4Zom2MMOl0zVpLyjO9tmBfIM4|P z8t+|bDD%4l>Td8hx1uqbO`{7MZSN>zaAs^uP_qnmrT@OxqwPm@H&Jfkn>GDH zU2AqUz{7U{Y}VaEbMNHL7)~a+ESGBp1S+f`7WtyDR+xc5Xztx}Sa%cUCJuD^MBR-$ zTRl%b!pF20zLE44jweRoDn4{ew@ZV3u|L{fY6QRC+S~q$?k37@9O!h}x>oJD4FZn< z*r>aO<_?$T^b!!LvO`$plpTs%&I8S{z58@GQGVb+rz>`F&GuiFp12>tr**f`+~Bg@ z{JZoW`64HBpu}(rN?X zhLEVVLSCIO`f}9tKfEs1-l@BZ@)HL-U9+ynwp)}k{!l>uCIDM^56!MqGvnw_jzNKk z(99-Vny7V3GqrZ_); zMy*{sUgsBbT?69;6SHY_tMffr#vjbs(|~Tuu^vq&5kKIh1m2UX1t8< zl)Mx*{iyXt{=3Es?=_8?zgpY#bV7F%6&4P3nllOzU5&f$fbzBqU=sjScN1Nkl$S+s zdio?QQ=b-Az=SIM7Ku z1&HodJ2pVzcW~i>Fk#(Ibor*-6nduzku0KRIlr_}X@}!gR`|tI)Bkj9Z~N=In}|5j zDW~k;_zjWfhs$kF0+EK)Yzfte6IE4 zs-xeJ>+T}Dgae&&)=yS$iJFFUr+~T(7mk_b_w6~%gTmX1GZ;)2&a#{#Br431S8n?| ztL@Tff{-sX_O?&z?jrhmIM69CbT@A+N5=f3r?6Q7p9fE*%USQuOyJ#Q{w~W|!a#)? z^dgq;NR=J>VZgNier-?tuvDAAKyK$yNl>baG+COJJ`C*FJ`boc-|&Rd*2t-Jg(;Wa_17AVmI zfpq|Cb$1n-t67#45C|I%f`&aBkakb0Eab$hxZa(^r2ps4{A1k(cXC$Rm zJ%nn4l1&h*3Bb(&r0%wSmQ@~(#fNb=GyRdjvxI?=VZ$e_F;mJngVH`+VMbmL`Lf;3 zho2nLkV}*oIM9i%(Eb%$Lyqa(BH^xp5X%A716U4V5%`+AYzjbsa!gHSXGR=p{?sq6 zH%rW5U!~=XIiB?vk5whQGJNwPnkD;JYz_Gg`$j3%5(TkTDYZlju~b1VL2-X{uK)}J z=vN9Sg+#wlIO!^{Kb3WV`kCWTe)KY(h^`n1I?+6MX=$4iDKYDWr)s58*LxnW7edql zsun;MfNB5>kY`8Q3LvF`vjENj7=gfm@-QGJPJ2q6_6+y^bLTMdh5n9|?pC5g$AM0i zLw>SyOVqH9DkEbpfK=rk5D`EGN<{%gAP|8<5JHtgz=0Bh3x9?UAc7CSri1wCe-P3D ze)gkm1z-{iX$Zt2R00YqC~*z~aVShGAtnScsenldOu`c%xK`$@-!(`0pc10o@xN|Z VnLYCvaR&eZ002ovPDHLkV1fww&lLaw literal 0 HcmV?d00001 diff --git a/zz-solutions/01-WaveformGenerator/Scripts/img/EDA_scripts.svg b/zz-solutions/01-WaveformGenerator/Scripts/img/EDA_scripts.svg new file mode 100644 index 0000000..f6c9049 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/img/EDA_scripts.svg @@ -0,0 +1,98 @@ + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + diff --git a/zz-solutions/01-WaveformGenerator/Scripts/img/eln_labs_deployment-staff.png b/zz-solutions/01-WaveformGenerator/Scripts/img/eln_labs_deployment-staff.png new file mode 100644 index 0000000000000000000000000000000000000000..5c36d3b3c0c35ada017d12096a804a38bd8c5ce4 GIT binary patch literal 1773130 zcmV(tK(k1vbN1D0#xA5i?3h%wU!<)kU;^~L{_UTb~OP@gnBxxd6 ztQC>ef6&ixS8ZSZ2Z4sEXsfm?{)2M=K@bFj>HmSS_s4_L|DX(pynj&q9~#1w_w;*x zGj037*Iw84PqC)gKkfAIskAP~|DMyQr|tXnKZt<;1HGsIpRgT^>Dt~y!+Zb0(EBmU zzDMr~0{i#yCb0ka{m0C6UxsC-wmY~VCI3MQ(1a>^y7nLB^B(u@7xY~$^X~+1`Tx5i z(Ep(S!0#uYW}Sxj8i64Gf&M{Joc;%1j z$I|xOdS!SQk@5dXH5JXo9Q=FDS*~0AHuZPeJ0t>(6`a_AbNTP5MR~S)2|h*n|Dd0u zP3tus-b>p5&iJK1-9FALir~_~H`7V}kbfH))8W63Y!JdWW*Nr{w4GOj|x1 zdnea3lhN>QIo#;q7L-e>1b*Xi?SlJ#o+Ho&-TflYu2-j06}d+CADj^7SP3tT_zrKM z!PKJDOz!EW_Yiizx5T%8-W)XeH|W>j=;c$BZ!gvP6h^yAyV?ye(63zC?4YAf%Bk;d zVTe+YK z;aljLy&qK}k_^$opdA=HC0rY7M)#Cp#12gwdPGcYsqgQL9;u@5LmBxz&g#@ydcQ zLIRTM?IfEAFAp-`i>kXzcvIxDaRt@G7ukQ!m(^T&LX8WxGy-9+A4cK*R^IA-+{dU# zq*!^R%~T{c zB}}M8XP(B`ev_8?UfIwJN&ox62ab$Nvz_tRv8%11hY=H_pZhJG@^~K*xVrfaIP&c5 z8Q_gorY^Ff=X#V}HF1MQV`%@IC{*kN4cYfGJy_ryMV|^guS|jAbW!+>*pn3;l1zB< zjyJ*)Xa3Q!9Gep(1wY)9$}f`oz#rI$jmn}qKaf#SAB5hYkZgw4D7zN{h0CiJ2)M;% z!Gu9LWWB0_)D~V zc@-N-5fIW=UfR#2awWhloYJ{f1G3&<2Zf>Dt$py5UbG84&^(4AhYxiIHnMCA*;Slqm`@b z^K!T1ey>|`2a4z8bYopb!aPN~skW5|#oMRfKY5v8UP>}yf>=U3)C{TK=Fa70Td(`x z$34<-!6A_2X10DpvjgzYUz+m(IEFjuQR0%-GwF&h#3U>lR&9Y*BvKZpR#JlA85MK7 zUD}Qp*5Sh&9y#jN{(2ZQaT2rM>E*I8IOY$Ld_#@kWICIWo?*Yo>DAO&HUJr3Bgqs^{oz@sQ#%C{+N*__%tP*~4e*c90gR*;}=aUK- zacQQTqXAd>y77t?>)!6pN3_I>y{Gh6bw;BwhY;rg5%#XDKIQHAX*my##&HRR0GQ>5 zjeFsY&2jLjj5vga00^~!rqxX@DOr2^Q;eguz&}j;0*k;&x{FCSFUBpFl)H@P zIzi_~^RZgV$Q#4{0(e_vB;%{6Rryn7fT7B+Kn*a|U76GzaW@n?!#+(vW95|-_G>fM z)V)~r-b+!%&nK$8WeK^O2s6!avawL!cp93g=)MB&{fa05gry~u3A(nAY1@t_M>tnm zr8A!RkW;dh2j2>oj(tt-;l*5G#18_&z#3@^JWHrc8n1UwC!Q?snT%Nx=xAbTY+nPX zB%cE_ge8U`2Ay-AB2aTn>-ihMvs9XIBV}&H0f1WJa_~=SyaAWh$qgFla*7@XMczpi zn4KW@1r^kir0km>@my9INK~{S=Vobr9}L@y8qAlj>^Tkq98(58_1OBvq_1Sy<(`}| zlrNc|{(MiN{7b_yhi0gI`WEAPXy3(w$STC3nE5h>x&AmFwu@+6lH&|==99@eZ5jKn z?>o8tf`1qPURcL_J1k%TJRAp^V5Y`ci1&#v9$p`)`SvW-otEFG{_nTSyx*5R_Q{w& zgt`Mqugoa#%>=fx+NX9F_2THhp&I5^bvAy+QE?yl9o!iG82HtWeufO(LFkshmT?As zfrWW1ZF7U(cfL`35#T3`LHgFnwayh?qeKTP&o^kQVecYGoWD{&2l0+^nNE$k>SCg( z80Ej{qO!ikn2f0=y-a9)kXg~kOox<{F17KLe*#}{8`p$MGOr(t(e5tyqG^5~Y4~<} zU>zBFvHIB7#uuH&7nikU1=eKH=VLug6fW08V&n2V7});Y;65s8(u)#`@|l>bG@xJY zDSkzVjJ(9%u?O!$omw$zC5jiBLsvJ758nDlb(X=;?-jo2l({9dI6Q1hVp?n}k$;lY z=Q11rnMLFq8+@5&8~MMBo+$eE-GS>?r?MsJHDR_-=v#3%C%kHJ|73B?Nw&Tk%kiZX zz}nE{&xuDIUs}a}fSp3fs9o|&e~JD<#K9#Q8^G|1BN0R+-Qb2<8@Q)*Ig$(~$?VNA z40i`pkdby-dB#(7BhfX-A{Tl!{s584N%Hq~+IA{uJ;b{g@>NK;qD8=+xVqKY2Xjz9 z*a>KoGh6(o+>Try74S<+tuai3oNGKjN^(p?XxD6NKen?)G@OlyTxk*~rqED_`e((c z3@$Vm`tYZG{x}67r&#QlYVg6KsPsXou_`(nLYdh&9I)YG)7{vzHQ>TIfYkQ0R=I!N z8fMy~mX`o&j38+X9F>{+YYyGFP*G}cw2(E*YP&p{?}Ed)LfOY6yaiY*EWC@~q72|y~Mg^_SglSj{2;BjWOe++LK>YOm@me%b+%gH}HO2Pn9UexFKV$ zemm!+4i`+Z!68p0eAbCdjLTYY8GlBpg_PaI8H!N)TA3>)Ml`WGC=uTvQ}5oDGo_cx zvNmNUU9H&zX@yV)m>G}kqj+c-kbXTy}utEv6K5lVj< z>3|Iicb_;hesP9!`{JkYtYxRmp?IMD87=7-^ao;T0g>|&tlz?n%n#L%1j#!}_@xDA zYm+HurQqE*y8(UFf5vOShm?;#mCk!_l>9-w497`ah7Vn3*D?wk`3gmLlhWA>IVCH` zpihYBV2AG(2qvSYvVVAVDposxGTXE|;o)D7^<(D5lQ7CY8|qr{By+!eI@Dr7g*Hxe zETr}m{m3)UxDPH8x4t2=C3sG9Wsn)pK7P4KYgqlz@g6Pyo~53cR?lm%x17x!V0w3ULP;8~c}=ntA7(6U=pbznQR)g+G|9~0 zRS;yLpoSlB%mwbh7-fkW>ROWf^()7>ljn)e$gDMcU)64=9=w84SfZ?WU!J=|tIial;wTL?}v9mv<$X(J5 z=%+>OYAnzCZl&^^(#c1|=Xq&kpYcXp*lT zv$lp}XPt^6K9Bjuu`IrmFKz$mR9kx69PQ#0&!jc(sZ6U>_9{Aj{;-^UUsjxll#c)L z3tfBfwSGQ_ABR|~dfoIMb|HX#+ZJsk>R?q{4CpGxS+cR}v@mk26*9%iRTMMc3GT1X zLDrDeS*1*NPwm+V9RW(0v$3=CNG;wInry!GQ_k?~7BA`4-KbLv0pI<{yFvU`%9lr+ zxy0ck%UM2*p)hJcO-=v8)Po-AKynBYbqM(H!eI=LGzhMK#KVgP^swPGX*=Vz5bv6| z=JVlfb?fpdOlz4rM4OQ5S{=LxhI{{Vfqgu!tTTr)bOO2Nfz95q5bvthkI^b8hg{Gi z33QQN=pCBMC!(s~mBAz)iHl*T)K}67`F5z$R3C~?wqe5@ycD3FU2kU zDSlE`W^z%2hpUu)i$ruA*CmAc#y0&3`+uUjX@D$JkC&dc8@W)4=?*(EeyHKnnytU3AJ zbnH8ZjBVh-qyZSM#LoM*pHgPAVNiQTIAZHMF=h5%QBJ9xQBrfa-&L(sxdeZRUuToo zsdK+f1qh~O45@QlGVw1sA1npv|5&ma&~ORrg)y3A`Ok04RI2XJ$GbFIoB&?PZv5c^ zMz^@-i1K36e$E&h&OJdhTYbJaS`(Wy1pz(#?3Wcj3fy>uhwSKo3)4iNhtb+-H{ zKHk6ciL@Tr}m1?wtQEWV)(ey^4rgun;us z3x>FXT)!&0NY*}kc-8i&?nh8ga(`f5CfPRxi_Cq~hP35;N)TDuME)Bt>n;=8&O=Th zOGm!jzfT9xgj)rDKjVG~H#E(%RZ=Kj?zk}&i7MRbLviF8tDPr_Q9;PfCdX(G9{s$2FFFuErdsIXl)kZ3|mXxPfR_k*K*&q znr+UjBthaD0SOB@x>Lm(KlK+C>pLo>mepisWXynf;skdX^C#)q!MuDDH3x~Wd{?+f z+>8?Jr*`{#RioQ_W=i5zjOQ#$P4oRNyQ8l(lJq}81~hxRes2(_1pVH`eQ9J92Olr} z=T)_Xc|WkeVFogbXT7~+o|I!%8tIilHAsW~{Jilrt@!L-!|D5UJYvyBF_Q!H#Tj;a zguD%xR6fw=)NdefWpQZGMsiS(+dm0YIURW36QGZo`S;uM7?4SCc)(4@8?TZr&WQo= z!qFHw>1)EQ33G9`(f0$`Y{$JGA~aJE&D0*qN1e^N|L3-Hh&9O1qB+v7tru=T4!%@4 z@;$ShSSue!1={<4$f3HpvpsmqEe4ucLAZO>q8r7h`BEN{8_QVRH=$D4c)+!I-liHE z1jxM=_m!&~V*UQIm&i*97N|0K0pP|(Ta4~_Z6q)VnL3PK2f7Djn_jU+Jj93OQMit< zwnJe@{oU2k_;!eVj?f!%<7i6vypt5yjet$hjKTZiRvXDH5T`qfPh`xMRU|C&L#N>! zANt#FPsN%a`bB&XO!hcY5lHB>n@N6pL%}nOLO_S{U2(Z2rN9!VjhU-8d9syePi$9FmwXjiO2l8=qym=CjkfY2!TO-WW5;b+oSd9`GTH3%WrAUqD+sPeky{Wj;k)B_*D! z?XF2A6&C|G)c9$NLLNtih$yYB@~uHa{M+);Jrd4EHH{gp&bNbPOM^eZ?;i34 zv+epTEiClcXb?3ZG+SAE%2=oflI@f-|1MM62I>@IknOS7x#?X81d}{!!cUlMy^0xQ z+zSYgc3$LKL7LTJT}rMXw+QcI+c?wlcDsYPnTdCbP@@B=GIK8PjV|XD=>`$>yS#YT zZSOL-gQ+9GSUPL9`kc^?ij^DYHbXeYF3eWbfs9&;sx%ilzp;`Uq?+p4!EPjkRr4N3 zgOjLXLe1)TO#_SDzJdITmy89_2j)R+xl80_uWX4uFZBS%?>Nwp(ZGs!>~2*NK;!WB zh{RHYFHhXsiq2GKVfhTI7WsM`;6ILa$e<@Beno{)!p9|gQ-G*Tsl`=3*`723#xh7) zP<7=%b(rn%^v-zQYF~o|2F?7+U%uA#Wbwyn`7wYC#-Q~n>I}-JLE2#gM$Egmv(33pCBw#`y=<`hY~6r)i3ZMbY{We_ zzfGr>uit$p)nK+bFll8@bP^f@y(5Szd>#xwe%-N{BcHN~az?yilP93l^|vqB$8Gi;Vo?2GpI6qr*fzAr^k=2MjCJCOA zU*S!B8@)RPge8+0NBj}CaJ-CgWi|?rFUhDbrq^NEakEwvEXE$mIHI3J@!Pqp;fTtH z@-g2!IO_*LvQrF5yV<>*#(d4{s~ht!ip90yT4q=8&-pNBI|;ueC{r4zYB4el=u} z4hi0DShS}2lMPpjgJ}OX=;tsf<>;Ni)#7qcR_-_|1~~(cC^NG%bqAmHdF$h5oP$_W zT!7X>6%QuH(B0AAhnn)#G#td{7rD$lia>E#H(}%pxE#+=A1{jGAunj3%V&q8`{J7~ z2@X-u+~#cbN9IXLQ#PNB%22*X4f(8Pf!$u@sq_o%O6FDYyls~3o$~VsT^Q;*w$*s7 z@0f|zF+cIzq5XSb*Xe&1hUWK^2E^f;HTNw9Ny=`d=>GUhL@lZjlZisZDtrm|y%8`8 zQk&@cT-LrgQ!$nkCp@pLvyv1@#*R0}y-9a1w{|_FA8}nwR7-|kA%Ck?>-g)Up*&=! zL0D;zC8F7q2;OjCAJ~O4B+Y|^61=)Ur?wAcSm{2N%@}7#L8MrD|9OOO&+opDN=@=$ zAh)dnZwQvOL=*fY=A>jsrPZfZrlg*(N}{q}#}x`at=-|Kt`OjaeQzD(rtQx9#Vo)t zKXCf4vv+%7HNR{LQkzXL->3>&|1bglp(kYqneb7E(dYus(G3O3fzX4NJxUk%`};)e zjBDYt1f{9qwDYK;U45G$Fd^TAq;u431o>1r4N??SG?^7gKC^%~x>QE+IDOT?S>wet zSFv6}hK4P}h2_TF;}PZ@a9Pj={bOGDqcCOy)t@tH{B9`>PZCWz2AM))?3TCjwzP(v zGS#|T_X~n=$kG$)1t5ACWs}1qXKG?Fo&lZ_Fa-eLoteTZ{A2QoSv?>h02||Xdm`6t z_SGPkD1JF-XH>OoeR7M^K(Org$p;B$qZy06&!uTMQZ5~zUzREo(t2E3qpM-F$i0m; z9b(^4;B_&MijF;4&G+}p!9+>Mo3Jnj_8n|Onn4EBOA(_)w~~!t1DfPQDxJPRh2>Wy zs}+Aq4!VIJw-XT6hI8gb%kB=LQlBZo{h(7${i>NSx83$3jJo}&B9yPdedXGL*RD@T zzg!d6VVrq8%?OsX+S=tAR?H}8hiuoeuN6~jCAdm-NhUe&cM0PAN~Ulu6;eM+W{$6* z7XqIFM!pHA3p-SC{l+v(=cGPgWRj|9&&Gp~QucSkto54`22|t@Gu%mUfqvbLC0eQ#zJ8qS;@(G$Cru}-)b7_gISL(Vy@}?8q@R2B8 z?m+6q`jM8G$SPN`h>2sKL3*Svt+odbmz!@a?}a%t>{8L+wXA7bg4*Os+EA)wSI!6qk3NY z%l-usebR2bhC9b0`#~sTZzE&))>I*1+rrLz!RU+MFo@Ou10cZxfP57XTUccoh8{XT z2MARLV)`(rv=UfRUeqYC!J~x%Sz7e!!G(?KZsL4s7DOC;>|-AAUmZ>&dmU?%>qH~? zY(lxsjFQCY6@s$nA2p-8z==Mn@k0_^30mnEjlZ&J6=RXnm6>=stpun7Tfm-lXhhBG z0T`<5v#@N>L)r7EN%cFvp$=0+^7 z>DBMP3dy)fdzpi3Il6$v74Q`b3a@B@1MYZ)3Fddp>c5tuAZ!ITK(U2FVfeF+B}2Ng zxvI(mM+Yfh4-zO&mmMf#m9J<%BaiKzQ!jtNk$w0iL?MB?LQywgRzHK)0qIF)i%Oli zy~pmQa5@BSQ(W@2z!{D&|!u53)`#S0i02TS5~ z_y{|iN$KH|z{GRgRPW2aPf&KUM(KT+dNioY0lbfT4XkAHDykH36;_dqCf*>RpV5Hm;eOW568rF^8``W)MDw>lxUzpN8#?!9R0 zM%#XC38g)((g8J%+xuOdrFGj0Nf7d~1YN(ao;f`apnA%s0p>rh>aefogM3`zAHj8K ze+VSBnfzDht}VJnj+r}+a=XpafZYh%h0&PcxTDp_!L>}!;5x?eu~Abizc_^dCT$9H z7hm`VnD3wk@$6(;rzrBY(&5pDAqdlj4D5ebh%#{l*I07eO_7*v^`!7Ogevnr((Es%IDnOWjo&qjM*brQXjqSOn}N%#U^z?3?&7K(%RZccC<(3>O|8 zD_`UgIWA=aoY_jJY7$!rddLg7dfTmXeJN_aeC{CX)$nsZFBJUDsAQ918oUXfILf%A9k2@@#^$1$tk6(F3Q)zmxC!2PIj91y7Vp>esTI(yWM`t zq&9dh^o5?E^dK6`S&m=kNr@Tc$7N9`+54G?lOiETs$TW_3ak_r;=t`=M?mUwx_-#o zaBbu_WxcI9^(k4Ut+Uh9-kYMO+pO}HnhR?hiRaS68fz=b=5s*k8DU-#+*Q4*D^#rY zL-WIa@aHCGlWI~&IPjqB)p9gV_-iVmfwa1deKmxrgzQ}eS4naF7*pkptC_BF%6mo> zztG{iDom&wD#H&cD05{e7##Iuxu7P*7T}Qp=&s=rG(^M|{naZ4DZFNJ`Bat=5noR` zyZePUv=35%FxU~}Px1)*tgXr?1e8y{FnNk{ybW0S;i6Xpk;gY9Ph3~4-yQ*uKMGdy z!SzaqBi|XVG}(E#SfcGmx_q~zF4vfW5QHWaZ~SL)X8jnDnAK6-&${Y)sTAlMCH+8m zF99s;5tsHmO^SKpw+v!2)2|_R&Yt*#22?Mi1tdBCicpt$hGgU!J8@@6_~|}OJ|m5M z;a9P0bLUf>Jh$f#$e5BaOIM6&O{<8F0uB)>VZfL%_KV!ANA=Us_pm@c^PbA>FSY_A zz?PIXQaC@n6339EA)#yT!YRdM;k6K?_7oRky34eQKTl6SqEb_)OBGUWVsdaFPURv_ zB{vEeEQF!As&@GlsP(BZDmMaiB)%+5xUEdd-P`ANBM6^r10zS#1*IiVL#<>|_jSyW z2Et1uElszVYDd!r8B#P}bw3-pzWm6&1J&@U5iA(pA^l2Es;sW|B0>-5(|F|r(4&v8 zU`aeN5gKF-9@4mFBxiKxBnqJuZ@xO`^>~nZ_(IanG{aW_+M?iI;;gR?&+e!KDX=NB zl8jdeej?wq7yX~G+A-gHhdl0eGY1|wpUQQ` z0f7Udv=#h-${1UMOcru1+=4jy)o>5{(Q|&gzE!aC-=J9X@uIX#75+?P$jPhgWg6Jj9~2<`#<^ zSF!wMPV5{G=wh-~=!%L6E*1P;RWd&PJCrbDpDKkOq~9O!0ZFuX1>n8gKh1bp5u5() zH-PK0H6gX)5o;e8r7{z5kWmKA79Q8l@$?*+(zf`T2bKEDdaf0gn3e84J{1<~yL zRm9KnD=-TL?XD}$r`8?jF=-1uf}I$-10TEx+XR;9*8^$zsG1YBbyIA#I2dxmzn!4R z585tNMm#nETo$JeW5lv?!SU@cGu576A_JDnu%Oi8k-S-8Vv+;2Td=}^0w4*WqF6GO zx5Ec$rI!&`BeWuq3NdLUB*aZA60^?p5dMr6>?Y_f6GmJXI43{iO5DrXUaw`M ziGv4M5b(aFJ2Qxxs3ljqN~9Y(yU?%7t&e@`v1jp)s?uu6HT6Nn zm0Iix{j! zfgl4w&+z&y6Dax@Ct3AVFZs{5aCu9Szt<3qeXyx5B?qNhrm72)m|w<}r|&YO+atMb zQEO;44bZc_6FSl(jvwj4coumwZG)~REh*i zas|s;Y<{;1=X*E0i+F5V0D_{`HqjAcUL?TLoye}x|+aQa#WNJqcFC;koa ztf!A1erQ}r0~!9-r%;EMo{bnFfWRz`(AgAcX_hB>wVf!Q95GQZopb4ax$VR3LW3;3 zoL7fknt-X+C`USmbcfrN2pLZvPsBWph(gaqa;roHF z;y4pLGeIu)n|%iXd&4^&sn7)qm8YR17Q`LGHCI{Pi@pc@6wsuh79lBrP8P^EFquYc z>iO^LT=b9?=_@*aF82kUYqy@t!eog<&l8R0>ruE4`#ASsnqXpZZCVSOIcgtSfFOr{ z`iZzT3h=Zvt;Y zwREn|q8w^4TL#{XqXBHDz6_EBNleFBp6ATWn`pqkXT7PiJun+(&O=O32;#MTgo>gM zG2o<6#dyFTMYQe|kTu5oE0LOe6=v^Gdc8h~NnTa!2Qy!n9dgi1<{?Y*tAcXh_ad6p zm)>4p*mR&I&8p~S63_aGSm-GeMQOpcNm!iN9cNx)Dfb0%N_Q3&@%@=ig``E4OX#?25rs&X;gGBG+ z7MqMA8+T}ics6LO*$a&hTxemas1RRUQMp1eu8!=hjdzAg$7uyV9_%29poZ5ma2IRZ zyX>8zD$R`|l0pRQT`#KRELw=~Y7~nXhJLXo@VaGA75D+|D{g^gNff-7q`R1k(y=Ey z%%lYTjdpr1@?AC0InX_jdf*>Y1DgquG8`d!?a(vI55uLx>L$Oe+t0?R71$k_V0R+B za)vi`gjyDG>i?5p*fU5BXqVvr;3kacl~P;>W5)g8BNe}IEOd+tE` z#CETp?$Dg-8g&If@@%?L@PrcLowd-~pINuGYUNL(r*yb|bA3u?A^7N5 zt}?Y)ng{@}CIgpiL+qtuR5uM07VId;RumfN(v-jAMn;@Rp0&k{>ahxntTDC>3Z@Lvu7k@s_<3e2D!Lan5~eT1L> zsBtV{L6G9K0)KiX0aEYqz7DaTqoe%{X2TxI!ntwp(b4qD=afYN&D>%yT>t%o<45>_ zI>k(xqeMvIi3L*rX@c@`JQmm><-wFdsYs;a~=3D#R=W zn678xcNc_%mb0dAOSm@GZ3W}g{j5U)hSX7Cyx2A9HI&VE|zim6lhz{>L(0D zFkM)(v5QENwBrAyVq(q5QlJK5(80bUi|#e8AeH3~O!SB2YDJtXN1dlZlDQSp-{_jZ zmEnUto1jI%&o**OizWVtCa&(1q5TqRLvp^!U7XUOp0$wPzD@9+`hn6k_-hQoV3@+__GdN zA;T4d?~K0BVTs#FF{e#+%7{`s;@jivzm?laF$$GvF-WicUP{FAfpGb&~FPgwg{{CkKt5+U>zbQ zSqL@l(pB1!_9NL_%Y$W6YKiNRCxxDhD7t2?mKm zORy>>W5MvMx3M~|9ZB>b^izr8drB-lJwyRO@9f~9jw;Pu z@2X+xm4`U$4abP5OAJsMW(Sv#S#(%5p_i9I-_WGi12)k7W0`7RWom3?{aK{LGX+JE z52_fX!oDU`D|!tT2iK2Qo?@H_u5oZ@e2LwWCWHW_<);iP!J`r7!M8qiU~6j|jookz zP9c#@cQ+T~87Hnbv}WWs!w!1;sYy>2Z2<0UVxBV}Em{e<;LyPpswkZ%Z7FY^L#!k8 zO3)Ej42d{{h2i&wn2WCZBblIXG?c7_FUY~;(^G@|g~lfmFhjPq+qr5MLY(V zF24LcKV{2ZNX~!!9YY~c+f2SpOl8N)(};U}B~KlY0_AwhxRVo}LcWgAi>_rXa2%cL_T zbzBX?rb;*Z$7b12<&49$K-nu?nG&Wv#*Ufh_bFMXN*j)UffbdhW;AS+x*8l)DLk51 zL=;_~4vm|^y3qa0IFe~FbMKj~1@B}v!lZB9$$;1gL23kLY+GFAlbrd5FMP$)6`)4T zSQp{-ay%I>Ws=|&!4kPA{ZzdE4CkPRPucCPnktBD)jbJ7rCtMwlugwwcLPT=}Dr1`mS=(>V5oNtY+Cn0mFS_4lGOqtYYr>d#AgscW$v zi6jjC(^j($D@$a$kk_sl1?jaIqRj$?hI6~NHvE zjp=`VvBLlLEerqqcPJc1IquuP->vY!zI{Q-+ooWDom;D6yZ?`d1wQ}ZFIte`1SV#= zKhtxmf+BHov4Qr%_diLXb#oJUvhtaxi}-^?p{PBqYs=Lek}(*{bL}m7u5Xr;c8Z=b zASIc67gYGi5%_p|HxT6bXZ( zwvrb4=|wm#KSeMOMWoRc$8Q>*Pb)_kU=J{fZfBp9f;WutL9?R-k+EE`=ZG%k`3)7i zy6{d%5o()Suw9~qP-`*!Yr2}U$xA0Nt2*T3O4}vZLb@iJGdLGq$e!(vSkX!Dr5^e2 z!d&vmYmoGbK9BGJWp3TYt0=0=AQN-&ig*Yl6&JA4m_7S$HcEVLgwYgiN68DNim<$@ zQH}YMre7%<93bWiGheU5Ts$cqZH!R4OKn1)%Y%vP(RL3yF4T5?asBF*AUl&C6Mm_OyP@EBaChE)-tEhRdAqc|K9tB!E@zxNXG`EUONj(%wHmNNSn z;r}>QuyVMcG+IdYRMXfL__Q*qP5qbE zoJW_dTz4e%Z*%dh5&36LUU6+oJe5~Jh{<{qFX&C#{*p0Snl4|yDD#BYz$Ze>b2KP} zNz;MR?toLkBbGZ;%|1-a{$7Db!oUmh>+%rj8O((Cq_m{P2ACd4!wkr?E`Xv z*TRwoRsu%@n&_Bmq1G(bR~@iB*vEfMonlrBDbE#0cD|;5Bk}v?*yrBv;rZ(G@dvqhgZEKaA^tm92S< zKs)Cf9xNCINqKZ(%_(Z?B6nE#O<5uUEaGU+7_$1Dqlkq$ zQ|A9;={mM0MRwrVyglA5p>TzFh1=o1_k8^$Z_hc?Gt;LWWJ;k3Nhwg=s#PKeJwWl8 z1oeIfFoB=atl^3P@)_AT8JXNdkx-c4Xn@g^o4Q*V3=DOclW69soj4{x_`ig>Uez7qS43K~VB_Rl_mv_7J)> zsFcWu|9y6 zIfVMry_FMm%cOyq@MsVG)?c)i!dpUzu+ls$I}EYaL(;94y^EN(ktP=eA8 za(GUgozA~eQK4^u#=cjufsJ-N00m~5Q{R{|_WgQB3Sz>)vOt*<%a{If9p>gvDa*1- znr8A!5RA=-tR-?fCx1=prD~z98L%56AU^*x`(+cRCPo)Xtrn#f5RLkm}tUi zE!BA))|GU@hc=A(_aXK$UvucVXWdHsdzt;{7#4cKc6hl{;cUr35cMl__9Lg5HbZ@O z)m502t-7`7;J`y~WoQjPgO9YkxT4AdVFbcowD_ttW#e1>O(_6p;@?GrasmjwdM+0naDE zpAb)d)T63**NSPXM`VL?kLVbH=>hJ8uWq+u1Y~K##s+g0(w(`Rq1xPZ$xxN`wZy3` ze4g5b9SHm%1M0v+=3@2PvTtCFE4^fW*eEGW$6um7GyAuI1c$~zv?EKtq4}uz)rTEHJcmIP_|>5E=K@7b z-4YQE`;9)O4An2J5#kY+Z(CA+w%cIafVzl@EjQq+D$kUEj#t;_3L>tS7{7vosdo07 zEX?|z1DZdm9E{CbZonAF*!c`rlr?Q;vXYA|XWsR3MGwcW{DK?q{m&zv`Bz?9G1C|0 zs~KwXVEa}fj^hKc*L-^AW{JA4(Sq!m(xir9thQP(i~=Vlg;7R2%Y=7cW5^JtLC@^;x)57ZkVuB8hW zWy?P{+D4#50&c#nQQhXYL^SiUYci1zhKbN2l)hGU)MhOep9NBXzmY#iwaw~p+w%S- z;Y_}o7)YytOCU_ACg}rXa!QN4sM|C{c5LJ)Bh)uFWGb3QnlI02HCVbE}V8 zY*koEl~YJl4GTJhUYDF|+1hjQ)V_vRAOvU{vrA0=LIQm@GuukH1bxyHkqW-C#nVTG zR`C^r4r=Z5lE>%$ZwoH!#qL*0U08+cRE~kW4PW7qej#iB9?chIm6}pU1D#PVBbIwX z^S)TwGT&h2uCR5?FQlc1^)b&kCe~8=<%;yoDkTrYj)$fh9h4v|3~X-pk)PZuoQezs$(WC55LTJ$H%*J z>fo=Wlc816?7oG-=&1n~Yx*h!Wvj(nyl?ALDDtfazg)YOoRe|NSo~-t>x` zU{ux?Kav1@{+&)KtGrjRu*r|@+T;GrOg6zh2g>FP({rms4LgfZ_8P~M9cE8 zgu8}3;*=K%LaVm`+SKbMB>`+LAy$3QFhph!=I5IyoQEkKqfBf*yjDO1&~jv@yn;X#X*k)0SGa0%RM}H2h|f_`_s3#C zVFd#{uJMQrQkR5k!4Z3*3)S^}3Hq^FLlGBx5Ilx-S#Dbm?SPVRUj4-~fU7iu65L+} zT&ZREKWA6u7V3}A{jpiK)&+xVa* z@23hy%2}gnH1#xJ6TLt27jv7wxq6+W@-R9?3s0m!E=4zy73V-}u1Lca?kA0QjK9q~ z`9_9dFhpodi$oFCp=8Z5f@(Tr{2afoKqA~K3y2FEyv>G>&rk`uk|Hbp9mA3=ByPp$ z<*xpRtlH=EW%dz8D$V%r)rJ_Pp&M0kHAy}|yDfokDid+Uv4=j; zbzm`MIi_k~TOZW0r4t zL$UM@0lsxDprkzFVg>?p)`JqQ8cNg?EayX1I`LhhXx}V(au<6TK50Le`D2c6+zYuE zbaLu48$-~ZzXD)?WnICRcMlFqVB`1w1K(UBA@X0XtND4?CkRjcJ8aRYRe(-%-dvnT zm*ymcdT&_a^2HPP^i@buYxpzVIG6}oLn`7TrhUEw@e3TPX?|)<9VH(|cdw{X4=n0; zJ!e01!)x+Exz9a|)D@VAX4aSviz6XLZ}cRJz#$=>=!7#gu7ANrY}vcC{hJZ(plUv7RZ)+$I>1)PBIZ0X0PnENZ@cljtTejgd~@X!0m0yD2_rGUH^OhS zl#Zcu2B@}7cLMA-e>}WXfSJr|8;;?6!3$P7>y!sGXak`FEd)j!_Q6%doHWo{_KglR z4Sc^9lXDvr@`H@{OTVjOw)>`5gKs#@>EB_Eimd=QK*+xa+GWt-&XA*g5gz2!!6wsv zUZGs!=DN;=5tN^@$ZD7ht9+giU#7$4-etJh;2TL8bfhf0R#}S-m+~k2Lh)-W4^(&S zS!JNuWj)K`pFsZJEoK%)3uwM!u4NeCfN{N|)L>Yd`mz(noB}+Ota%P$Mr&bpgZyw~ zjw1fzXE|YZmRkcT13x6>7OaZlw)V*-#9G?(On0DpPtfBc-aJEc2*%coNHU+R`8w3< zZ${5iY`bzpg|f$Ta2oUt|BVB*0m-FT}P^ZSn8$frO_R$6^JqfG6`|PjKFZs4{1@i zR>Xr?xMxVODcKnLW}oYq3Kt1!67FaTQu3v}lISZ)<3g8dNl2kfI&$JKnGS%apujLV zq5c~f&=jEqUKtarNKw#v3BnLc(SnpgBZAWVUuItSxv2nLc*_iFAk;7~-e{BHKX74p z(UK#GKfXzo4T;(KR1}6?IO3NLI98H$aHtJ$f!hXgX-X+8SH_17b=BjF&I-(Yc>=!v z;&A#eA`;xfpns>;2mO)x&?D8BIK&6Qtq*WjRg-g({cZL@p234iXvm7G2%)QAqM|*x z^zYN)>S8gBNbAFMr9g2T^!iJR#3Bht77ozWmv9tP#aPiGOz_Q&tu} z{o+rUmjql3sQ}~b_pgh|wYkQ$rbgHN4Mlt9p860S8TrME(RbI;+4bjSbS^+=Puj-o z!het2!{z?7@Xv8-hfSPc>WwtF+zsIu_!UUb246{LU@WBWp9YYWjY>4&A}uZ;vx|nt zVEF}@#HRgqQhxnJRFEgu;;=}pFG`PFV;`FVawDljzbb;TmYWo9&MZMAB1?KVp$7(K z>_&H zS6Ju8-;~T27N;7CKPthsU#g>g^3ZL!lz+)uCn2L7(z`;5A{R2zb^|;@@MN#t_git` zi2^sGfzJ@Lo{u?xb&qa&%JVj)gnodTg zOO7ceLb*X&DDK`FwM5*9Y92+w^a%!B7Rh3E0C>ZBuFdLTI~H{!#EWONBePUhpH;O5 zTK8xQTlgVl*@w*&7ijor+yar|%?Q&x)B*fMnWLOHyxN{TQ`GCO4g`4TBBb_D(eV!j zJ$1_o3^lH2{gCJ1-oh7I{v{dJeF}@>+34q9p>n?y*h-VDXMoxuLL-$4V-t<@pPbb- z4S%;LI90C^t6>MtmD_I96iQIf;P5s&g7l^s8b@`=Sajw2m6%nK$WzSkd-)EAk3#SkW+b3E*lcQy#Q9293>-Lzfg|V@1 zz)&Y*oaWJx9BH@p(Ce+`(w3{m+8+$r1C#H6vn2VI4?4%75sX2lqe~7V#Yi%IcAi9w zjNMiynqQkGwbBAkKdyfxC?gL1+a@x}|AFBn_}WWL_mC*wf$)=A=yVE@*TO>FmEabSzhXBMFn`G@=@j=xCae4|G8cTFRy@%$Q^FVDa zcr2+(lV=(;T0hiE&eLi*(pUE3=kFi$XBV2`k5pJRmD45DDyS1p!k0Ty9pbkpTK7#( zstLZ%59t6f2yi?tKCic~Ic~!7;Z_zBDV)h;#i|S|$dC==^;AaeRA?Xn#*-w6sd!f( z5X)acf_Vgj!g-J=CMeiLtO(d<{0F(wR6PZ^=GV0AD_~XMofe2b%64G3^ElFUK%~+z zJ~5@!-6uaz7b5^eYPK}emSqbijb?W~mKfvjXd3hs8)Ix+|1-B)-H@#;=%T){5E`MA zSdOo6A%w(}LEjx}yfJRiVM0iV3m08u-M&V_i_?H@-$4d>s)k_#O zAiZGZf>hA)uAl&`2sigN5UKRy_JA1ub1)r@|48X0vWfj?x((YrlJ_^V?FUX96x#C;S#2)EU!B_^{>})QNGLcc5ST6Kk(vzi z&iHPMI7xmk71Q*FVVt!25?X#2DF2|MO2Y>o6j=n=O-spZAxdgrg5mrE0-M)*0{(b< z6P8qTn}p{xdBtkzi{Fue=wxhE|8+qv+9oK77TjXlUe{EPSyNbIIkMQ+LUtnz8~Hbt zlfWVrE;Q`&K{M@^!?WOGk%i7a25{sIc1drf`1yjG$n!50w9*n?%XP#w>%$V7oK0}V zuPRx`W67;Zy3`|xN4MI4@?#W8@F%YbeZTyKLjk#*B`E-mZQwvtfN*<1=p>t5L*a=) zVNC)!V<7vVLtH+IB;k>52>d{K@Ev2sNTqO6k)}a8aeVqJ>7nLxC+$uhycJ{=pV?>z z(4$oB2*ie-Ol&GMj2j>Xu;CxGbVp^(@BQYlb!CN00pk#E;9OSX)AjEYxJMK4nuT69 zYB+!0ptfiK^-7XG51EGt6gdm@$o#ES9^|I(f!OB~T~iqJz|H4zQ6QIC7Qk7=1^)+H z8aOG&k~Y?x2HX^TcN9dRB^{ep_P3E6bI$A_D4Jj&%3qWhlf%v} z3a|sqUR`eSTlHSPjOwbiy8KyX0X|l;MTjXu}})(+Ragc zzwib8ailj4NyhaE1YhnXJ)nQXNLsFO0E*VMZt zND)n4@=G7eI%rTMuZMtY-7M>zF_#i1P#OXHn>^vk2U5PMg( z#&}=UC9gt~$xfk?19rog=zKXr4d?^yZ}g^EqX;bQwQ8S6z6@5%zz%0D1p~G~F1H6i z`GDfV8iCEVB`FsRGNF3_f&gBEk^74a^U)D)UDV$2u<7Dxf5MJ!d8bUW>Qowl7cHQ< z2Zs8Zbex$%3dJ+aIZCAAbzW#v+VQq9+JnIa37J&#(AQ>m2T6)RjNv*o;3;+wgKe2V zg&PYT0UGS(BC-9bZ9AHQJFwUnm(_rx*zr` zRIxj>oZCWhWqiKAL?4}>z~XH3`|&qY?yfng&fr4zaMw>WxDMW|s6;xvS5DS1oB~s~ z4FWYw8ool+vYfLAS)y0DM3?=G(hQf^HUPO#g@<}Yh-T!z`wz&sRF>Um{z}%)f=z@H zZ_bO7FVBrsEDa*CIJ@^qV*gin?tC8l#K{QFN}s>XxfR68q}b@o07w%+KBF_dVxIT) zx5tZ~cww6@zYElYh1}mbu#6ACVIb+hCsIt6-)#oTgJDKc^(;wMn~WejEC;^KT)JN{ z#LabCAlpC|R#`+csAM=!06wqL`^9yki}{AlKcXBk&QR90j32|w)T`@cjf#e|i?WCj zx7HW@SKPbY4Q=Ig#r`_~^&@4`-*gUe6eE_RyDx$~P}!8qh`{`mv;N`A^|&SBt18ee z#Ha~(yF;mt=g?vfbq}7_x!odhNsc(3HU-&5|yxU5JN@*Nh{H(h3QzWk8DML z{}KhlZ^HHgn#nybg3QOIY|sm6@PK9z@c0f%N&<&gZeC|k0ZFML^*0Ph4Tw%}Wez^+ z(SI`f#_+$nb|d{`Nx|sTX(#eea@ETFPo<)%(>g`qqe+9RiJtBZchHNL_2Zg`t2*%R zGvxZ2gHBvje`YkuuRwj(D+pNHEXc8R;rclQM;J_)_ZnmUGps9hRU%5J&`Z|o$sv>O zuSdJw=O>@QV-THJB^#IjD7FIYnbi;;z`N|V9?u2)&;FpgKg1|wYZztB`1jJ?z?n&` zLwO4yq?&bLdl8Ap1}Va5Hv8|h_g%d?p#7JXLT?d&_~>6Bax3V3Q}th6LH^b_!#)lV zgkYdG#9)*6N{+cFRvHT%>Q!(qPk6+j1)>%7&t?4jZM`w`j~&P4HvJ7j|D}<|j1DkO zjwKecY0W&2vUzHbKm{x;FMeVQNlN78kA#HPN1{8AN2qcuQ7;0N#Up=(3)ld)94I~? z3C)FRTC|fKkLPFEgMTal$AK|NIEY_(4zzzEIUmX$pufpu(bFUN^!(ZoZUkXk8U1fw zIM#lnkodOpMY&100l!+W-Mo!TUkgWODd!J`DHtSXp83%aRP-YW0}X7z;02{R%oi|y z%0vU11ju`EF5Emu1e<4~5Ww(K<&THgm=_QS0V?%HEE;iQm9+el`D1bl2IQataOvhu z+MBteFwp>TXLZ26iNP~ZG|*xuEo^{!l6;%mr-}XNIa^vgCg!ie6~@d zPco^{Ysy@blo5szNG-3FFJJ@4x4S z(B!|>#$0Ap;j}hX5La#vmx%uo8wYr(4@>-=myaj}s7<}gM!Ul66G&ybFj3qwjh5OW z84bD<%;BlZ`EVk55STz%U=MQ%5)ST?2YEe}jOS(IUWp(YNq_`}aR4}_A@uK%X6qpT z`>%#30KizABmQGqAoC=Rj>-b~KB}Zx}cv_C&6AuJ_;O(X9f^9G^GB$r8hy2R3=XSwMH8tyer%g1S z{vGbnSCj7k9+v_$2Q>)hzE~tbJ;7CLt~V6b=i9o}_pjaYMk8Rx)*p<>;EM8hXMp$r z1?lHf%L5?_2QMWr^7#Ojse}G2Zl`OPDpUrXn!Z2Twb=PnMB<^+d^(a89cE+yC*X6Z;Xa2ujHZ38f=yACVS^oDMHm!=z^}aoA8d)t z{tBD;{7rV^jl*Rh0R|*=)vORL%tz|^y!3J{t)GAA_D5j2_Z^*FF{Kqa%>VbqhkCB$ z@s=KHjP2T}1Ogm*V`m|#Ryv=3x$ZEx^a$@c@8i@{nIX}f8>p7=(1v<{m-g)1D;~U` zN+kK>5eDbVoQSM>8Vulb5<>_Fq{Q8091eQ|+0eMao=T4rm?H7nKZ5=W&pd?v1L}A8 zH-%wf8=HmGRbT({3do1u<0l@9H>;9F3b1jQh|$t{3-%ccYIp$9`&^%t{w$o^DehA$ z^xuOX7EIt4lurQB>KqI?2w+^s0+`zPH&&*GbruBS&AR>s*MQO^@KDWFo%!l={@jCeg&brQmXU$bR}yk4 zRJ?EH_QR$#u&Gi5gS|PJKOQ*zRSTyYr1ymq$Ayje8q2kY{J3Yo%;>iL0VGvcD*Ip+x>z%UpJL8>FC7`T z$hIO3g(Br6!M>4Muew_v#;qPAGHq-K3Hvzsfcj!A@@1(=FG7j7BmyIpzga`x*c2#x zh`=Tcg%Fx!WD4*|8iCeC?az8ns7&ZRfz?r?L@_~BbK*ZRO~$=-9>GRwUfBcT-%iIR z5yrAnz1fi1IHkZyj9QJ2_@F?$i69^VB;NloPAxWrbS|(Mcw$cQg>jGAKj(i0%4D%I zz;)((=lody6@882B&B8SZAgkfAu2zW)~kmK^@0-h{PDOp7G;m01+rWaUA<%HN3a~+ ze@Tnld~OM!+GC*{xXeeG{>DeR1jApE846yal!anYn{)L=<%dF&Gq(@%>UQ6*>?gj;9dnh>eDe0KE@y%!cNsdAH z*q3W*UEJ#@@g`rMc_qtRg2n=n%$RdjFJ9;%K!c&}@xxr~nIB+X>p77MC(=lru0Z%S zd65m~O8Ty?9=v?ZDnI7-awiH(e~#FVUA3*X|McHi!r74Vh#q|#8I;jwxL$)wcO8nx z#BK_dMZ=z}TEFLa2Gb+=4|KEWim)$dokxg&Gi}6#f|LG7NMAMTaF}_Q7REpR zPTZh0$lZfPCNXtWA!Rr?{&N1hqOzQcan6V`Z{9~V@7DY$RC>5IG_9L;hRXW$@OdG2^yVA)Ne60BF)%A zpI)XxE6Z0($5o@J-&8+xHGLc_ftR+?+e!OaP>)5wP?(aN1SE|91f)dR+@N}uDAwzs zM{_n{RL7KQ9CBE!jL{J>Z8PtA=6T2t$Q64tU7nhMX(!V~H=bYIMHZg*E+XFD=Zs)# zc71TN+qZ%--}u=R{}>;;z8{c&on51Cjd*_3+5B$GwqAUccoa~aq+=zlPdINr!~Q^z z;cdyGD0CHKU+0gTETsFxGL;xJy3ud;MEVb@w@{c33SbYppD3_ME7Lx~yoKCIp2iv_Tf7VvrESvdw?R zd;WBLe@jc*e7B7|<#pSgrHPyyAK+x4RQzkve3{+(esAL4XIIz-Gpp(MFsJE~l(Gzd zFmI@S&WCHw7}W;JV^XHCKi#mi`-}{LwqTZ$;{F^`6XD1^Vk!04lg4w`Z{kOiI7z7O zx?FO5-tP_SiCf~togLpg0Hp#JDvH#$#oHC2LbpD__5;W_2oQ{lu^g?xSX_E_%#$KS ziCq0{W{Ul3yj`RqwrCbReAyfl-x!Ol#h1@`Wz(6xbQAv)(f4BAs>+)ynyDoB#4Tg{ zQMiV1NLZH5p(QLLnL^5ei5?7s%*XuS1@%T6E>SOUxY+oWu$W07J)fp4KO0!6G4j}DUs{#aGl zrt-gXLO=HFhpIk*^&pGz{fbcYioE`ZfLjfe9&M~3>@J;Yqm<-|>f~6QBp;r(w*5gZ z`^=>!?}v9kAI|S^rm3~T9mKU93xz9Myf(dQ6d;bCFm#C7xao=9r4bj7Z;C?Go>+)@ za075!@h{axIW5xmkF@x>0;g4~oOk-s=^a|+CE3^#9Ryb)Ml^?Y?xlv~81_?3aSWZr zQG(X~fELf+sN#`y{TvnqnxA(eCL#r)TQ{1rBS9=b809Vq%S2QPjgzUh3tpdYd?`kG zv>#e}{*3l`By$E=a6;3%yL*M4PjDQL>HRWKW&A7-K&036e6LqGOm=+tV^oT9JId7= zc6xEjt9?8bIZB;J2Foy zV;Fkr@T0JMF=P*rk*z2>BtqkIIZyE7D%)6$OK3;n;0<+;#jP;U z|AHjK)HV|Wp_Yp*6e@2z1SDF7Jn1)_&TjXOQo@fO>b*Yj0yUP%HW;M;VUU^Fq~}dI z?@#;oJyyH2X(wi`_YI&ur3X9(&VDZo!vHA+(Qv+ zu@dDrqqPJn`{t8-xrZ=bQuRkh6P((EZZzS#*I|#X^m=(-s?UX$YZ#FzuUu8}=JgZz zVGX2kh~)bzOL{*)+%uQ}%l)h0$Dlz;St6yx@s2pTM$MA{1`-Tofsn6tV^H@S>Ei>zR+@YK*Q5T*}rn;dRSI1S)!_&*pA1~Wxe)%9MW{5jiH$*Z!dIX~H zj=$tGMY!*y8Gk1=qjEjzLS5g!Ezmp z;tNw6Jv~56H7X+Wt2i=NTmeCTnUpuc&kc=slRB81N6`3=yFo5ZX%CWRn3YloZV&rk z7(d}+IuBwmEmgHobNzm}PwGIj;`&6{}E^n%jolgeqq8Tu-}*+b+zd8 zQ(krsVruIn$o_+;VR`I(`O0U&wTbCx{VXg!E){Ab&ORPLrcS((Ocy`DqQSm8v)Z92 z_neLYKJ#1~95ZfK@ZCF;K*`!b<}>O4M$tyBS>#q(f4GG3ty$22o#z!_4-+8p_a~&wD9DEd5;gr3s%BC zl20{5#f5J4VFiNQZT;(y%k`VIBJG{JSM8d`4;1=JKf`(Cq>$Qc_(rpgXCnL4RxKY8 zF2r+!x;ytXnjT%~Q?EUg@VoWp??T@wqW(&g)b%E|V{A1hz23{Ns#xXgtBynTl>dRo zOLb~rLUYMc>}|;5IlMo3oX1h2A+00x4m7Z;GS`z4G}||)pIz!S2V-A0Y)xAG)ajC+x-;mVuYh@1cXFx*4M4vqObm_O@MH2MN?q z;{=`Elfggz+kE*%PFNYL><-`ME=VT70PwtF7CBU8{!43KvZLE^i=Goy=NHyPJE;H8 zE2HY+&e+Sy$)wZGyk|UfE+3?g(0$^1#4cBxEt5k6Ngfxq_@lXPcyW=TS4Yl!1jDYY z7e-j0P)4NSEM@UtPL_o9dx?r_bjomklFmjHq|*p6Cdb3ZMgQgYuzBsqXy3eZn^ff& za@QMO9m&OI0k`NcxCUnf1l0M$9K-GE2uc+GLv7&UfwMJ!4K!$Hn+puu+w;WZl-exd zl*r8N*RtRFs+9*q6ZYiv#N=Jw$4p&9zr@P{fJ?;J7a=H_ge=1@|Auy3ypn4BDW_Yq ziS9CJs`(+ZvqEnabO-M7kN2V8#h#J=!63i1`%n{-c>B~-8JKt){(bM7WA5~2u#LH% z?$Z$59DVVMQ|>u=bgt9a8&xYn`K-34==G`0lgQ7H-bl2}`#ls8o*rKD$8(D1Xy|@W zFLNEw<0R*2i)wA&HQ}&H2t>}IK8)Wo&OQXSg{b;NUmMfnEzyOg~@f-CQ?xcb5c zXCUc=fRbJBOlY-K#%xZQ3glZE{I-u+#Zj5u(BOin5(?zzX}M3bF4E=#af%v6XHW5< zM5Ip_NGWaBHv*(Flf-4Nir64M`}`cO0*T%HhjVfYWA=?~ zbgVws#k{n*kCMq*?;9La#8JbcLKO9$c24xq)cpKt(+1N%F&Rqlr?>WX$(xaOe#0{G z6t{XGgB6Z}PyxWHN#;y<6p(&-qLL5a(1uP_*D>>irm{c%sKU$>NW`Nk#^|!IH)Q^M zRMpny`D74n1qf4|lc3cMA%c#lIh5)4r8fHccKWfd>pacL?R$TtSlJY0d^U18N*UxM zC5u3!Te&kdgHrb5sSZ?w;=i(}HXbpD_Qgl`#C7k&&ykY3Ss5JFbHoXO=Nbv_r-cfO z>S9pI$wj|=^4ta-`*+JkR9Bouh@2=yV!-KXfFQ46>q!Ub{=b@-TDOOJt77;0YA6h^ zYEk`-E|hTyIy3{-ZC?K2VFWr`e;`5FqdDA8548s#KWJq?la`uk^;f&~U#%zT zbEAu*cAa6YeHq@O`lmCD?Nq0`gyPkB1ug5x!_Q%gJMXn_K3Qk5Djb`CK3uow9#4^)62A!|j&o4CPMqiokeK|CKB*v-X36^Q-j!2*3C zjHy2QSu>Nkg~`9n(PcpsrA#7mbP3WA@IOM)MBZO%1felp#;^c9GpOSmu7cP4@E|<< z6oNbO#Gu^}_Fn?d40lP7PP%^ap_}2O*D7@GDAPy%x|IF1cGz*@V5fp_vo2eELl2(gd1r#s zx+p3o$qhsHIz+=LzvAbWw4sN$5KP7~<;;p*3!}u9=lJm{h{TX<>MtfP4`C*r08U=?yl-VDVmcO=_4ou*4VRl=Xv3q^QBW`jzmI`8uWto({?)u;V=G z;aP3>HPx(OLGB^RhlTsIqg=H=w&@S(6<2!o|c*@Hgg zuBX4?E+P!~XYZ`>R|Bi59^{{|Mai>Sbwz@xsrc=rBqiJo91^J*z?cNB4tjRQeFU?e z^QArUWyR+A??K1skMtJPHGP4|1HvEjZ^Cw65ocvvPO$b;R1nmXsAa8Uf!>>8L_gmK zmLwKm$Coe1CKD3Ppz~9^TOVNHZ!8s{ zxK6=#?}AlGldV^P{tFX>?I=;qj`-O5VLYEJ{}HvvI4I{KSPUYb^K*z~lLm%q6~z9* zF#RPR`|7Q4`x7*+(Eo&T(5DbONpwVFDZzjP19o( zBNFD$cF|ByAGGtcP=mXtV4_GGR%tEeP8VOld5tnWSJ8H2yQ=;Tx72aU*YQ(CE(V_( zjL_g6Tiz2@>zr_Ck}h^C7Ma^_uIE7O(uYV}1i8(lf8D;qH%~;FT9iLmX1sj0b?ZS` zcfN-PQK~uk5qgM63=csIr~{_`OZfnN7LaD3s%>Obv(WTlhh z9(ZRz&N2bxBJ!&?ch?_oQn3f>4_T0=M6;$Q!YDP-vqa;AP;$vQ$n?8GT*bxTXi_b> zzZn__P7UZHNR*vCJ#9a{@`*`aF#2I3DtL@f2C*wgVq_8vS@tm=YzGa>&E+icwPxbV zgW)e20kVLBY+MBHB^5`NM>BPu?WUQwZ$l?ZM|CSiy?07IDHDv!ZoaWtr;AwK{LN6` zi1qq($%*qHp}Y7_1~F!%KKYd)VLVjXB0UUl=lhSUvQgl=_l_bn=d1ur>N6AtFqb~X-MB=! z)PttZ2{r5NgEg{NDf(dIX&w+o%g?p_-2=6LVypA#5uQ+(Av`w#v58 z_{?kY;AvP8g^ZaE=#G%0dM`VI3yEMQ_e$E5Fz-VB*>VBFjZe^jYWVIF9ri8!!Trep zK;WR3)9s0-;E}M;t9g+>IaX8B*G^ZC{+mF*93W9uuv)C%b?Ml?Sfdt+WbXOws#zb~Xh)Gk zY>L90O@J3Y8uAwB?lpbDPVbAM78|Q2uB%1=Y};SvOksa{B+#T_yKviXl$fR%q9KJM z9ht3&ALt{u&2RVS-|#h^UV3Ikv(pYag5@b;cr)H8;~U)gMmT?UoD(GVEyTluw$cZ} z=4iCqcNg?Fu}R5_PIh!e{}C^)CUkAd#f|m3$x{@J6NI6bnDaqqXLmb-jN%`>V!vaY!RDOy*YVLv%h`IeN&vJB7G%+!;YvjxKYwe-@Ovu&FKnv zK9Dupz6@$1WVFqo&M{_yER&W9&1ww_AO35=V5@)z!#V{U-CTL`#>O4fQ0xM&0`8Ux zx_ieFS?Ehi{SqqzllJ54FK9d?h?|+p^%qz#&F`ov8?ONtgHM#JFH-Hp=)4J~_bXC? za@!nnRM6VtZ;W8E%g99|%@GPs`|daXWG@N){>U+Ttpkw^^vlDn+lp(8A(Cr8h_^x`)=n>2E_`j$-M3^`(3wB2%z2z29Uh=(uYotHkp~C zi@)G>jSk-Y`!j#A{kD}6mN2BRzWHQBH~viW4Q;8>yzHtP%v$;z_2>uIQ*!gZ>?s!7 z#;`kLF(wzl82Cp#)$Xyn@nyT8p@y5V%ONQCwvn!z0j}s8&O&?_*HVxQBu(ZOppaDO z*pbbq)AHisnjClYjCn?MFHKf#V56ejklYm%W5={UbrQugieK+W1=<=P_l*7AEBI?L zU`d3zge$+W(Pyj)J>njUNSCi=;iuOu?NkKaFp zmq!Z9iK4K-u>INZEA|R{;nFGIy72ic?YqR8G?#UkJjDAhBx=BzvLweG8~TODDTdOH z4-l&Y+ftBo15Y#$``HUQ&!_oVs2vtImtYWs zH&qIpvtM|2d0!6XndjlXy1M@z={Joy zSARTt5APECj>re+d|{f#;yZ=I&+Pb)%gDG7lxPkfWljc&oet+@&30ua%YfLL5Nbv& zViWPZAOSym1>}-Xx|Bm^EYbwIY025omm2=+?MDH%qr9zk@KKx|(F<0h zAEpl-zsD=E$g+U z&%Q?i&t3cY=(OeK&35D9A$}~6SN$cnY3H!KrA_?yIS({amYE0tXj7gN7u)R*Lifsj zggB~S5hZXejE%bu)rB@zgNBMzu#LYMTD&w2-D%$^yrBQqJw=dk5;uCf^E?zGVVS?r zE^A3WD@*!18bSR5-XVmn`o&njHH8krj5HfsGZexh6JQD6UXJ~@#d+V%@>*7QpyL6?$cFcTAhnJD;e^A30*hHX20jpo zRJDN^Q1?!U?wQJ*VB4Fg3nEylU=w^7EfQDK+GT?S6XZ1*75x6UZNad`5c~G$rtAve zO;X2KFFPW55zX>GM-gO2k7sHdda2lUuu=7<7v2*4uFJOXfqgcIJ!*}iLr{&J2xdcD z@`MW|&niynD&<<`iTg?ip_aulIW*B5eG4I@7>c6^z;?u70T$fpTzXS=>MJ*987GJQ zVvA3K56*;P7H00k5nC>_pb8aW^;T%S+=t}~LaEBKzK;AiX;Q&~R^6|DKIlMz` zW{e8MfIoe0PssDL8Weu5#Wv>mYn(r-~ym;n&vEWSuXPdnOn zVEgl23i6WNKGM1wgM5uoP#UG522CSl{(ei#;-3WA18cR^$ZAbkyUAoAY5cl}p^uGg zhEc{xikB|LPMh<9GI`1{{ZMpKueF1n3^r_N`wLrZ&g;BfYX90EZIDFItiq7oQFnZz zwvUdFaDXm*hK^Y=OzwcQxO~^zxXiJ`jfVtb%O^3U0yf-V9I9XQRou)XUb@Z^2b#wQ zf&IGJu?j;y5z$>f;}}n3BUBDk2KFx^{IFR*HthFDoxRR+#uN$xr-H6;t!7h_xjso3t+g;|G#HQ0tbZNtF@tRa z6s6K0V3)z$wcKTRf`(`oO-N$o1lf#zOwdBYNBQE^uBnFSEI#5k&b=1lgxLgIoMZ>6 zZv>zmiGZHPhi^F$DL=Ng2D$@%jP3>D?T2M@ve}v+5#zj~KiJi&vakAw_YJ>PUVKKJ zl5G&tjVv=Iov*c$psynz;OV7tXvR-iCpMpP-jq1uW5gM*s4c*DTw$Q2GuJq(`yn;Nk!#v#wbqs;^VIhRr_EmK81I{!$cgc^!Hh{w39w({$G zjT1i8JTBut$Q>Lk>EnJjs{Na6@90t6ob}IIoZwzsgJPz>RiIv{j>~zI#kSPOeYAz7#R9j>*NQd z|M;1Y|AaVDRkk3x);gtK=sx!E`AJzBq=BK zF6-8ILmTs4sNAnCB9#VeJO`5cn_X_B!I5vSmq_OouR%ECR?-i-Y2v#9HMb#$L8EiO z>hZnZ>!}|c+rNA4Z)x+XokcbC@vbK_V@;P1yvFTX^(objaYZn{0*_-olIie*jAw(0^hq?`WBY{1s>YOxh~LtHe3Gr2fI!M0W zG?t%SFJIMI`A)(Q)KGn25T(5zfIXWk#{}p&fNn zxFMr+P++S2sSUg=VnI3=7L+Io_PccF6exJdYvlWItUAogak~WKz3Mj4*5^<`7XC#w z*cf|FssWdS^KA4Ua=!q{)^yE(mZ5MZNYFAYb(eC5e&zFom_tdI>6!1JJzt#vwe|?! zNT2Ab*aX@2X$}SI*C6#*6yRT(#@66 z#J&<;-sJ5oL{sxI+$d^X=m`iGFY_t)mDK#cACc10UcsC11o9k0f%;#ew_ayV=~wvq zP1_Nw8U@N`uf&QGO!;ELir7{h9n zZe%~F7wiWN9`%3YD_oiI^0Y5Qb7w23#Ssu?k?(@g;KgeO`}W(0DGY&t!s5dUCDl|P zz(8HjhP2q5au|RBv2GEfpH2f25mcnwJ$+k32m@i8_SO4--zM(*Q5#!j;EL28pFSVN zS-NhdQX>U3)R;}wu>17z1k-b29H+U26GyPHQ0pCeEM}@r=$Yp#{ft3o(w%GyaM&nk z=T7qKZxO#>QpHtlH6&sHv6BK*0(b1}8AgVmMmy@q(9Z(bn!g>20Hcir^&%<1PfAeS zAV^KAD>+6y7bMFU6P)`pc~@8xzn+R$1o~|HHnQWQ-}0mH+f2Dwfj(iaKVd$4&h0~- zyp_W;H$V<*|9!=zUMhLLNdU4L`r#Nk2`735>^zs(I-BPTYC=U-;lEaxlyffZHK60Q zT{-eHxb{qSilWlcPCtG#F50H{1y(BSo|EIozAwGEF*gWVFx{^xn{V}! z&>e&6??>w`t^+NWe+fRagzgiYY4sp0C&cG4Q{j$Av`3k-hy^6Qw=Of&$V4?uaIZSf74!KMKw z9apiux}T!r+8MJj7oVt-fvBIpo@yn9i2B36wR+!k>sQy1e?GwZaz836JVI|MvEJ>y z)5uPtQHQ$d!SZgYl;rRm$|L>2%$og>tcBq;_(mxAHRbnQU7VVJ;C8$maTYZk)d`Q0>i2}zx@ zqkXvZi}hTlPzCdEvIuLJ^#rIRxPGSd`=cY*dg0nSAeq%60-`B+Bb$+ESvcGe+>KhPa$_~`~$TKfJ5=PQGBMQRt{#VZnVRp@P2 ze+M*|?uY#@Pr(w-GQ2)!8OgoifwFEBZO8`%2~~Vm)^&edfo80)LCcKLDdDKXpGEE*^Z3vx|L3$QwEy;CL#*mmm++>{*UjjmIj?Q@f-=5>(2Mz>JQxd zo0}+$8SlmC@XB^%rlEo`{`dnV(TA~c3F8>~F@9%#tshFEUXnY387gJj5i+90KOz5r zi>SGE+hz{ZOPc84sf$gbz)IYZo!#24a7*LBSIw*;;MuiQ%`YB9S)l+iK+eA|1INt`sHUm?dd0rZ5xHkP#B{ zyBl#9eHv<~a7Z*?-HjG4B#;tWn(~Y!(&b7?(3br|>A!hzQfm9(SSv3+r{|N{_`PK1 zL0+HLwSgUES;;CeW?6vJMop8GL;%XTNLf4dR|*yo`R-;nB`R?ZBJDe* zp0mF=%A*9j>>Uu%LOw{%0(=KjAX|P|I<(0630I72qqD*VL|tc@yzhmy)tTtRDcw~Q z^kNNP!G>&~rOdkdy%08kT1V!0R(?K8N~L`4DjO8P>GL25n`a+KSbCaL2|e{A5o3FLTbNT;9N@^SOYs9Yg9Y;?Q7|4jJCoPJg6GTNH~CK^x27Z z6moV@!bXFmg}p`Je#AlH^mJ+V<6dBj{Y_ht_}@y7no(+-H)HA(?b?{h|Hg^^Z7CrQ zimY2pQn=KjC{TldQZpt(CoyxXspNI`y=Nuo&MzwH)mjg|WX5#mAUonv|DpwotQ*?M zAbX{p&e$9OG~*c2!L7pT#pW)OPUca^SzXeQ)oADr$)GqZ-dCNIr7k@V%I`*EvP@2yPgqYaADOozgM3=hAC?HUQD7pvXV}JX zcb_h%tf;2dG8hGjROflPAJ8lD%KP8zO*}}0zy$DSWlKK(e1Hmt;Um3=HcTSy$fSdpJP zzTC*6qe6urAS`Z25DZoHg|(@6VSi&k5Nvfts&Kf`Azq(yNm!zD2qmiNMm8w{qtX{f zpqcY+=vi5|WY+~GVu>&=-3h(_iUH~a0q(l{nA9W9=Ct|yX5Ok#pBej}WY3nVHES?_ z_}gF^GGP$ahQSFbL7?W-xmtorAE$tRDYh;qnzf%(vjZBUyYMbvH1r99DEveO`^ZZX zM$ktu;yMW_V%vY`C9-NqS;lJf9ZK5=dSj`4%IFFvqcA}fZ5VG!Es`ym#w^&xk1V33 z@I@JpQ8(FG)N|Gx)^;LL$fUqB*<^^y0O_jUW+U)*PwF^s&=!jbF0(&fcL*Vdub%N_ zbAeVk`feN=h+|;BkkzVX-Bz;N$kd=32H(4dDaEvqOhJjlrwX$k37X|Kbw3P z+ENjE5nU!!9nmx?55f)y-*WAMab&UxrS2)tmG&df!EuAIb4>C3NG#Fx1SNjrH&B;zI+G{d0i zHXw6~ggni@(1GCjb03y9(8c?K#}!O=5dLbaK2+|r3kbJ4GGsKD5kxW!KXgHtls9x> zd$W*^Cv1I&;~p4`8T>owF|cDn@Jqi)YUS?0DI~9HzljY;%py|RPsA5rc8P{8ryhrG zB);^1fObO!7+j+*&c~}I0#?$eg4!cn9R;> zypwriN;CH>P)^jft2Cp#s?u78nGUXIHyA-=n`m%aM7T0H1l*E zvh`%mMQr-(4)$HU7I#S`16$$?A4w;8jOtP-FE@)%X}mZ9V+~28a2*OS`Bk#)mgxu+ z62T#KnnzsH+9zsK#;U5)^1E$h#RRJL5~<9e=WMous^3>7nu6;xyG2{!faQ`GB|9m) z{b6-=EWoAigUZo{$bN!B8&l7`5ptm{v^j@D9N8fy)g1M7=A~2oX5n8NPOMm8II%9z zIbK=QhD)!k4+nSuhFjH^l#mpNY$?eVAcpkO90!eF9v(zy>AEW&lOeEVLLv{}73D0G z(Mhq0dhN1AVdNMN>px#)W}uq>&c!XAb>%!*{zI?l#oQ)yo}ToS_w?a9j7q2T0Lx|> z_irTjPkjXrAFwC?e15A4Mbh8)hWa?+v$d18hHAG(ehP&(?g3vi2$SbFXO{wg*;DYB z-5Mat*_|_46j1ZRU|>jm1^xovawSEuzvyEeMu&0VcWN)m$y0kdsg=b>YB;Ce2iLm- zu@=w(CtPjaQ6iTTq$_x@X%~K%z4mycLY{>|L&WyS%^(*YCB_Hve@5E}(a2s*oyt6> zqywX9jlaN<2vg?BDw`L3hj+FrQn2GVZE6TAhBzc}a*9mSXFo<2V$2~&cs0~OJ?4a4 zH8&uHO+Pe-*Kq`YU*Sv(@nADuGBjmyZ+FAQ$Pg|Ff`JjC({cj#quAgWRG3c69lUh; z`!{M!eO)O#vd5fZ;cAF{jNa!E;7xa=_^%$zjspi&B<^3Tkk?J)<+mw$DW_@5K6OQa z-h0jzb86d4))MMdpPuIJM)LVB$JVafl~T*(6w3><-8)?|?v?JrP^?oF_jsC_pWq!p z+!#$4?mVk(-;I}n`6(|v+X-VAb2MKJ-TH{Gy*&gO`LQAB;#%eW2OH6p%=SQ+jn=n` z^9nRD?o8-HcuGcYT0~{Z$y$qqc)>OHOKH^agI(}(^VuWO2<~(6#eLG}D^gJo0kZ~> zB25Gi1>_nhYqGWl49KGe$KP~OLBvv-&W3`b5$rTzU|_w840A+=RXN6l_#`T#*Qe^@ zWi|~dCXe#0zvl&LgAG7tZ+-g!nyneL1Y}1szoWVDMZg2XATQi80SX3*wk19JJMoDt zE9<>-RtOqo-UiBVi7gD^oPuFY&kH(NuEtDskAkz0>yU9Nhebw~i=hZ`c9P5IR`+4D zExo=K%BIM&XO%de=34>usN0)7A^1|8b-UObxBBb#Y^v2VRphTt3p=9o*p2Ql;E$GJ zvr5q!H-j;MssJ6SX(I3gS4{}Pgo9;~#@Ga8*o`2O4Ha0D*YTSlGQV}aPOu!0#T(Ei zdHB)4CWBi3QUNgtkxR^5Xu2YUcwRJ$enLYlfuDlwrkSs?gKF9A-?R3hz!g$O`GAFj z%&Upg^obs~3iNPvix*MN)$KdWWR-SZIv8Y!jT}*ETB%zeRq{MbC4zkTPHK1SHK`>6 zcGQo?HK(%i0kWDieWDcC z1n}fFJQ8;u6}n>#cAE)}bnbRty#B^58d9Y+p}yx@`4$MU-u>Xyp88i$P~hV^icVmA z+^AK-x!EU0r3h!TB=co}feViFBB#Sm83I4EPfnVKZ%>_VlVS*Yrlh_WoxZJrZ_R*f zaVbKSTn+h~EU$u5F_dM0TyxY)mqcUj{|y~S@;WD^4JDx3#FZTh$Y(@J=psHzG|E4bgwcafw)avJ)J(dlZn1}gRCj8EEr zXV2;u-9)Y-2T3>LM?gxp@Xm@iq9j{`kTz*?z5W=4K(){+DHN=lqH*srcb`J}uUO`u zI7F-RovtK(m7&F0UDpAB4c|v}$E7{d*$>bM7hNO}oKH1~iOFs+QV_#0OV}E^5wJD4 zePb@>&nmA-(TI`_=#g6OMZqDi{;jTdIq0IYj-uFgmGIAt|BU#rBKHGj3K?$}y})@T zBXW8w)j+i;d2x*1mH6*Fly1M}}0<58to0DPP( z;Z@G{i{*c`SQ&=e1Z*5b+T&7-YWmC#5@g0x)8U3#3J4kVACz=Ipk7WO>53(W#475- zUx{JpFNVKPTI>#q$LEQ*RF(2NQ!1~$#J8nc@1@@=M%8wgoiu**W>!ItF(A2uQ!4Ym zDU-IJWXc;z_VLT#|LVMCw%~eBR4m7YdWvdbA3gW>`Zy@1h*4QBc%O+fai9`u-M@!6 zxt%d-)7J5jZM56}L5qVCbf0|c>idfr++Tf=avzdF`hYdp%=wdjpRHfc48F=WHe|uN zMgr8P2>q{rY=O_j0%D~aRNQfs7$qp(m5w{UM|_le;?=3YY#db@NRIS$4z_Z_7jN9w z%W7wO)Pmgpk@;dnNK1!Cai48AorJ(Y1lE>Mhv)cQ8y2O?9BWvudlV4@(h}l?GK}>d z`(63q1r{UzaUYk!a?_rQ$&&Y*#3RpkUxbw&1*C|Gh9vFQ0e}tGFEF4a<2yp@BR5Nu zX&J(Oi<%;uqPtUNHO)v@x^qtQ#$)X9r~Or>qAiIXCvaQEM;_6f>W8_XacM0teJFpU z(GMfx6sUyQ)ZaUvHJ-r^bIt&QR9o21AQl>*<%=d6i3nBJUmLWU#jCP0J^K=qOizfH z5m3JV4K8&TYJ$L?3`SQ9D|aaUOVcvMC~(?Kz!Z5#70TG~Q&9pRWGZiHuiG~~G$Zfj z+xKW9u@Gs0qi=sfJTb!g{h_V3Oa+a&AQVbxd^J?^+I~cTE+qesbecI<9!f-vt;OOF zWmG`$=o>=G@uX8B&KfXw8G_N@ljSp5c3dMg&#oQ_(lnOT#UHsCyu(751Wl-_7hwMRdvAa6=OnyUW@vq&z-DXN(**9nng}4e1m)+Jv5n0A&?~YV?UY8& zmnk}xv<@VN8af=OGH3BDwT!PVLkn#tb1;Iz8U(=5Z2bq+mwPS8erWV#fM>VHW1oPOSin((3VoK75 zCJ(A3RbKKVsOA<-OkhjWw84~Mp%g1E=BepeCAow{a%!#Mxj{6|E=b-sIo`{nRxY{v;a*uHZ@ z-pg|0H3GSg4-M6#;!)l?AwCj824QB0NS2BTRhgGoFI(+w#tpku|RQe+|3*ADdf$9*8 zkplN4eiuFNsjD@srT7MvcO93{UDFfR?dT98P&^nsR?&*9a3tO_9iEu=q1h)$-+Xob zRM;F|6D5oA@9LTz`C{X6sI=gu*fmD;*^RYGa?a10j*p~XV0J`|lz%H8(bWz)s)R?D zGu`xWP+DL;LOjTL%*LQLV}t-}#rMOpJ#i)F>d9LQ3i>p&v|ayd4P`>)PbywMhj%_m zD94=CMkjZ>LEqbPMvsk@TrWyk&Yv0xMQA* z9u+O$CmrcoD)ulszaK6MD(wCF0AW;DHP#spT>?IIH)SE?TlLp(Xl*q@xRJ!`;59c4 zi(iLSdU(z3s=0gufb9}1>V^*j19HVGd}9h%U*g=};TEhL@aVRvbEu3=aWMWWMr9L- zNh>FQi9Z(t`Iw&eHAYHx)iUr>F0kegS@t48=+@w52h~enbGVuu5H}iB3zef;l>vv$ zribQAc1+{kwqO7h#$xf)2G{t`&Fh}nA3X%3lu%IlmljQE;COUh&E%QdEn%EaRe3Q{ z#>?@QNfYfkI9s`E)WF}hS|2(QGRm% zjeN~207a7VZw@c#X6~YbggEmM5M+|CYB!?<<;pZh@dS*lfDaaLLoj4;I{UJ&;DkDx z#Typ@ya}fM1}UQ44P|&!zevM(y4Eevx|VH-zchyz)h~h(76|Axu-r$`8SXEk(7KdM zU}@KT&M~b(!?;2?3#V@urt5SbMjY6=Gz_8d2#d<@_;EQM^dD{cdb3k;zP8_D`;r{h zLRLU1se=HP_LJe@kaY-}c<2-HIQ^HH0-L9(rw9XcT@#7aN|9brr{Stng30^YBk_R* z!Gflo`Jnht?rhzg6fsCk7L>kNSf8msY7Xn1BS;%Bx0_y65uU2^gtf7O(Y~#f%IP-j zS^qtJiOZtVT^p*9jo#(Rbvd&!=7z*~>BYKe>xFmpF*y&cD@z@KG%=uT9G{w2l|P30 z9Ob<0-V0-re$z`nPED>?4g>s4f5AMM>eTwXW=Amu}@tnBiO0F8t^&6 z2r9!pFrQE0Cg-Ke?Gm5NZ;}EE4Zd1;V7*Gd9C%hi)E#e>Sd7gdEBDjcNaP?{@NoBA z5^(7Hd%c`zrz+OCW)9Q}$;c~|Th>F!`E!?LiEan;E!7P}FyN8#W}&raDcStHNUTtwpG3ogQr@F_MGJy84DK1H z{E!VD_n3j~%=SL*kbc_l(2Nz_-T&NeXh8S?w6Dpwzb?c%ELvXIIkdPX?yqp|JB9Q| zZ9kkSsDU;PNr`@O<)z)k>sYb7*i&zUnU;2ScJkHCc^z{JfRJ)prrS%p=RMM29mz6; z9UQX2Oc2}{5ekgyXo%X5@2NNi8v*pU?duWOdTzm((_ZUZ1k@vfh{vT-3@j_u_|1MQ z=UG2HbUs?X9KWJcvin@D<7ZL6!X4u*7NR_L)^&lK{hV8#ZX^<*hESzDR3Pxe2z5TX zd;TSf9V;n3MiTC){;J@%VKLx>taSB2#I6;Z+9y7xod~Js2eJ&8EvVlwvVX9NlnXGx zL}2H!Q|XD0A?a9!0Mus+yLhw*yJ5@Uo^~1Z06~rT1<@%M#N@IfvE|dma3^%9o8hsbHjzyOjpPUUZGSol}uLZwP#F{iJ z9&F~;0byQwU?YLGA|ietz+0;z59P$ArKRPH4gu}%q!sHQR1@+bGbbv`fhgTc`6P9r zPsKPPU3>hNkY<4eED3g1sT*^V(ZtEx_ov}MktWz^UqwpBIb-P>@{fBPOC$+CP; zWLxG}>i504SARa>LL}O+YZ7UIM{9_&K2pt@XJS_K0sgmALjb2{M>vHw%OqVUE(xuE zuzCnmr2<7~8-MI9nFnd4P5heCpM_S_NcntndHzz^#(ICh4d`kDRdL!G1q1U;er5ze z!54@@!oS!(pN}J@G89EZX-0(_v@&y2D8YabhHQKzK}e_9CMoI&IQbMWa-wJ68U2*o ze02a+;~%ze>J0H-Ip9v_9X+8h#IdSq%!{JX#zoXTJrZjz;3DO^%1(**ub-gcs|4)ROgR`mCKWHxtbXnuBg~csD+3Xo)*Z zbd5;&pMyJSV^zN+n~d@Vf4*6Y2zDPrAi5YRNR)P?;@g-6gBn3ILM+U%iNC3Kv1KvT zejXSn*KP!Y(tN)a#?U2L|ALoIT>$ygIu&aP$K!3qeEhb9Tk=!Lh zt9%M-Z)Pkd`CZ_-WSxse&3c^Gm*mrx$c!l~r;w%xz%etI@G<9mLm;E`!-*$k8B!^U zuYQ($)z< zn67^i4gKx)8J$v=8ihwd8LfDT93Ng(GI=^IwjuJt|Z!{CCx|>UsXq8M1a8Q0^CpU{8%Dow= zhj`$nIqc(0_1ld?fpaDqF5&;S{>HH>XYosF2kWKy=00p*XuQq_SX5O#>2>mq_PgO7 zr1GJ!!p3QN-1>q5qx^j`8#qb>*zF>Sn~YfeGWR1&8W2LHy4^EYo+r8f2iK>V8DY6} zl^vuou@N>DpESFHcnsQb0?G?yTj(rRtzVU28Rbi!jSzqsvGqWTMN@Q&&j-Q-2#h*1 z1})WO`(A${;50m3TNsIA47g$n`51~zA(9yk6g`-|sD2GiZskB!h+-4i4CRB&567oe zzXsV{P{pNEchA!!pp zZ1S;i#P*Qrdib6F4?2Rskq*10XNYc-%+QaLOu7$$z2~+8E5VQtrK!|uuvxn~rEA7# zseLj<(cee?jV?R>_-#|1%S)=`hW6!%9sLq$Uj^Y)FW6+l%tlwZY`>&s=PM;y!K z0Pwo+Z8rG2NBYm#LxU<-HPx*xDTi&x*eNs7k|tVQ{7Ng81ex&pme_m~1dif4SwSBC@`Gqg+c^~zm-R=VZ$$=?vrFZd>QEwWeR*9xI*>G59U2!R}p-I=uGHq0`) zwmsd!xsbVLrC{j76Q@l}s(+igr;p7}1lASbA%{O@TSMoFeWK3o|6*f+w?DI}O&WmD zDfD|Zc;UJ$INU7#t5DrDI-Mp#UcRk9<|xE}-S!IUj^s1(g@5WmYBT6)C!%I!@67SB zb?{1ONYc=U4Aq(qSoqlDW)L0f$G-j7;wU|pqMN2tca!Nv3+@W2R61^|P^l3?AiJ?d zB8mi=N%-q-bL+56e`iOsKQ<;RFz zJ0>#>x^^D&{uFr8A2Xc4O174I>7Tf83oP?EaqS_D=o07Boj*KwI*&WpP!UF($NIK- zzj)~4e+pHJ+in3%d(WpNP&1K+a|N8lg|IB?EunP~yh%K!ogCknVth4ra{ZTxeLSYG zdL7Dj!pStLekEx*Xs%}JPKfuu?j!7PoQ|Nq?zY|lr^#9$uIBEb`lg~L7)=gbD_#Pu zL0)3raz_#}t{v|1yX)7R652~NF`6V)gjQ<|fea9k0MR}E8^|p4NjI1LtrWiizx6LC z*Tb+YQ)smb{Q*fq@mE?9@x14>-#8{AE*In#Y7H_35WHk1Nad<8FNI`1nO_r6RiQwG zoyYm?dX=%QzPqS{9juHYe&jsakTJI8e%bz39Z=xDxdF5bF&h?xjc|;w+J?-o0^Uc| z?QeE28n*u@Hwm6`70$hI2d?h*0AS40N1yOv7}ok2VH3PYj)6G z$Gv)gFi5A1v!v_e3}&sn2vd6Kh7y*@znJxi>R*U{_yL|_f>57YA*}pTBSF3C7fbzi z*ky!cEcDaWmoO7l^9o>x*d8E>oKP4^bz{SOGcf*mWDyWm12@3f@otKi84QFD@_lE%$GApG zFG^bgyJD^_lq765siM$=BfNFoLCth9!2-Ilgt%3pVx(W#Lvbw}}}EEs8$Y7sep+K*!D!NywZOKT-wo zBlO=!78m;Q-@PjCYPhk(JDeBMi10ch9#u7W&7?T~iHgy+cC5b!TU=O%ux+TqV1w~= zF|Ih2Cx(kPL4lxIW5)kjx{58iks$a>VmOMS#nh5+VrJIYZ`XJ)ejdMZw^UV`84(Eu zk4v;lL>!Fq$dhLd*@N5K4kr!?;Y$go%S$K7uXsU{zgd43*Q=kE-9ap7NE_~}N1+CQ zw5rqI{E7Lv3@$$%%k>Fp_ZbFdNPbRWNu}spKcaFLu}08~Gp;5zuYWoVdtg=}F^*1n zL`Jf=z_4qtCr9gc#BD;;t5b{y!b+ahFWX2(d`*6YYSEBlou(q$kE}S&$O(PdPzq-B zY=x-gLBlpmh~BPJOeU)oZD3ltt<#<*zjrIL0=NvtQ(RRU7D-;(f6qe5SfN-BG9Lt? zu6i1_ap5O@S;We4YCdzD5!+P!%0j@NrY*3ZBnII_eaO3@wHottf!Xde9(EKrGDc|Y z!r3{}@G)`LCxJy?K=%0k0vFkdA6-}odl}hR$p$`hXC%o3*ujnV#Sh*m1QtQA-Q-~+ zOxi9_qh&S>?DV;7Ay3_xe9wV4vW&4)Mm3uewd9rp;i9fkN>{ohEF0nUj=oUu{Iklt z<*Fmi}}Dr-JuK?lX|VZrF-QOyq8_(TqB<} zbtZ%o_pSe~tk#pJNyqFYxl*XsYnGDH&u!(nK+R*eh(>f6(m1?!NP7cOU2or0W_b; zrrYc0&CuRYh6w=Mg^|De9_7vp>^|%Vhl3Z(ICBb7C(6i5w1^~nUb8Po(@F7Y95tX~ z+C&4bjGFwiZovb206#w{ucdOkpePJEI#;Ac93M&))_~9#+ z`+k_P-CeB{yq+v6APr*Ts#$|etSE?2Njg~{0(pTg_1sd#e;20QlSnEeYcVW!TjXag zZhZWk08S6{zFPu={N6all!m32g0f0wd-gH7vGj8Ld8gkQ^R~~kG2l&3dQW~70mU=1 zfQTbxDSQ7I(oQQ4m-WkHjSF4JCbZ)}Jm`L>05AgO2P(kPPl@%)e=(EFFR2rxJ9L10 ziW1h#(NCS$qTeJ)>!(%@voQ_EM~cGu-j)))B>#(qOi@Jm{(Fb;uSV6q4RISl=1o#V$*(Y%%FQWz%(Ra-Op^Vt<E_DlJ>hkJ^*PS%7r*g)UTJIgHRSy8Z$YFj~mRY_$w@+ zJANa}-xe)xDD=8-Ij&A|sv(hqCNmMJLH(t{%r^k98?j&3VlC$drht9<8A@f`T zQHE6nGB&tHuc2~V26nzaEw13U+5U{hfSk{ssGNR0cPwr;O63D4pDqO2{tC(P!q5K- zTO9895hxpYky6CHB;IegY=_23YTMC>GKaSD*sNxvnTpMm4#2gs=k+{UanMm z@oM!dIo3+a?XJaF@S+4DoIV_omNPn`da)l~yVW+lm^u*cm^Q;flK%{+%ay z@$Y1-HbdFz@vGZFU?}(<%ksd2(%hNBk*`R2H?Mx>Z~Hop*ps5GGF1h=h)q4Ql;)tG zYop)W5+7|Tm#)p6s-*5d45^^{pqof`c>eyi7~VR>%i+%HzJ1c!N(?-GJ?@D5-o7l* zDywVKSD=VyG}8wI133sRH}U$;Huj+({wl{gtHh*ll2UmL?|R-ybSlhN7Ct6%iv0c{ zS7s6qCjQlE%f$n74&JU_>&tC}nE@2K?)``0YneT#O;v$_4;Oz@r`K!2oE zfG=6!=8jEzO16tL#Zy1X1}ya~5eEGr3LM7r0*A!jLcRKd`o-CalQ!4j{(0gQe)Vw* z^8ntv%dmDJPGQ(x7u-WZ#yWcAyfHXpkHl?#F@JgvbVbgD1J=&!9nws=$QMyGcJSe% zC+9LgGwcf~J`LueGGfh{V<#p?%BG80KpEw2j#G=KQxp*NuJCt|@ddU+9uP`T@``Tj z=FEee`1d|%Fa;J4oWS?&1kh9cR;=Pp;kF!~OL3HZzx~MJE&6%ljGU7hb9`A|h?h3b z9Zd%`QeR=(1m2$~pneqP2kvJCT>mb1#A#E%;EJb9OvA>%Y$0_}`)&;03vA-amlHk%Z5t?;wk)ji zxtPNLS_JA?x6E3<&+F?r;m!wUVzy~Mc)g^YQ1j*_Q-;W!D?GY6KX5vaDGz`KxcP=A zAP39vblOV}DLEd~(Gw5Cy?NG+K%ZMvBr_ANC1S1Uc{QAUK7|gYw_^i6#~d&y zrGGzZwuR4}h_v;J2VF*BCPb4593al;50sas!ND>OJbtb2`uP0GWWxHF2G|Q-MTo!E zk3+?|QC*M}?jfhsKv(5uKV(bJkwuZ@O+8Y2MRhsD-;7Xr+V+Ha+$bOb*JaRx4`lVa z3ae7$4(p0wq(I9*$p}?$&dnoxUtZ=d!QJt!J1k{sPEIA^Z?^nR&=XaoBFHn6A7 zt9WqV_5@D?vf&ju-EPsh#qM!eIny|%P8_A{F?Gs!`PU-y2qIbD$a9lXga0>uzw(*~b59c3d@?%bwTe3I^>A4uBn|w?q>Z_@H z<`ynqr%vm#xSkQQOF3SQ#lu6eg?C-4In8ntl%f9kn3c9u3KErKiUUtwL!W|55Jv{iHRFf-7}+x-!q&o{ z!vU($4;Qc-zSYYfEBxP3y$dbvAoV%_E1Od6FplFA2dQ3BRT(Yd;z{n~x1_9Ilm4Q< z*CkVyYLXcEI%c&NI8QQZ#&L628tRmMD7N>7|Jh~!&gD&%0#%jmL~KAWP<-2CFo_Vd@Y=T70F4*7Qvg&&&- z^7?vY>Uk0t?H`gpcMSAcwYT%peG3O;>2ysyb0}DvD+w z1nl;0i4e?dloM4zRLHLhs#%pRA(Y~M{|<1lLcV}cpSl(1V8ST4i(od1{rWJ2*A!p0r)zH+>tNHTc_%!tFy~`D3(U#mUQs_84{S)PPysJ4CyMym zu%@&7N~iXfG8Pj`_3wUSs%4M{VnH-GgFVxE_Ah2eE_scer~WowFqbK+Hu3m{rnRN{ zcOO9?Df^e8Kt6hc;bp-Pv=Cq;@mTRgg2|xbD#w@c0i#hc%+T1&*lZGc^XC(aF(nTl23MOhn0h%*_vg@ z0hM?j|9O%VvV9$pGF4<&_7)RVCbVP!f`aVA#}VU!oPxI^Ce^Euaa=GKTRf@a7gd5E zl=;Ev-_J%1>)}~s?6~14fFD&)Jc~C;N-dcdh~_1P5arGU;8C5x%%zVl`6-OsIS_pAin(U|emnXY<2pJxp#_GtO(at@_F(9&&|c&+S7t zvfLNq6OGWUb&Oz1=kM>t8$ZHhho?QS=>6*L_Tag+tD(fH>``QihyB8hv7z-)dP4uh zZe+lS-|2YDDL$o=PAt6tgq7{W5iI^WFOmOd`K**-9xjWP&lGe4bmdFa zyg;8*l7)NN;d*GyF<&6XPJi~h-jpm>O!be)%h^6V?G$F&poL$W*6A+!BOW-ad9R2S zapL*K+pxNvTP)cqe;T&;X^IJrG&tb^uPFYd#qb>>%9lnT3Vy=XfQ z?ULw1q+-z5C7xi+j%dv9>Gt!dMiKn)Q{DK=#9^x_o1YGUtndi=}l7Y0aFm zR$r4rHk+^y)_(wVc+0k3Ae1Tn2?@CvjDB(#;iv4KpWXq8EGDf9gkgSES1ZE0`kp-obrE@t5uCH0>Bb&|n z8V^Ch!hHTBbxOLpG4dOCy~pEXE>Bg(-RV{orVH%+c&}*(ioYA?xT5Dbow*Ug7_Zwv zhZIGjRFPjDO4a66hd#=gA>IJSD8UMY>o>2`;M@~|wqBi6z!OX2Z~+pn_m`1}Xqs2n zdW#c1V9UT|m2e)UEve-U#oENm#?1TzK!gM_KeS(biY=5sn~NiqjQ{yAVJ@FhbGbp; zFUra16;jiODE->_7D)}9jd;r9E+bf-JuRuq+D&h}y;))?=OaofdNIq&a_DP+RC2g| zvkPA9D}{m_5&Tn$`g;kXfl$jxsV>a=QE=E3Ww)z;AZ@g!rj}SscVD1uaH&TLm$)3? z{b3ph*jSVlS?@m|Az!_lVFIrGyqag{1m#I!57eY&U+{6sj_U$E=ea66%mf_{vqa?BUz_&hM zO}%T>_4GH#&r{Cza$tRB-8Zlep8=*9$SB*DAWr?^K3UJe8T7R3-&C>1+ST>z?-{;~ zeqJD9#K;i)S$EiFg$Zggy^KRCW^ib;T{=Kj-gi*RPWnf!8@B-B$6-UtG?Z7_^Azym2FbD{l?8_C$kFz}} z;_9%iwj|mLJ&Q{M3M_-YeBkwoi}7DA2UyTJ^)1VBX*Ir?yj}(=eVp@4A<=KU0p!sb7KOvq;!k>>vQIkIB-P@z1LcCiQ@{`AL*eraI(SCZ z^O4Au90A0Tn;x9-EP+&(Su^zFU3(?fVjx2b-}IX zMTO}3SNcS^laKb=_(X9g z^J88@e`{C14~#Mcm5I$u(M&(_R1)VA*E+`67dhb(?b{(Na`1Zh#7g7pEp*zUeH71} z($df_q-P~W&}!CL5{g5X!qH!HI>dWPqkj(qAJ&rrajbkvhN%a}q*z%VAW}TPn`9~@)Ao(lwAcJ1LL-1rjD%c+=GlF0kKCo(*8|L;! zjUk&71X{W@g>nUfa!2IhAOSrvQi+~ayMeSbqRRUPiqx!f~Ps1+M|bI1~$YJ zH!b*dA#Q2VpMiGUhI&e>g~ydQH5=dWs24BWZY*&8XRjYVRBAK|B%{21i zl_fSS5qrDHbjcRb_B8nBu7mzO%OdTZgyt;xV)iVJc~1n!dehzCA~vSL_F#+GsI;Miw~xWk-#C+V`goOFdC#O2e|xKnV;RmHeh7- zKI}Exv~H}kD5&|xvp--?T+n)+bW`4}=OsQ!gCdq4e^4NcvoBqK|H^XPqwf}j2+%u6 ze*nHJ6ZO7cte7b3#~DH7D8>_LyaSlrd739%mJ1~@JV~Xw3?4iVQX>s;TYb2Aduk2zrEjX&{B=x%WWS*p&14rc{)rF=9os1WffB7Q z2Tvc!O#HN5d7n877$aU&^k6|P+={}>Ase7qtdXrLN$<9yB08-Zq-&D-6bz7y>=s>f z1=S5;hYjP>@B_2vl6Dh!2U7>xz=XvXun*M`!2Ak4H4|>7SmD(CN&4B4Z(kf0-N!-rvYToksp>(td z6O!03IJ@LuKU$!rH-rYL(BY8SeWflm0n;f|zd}rd(P&`Vabr5g5(_I4)&8VW)#uzA zGw@e>l(-9dTqyQaMMW-LeqbS}QplKiUBo!Szv3%Um_WA(h5Vd9CP_)vM~aT9D)gM# zrN!%*T4ccP04qS$ zzkC>RS$))8RTHO3U=b7S$UUu}nAqlY)@CJwWqiuDq0Ij_`#I})K#YhBx^-7P3y{W`lKD3`en|(2NAPo78OlSt_J>pr{Sr6Y1U?Kw!a+Ll zPf2t5m*I7MtW;7SweGI*Uqws(PkAYDo+9ddN+s|K$35jp5aVmhV01WT%@4-{oymh$)f?kHx}8`2 z&Y>O_AceyhBz|b$z5P>mxkX4l;fJ5bA!w%aA9)I;I2aTD_+Ucye4pn%72TaOX#M$} z<+~nr=IOwNScX_050`_JFvl1w$cC#}7kzV_mv8A0ZJpPjZtx28BG2R&_O#b}6WB3h z8o`wz&|&4MMgEO7wWjs6KpV_7O?c;2rEf_jV}KjdaTL71(3TzhL(Q_*L>^&9hEVDi z@coL?NCBBsw|zO^k9al*NR1}i*IKZ@dMtVLBjTk_n^qkq{Totatm{WO7OH|GEL)B; zRW^`m-7JO115+uj+in1W`Vc*}mj7V`q4rhfA7zgSGzdk-l<)C}mG_3gLBp3X10F1z3 zD=~rrm9eZJR+`tBtqjS35QCs^q4-vJVc2F?O}`myOq=5tmzWPAT6Ib7sqczxLI z0g9p1^qO{Y(b=BJDFH(FFd-CPeYy=Bym$Q1Nex?@R_^wtGs*ev_z1a2_X4l8fANQ~ zDi4+XY4r<(~0%hA(|1DdxZBA{@+j&rP!wCul1oOrC<^8td!VFM9a{G$cn|fzAiNW?4U*h?z!F7$hs# zJT&?KknLVK)2obJg{7BmQj_E7i|P-DGe;-Dcx^mV)($$4NchP42Y!ARJ}hJ_SuF17 z-+t8IQUT_r5}ew)-?~4~f<9geQ|+M|a4$M0bN1;o#lOhLzA;*4buqIr8pC46=8xz$o-}-(tXOJ5ej+I|RWs~0|4~@69LuCHbw-YPf(9$F z&>niE~_%a1WpG%SMG3DWHRDvt1!l z{d>2SFwY}BI-*2SF1`?W14brV4ExH^Lc=Z9~ zuhLAB&>AN$g2lsFm800<`292QqYDC32MPjSsM5r-yqkLWi0&rrZ^Vopf6=6W0oTAd zm|5W8+)utYL^V$SL5n~e_1_{2IO2AHQ$eQgcesvRVQpc%Ld)jTvszCFOEBtS34R+9 zFPAEbIqf0Bj!+iz-_o8xBXxacPZq#rG2V9Vdv-PMXlyKc$r^8g z`+x7&m3zZK>&yE`#$tNI4f(h^(97!Vz5gALzFHGK+FlHVqG@MAOi*fw9^@*obfHpK z|A2(W3{H@sVo`n~9o02;^fa@f(n1VPOfS*&q*Cs7jXAmy#J}pKdAbglsEzB;S5h6Q z1-PKBw()JsL@`t6IKC?tR2xt);5(T9*(#RA@Jk$*SN@T#Wc7Vwi=17#Y8~_#o6$al zNM)`ExHd;;^4OBM5O)gYTlxzyC-C?yQeCu+(>kG%i%yDh`@=ckfEcy5j0YKNK%;EX z5>}rEwBesUE$hi)BwCoUJXK$hq6XTwXdiT;t{=m+j=u?h;kP8%R|p-r&oGRy+_0;E zw&wl(m;D&I#oW^iF?;10XyflOKEOxu*F*QBC)RNmur9$_4nE(nH%OGx@q-^v2XMHF z6xF8bEprA^1~^k)@~im@7|+3Fr}H6hs$gS2rW^Kmj71Skb zGjuyWmgBnjba%`E7Mp`55ut5;YA=PKWm1b`{~A<8ry$Iq_c}_@HYMxuf~H~i{jp4e z)zd)@JUOB}1bDE3fGh8Lq&+_R0yDUR= z_;D~GF{_45%%AU-`)fWO1%=`^w}E__JCNu24rmS6f2kh3847eT#*Oq*k&2{=CNrPi zI)f_b9E|N|nbc7+BK0fj`MJtcda0&(YVim&g$CdHmkGxDP$|h}k0Ydu|G9a2I$hm+ zJ~N@YOal}obs5z6`)0aZbp>;(uN41izl&vOz=lC5w`QeoziE2NpxH`<%>Y8gKlW#qkQ&u z78YeAr=%r~5SH;>IlA}Saz9J8hU|aqhmJVd+DH<<42x25p@@$UIr)jI(>Uz*%5rjqW;Aw99OnA8g-&Y7G81gAy8bv9pek5W8!pQdsG7nN`5mX-5&8ow{t zv+$(9K(KJ?J5#q~ufw5q zvW-?tuPTupi8ukyL*05oE-6^E=~P7)TuYx+01 zL&zGrB47oPwtXzurEah8k&Fb?jZCg$qp5tm0rc4N-(>+1RxZEgSu}FU>b-2YwNr{S zyR;s{PqT8VNqfFKheY_oN4d?J>S-m&6jb{r0*QF|E?Svu<;IMLp0K4sojhWa`L?moV#MxR=7jZ>pI5H4=#ckF@mpLG=^_00*I zW%ljsIv6K^u;p(;7nLewHhx4SH-B^wa4_iFi4}#zAKd>1CzuMuA%BkQQ;?6XCBsmU zHL8El%`N6FbN?#8*}T?{R9w&9vgg=)De*^nqnpI+w9jNH&-{I|Kie;4Qg+R5l+pdd zSSp;h{R4;3`{U9t86c^}XXXAv`Z~077QPoJ9lkb_HTaHQmJcI`W+^X(A0k3h8)x*= zdK7qPYi`5hu~*(zf|&GSiO&xW6PO>{L76WmGhQ}5ke_|xhNt`TQ=;ctD$Vf;F?2J3 zgL8WDV`V2N*`Mr??N?pU_-#0ZIL5meozd0I9ZDzYG=u!F=uV|GB(nRGhlVpBQt*IN zUUMICX$U(!R1V0lG6Q~N;Yaevq(T}S8{nC+{jvW!s5R7(ZNbE@c;LQ(N}wi9r0Upz zBcDT|I?t7;Kb-%ULSSf%lU;d?8L6rc0>3sYeJ!YZMo}HAY5%)25dH`!inQMoUd}D0 zJ_wJfY?HAxeb6GNLtPy8Wt`f?Oi2D5-3We>Pv{N+rkyOS ziJfNnt=nTH%>`CbP%FrWbxW^p{XH&Ef#k9DwiBAfMZzh^FhmKTt`QjJ<(Q+3R*c96 zbRWS$?`9)2ZYy!JId6FQ7!)eE*AD{f$b$Y+)DpmWlJpDOjhuOE-(p$$3Q#)TtO7FL*Q`Nu2Q|$04-YiUIW|x*2jPtZg`Yu z{dr?G7rz27s;j($*Gj!?pz_xP^#^W1oy8Y8Uc%~_Fq-OL?3a5ppPd!G{McAi;yLCE z1YKD~6QcNj?XaTQEHuSRT|y;A3GA;qVI{iNwt$~TQ<4q&zwz@l|AGe!TyOT*)NI&b z<(Dye77dr58M3Vp>*iLjCA;~)WuSt#KENd+Cz|Vz9MK~@v5`7zLDq)hr>D*?eW^Pi zM`~>T2J<`yx{~={^Fq-&YV+>G}>AJU09IX}}BQG(rMF{w5mLU&t|C)ejE&@`R6hi}F*Yd%(Tzur{h4s9 zGM33JVtkJlaL*?Dz(qjHC=1KmqMT{hi(kdc^f7t~YoOq*?!n@d-;P;9fk)JSfADks zT-U=yZm=}ocoJ(Aspas%M>$a`O-5xEr7d~{2=4=+^m&+bN=|*D%DW8zngvZPUtEA>L?_|+a=*KxsEN`o zyd^K!^J+fdnV+SgpiCW{R>}#hg2v%oaW+*YSUbIyM_nw^k(FrNGS6BT8C7&rr<_s5 zw4V9XN}|?SevG%Qn-Q*?`orqg8@fd8LerL1toOuJXmv7oH7_#oSsGmqwVdGt?Bb$2>BSaptN}c|e%{|YTTuhP2r^tf5 z=JaHUIpak-_(pg8m1uvnB|Es#1IZCFvzos!@Xvv9QX&WRULHC7a zZ=k;E^O5KU=tRN$<~Bk@!5I72v2nL9XhoYjhDVNngVny$egx_CBj?BlC4NlYv>?eE z#nJ6Jf8#kDB*bRtC!LeTDoZ?aH z^cQ}KOjl5qaDvRmo;#nbg=eF}*JKWjL8_z$cg2W8cp6bu;>P@=NXtp;IQo%wSFVkP z@YQZ#dzoPct4a@7?uolqY6{K%CX@W&|DmhXRTMX&>igkRU#Yh5XWF%J8CGh7&vATX z4EyG@b$8#MY|9n=$L=gq15PTcZYP>+yB~jbj7xkRdde$S|5+Yg7_#4k$KwFEc*yEI z#xk)pA$^DPQV3AW4lzggD=|IJ??i-h@mxpUE38Dm%IO@=??L`fTK}{F27b2%96IkH z#P^7EU`2+3fYCgxp*b;oaRjky6oh91mTT$H`vQSa(`1^^`~XDoTR*CWO*GNxOT@NL z&GH4RdhZF!g3*_)_=;v~ZFd8`kT6A3BSzQe2|Xa0NWn0D06DHOb^!F~=z>Y)58IQ! zkHYcOs^Gv=@@3Glg8WZrY+~G>pw4;`Ql6f3z3>L4GOT^(><}2#6wp;3WN_ zTomR0e83CFX0w06I4^_9)zh%_;CBA@5{P&UifSOKK#Gkg;(%^?KUe;-=th4sGuc$@ zg#Omd)bRCMczOESDbY~I-<5Wr=R72)Ac&bu?k>rIQ2m_GkReSk~4;C4^9TP4J;YZLYrvXVFL$U zh5nw3^2`5;iSgeqi*fc=vo;3iMm|!(sDYw69;g7B2I^RwIda@^u>rr9s`?bAB`Ee%Oji- zd2@%r93^=^i;RWJ2x6GSp?_e)yjFPmP7!52VtXjn@U9V%BKpG>GeLb0q+dX@$Tb#l zshN+-09@d$z<(h*?}7yv#e@bcW^s7F&O^^Vye7i5IQ{kLOYD!Va5Ce+0LKJSz0sTh zWexp$YCokFQz>YyBVP||rK>`JM~C|Kpp2-0o43{qmO*JeNiGD`O{@mk^XvV% zUj0|RCmkpiePXxz{K*r*7)+Tk+@mfS_iJHZZ__Ok*1PBbq7nu2f9NpMrS`}zu{{*@ zK2N2uo`j}ct;_)4vU}G2Y^(Q#aq*lVr5&{Ft%mIv8rhR5fLh;YH11%sNWyF?i+$xu zevn{f2;K}Je96EpxH3Ue`TR{7cXoDzlI-XXV-(s z7%>nTDQiWc;tQ~P#cpxf7KqCb`CT*Ik(2q*ww1$o=|##!B#ML@aI`y?O6pICt3i2_ z=1l_8@bp65fR;QtMk%H`!?bP28YhS3uAvk0uh614nsxZOZ#KZ% zhQfItCL74Is^lDDoztM#IE|z?kp@0F_x=Nq%W2K`6Gs8)uz-V&wf-fULqh}wF5E3C7p>1NSWeOhnCQ z(*5mK(}Ubrrf%W^U*re4A-?AuP6H5J1`w(+oB^sS3ePV={mye3>MM$r8&2^5JRmV& z*a*|;q3p3l?1rn2lgKJ%JHkOM;Gkp%`@w)urY_oH^kTY)FBBjV4?eE*)xOv0-dloyr{OtYx{3oCECzxQ ze>d~i>W@1AeqO$&(>qCpT#414TQJX=kK?bD98}?#15OEC^E9qoW(vg+ZEihTbL!nY zgK9p}b-=<^Q_*XD(|=po=$xiJuzA@9xt)-r^U5J_+>wiUQC& zD+!SV3tf+@ezYno0%8~~Y-u4fTZ^9A&B=}q&~Q-%QvyK(q%pToyvsynO797e6% zJmlE1^__2R1AG8nxCA;y19qbs>o*30wA++76J3=cer>E`q0sTwZ@)^0)#-3mHmBj{ zj3;B(z-xWtTka9u6uWWH=Crue6emR;{xzKs5n^$W4Ry{p+3ey)1-ZjVEW@w{n&V%{ z75AEpMouX30hn&qD3mt99uQ%FMbQzSoEOWRNY{cDDcEasZO2dAx1>A;h^*Zz>du_u zC2J}RhviM1GkHD@6`qwTfOsdN!;)rz%&BG1yCOLNrHRN-4vN1LOj|`vp5ac*O{#5S zQ!Vf}md zmIhZO^X94;bEFHmUq))V=Ik)R@M3HOH$qKZr#_SIVnoRoVsyZ z0ng_C~B7D_OZi@-bu19~|Q)HP8esLdk)(?(&7ROi;`Gn%TR7{UAX5#*jM z#c{Fj-QgC~;igBmqqGCy|pEyk2Vt4y(j1Dk^bekCgeigxA<&X5tK-qy8z z0U9_9JN}aQlo)vzI`;gaJob+hZ{+vPC{WK0Ea5|-({84J)kB4OQVs>wU=xpT zUfk8+A{|nN3=mm`i<$fzC41aDpBIDG#;}g>+M)6TC4HAK2z~$-huVLzr$RI^2oO9N zgvw}=9`yD^JHuDJ><7r-U)k~l@NBUP>fiiO1)`Wi*B(9Aj`qN55f z47%*WyAjSqZPZiks1=Na<-lrMgd(($i}mNmjY{s%4bORwed>38VM`w7fq{tBTc zZ7kusjnZn<#-R{hqH(xYhN!DiMc9z(kC8xsGVnsdld`m_^lU8Iw$`{+ld`0j;;Htx z8fR$uC*LHrH!m$2UJV8}8q{!4o7jcmYn!3~xG%-O3p%EzHdePJp@sK+e14>zQ@HqR`~=as$r&jz zTB3d4d~uT94gxoDWC_)FJt}EfiOvGWot!7kaFJgRI-R7P&N^}FFAd{@i?2(&0Ck>!$r0DnVGcX6b#a|E4^+00~h zf2nY`ei*`;`R$t>#;?7ohtjie63Eg_@L8GAe?#W~#_SwaF5vF>A$|)68bAg3D--JW z(gB)mo={5uY7HJK^dNmRy_ul4LVcuRd%>S8eUaFwNUMWCP+V3=&?AZ#- zrAF$5o#;vc$PFrFQwj+F0~hpAMZYdBl8D4vx z9T-vIJ=mYYe0l2G0sh{uo{XgUrBVZtT7KMt?9b(b_k9g{C*e2y9wTU;6ebuojsd&lFGjU*Z=?Xx7KSb{wrG+$Q@9{Lpqe|>EaWI z5-R7xxnx_6*I%m1-5{5()qK$WrDe=wxh1F=Z^ZTU+U0*+-WWaoIsW)lM-f?u!^+pO zB8vEB{Uyq!oan9xhyIX7K2bR>!v@+r%yhvoUh7pcq_%AlCIOpyh_B5OcFgz;>{j&# zc3kb%h=0g8o@Q%|k`%KFEMXDt&q&ncBs^F(mOD2e{Y=q1Y>$1C7B`pC-Ei<+T!L~w zXEl6YGuIy*cJ;nf!>YfS7RcG*+X7+*smTz3z^O>Vi3Gpmycp)Ou)f?3V7Xo*oWDXI zani{1adtgY(v)*qGrFq$tjsj7aY@$vEm^k2VmYYGO- zU*&mjB?w1Y0c9$2);L?2lVVHT5|Q5=laX=f?qUJAZYai|`2mJSj*h<(9A3j`n0ws; zxWiv#lJ+AZEedT;rB+cC!73Hw+e*Dg;OnY3T3~uU{8;9M)C1K#|%M~wlzPz^OOX` zpO5idYqEo<(~&TNo)Ru3GplEBn*Z)81JocOjOuk*k^@K|OGmD!%7fJv(>h~12bj2T z*2arN+%meC5%gONQOqxhYETKd@6-QMH?Ub;GsxVJru3XcB4aGv)ZZ%_h#yF=`{C<( z*d^ol!#(uFM@~CcNi>EcHD)LE5}%6AKwLyil5x*uV>(!1y>3?5iZ2HcOIa=xQU)d^ z2W$B|!fim`v)@g5SJT@A2$Lu<5u%&W5rm{VY6;1>gb)^M#zP#2r%eQLIIK?-^jZSP z$YYqVqdJfmdMenUXZ1ge1zy*Zb$`$Bk^3rym;lMh@1x6AL|Q-*4Kx&C+LF{&+Uj5{ zwTa5pb86vA)WAMCpM0_e-`6t`pkq(Ih!BJaR?hy_U6G&3n8V5BA0jm#zk?fiq`^S! z=3|Q`N!SLSJJU)Ox={|qE}@1nq~=ImT>kvkga z{_wH-+#Kt2z04Y&6XUt8HVg3f8!^V05GLvg>J&w9XM2Xh=j62GlMl;U-#%82G>#^l z(t^?^=M*0bFCP|R%glOIO{r<^T%8X*UpIlkGFT#3W*KAnSLA!ccuXPGES=CX|NJda zQeY}lx){1`+F;ItLA^%M`_5F37u2DxMbe-Y?`a@G?6V-Yb7!On!%2AD@ z7eL_Zjn~*QH{Hqa@$5rhNWbuS;Gc`d?-_Mf7vb4fr$5{LZ%6D z{5LRp?`CWy&)S{7RFQXWGRH8Xn0WF>a77wSymqTkxx-ZLRO>2W?S`EYa;3t>Ug)f8 zByoD`U$#Z^RDim7{!z2PLzpxj4)nwQq)r zbQZ*y**5a_&25UGs&mnY<<;O}o~h}q5^bL_a{wUuvEC8mJEI6{lBNZH`Pvv^K!RoH z(L=4}F}(ym3fM!ZjM`C=suo@-RZMq<7U$dHPjNckJncY1e2{^UO*u~kWIzhOCmPz?Rk8b)TsBxtPv)R($ zqB21Kgy)BQOgAHOyI4U|qu*C6FyJLD%|jvmtM`O7Z-MM*ArjE~Nbln3NXGcw&gAs* zMW=@I24PPB`^_DYU_hh13Cv+0j7!?M?Qf>&OUFuBEYw0c;J=ZbHO`j`6cmCO8WjpR zTS`TbgcB$iT*k)y;Ab8mE-k4w`jqJN5`*Iv-+1E2js{r6fncmpE@C;`bt? zdl7zgad$54!ON0NWE~Mfp?d@=cRMDeKtD~W<@9f0CV&<=l4+(1|L*3~=1w1sbXZLb z6cFxpI`DVLehMI=eDS20cI;qm3sb7C9)6Vd%Ry0nKC6Fy8BAjpHUp{b0Kck++$H^i zzvCzKYjni1^aLx#82a@lCii(wT=FAn>g)Zzhg#RcTF4Q?KX;m_!Q{|*M?Vzq+4bY| z;s<)t^4g)q9z~YdDOtGiKDt3hlJ7=8)a%spZAx+wROWZk1M%-Q+NB@+wY zSCcPKNEtaXsw#W>#2}G*da+i)^q|x4RS6K8afe_|UcXsjsF>lWJeA^KX&QLlNil07 zEHH`n5P#aeVuImrOVmsak~=&uI=?-{UV@FhbY=9I`4DV1x)JK3hdlkUdYD6JAMZ}t zh~YV|3TlV;SEXdS(jU(9LB*(Gb9cZQLeW=viWtj7on2bbN*RwQCsJJ-W5y*zxLb^t zYsCVc@Z}fR-*?$R%l#|V*8dhnwO}d+H!x}2@sb1sVAS|QzibKqS34ps!SP?j2_~U+ z&hRX$sqM%!c%>Am&>zcMXAeJ09G52cJ6wIOlI;ae8bZA1Q-J$Zj>b63w7O>#F%x>pucfAqOD7!V4ZyRuv(y5tTLga)3^m#`yhKS;;dJ=Uf{c@b4F8Gw_lYF(Cgj zUxv=xg0}MJ_>1QNIJwZ}eqh63iTHv9E#pflx@4OhAgewr45*N&Ivem36X~6tcvQ-p6+W z5x7_I24L+Ed>Gc^oTM#Pp9XBVW=zJ$`cHL`aI0VAPp)4|1+*%-bQ$=$*59=qQkDgE zCjPvy?5{boDa{L_?b8`PX!-34@P4?bdQbe+Y728zBnX5`I`(#@1FivdH6?=?|2*u! zYdlHS*L08vKJYI*SpcFB@d+ZcDDA?N1_CA6>;CN_<9cx8lm3*sFmAu}dE9YobPWyu zcKK-FN9}%w2-sNx=vals4ZEgh;_D1r!^%}bFSZx>rp-dZ};C6-W`*0 z`}Pe-y)(K;7c$WH>TifpRVa4-YJi{4l502<|+rTY#>${&a-+5pcFiC8~D(6sx4+{w!!T z=!&_Tw{3weG}vrygF@LcLJdHshcs_WJjp%u@rJk3YNMCR?xX^DTg8%ab!LvqGAuP< zBN&2os&_a@kS1_7<`atN+^AI&vh*sh`K}x=8bDo9nd)_|9>H1U{rr+*KR8Z1S&joQ zyCHYvKvy9-mELq|fEz`^>K=6aqB?Fak8ekDnK;Og)@v{mF6b!{7L!E$zd%=PV{9Dt zbSGH_|0jxK)f=I)BKGbo|J3j0TJ6>874~8f1t+K06s;n1sP0CPv-rJVUMM0oFIa8q z2T~cq!{px-%`A$1`gtv|4vLSM)lNME_>{=he(x^w)ch3~a;MZ=d->D0X|s%F)%C<4 zh~J#>(aY;FIcQWlj%W4LpQ0fXNjO@Y5q$j8s$#xqDY!cinxoT>im9n0`VRiKY+dE+ zawlJQEh?0;7CM=JJ`iti;r@nN;i66Zmd>iA{{26CVc95vj z){gd-+}ne_Y{DH~Uwcq>{(iD>#Hz}i9F#cueT04296?)^Lp5wnuNQ+MPDo!^>MK+0 zM08E%-Fv^+-uFTh7I+=6;&B(^us!h`y5Vz(mCz8QclXj^OQ17u|z~W|a+hH64p)P{LWFsx^YA zWIw=X1TtxT@4rq~Z=zzeBQGu*XgTzb0G={*v+Gz%>HQzAXevLp{6xZ#p?hIwJA6g% zF94g+b={o?=`8+2Z`N${eFtJrmImxW3RSuHlzj7eK@1}Kj8+B&0VfwH{zV@04`O{Z zTun&okyrE6;)U_$r91oOAsIR^MT3gYaN8w;evN>p0FvT3a1+B4M3J7CE5zQ2TA*++ zQ?3pHFi>PEu0xBd$N>Sw+pB5rZNhqS#QTVg-o?Prq&T+t(Y3nTBFwv~kV#%hm=;&gG z*=uE6nj#32P?61WsELk^$C8J5DZw-)=8oWOspLe_0ZmjSox+K6!228(y3%AY`!61O zNKLKQ@NwYtiGi2u6DHg_H5H2Q}UmDRpa<#a&*e|oBqzhu3 z0p>5T{VEI%Wb0w>{J`GX#L|`(40c&ei6V4X1W26y4T5}-_`i{XO*}S4admmoc#qDl zc?u9|KH7J^JVUHt{!gKY!G!bRA({5+!J=-#_{$V>MV9={fZ1BE3dg&EW&eI9Y3(KO z7FF}znq-KP(oGNK_ic=lLF*+vK!+S-eFF_4$qiMOG1mnWwysZO+v4XJ(%^bQD&>;ZsP2P+l_{qA^Ut3Dv0MdS%c z?jA=2kOEwTzB8vAQaAxQGv-I>mMfc~-CXvrB)_piNv{u+Bcb#CU+q^z{A$>qjx2TU17)qR_BBrAkI{;~nZdVKYx z5_FR)u|ouT-nql1Tk+3(l_BqMpDR&Y1;H~}4sDx=_RnUV49Vdn;eWjaz5Wfffqp@n zG(IzUNNG%z7mSrJgx<`WXHdorQ6>*EwJ59QFFGCCE4Sh>uk;BdOXAO0L5W4ql7Wye zO4a@J{Q16D2D&~XI+UH)m%mknRILuMbPn|A3jJX?X$z(mFnq~Bov%{5L6T}Z)f>O& zzER9wL-KUsrQ@P>OB}Mdv_;w&;J^H^8#3798s2-Jvw>R&AL*#*@W7^;K46&0d(vyR zPg~c8x0mCz_XQ|rxA-&hZEYnbj1D`v`XT|`Lf=oqADl8k7ztUgMRj=&(2^F)bUnj? z{wDGwsG<5;uR+Q>pmMYvM8je!q8Wmf#cDa1xISWB?~EW~M^2cQsah zUC#==2PA$Y#|_zJHeJnLb|NDu3i?}e_Qf|MsEGxEpFMa9n*J;1ZS*)i5NEBgJgsUN zBKOwq=32d2vFOXI;%W5g)TVb#NR@cDGoqM*PBqpSZw}tb z`}jSBJK{J<4oJerXSQI~Wl&uq9k*UCG(|^Gg>wx13--iAN4?6MT7D6{jQPbULK+!| ziyn6x3h>}Jc*9xyGnVjBRK8R!>z3=0%)E`-hlGom2ZUZL21e-*{M2Y82PUgj$YTxH zBM$3Cq*ruGX}W##*9&%qq~NRNWB=y4m~JB9gGWx0*wRff)M`X*tF{1~HWLzh`{4DblpS;P%g1D)V9O0J{PSH40OhyGA({Lj~7O+bG zfwRqM4X7+GSH*8wwrYtH;)ZLB*PuDD#tcbXrdE7{Rw-tfj}uSl=p-UEaIawd^1>%$ z&->vnx_HzKZyD1kCn3*dJSS5!HX09J?bHCqNdAy{V!Nc;?XbB6*US{mOqp3i@!b#H z7mTF(7dUQV{d#+ZhRCHp8bsq?`M`Pw>oX>&6*2Q+Wmk2|9zniI{FPeVs*$JJP^&se z`ZpKj{TquBLC`TK&6tv}UrrtbvOb_73peB5?ia9i1k+L;cG(Lb;C>BViVPEfUQmMh`N?!k&cYB)BNGX29>VdotH5jhB%dpEcrh(4pR`?eC-ZRa|NFm#Na z@#M(*6-f)z7*H3>v?@Vh09gIF8=hkAYEfnEqMuVX{M8GY9UZl|hP$|@W~dOjfPwfs zBmbz%ym^yc`2_m7f^^jpmlC<+f)#EIp90jt?5$7l!3HCL@(02n07Wk}@}Ad5##Lo* zgZnA-*vZA3Y*fqRFbO6S=8K#;tt!fJ)4nk{GD2j~f#d+E3;}}w^z%hRr_yUI!TyR# zho9rcV3fM$D2ZKC3qa@ILk--AhoR_Oe+^aS({2`H@%vRYRGO;!A!Ww69$r3X;!Z<0(yEm9x~rZ|jd(>3 zKnZZa4cm0_SDt1)L(?iDckWP(n+P8Ds|Hh_cNz}dt+i2PZ=Zd&{*_T$;P;iRlbk$f z7hTq*+1h*-vyr$h+gqc?%R4RW(DbQ3UCJ=a?G)GV=F(> zlaJO5lAs;t_9_ln;~XdC%x{w_Yqi`9hkiyzZdBqi4wtC`G)@KZl^Pr?Jn0rXUmU>M zG=34q5mrPaYj@3-j_!<-mm=py>*9?Wpop}`JSFIbad{~A9{XKfmBNJI67ADh*o6Z< zgq-uz{e&0cu@7qpDkj#Rgk34o^s-I4`l5>7uV*F^zy(;Ct^m>&Fvb#}#RnO4DV~t~ z0nvbiJ-@}BgF<48{z4`|u8FT*3 z!+d>k1%K9+6FbTk%pOy=fzHgO>Tc!ZJGVAi+xs{o7~NX$gFl#!?CalZw|+F!g#OI; zZ?}`qf!N1E{8mK9-e6zpC&6_WB#q`33OVPIdxmypq%TDf9=SLCO4O(ebV2T-k8(@X z_z1wm5T6Er1(2UfuFT+XtCd~XSJ3{<<%#0D1~)~LHw}~V^40#PD{}$dRD3BNQl8WJ zC;w2aVl_mwamu_9XwrlHQ8wb2-WL0KBWx`OeZXQRY3WLPI|{MX=b#b!@;C7A=Htv< zRfb8YX`*CXZu&VvO>}^IR#{{9bG3Bf`jDi-QC?u5C644hap^QuW`PyZwb< zK@MN&F%BER1_#C$2E!psoFDllt#hLfeCc;oat9g*!{MCA^6#(;a-YhOQFmm=>DQtJZX%MD+8MEA}pa&sTUs z|Aov{o>cX|E@UL-chr zJbjfIv<$yKP&gEc&&@(SAnzifrv2~}_VmGp;sq_Pi}Z~EV*Xw1INL53n*H6eYt62e z(zdtrT#f7yd;AK;<)AclTAyPrK|u7ib6k$zB$S*YeQZR- z-rDo6fBdwX zAeYU#d{L*d?b^e7Rk%`7S~Yo^6mfN43RK+cBPH)ZFxd8DrB@R6`&Y;pZ_3M2U2LOn z60_ol&y>&ejfZx1CSzbVq%$k>rPpDVhG*PRm*IT;}PmU>hftU#6_a zvPgef%U!69Y9Ep184IUdlqlbWo4Vfuq`g*7VJi<*2nGh@zPGjqcw!NYq~l~gfJ$uT z4kB)rBiI@P+lL8%%RoDpT6#2(LrkN3RT_=Yw1#(o>L#3D7+G#WfC3SLAGPfl)ZxPW8wRo|PMw+K)V=rkVtZ}Qqd@lV^eU5XB z>Y2~7f+VbZ#C4_}F#>usN&=X;@`b+gv62>V85L{|ba(2JjL%U~(c(F=14%7o$zC6O z$->ZM`82xiHV;)%p-kuL^b_S6q6^@l)&DY$8@D=(;nCYM8rV16yNmb#(oI(>B;!7i zPyaVFNi@_!NS5Oua5E>7>agQA8#hPaji(SVI|tLe7zk7!|4`!GC{6jZaOSg=pTVb0 zUP}9{<2LP%gnG?u`08Jz$s?3yS5ZFL$b$ak&ym%~-E6 zwj0L;F@udP|KI~H8(#jM^Ay!;<44XegR3~=!dxc1@B%ka-RoPSMK+YJ23V~-ie6gp zChexkl&n}|{%w=7WIEj1c~O24na_S;_t~r=Y=@uCnmezRgJ?4WG0nKtg&vU&O%Una zm~N|Fp*p~77_>8_DJw2#Uh5oj&78n~OzCHdNJBl@ZJuSg?-x?6?9<5VF(5!mo)7Iu zA|v)G|5GS@KM<4KDdcBzZlLyjL$7&yb$-_JmGFbaoMs&oE-{%OXw=d^oj)4`9N+2w zc|G_0dE>mOzJ)(y?8`Y?F)m_6QtZO~!j?U&S_}vWFwS#78g|wnxwO)IljZET)MEK) zu=Lj|76Fc(u9=r3-p^dUJQd3#VqNE6L2fUez_vf;=h%!8f3TAo4f)lfX9_KThRmOB|Xd>qPC&2HM z{?-@1#SNI#$kd-^aXE>O&!2x0rZ@UctgABwKVD|mMcOg;YeTmYJid?L?-@yZ060L$ zzff+nq!~0$N$|5odv4;}YWUGttk)82X;OBFiE~$#A66bwt#tJQalvqP%Vq%k7!9xb zV8vEGQm<}L5jVz;wR_GeP_CKcYcUg#K^%4E#ks@lmMxzQD-$$EH*w$teUviRS`%#4SBnYN5J2%dWH{Te+a>2J5r>dp|#QeU4i_6_r&KeVZb(f06-! zLM5?X=x<=bi^sOEl|G^=NuynPZrsF=54sGzC@Q>7PX1={#zpX&=cA)IS`kuM0_6uN zty!*J@TdOQtRTOd0ALS{V-_R9NV9?`VU-CEgPmu@kj>bxd3x{sLHbvmnjhNZwmKil zB|}zCMF;9j+O~hkI&!~*roxX-WJ!=W{O|b?%5CUz#RPxjC;sf=`-2Fo|0Kj9zZU~u zr?`!A8WVIVQ_Kw;c;?XbevMeTms}FdwJSDVfu{-1SKg4F$HQm#asrL>9Oc{Pyvu<2 z_umAwN7AMQ^UB}+kvMPZXTR0j1}<#H4n8eqfWl;kybn}dhqH9SHfE+(!b9usQ^b~8 z8>iEt{Owz36uV`Gzeu=K2JG09sX04t$ZgzBl)e*wn?o>~;C z%_aEI5h8Y)d)QIBm2I(4T_VS!a|=4DS$y(}h-<>j7{YEiID3vu-1qtqc;wq`NWy8B@M|hGOxkPJ@fd05QijW zpH=i7lN8oS1)H}q>PcxCQX<$qxz-xwFVlviz&bgG{!I+*S}ZMmD~RKzP8+Cln}U|@ z!5OE&es$xU%$B%5WZ}3b43E*X@Xge(E3R`JZ%Mk=@S0PPM080mb)Y-ct^*pKjT|l? z{OEWh#eQaWm=@`Dr0K_i(7W9UO*vDP<-AWF+^us;7Dow=iidqDyD3XkLQMDaQn;8L z1M*hnk?`Diaf1v1I-i`TG4)ONnbCdZZ;RJ)h>RUB_C)Ujz@*L^qKNi-;oykZ*tBrKQwGHwzLfX`_rfa_47msn<}h>q+h`l4#yktn_d zrf`z*;E&%Pw&)ao)g-G)@2Mx5(54j3d0ZZ~BQbpZ_IpP(q7OYYMZQLd*;>1bpa$yR zj>{*4VYM5};vu|7r)Oq_L^2=2j%84?)BVEbz=^#G_@ssmr!(s|EQbC^QECB6YrBv= zhqQz;no$PSNV(ir7EMsZ11z_<`Q`JOsHHS-8xm-I^*^$uc?|b~KnECOpgBa}ia^U) zGmF^!f_9Pp4l`>%AK>7o=VZT(^BZ+YpRCyB89jSW=|=U}ihhjM#Wi!4q}Sc9y2MMD zyI=M#Yk!HesBg1gQ%jl5ILiP=7%Dwv+D_H!DGd`HQd)hd_<=Ho5Bc)@I`A!Ld2RIM ze@&cGXo+CT@oJwPm1uB{^9Z(uYzLD0C!z|;irRtcI!|K7&vObo_mC!kC@9mw>D}XlYs^&xcW4| z?BmtGsUFRzf%ue??3mM~RcJk*Oz6Qu29H*wy6UCtp|C4ODLee?gY}yzlUaV|U!~|r z{W__H>tg^+Qbg#CjYPlB+>#dB^4hce05X#d9)7#^Z1pV zI%|#u`3aH$)VX31?E=C+^xXtyV zk>Q9v6|)E}%VdVmTqZt~Xn2zpez=4* z+3KyO-zi~bPt2ee{a^$QDUkdkF{r#^WyZF^HB z_%iKP@TtC0TF!Ud1-SF$@v_Ary}^P~`iw2cez=!Ke(-7scH?Vi!Q{VSKeY+^#)^XQ z>iMgqL{`Y;ET3`<$u=xJz{jk)-k1BMJ<44W&;vhX8qsNz3}j=OlvN8RZJ-&LZ$$Dz zWbp*89g=1y(|`87Da#k5fiO@ec2!m0S`me6bJwK%Aq2R`5GQ@Ep$ML<10kCGvwTSF zqtT=!15~OI9Xk0GG+rj)dmdJBtUe)PE@DG^TEA1`l!v0+WlR(_b zLJYWR z^s#ICTjoGvXBaC!#jEJw5Eod&@cqt5hC&7qUq!9LF#bIgE(CPSV{FeM)K8ZET0jOp zS3F-#1+w?rud`op5p9I9FB+g$I{QFE*+tp=dB$}};JTA*jf zN%SzAzqTcGNqncj7;N!NqtPaG&o9ixutPZ)gstuu2B3pYJW@BnkBtg2RSll&MY9Jh z!k3eMXR>!?qSKD0>Sgm2je5~Z?<0D21C4wsGD0|YD}%Kyg4dkPxeDPb=Irl}`kU+(WU6D@&~9f0 z(vbaM_DB{N!dfG5TppBjf4<+k>t2Irg9IzRZ-vJ~ZY6+<;a2VF0*9%L;^O~WY?HN$ z6XnTU5FkWDT`2n7b!YL?|0WV&<@id6jq z*WM>Uridtxc6#&|?USffEOlsDiwpycmm~HsqLLvy$Y{NM zVw5G~@8{RbJ(Japo1!X@IXNM7F8v}~PLsP&{l1a+x3-WY8y{e)y3z*sZoWRi>O3$x_ww* zxOX81J`vB+JU4}>IWwyM-C~EuTaX!k4aZ-_R9RGzKXPM)$$89Q*ufd*n*`=$A-k>P z-8=~-HZHm@6B8?WjTKEkEM0~}ofQj6=K2G@MDkEe>haX=Dl39+iF{sO$zKbaV*hGx zi-7am$KDUw)ZKDxBJgS?#Xn^?Hm$_=p=efgrSw0(g)!9M(EBf!;qal1qpP1X$Z1Ip z6BaF8(H_pjMNcCfQv^&|S)P@&aKRR-VyHzuK+OiOA8xbZq6~utr?f;^S?>YC zl~Xd5>t}qq2R-6c2WkUCEhQlv+ree~LpZ_drVJ7%MO+E;v0rKR8KAk-gGsUA(e=i( zhe&7=NM=|H=Z46!WtV2tBC( zZAQ5IC(5f0PJD-Y%_9mzL#N^k5HIvY4HYr$KA-xv#cg&IUU^AD%Yq_|hU>53ZhvLq zAH$E&klU4Q0=NVa7CcLEr;w*)C4$6KoT9&8I8Ku5ag?m{ecKZn)OZRE6zC`kr`3bq zP0u95n8{WBb6JBUW(Ah*9Sj67SeBlWwDn1`2UBowStVEG1rfv^b?Su1(Xfih`X5bKvF$e3 zET87i#V{0DY%$No%w*~5ulC9J53*KrlGxIQ?y72{;~Z24(txVjoDg=uPA4ybje@Oy z>`Kn(du$X;tTbK840TYL=GR`e7MEctC5%}a`7Nx9n-zbJgeXJaEVOT+DzEsawgEN} zW2Gogio4vlnj1Hxm0^5up``-4ajhX(UOd=d*V=<{_HSBZ^;Ta@j4*3>KlyVWg&$l;d)iTuDa8>o99a{8Ysui;Ro#Yr zeciBA*FT>iOb;%=n@awAg60U_O>LuNn-LWZ3$wMcL25Tl;%N7kKP|?HLPHk`e!X9@ z*Q>u$6q9|n2Fe5xQ>)y?swIkP?hjF%DGJNfJghZeuzkUzIR|dtN@&F}&Q^rhhQ+W8)2Ksd$^<%{^I%!(D zF8?k@vke2cXV8OqL~wo(`!J!#1eTrLzsCNR|LCS((>a4JV}?GLPo^IC#VP5g8atGz z;e)9v2`b04M>fy73F#qCyt3rQQRksHwqt-=^rUHI8;}#^z7FLyl8?)@Vsiw8D?KGg zEcdkeL*j>Wg_oj(p9huddGs49RFC6MG00IXf=JT6H!88ly9v$fxu>gK;*2^CveS6lC3h zQtyJm4f=Ya8T3b{%J&@#6!Rr9^tz&1#SN+_ztu zH?tIk;Mzd{msxZCe%gC-v;B;|zpu6<0TR4Wq^rtYgj~&sbN{Cy1jo14@Q}@N7hAAyd<_)ougiJxzC->MG27jzxEjyX zvv1y9v4Q8d@M;nv{41UgmEoRB_6BPDR4Hhv#L(ZE-|ScB=TKM?@NVwz#^A^X-@Go_ z0zXN%lvsoM)@&kbpA6k!eBlFnwC%hKy^MM{bMkrKRF^U?Pkf^Xq&4`U*tgwID-SRHUwEDlY ze5%cyFJ%cQBbSS5V0hb+?QV3=H?w`QBVsC+d1=Om;dUHFDD7zqGg_i+SXF>Yt!Z%h#eOjtTQ|7Dhbuzh)aRYBlvC`=zn_ z>6$q6LBr}iiH$9wHXj4QCQnsxSs5oExF?1pM@QPZ&%!%thJK!{@n#M)d@A_C{tiNt z+c-U@NK-!o)IIOccUxO?LZG&__|J`X5L1R_GO%Bm`K)7eJR=QR{*Q`yB53XHC&7nX(A_b7<-3Gx+3GC z>ugWqq)FeWSI5COB@f#zyJ$&n06yxtLSSB5C6SLm9gNMVq?g#&B7A=t0pi!}DN<6j zIuF@;-3|~4eAd}sXOlVfC|S8Rd#qksr&U-GloVN3_JUw7R^$zl_w(i+IctZ}9)4iF zFL^b$4LoJw_a}aR1Q(m4m#mgLR}?=%{=6pw9b~jkMv)K-h%$*n3vAb{YG+k6ZknJJ z)F%T9k4t3S(P!I`hsn?pqKnvM8s}JOtm9t~@zdH1<)IvlX|bn7m6gJ8SOQpMP-U7u zx+vf3)n5QVVL~^`C=>tOAi;OuP21yss`4mtGkzDnpI>-wL!#~iT8HiI5wD;HLi~6Q!p>N&luQj3oNVc@5&9I}PNBjm<@(<@f#D5USPkr4;~v zV4OF{cFAP|2T%F9D!B*ZV2cI+4FeJ8uVY&Nh~i_s`*Pb7`j$|L95tC>_dRPvPz~FU z5Vt=el$^aFf4#^F-B)sP_Jzlatb1uTgyyfHDB!#^lTiEtG=Db2AhsgFi^0!9IBwA4 ztKMbdc>aPlikwG!=ypi8!)n|ie;M13qiYpE5dEi0L+_-m`L%cbF~9oiq!BhU@ zz?#NJ-NQ2*UnT?-;D)K9vJxmu}6n;TVB7Hf%z>JWw0a@MrF} z6K1G0-gF*c@BK&o?aJR_NVtdE?h<0$h?Pkf~gKP)nWmlm2b>8?MR=c zT-2NeDa%JjeKE(o(4$Yaq8y3-_>{inzsKG0)`9bJ=dQpogj@Ax;hSJT>e%^sO6y8~ zJd)yKgV(k9=pWgK|9np|&sc^Rg>p2@BMc3FFAM5dv8D3;JamAzMzDvJcSlWiA4DVO3`%FJ&w4Q;zH}B;H%0_TAoUi8}11afgD%n%Kgjcn@9Tqjxv16f`wm zr82oqazM?`;mT$Hwt8R{vAm0}{JWiY{^(;pV!xT}ZHtc*l2z_|f$0RIk-o7@xZf#e zr7~Ki=0(p|o+%p80-Dufu$+w|=racU@~NuGX2iK4lT0JQ;1(x*J@LltBAOtBZ~^;n zCz8nFif2RamumnNa#y_^h*UWb6Mtg=VcY`=T~-4_ZdgveJpS0JWLkgO^66rAPLT<| zn+3w}BqLDHHqdWt`kM;e7K2NukU2S1k+=}YF!H^7rnr$Ku?%lTkCc)FwVO&GFk!xu)i{)pQi-S?J1jmlYVnp#LtSAFd zvR-~Vg>V7%N&&a~&KqyXpReILoAg_M)(9nIHmA^4* z{OS^V$1qjxC`(^ri>Y8n_e&Vo?IHEv--h&yTd7y_x$%TB?(4SU!-UU%UgSRxS4Fih zT=W2MN^t(oShKb2jMcJ>7uWkjB-e9fLfo@YyLr%sKY@L+uxsyXBKqd%{iNB_TatQS zvP><`7x{ksi>|T3yJf|O^ZIDwZdrF((Oq$BgWslgfb+Pskp@{*^HE=ab!ReMh;^qc z9&Gt9&HJUQ&|qB0VdL8mV!pM{JaO~K=GwIH@$l=C>d`4yMq}MAQiOHhRUpPxlVbTp z?Xmu;^PAm0a6&%YT<=WQuM_fliA8EdiOhPEbuZQyQ4(H{d355x<=KmUcpQhWpjD%s zy~U*o`qNRU@a0xW5X=8%Hz{^Cq_yjEK1e>`#@`5Sx{|oUTQ6w)k$ytPj7NoaB)W$!eEBC9li&Jgr&?;&DJ5#&sV&) zK9#Wx(khe3Pi4a^BEzuB!)@GVEmk9$88U-S0|(j~eDnY=Cf~LCmkI%-wwrC8w4uqs z^^4RE>H)NIpTpK7gan0@ULmP2r0%IH(r49)9e85nc^GE21Cnj0n;}uqMu26TUPE~J z;DoGKhb3rDSvo}6+F3qxL(fU4{aj`G8r`;s5z*yL5JWPS#89w9&m04GP5<`-Hv6x0 zRyHqQjhCefU6MgnuT>V+#vFMdX`MD@}>=rUua5K>bnz9Orn+G zUm5?KXZKf{0B$0#cj)j;rW%(ho2HxbaREb+m&60o)o>rVan#g5cpiWx#3_WTHOXd% z6_Ma?ZYciLHTU6rNe>6o0CBb^uRB>3%z$4#oFLDEME zxcs5VW6b0{90kjJ93n}blTu5+FykBx8oOlz28Z6W~`fHUt=$z0dI+j zyn%?B5=>%A980w^qeHRZ?R!Ikhz8v#)|=aB?H7CT&~{I4b+UXL-JQatc7r5-1OzM= zPNJXbY5dFV;aLnm-_|M4r`qm3?%bEoQK3u_Q&kva^(8xpa>F53zcB+yFg-DD8<9B@ zf1#@!s1@it7KlAn)s?1AA@3~FO4B_jOW+f)MlD{Md zRKpJlVH<7~XK-Cc83wJ@zr@HxBZk7qOXQ<*bz=s20}A&^2mU#YcF0ajb_^Rz#?j%L z$*5?K&hcMsQ0B+BW<){R{XI1YCX?i*N^Rg&_=`Wt(%maK z;XbVUe*?(CsuLz4lXa=&5Uh5z?6LguQ~Fi&u`q!WG&oKFFAGGt*acXd-l1NiVd5d` zu?VRw@;;QJ-wv5u=`; zJDls0njq46p7h@YhQm+s=gXG2JMG>#>o``BXhc_emB>Q?bz)UoT5hVbzm7Tug`9sg zR~N~Y1hHy2Lka~Bbc_eCkK2JZ3Spj_TSH{*{?|f=ChTpI!SvFl;d}|1EXZ8BGJck` zr)l!Tk*#lO&D>K)?l}uEByd0z$LdaTAX89t&w?YMkVv*~8EHMQ$iq7D46x!k}0<4*=$(?^Gcd&w114H z!ajBOy67{6H)8Op&=5J-lJQ9KF)IFLy?;T=txe(Z^_R^wmJ$lA*Vw4tUxRE3fRuV` z*u>~)k?Z2bn(!p1C7>D_eN_4SyW>|Pw4D?pe(-q9i3aoG z&{N47sc>Ai^H800SLm5A(9$CTqplw)H_q;9sb-?Dc${kYw#KIS*UtiJCvrAM=r~@R z^l#~97=W7Nvb@gurdK)g{-f<=b4e$gs0^ET#9@&sC1z*rXHTe(oQbfWTIX&}MtyDySfk+1p)GM)QfZG!$I5rkD9OyI3+LFgNlo2b z<6vLcbM;&L(UA&pz%`YUxG)u9r7WsNfa}Kn)$J%6JgGi_a4T>m1rUk@Liu&i$ynSl z$WKNy`*}-Ka0;|6wzV@TndpE6NNdI}pyM_83J9)QJSL;V7qX=9_6(Gi+gz^<^XK{AJ6iziqwVA6M>KT$F>gC8T9Nl$B*aq)bgk5ozx7P)xaWa3kVX^lV9KAT@2 zotQr}I>jc^q*07GB)ks71&uNKtq&+^Anh@I&Rn31^T#j}Y8dxe68)y@mm8U+pW!_O zR;bqY`V-?IE=c=&bMUNLvKh6HufKZ90n$&JAs5XVwj8a1b^wYqu74dycpsl>wfk1e zudYlGa1l^@Q;+aE@JNpRxR5&~%h=2sAjSbQNn|xJ&(Z1Q^9h0*o0L$*!F?qyML%B& z4PJh&BhhGjXiE(DyEIR*jw4Z7UeOUyr{$NGq%RP5L@a`n$yvwHVF3p@)6$_9AYLK z7e4>8cN}6GkbbwPcHr)N%PuDLF2rf}$j5Idi~VPZN7~sW=Gz7;T{6SRC_iZG8}4&k ziFc`vJj~DSLR)x5mDm=;0zewvgnM@p`Z~FT_B7lldN264&ILpj57}k|)j$vMYL5EV zJ7UW1yzh^c_PM~Vj;ZRmTL-5ej@n%i!Zuu`*{Cnp1tS;h ztR3mAenG2;nHEO)$S~p2Hz%IPIma3DJEjz|uauhZnx4VTT@XyaRsw}t=$q;c9d-iw zL}6oec?8WtpcW!on%LASa1*Nj~+8u-U9w)c7e zLQ_uc^E@!!Q6Etsr8KU_$X2T0b?vmYVjZUxn(t2qgV<(W)H8RcLZNI2`OkL!>38^c z|5eA58c*T;f`_jk56skxcIX@n>^1FNF{L^fMyrEkU)Oy{Y@zXBF*ws-W(q?zV{SJp z+Zjj*bVXCm>X&(yGfX4HhhdLYA%A33ubC4-XPvVIhSwndxyfqnZZI&Dg}1!%HXi#! z0p)wxHlmGye?BPMxgo~hK45Dx=aToMy6FnT`hmP%^{2U*F%!XwQL+tQuE$q_WdmSv;N?Pklh#72))#;bXgXL z?m_%$qq#MHR`OW=k;)#6RWV0&dJ;b!!BDo|^F5W&Q#!XFZ63D~>QwISnoA5XD(If>cI)a8=WjW9 zs*J_XA0#s2$3~S6o%%s`+#*ns-3? zk^V-7dSEPVO1O#d7g|wargl2h?;+_@pwO?kLU+0G03_vx@u_BAEVFQ!lv7GS|MDzZ zzm~QXqontV3l87?RRa`|vW$rqKQ;7w6zcNT1eR?x(5@)rvq&6~?R6{%3qn=fgc$)g zSdxj_H`6L-d0#N{vf$j*a!e<`>uQ7;`$J8J6J8@TX>?>JLZ4zRmyi+9^+@@M#|*!O z2RLh@cnHqCX^D!bA9Irre-b3VOCRVnR^G!#nUc=*i1K28^j(jDIw_$OaP;TD!1u#e zq+~ZtC?Ph7GJK`Hj$+_vkYd#GYGCEm{eJuduACxcp3y|q*RjfXS*gGSn#l)BqhFo{ zDsaCiS}Dzmwdyqtjq5cU<^R_rvtLMkH}QgW`|E{JU()iwN`aQgLO;WIPSTI%LA{gb z^Pkq=(&yjURIAFO+TbPqoF10cIQ_2~a*^aZDc!~bm)sa)8`U=OEumfSJG+BrDkj1? z6&3>#6ogW29e$72mrTPnenw3vT3S^*AMIQ?=yMwbxRqgn+w%iooh$A}oO1t$CAa{y zc$tx+54Vb;2ZVEw($2M8^Vlc)*f{RnF@}x@qsu?$w*u~H_NH^TC98R1LfG6^^jp+#oy@oVk0k>u#ub=(OSEsjSAz1x`$N6C>(MkkQ_SEUtN6Q zWKnko4G;sR%on~s-^Bao=xc;1*YE#CQxMzPgHAPUwUC13Uyd;F~#baRM;F^+00Z|7lxT zMxX|{{^itRzV{N1@?*?zH5gua$FG*vC&rwa<%4671n$Af65n6R|a7=A*0g<6LVsWK?eg`oDQAi%+@)g5_XNwSH*H6+^$9niIR8?l}*Xm+>>;-J)NfX@`KW_?}I@=#ywCwg(Bb$E>*r=Du<_B=PdJpBEa z`T@Do3GGP!9UtFM`f2omJh)ai;WhK!>EJY*xBelKmv76^zl;A@+xe{hGkzls+RHgt zOY?_klU&FWD|HZ!|25245^O6hWboXVQ+z9+%3+_L*7l6@`qzKz?`d}(wkl<9;iq+b zDaZYFw438Fjw;))R_Hk+L&PP+3gaJrBT5CwvmdeN{DJMQ$pM=a4>SJdDi^$&e4; zFN~8>(_dQ6W1P0caCV9#9`QGPSJ1LQ>^`Zb--WIu{K7eCem!?4e08+=3aZgQDH=4= zTo&WeO&JS;^~96-WfV8-=wcJBNxO4l&Jj3S`hnfva@}Fuv**TLngzeme;Hi{A`i=L zi{B8Y9<_uy#FOe5x!=VPB;vCuz-s#$C*{&Xemn$$Z{J?sT~O(>#AJ&~6VQ#A`=<0A zv`=e#O@RVlR(O@u@8&5>DMN0?1RqOGf)m27*23r!cy($Yc#@rAt`!XZ=vz`nsZYFptD5L1+FHb%zD6AY@PfC2mszj;y z@+M)@c}A{k%NM%9eJ`K|Fg`z|y-V;av0Kc|9?xhY(c_^iz`4KtaH$e~xK=h1>jIfNYZ;$N3Wax#RL_;2<`n_LJjN)$4yzR6AY(lb#}QZt7B(-oPBXj z?Q5~Kr?1**g4B;ge=HNH%4DXRRw2@p@aO)c;*u?`aa1Lg@#!b4(Jf-Eqx3^`|1%!k zmsg5e@2n(|nKYOio2|S~b@;2ks13)KnAHzyJxU)dz2EEjlZki>$N@0~U6Mit@ zQ@vPG=WB98wC4ioSg*ATkzXMTFkGq2)9^Cg;Ixw>hV1U@jbG6733oW$NcWmgurh*1 z<3Dohjt@FOokjj+hhET_yYh{91pf>E4PUrKqc~W(d{Px8$^!6IiW<;dJ*Di(OXKNE zw48gsMH55co8_q-rBtP~W;$BdrbS}*vXKGiYo@bgcB&E3^f*!ry|!5{ZikG3%Rdv* z73$KFrLHlFvbv@t?lAH_q-crRwKyxxlTs&8$c3#&&-)-I4rU{zxD_wpUbM}=UiztH z(e@SFB9uVt&N8atdZ|I4iSvvf1B+sM8o6u2f2Y|vInMKr?;H?K>T83$tl(1oB%Xhy z*D?>z;}8s}e&r9%)bZXle;Xo`llU$FS*|!5+Va~Bda2e5NjMB9hYGpz2a#@4)R|dh|<5hNI zlh8y=Z`1Ei{`LH`{>F$yf%J{6-tuf!1q3_`u(VipT2z@5jKtaT5SJ##E2zY2R(3|r zzofLOU)THfTJuhC?pHwIe$&(O8Ta{Vk+MHFRC9Y1>2oD6tgQ73ssXrTb=SXHPwcPy z6DoYh(rTXu;t>xie+?ez8I=DY=TndYLsAUP;H8%E01~jN++Nf{X`%*ScUp-72urd} z!tf&RiP*OfVq16XF5>we?$^IEde`>v;cu!5CmXs;+v8o-9|EjE1Jz&A%=A_T902yn zQTJ&o^}w>3{epkRFKO&rwP#>{o@NP&DLlG0^!&5s&_X7zq+ikt3FUeuencHf?3dZN z)pk@n1+QzZ0}8XO-{GV{j}z#0%S!rRi#7M-HnJt*WVLy2A-ccj@K(65qH35qP5Mg5 zQ$Qsy%kkp&{#j#fzZcrTtc>TDx6R8~6_>#FkC|fVX}2FMR2ya9ltW z>Z=0SiK_5hrvQkN{2O=gCE{_gXFFF`TTR&^i`~vb+OJ)0ElkBN5IAuKM}}7N_udlm z;Bz3{a2G6Tz5Jt`|E3sW&tFn@*U!%y(zn=E-KK=l&0bTo16AKir(C-ZZH{JjiNqcs z@6RHuRrUeW_QcB4Q<~s=sBo|)8+U#e32(wwx!HI)lYtG@pp|ARr{xe&WAe}7U~JJ$2TNASVoNk2cx1yEF_^* zV0Zl39YgFvU+iq4aAgK`wM2G*tP$~w@!nCb;*=3jq8f$vXovW&)O=e<^_+u!JJ|dM zdzrstV1#X@u^r&RlIJUu6L}_{MOZdl;(3h|SfHvw)I_$_>C?S_eEHgCn#9Y^o2^9n z^!~hgcRLmDXhXys|2{vsrvjV}D*1*$B zgGEhFJt%3B_A5?%`vJ(fERE=-YHIMkcqNzn7*B`6C?BRvxWEv}`0~ZYBoz+7{FVJR zr^KE8AA?=n+k3#$a2BW2>CPgwJ9N`SFmM@~3NV@<(&ML>4XC%T*Z0`&xk<(c?tS?9 zY(5dnW|2{B;$N+}X@`cPU|l$-MaEY(T5v5cwR*RTZCig~*<41!Uc?r+fulnd{!0JG zI?jZkTVjRBVht(2nz^uL@?mnOT=k(N-C(@6h-f}Ww}jbhGqA|L3+i9(9Vv_i2h+(yE<6Tw93fl6tX7fFMG0+^l>`a zpCDmC0-OKzysyvYcmwWp6yNudjv!kCr0cL6d-iubBe&YYAX?6H{Op{Sn)``M3kcNr zv`a=c_8`Ca4L`)BUFaPRJjGSP55>WtKEaY+1_dhqS>{DfHt3Jf{tcyA8=%h`bdb3y z+9A$ghR}SBuIY_km%V-%;PUlW<0*{MhZl&}>0V0GnE?uQ0%-wQ{{m!3Y()02g*HwB zu-6MV?a8Px9x&mWw1un9P zZvB)vYK{edtfp1rFAG!hkTd-&UV$=LH|cD$w3{ZFLU!5?wlQLtX_TsyyVhy5{{aS1Rs`*+;$+U;r-qBkBO^DqJ z@ag;hO?lrbHUI-;?Tp-^k*_;ICWJO!m8cFNCAD~dgG>ZD>9Y(6%EeRsPEPwFJk2Er zLsplxpV_fFc}|d1&U%Hw2Lgdh-BcwuNSWJbm$8)8F1)8*e+zzl93A`)w#jW}-JPKI zbnB`l6u4j24qPhaNYx>C|AnQS|MDju<@-Ub%3bCm=#OGN10;$8zz+UrN9kRK2uUuO z{IpU=h4JrD>#v)Ptn`J={%bV8_a<^S3}sDN?;no&d=^yT$}fI07=(<2JOf}-xrT#m zb&X;HKrdItHmW^Fo2@t+Fafo!&PDu$A3!+oe*F2a&v%?PpLT+!C@kZ$`eVh$3fdqmO+k?Jd=!GQ9x~l~m zjU0%IgMYseSXrVj>ErI=ZIB+)%<1-b2}DkwKs&1Lc2BZ|XG)9Hm&&+26sIC^GjE6s7VzD9avhz_`i#uiGlf|u0qRjo zOVN3cOyxiiMZA1KEPS9>&w;hPO|OW0vWv6RITbW#xp50;lwpC<4yQpAc=2jTB11gKW z9@Gf$?a#rp@+D_7|JRa_H6Y(j2Fhn-X%`=nwN<_01fOSs=mt7Evnq9QDp0v-Qe{Z2 zp3VRJ-%xeTP$+#whV&+&?@x=kk4H(AV@Xs)Ve$p9x#4kI)r%tgW76LbW=DWG!t%h) zYK@Dm43?ifwfF1gwVtmpe0HqBXorng=GYwsj0}l44pK+6z7P!J9;Dk3{3#cm!(0~2 zsVlE?@GMq4%f8PgFhXBH)zWb4?Dl)p5+8#DNMrX1tGAlu`q`R zL;ewnJ(oWd&i{7i4^;$C#%@O|oD;=)sr7|78zFuN?Uo;Nlk4^Q=}cUGAtDA z6BVOs{F_gnaR?8y0cy_)CKpS(d&M8~5kzbayvz{@6sa8d{vA|<#PBe_Xd{rwb98X@ zi|P*wGJys4Z}ciesuAc7JI(J6J^a`_f(GR?B9)h%I}?Y=W7B(`hVXe=uRy0~%_Z!-X@_Tv{c#xny|~H%bq#5xQpcnixBzeU7hM7;o(w$ILgKjZA&g9yWsN2)haW3!lqrfWf;A>r`7(T$hKdQ_3*p2NpvQl z@~Nti^S31h#G~Scsy-ayLOEx<=YC&A7@9~-kSJnHuuS%xXDie??#3xT>Zwd$N=g`Z zi#|2O0oWgZklL-BwjK@C=hMFoi-lK#EEB!7>D**z4v#ZNjUxpM!ArpnwV=NV%j&{W zc_nX*sMjYGhHnAAkxv&a#Q;nWauG~4dk>5-yLl_jxhd`euTy<=IHZboF#!8;qYtTF zVoDPhF63%X024Zw?n>r8)Khvt#7AF5=Yv6ibEkH18?0n%mdb=x()rs;WGz=-nkxx9 z%sed1ykA+$+%jcUdY?zFvbBC|mnL3_S>*Y&`W*Bauwq z%K^zbR9v~?T1a~Ga4Nc`F0SA6oc^`9D0`!8yk2Z}T!$CFS~iye!S)w0f(Pm);Wx>y z!|-2SP46U3A)Oox$!3ShI}r@z%d)2i3=`SkNDgA8I!a!T4YCs|8vQD`vmrLlHE5xL zrN?~;Ha*IW!Wd=vh zBl!Hx#uEJ@bsmq*#NeFp3@_2#tXxMcfNCs|oXUt}4~t-lQM~2JQFwlvTI1CQs-epn zFIk19!Bd4+EJ?F>y5?g4DW=gRCdHSJw-jb!?Rib8?omydKIAWoaC~81`LYRP-h=Xo zS&%$jV@P3toe!QL1USp&gg&8hA=g&)mYL3>p+`csumcXj-Vot~HH&gArTBDy)@tQ$ z^Y3E;-_0^DN=#_#bZJUU!(}8~&t!%DOCI*U!H3{;#@rS^qc&u?jS>E4`=aY_N?7~i zc{jaeHcXO%5zUs`aw6`TW0pSZg(BLA4QwgW!<6H9UE;ErRR zN_UWZwo@@J&o@}0vG_|;u^-i*Q!q1ptG-?9JHqvF45=W%u~(9IP-A&Y=|#3-45)KRGhi4)NlHX2qVZAAZtgmkV%^q|g|g?Djf8@L0fS%>NShyp(E z5gj}DH5Siju08EjjLoSYw-|&4RA1>=pkKyWW6o(5iNXSu1~|IvAsJSJLCSxkKx9vH zb#OrZ-!iB;Oa~X`(+E#sWIISN)s~Q+@OsXOjc;idxswuWD;&cM@x1b0%c?`<6{*dv1tlk*I*wb;Px7sZl(iQf=G-O56; z-7jxZjXseN38;sV2y&H6>-8y{zhGg&<;V9pngWSHQfA1p=U4~L9-gY{iP18op3R0q z;QzG`zVOg9=_yCs&bs{MuSFI6S8uHM1a=>m+lrC0No8>U$pX^eQ7}V{v<8S6SO#|f zo=kVNS@H{*Ge@1RMVho5fA9I*;wgN5rc>l7 zc{v_#h&=0-%@toT*_5tqyC#ADOwuWEXT<#}KclAvjgadlH+Ey=uixlBUD#y7?#;Hl zrI*Wi*h%It$+WBoK-zOvVBX^@NtjhY_Bnz|k8tr@J7V*dXJh~`7LxF-brQjFqB-Lu zYF(E2H&FX|2J5ebJr;?@$eMsaCZzZ;)s6kQd{aON*0@$m)a*uNG`QZ7l!G_bxaFIo z=MP1!NLc#VmHjqH!myu_72*j#UJEw+Ye*@8FhtlmNbk_Fq4n#x-BHr#K0`1iV)WHg zyf|ER-q$>aN)jax+PCpP+XE&8d4EF6sR2i9x1FizbJC{g{QD&P`FRsY2JcBE z%6c=*R`x>k7HDl;-cM(O&%6jeFSF`K6Kh^*tju1Rt1TWiI9mTWJFeNz4tgs_e$ig(~l zHpoUL8A>El4hX0Oq&W!((mFH*GxH;COq|=+MgN>`V2#5t|?NHVHN$#FDLTAwl^M ziT@>%|Jpt=-R4^4{&_$Z83=!M&bABDkr(m%7Ye36<~%++}ZKs5tzXDNrO^CibTG z+KmI75cjMa_=;q13kzi73V9)wbF(ZM^316Oe5~zLFDflUT`EPysnp|x*2!$=hig_7 z6$jkYJn+PUzU+sZxOvT^=|KF=;+}nKD%Z_azTcjh6q3OP#jXRIh;L+{=kE{5g`_7W z_kE0-$8qI&WU)cKDpQ-ZDtq`r*u4)RG>OX)qGPt1+FsnTW;LF9T-z*`B#lG9BE9 z@Rz-LpP{wWTkgTp~q+h@A?neWLdpUTf> zPk2Nm>$j}4Nh&PA*Na8+NzXjnmtdS>VsB_w+`_aY2j`gm9M1BNtj;M@VlSpsBp`ZS$}m2w{BL`}n)=}q_WbI~sSXNG2h zEnu~&u-cy=Vw2e1Y?b#0Qow%PVujO1dAc3;H*ud^Yc#r0iifuz0fv8{B)=h%j7L;) zI-K{?SD{~B-j0Q}!dzCPpF+G!XhdPmxJ-fvI<76|y}06KWOVqvkz+YtWez|*Yd~z5 z^0$YINL8U<3X1takAXN*U8m(*(~n5s)Jk{ey8S#yj1pcDl_x-j=+c%XytjA>bKnbm zK@rejIu^V|4}0H=SYrdq6ko%yjKo+SmDJ@xz3)aIBCPLt2fl{}n_~37+0F_R{v+k(*X*;`CS)xaNwjRO0#_jB_>!;oWxTaE`ZYlii;5Uqvf)tW zLR;b-zJGqF)=*jw@mSNaZy#Hx1nB)cAI~fjH zY!5?n^E5qY)2YhwH?p}HyErtnd%19OOCRXnzW6OR(_A4N((E#I@Gx>8UVW<+KqXai`m0Tue+IkKg{v<{np;AHHf z=WpK+d{oiO98WY9%2I9VOX8wSxxdF7=IL4g&p|1;_1N zmv$SbUvbSX6jm{R({!_L_;Cvn+qR>dOTLw_WiGwsx|NLYGTOBQdZ=Q4!Pjb~u`uE<@7HM5v!eqE zjz-wqh^D}a%fr?~{PtJ~!!{YLYfO$COmr?po5c|EQG!lR6GvwL48V99ho-*9@5Rs1 zi79G^vOp07Na8+<64G80FU*gaIy~{^29kZe74cd}Ze0V-$E>oqj@B=yFcj8InEtOI z3V8Ly?I!Xx#I0_VZ*2daG3ZPbSgpemGKDLR8$6N9T#5FJ<5Cd6h^Xdw`uzaZj`rZEk&1LD=zjV@`7@kS=Ta}aE0J>(};kc+d z&3%HT8N1m2QXl+av-`erI_Xww`|mV2_5+oLbu&on`yPo8afAhXwtXxW?2r;vtfhnb zt3+A-Om{!?CXe+L_?=~+_nAq&D|79mD)S1>j4cNwKYyK)uXd{4pYC_gS{Jnq9h|NW z=rdd1rX@<4T;MRioVUyINVYQ+;Ga!fh+n4vsw~~7(iX2_fD^_-zkihw*L~8_&Qop+ z*oaWML25kWf*yA*)Gm2X_>eE&9nw2^ErpjYf0@3Wt1Io5?B+-HI|C3KN!Ud4$I}mvM3)W zVVD`3m@Bb0*)6EqY*0&hDuFDudp>LE=-9km(&t25>+!nab^zWlA)Dc0z}}l6`sWgn zgx?_^lu_8Yas~3rFLOwi+ZRixSKUaT&*el<`>=I8l^duB5y zklS7j=v*UgI&GV4NK!TsSeCslJFb!;Dma~}-1hwIq*6y|pY!wO*j!}Dtv=#w0+!(j z)!+}ORtRf0RWR~F9(k>qlCdkaRU+V*;BJ!m^H0*rXq?(l>b;o1CSujjs6qSIP*Kv5 z1U$CIFgjAAZ{Ng!``q7vQ;t_A_xi7<_=h?7tkz=|+-TlJGDhUM)M10Tbl7h*Pv-XM z%JZ$z%_7XFbHdJaOVJ$8cVpfa^*8kLoR787^!RwQIcf#N25tVcLgg&er|;4#AHT$vFvw?w_bX=vhqhL6{bwo7ZZ13l%D~j!W5P=% z^GG#{{`WgcQ5YVFMev0EjwR}UG4hS@CO2;@@GG7RE-^W03&JDp{A;N}R3tSYtpxX` z`BccH-%vtBzB|YZ#f5*f^Tqko2BNvsl*lXgBU5XLN*F`EV=QJH6QmZ>a42uk-+Qz( zynT;^1q1yAlaQ@ZzqW5i3x@fG!UB|23SWXa58bull4 zLC$C*z#>4FvPPH$dM6?!Q`=^#!Fz~HR~M8CrQG4lEL?Oj0+~pNt3Z52Np(UeJ6zq~ z!j*|W{i43+*C?}UoT1S)M|Q7(CKPr$qmdH%Mf-;5sG!hCos#h$Bn$pVR{$V%x_20b zP)>kH5D*cjWUblxg3Pk=BM0h~JzEbuScQjSeG*@9B=h}s0n!=Y1IADL_)%r(yGomb z92_M*lUx%KQp6~3AmX-58d6I{s`YodOs|Gzs^0Wtg;ox|&Dp-s#rJ-08HbHS;zt6g zNNN{XfQ$Da#%r4=Dg`pg+T+3$H^>7WIj04ZNIKRl%bV>q$czWX^SctG(>~Ag`>9dU z1neegib|L+2sl@T%)rDriU@{X#` zvXkOxbXK?F{snvITZH?GLWFOCEe=iLJ4;np&OmkW(}0vU11k zE;CEoazJ>{uN#(CIEm4A^6Xx(R5@aHYCzGk%cB6I+n0q|`o}D@JH(eLvMKCjjw`Pg z+A%Oi1HN<|Vf!MNh?--yDT)I3eqN^a0uVa|tv$*x<39vrRiL!tpyp@!7p&PyH;cWR zLg-Oq2CzC#4&7G))zK3K{s%m0GlXRv z9S86GfSu*6W;`c$tm!FAPf!CW(y4ztdX50~AVfq{$geA_DkSZ#+A#~br%L(Wr@&nRH3cT%7%ad$Ko3o-57p(V53pNjG z@HK%g!Oq?zx3)9e>ERKmc4_K0uF5m@<)6X=#5 zqnku>@Wiu*#wiI0Uy|((WW;w*_ojT-VmXpCp3)||Ap{xRW|p&YYW+cCZI7cWGu8GZ zSToW#OQ@QHqfhtAWoFUF@Nj@q7Lw#M<)iYXr@f-1DEKIb~i8SB( zTU5e6Tkzy}R>2@j<`L3-nfZY2j1+W!Rcy=wS|EaxJi&eT$Lt$pQ+{szAv92jp&1>S zncd>wW60)JL9+rIGB(GszL}}5(O1he=*>Cg_+cemdE3`}ZNZ=YHs?;%;n$%WQ(99K zz^FSMf%p#IcFPUB`>|COY%Pi`cP{(SW(A$sCQ^X~;E>_mM}?Z*fnG;4uxiU(}Y(YTN6|0Qr@d(nd=8hDn>(8RPqW_Z`opiE!t;%)sZa{8Mx^4`D=-=*+2CkL zRjNdwusxn>Kbe84{{~$0=*DXXHCtx|TU37Eps`E%63gi9!a@l5n20O;L!p1Qa6%2H z2ntkflDS*v5WpuK?XUJR?HUZ=Geb8)N7VrT^{PjhB1f^cdTrZRPQmh z%n5wHDx|~oH=ZBHHSuXMwbkPE~>` zgFyaK{NN*f?O8xz_#pF^d6qC#rs@7$M+R;B4EfvPdrC)4=v2x?7!ZcZ#hi#s}=OICq zz?0*nx2$J)`yxk61bKS;z25bs;uLJd~_A*i|V)h*>mDKX=Cb@P5pnADgHhzT5(^2gCq zE-)vN9ifY3n<>#51eowkc6AtN!CsfTCB65e@7Gwz;w&Mv+RVm!_pA8Vh>%PaC0vy;1Uy z3ZGbM5Y|M|MaTYaT&OP#lH5=8x#}^TYLO4$SSq$aEPRIip5IJ0u<`^Y%0Frh)2GtruLnBnVwD<(4UqLT+|2U{stdQ{KWh8*x6x& zD*0cRP<$PXZ$=`!85+}DuEqXvH<&}mu9cX~`?GLfP*ZfIrg?`%eB788Wxb>p!&eS{ zKO3yXB-SY0XUO(S9sEUDkb*fX16<#u)K?IlpIhAlrxaVWr1vlWSZhE;U+<3Mu}8h1 zF94rHzyl8&6~3t_Q=LU9G^kdQK2r7-X_RvBbR)t^mk0(Q>#;q}pU1KONm1@2<`2Z1 z$4$XtUI&}^KayooafXt?yx+^r_s|LSm$6vTxL2ZQ1jla3?uQ5D6TJaJ*H6>XrihE3 zJ5M%yiQv>1$KWM)beGfxdX1p&%Y~9uL1k&yqiaq;%azM0GB~=fZcO?yJRk+b!8-|L z{Xc4?q^-tyKHt zBW+rRoQd2^u*yd-Q9ci+4hVLFRK-S9RAa@q>7Fvs?!D3s5IV2XlTRsvXgSJYaHrBE z)%n=QXAF4xZauytjq8FwzefP@5i3K_F7o*1TVu4n4fHoBR-?<0Y)7PsTgJN zi=JCZ7Hb+&E=nvoLbym5*mMy`;x8r_cEZTxlq#8QmtJXxA(w9#b?>HpYb&EaEQ@7evw(<&oA@Q zzE&lZrz{|R&El;^&CPRHEQfi7%^WFho6o!gR@3mx&7Sza(qFe!p5@1JA;tSb$$|f~ zW+wJWy7PAe`mp{vzj|6uclNuv=^E$NXD2rvihDPGIN?T>s|7)=KH-&EJL^YBVrOqa z%$EP8IQg3tHW~;accV<-CP9iP1pbz)J*?lioJWV$IseV`CkwCz#Emr1J&R*!A&$QC z`oO;aXVS}9bX61mLSDqO#K(~DpGznL@o#+B8SXT{AqiCHZ7GFFN_^n@dZ5A20@sDI z-~as{fyC$oCdYRuj_##p_gYeKRh7y6MFb(JMqGimpj#9JaraVb{xSwCr`L1twM`{k z*-F0$7AnN68#pSF7M|$N7VlZ4@db;sO%1JrgT-G3G&}W8dqkh>e&>P1Wn|Ji^etmT zo<3iNs7NiWrL0k(Ct|m=T`L~xsIPC*QE5jDyZU7?(xYzrQGx{(@vxQkxf4M6s4LD5 zG=gD8jp=nZa^457Ys&>@eKc4NdE$JWZ6ogvd*00|p2%A3spNvRvyH0z;qma9MHi~& zEyS)wyvGbuqnJM^z4NUS#EtZc0H6I`8KDY^cbX+D?vS%H{Bryl6vFXwbU-5~-~B%;TlP&axrBUMP7J(ZQ7ZrP z;7%mV7KwazQIrW2v&s`gSJ&Fs;P3AXpa360uJYBF8_P#TR<&*Zq}jY4S!r(7&jUYk zm&67Z1OsnEz~$!f3l=W0O?#3Exqe1$=GHKtrf*_*5+e=Mh}1$Cz36JNQ)kH;A*%13 z=^)o+1yuYNG}56?Tv|UIp&W^sPgE7q0$a`2mAE{`>^04$^N25=#KLN9aL3iH3_-k_}W^$bzSxmomy3??W4s?XBogT~h|*$Rj>nworyhbJK>XLVg z)lu!kD`mx9pPDkK`XdhUmzP-kUOQ6cGW4%aJjBu$uV7DueiRq@mIa}Ah_w)FSx!nV z%$Ww8cwUVCImbHK59wP6jhaBfTPZ9I#^wPPK?4aqTJe|qJ8EcFXpWDSY1h`Ch}j{A zr{&#!M>JjN8Me*UGPu-}pv`WecUUUFrA@-Wanb?4Ys@epsluICpiOP2iJ*yXknlW4 z9JTeQDZSschgIT!>Mz@fRplffuayXOSh()8G-hTT0hZav?lNH0*r9QPac$laeggi3 z>4t9VVCYkE#ZGzf%KKg}(f)~Kciq|rf40GF?DYjLuTNZq#6;gTyv-sR94ZgRA^17E zEXP(aR0qfMc#G(V+FlVBwj0B7{v7 z-{Q>aJYJFOFUymR@$5j7b~J^ZzlXa=%zjCxZM8SUq+)=tUv-gli;4M>H9jj@npbrd zgONZ=NPvmQ)3#Ww2L&UN+?V;DIs!<|S80ZZZ7-{Uyu4?GU3V0wWhMuXW=aS$Jy6Jw z0i&8&u!gPgwXK}|wZDmwnTj&$Z&=y&r_x@W+`XIS_se(KT&)gXH1vi&Dc<-)zNv1KFESo)jHnfw`^Vl#xewVm+%-W4mB5i6tc?A zX%qo`6{S!g{UJf^-kmU+fU3xR{Ac%V>3C%mf2Sfr3!6qm?hg+Uf@^bRh8C4_`%EJI z=(HN+FDeUaLc{AtLt{U(BibqTJ{+y7;?7WiSCmAfB}j>x7KIQfE;p`TD;weh^Jv zxaaAk*wlZV(H#hn#jfX zk~od^!`ywK(x<=Qf67jZy#&9`lw`&R6;^hyl8QYZ*8VsD&SvAa9o8Q^5`JWGi-4%l zUbeNpD2@~2fD?QSeht%vu>8m&2F>bf%%q@-$sP$s5A%(H&`4fO`ura z@I((}m93$DXzCRm1msCzl3-a0EAIjqkF!C3+@P?so4CW^J{(Y8pkeUwN6x?JwrI;> z?ob(Kq^7TetD@J0vg{fM^y|pF&9MTsYr1)|A_KU;LfSgp|IGKEQNmb)wx0n>oX5xV z*$YXYF7j#xTnjbt^%gyd;T=*>v%5hXtw~M|89qe##OvNz`)xLPx*Nz=L#4^D(jO56 z9%A?zFCZ7FyyU+?@cnpI@y)Jp){6G~wCN=tPOoRH9o2sW?2gu%(3f)>@!889atg zUSx=W8LAy>RzskQALuixPS*dpVr6}f`Ji2sS~v_A?)^c&)_apjTn^Vx~$-g`D9Ow&JTjP}BX#9Z3jQMh5!N)`T>Cnf2+RX`d*Sfo+C^9n}haR}2_IHtXis&9B$ekPwDVzCX z)JHb6quJ|co!xk;WNlpQ#L%Q$UOs7}#~Vq|ybz^aH~(pZ6RS^n_$4MOTumNK8e~tW zW`B?}2r!n7RG7Ua*r>cb$5L>+wjoof0| zu0_%ehjt%OAc1B>qDW@v6)cQ$^bs9$h%^$5^Y$~gB)d5Sj9i%?3y}^rRSAK4;;pyE z1rzHwuSS->Ui>U?TwKbA*nR*{lBe>SKHTw|5DbD?7A^0F-Sn3+HkV~0ZzBapG$dIFMQ9_^ewf*%bt+N`ew zmD3IgcLuc{G`c|z35;uVr!?`(pVQ0xDvt8bMZykVaw>1SY2xHsx z^W6PLJ-ov7>`3q?DuE_uw0$WKqH;_{w!7l1Rk0^3{brip{$L{}wf?tY>^GSYeMdwR zp9R&U+A>jW=thr9zLmnSm&&VG_|U)pgde2qXE4q7)+iCv!Hs7b=o{1)N4Xtzv3j|7 zB4eU9dUxcvoexYNMP2^`*MR!g3|D})^Cj}Xc_z$89Oo_(VnT% zou4MxCk4XmUSGiPjo=n7!olbvRtN7^W9~Y%u_w2}*YPx3Ymt#1D^g-MLe*!wOSR5u ztJHS`A4$xxV66tw0PJk5OnrWc!YpJBIS*K#yx~(^TC8Ucr;jq*Xa)pZ&PjFYM2b|2^;*deIDjq!V)lVMIp`2*nR z$9puj{6IgbZ*Y>!2rfb{A)naW0l?@n=;F>8L&<{8`jyR`9aYG%>^^e zkk0SEwwLz)l9q`rukhSS6a6)4UU?GxXCXzcE4%Z+e=1_QO;<3Hdl!LKyMnc+Ct5}C z+H+rxD>xs^R7=^Y02BmZ9+nbghzCPr5eG=}lo+3fl@c7av)ktJgBFItS(#2;Sm(f- zu9z#avgESocER0`>!S#FO~-7OAd3YwQ7?CN)0o^K=|LRDvpME*u*ODM-NZqm_)rF) zm!pzw=J~P)wf=;>;l7nd#%i|FvSCNDUsY^sM(YHKZibn;ZNZIf+~gq+%7)>%I@v$+ zp1fHPUoM@a6!ArDEX7YkQji;Ev7dzCkg-y{=m{31UU z2;)^sA0H(bMV3H4^?QcemMKyFM}hR~r5liv(+7`B%bSAiK|g*vYj8ks1byipSEvn) z`p)mQOyjW9co5jmQ9@XTI>=ltMYccktuUM}!T%SfTty61}`yIg&_i~k_JLDxLMB%V~G_JqJ2^M1sJlG{{ z-b+&EDsbKb<9t;_$gGq!?2I=W^>`9XLx3v&(Y?o7v5vYuK=R`I%EbXIL;a#Q32^FI zljK)=Kh`UmsXcJj4**R-3I_X016r$MsRwcsxI(n$RlEo>_si`;N_PgfTO%jc~q4j)cMpI5}Is+^s=21Bve!J}ZZ4i+pZ^4bw zy`gk`Eb5Yfc+}qlDvf$<)wSfm5&`N4h$# z7$RI6`3y4R!@{y*`W%nc`k_7@I$3t*DWPzyFJCOf+z^Fc&Qp>zVN6BEim)ejJl)2Kh~Q#-YGze+>!smKZ8v z&nhZ-D-*^iCb@>-pIwJjhS@E4F6lG(lHhg0)oY>BvF!TRLOObDrC~{BiB9#&0B7d|K2wMEnYFRxC(B zO-tq5=)afW{Edvqwbg=asB6M|7k{zwyNiz5(^g?TCgcp_^!|PVa{Xd@^dwq(GU-Z* zrnctag&u-Oe(HD2(E!Us^zfkxMVI~XNFi}j%lxaY46WtUD~K%k-S2G)N!lnEkw?Lf z{WYtCRMUy@8g(+eu)J2PR3B$+uL}5Xe0fOfTRcIyOh8>=b2ofY4vpm^Mr+DD)dKm)^_BK70V|{T!%>8bm=^crPFsCj?Lh-aDH%Os(KNQIhw#^PeFRtp8HaXu z#c8=^8NnH!6~BuOTm$@*R0k*YTvJ;2u)ME3^ zRwH7-OCW(clGc0PKQ1)!6eILt!5#}Io;)n)m5E4LQ@{|gi+ahTX1scz{ktx48@ybn~RS3(lr9I5^dG{U4d zn{vnY7Ys;oR5Vf^ME;6uirCG|5oYz#>Sb&Ar5&Wz?C0M1`3FfjF<)@%@bH~_&|4r?~`ln_;^H)M9%Z{#L_ z2wI>e=7Mviwx$)l$ZGmUvP|!@F^SYI;|3>}ot0s$0}{2QivSw-KgkM^uI1=o)Gr7z z%LG^^(;>?uSSi(NL%UK7VG}?RxF93%T7MuuL~BblK>Y=Gw?*$hc0A=v9k^ie(4Iggev5%Ncuw{^j~aak27;9=6B2e&5(=O#ddjwFxzPOR=0&D7i@OruUR?jDD`o<{DFrFW3!{ z`-1AK2q+QkhjDV~(WrbrfLqefuv5}wx2kIIhSevEHq)JASwz7Gb`IQ-(-hpQh-Kg8 z%iTU4TJzJ){2(L$Jsoyj>1@XS2D;!SU$$)T5ZVwGGD)5tt3O;oA z0AK{LBO8jnsS&d;K@n};jqZz}#$sLU%`UaYrU%tM8tsJQqWpn-qD#f9^skq3z`sO#r) z4m&Xvfv8+UGA8o@#BrS%(i41-w9wZ%eqg@38sU~la&-c*!JSQ|feM`kc*?3N!9xq| zu5C#`b5xaxMDSjXtMQyh;nOV+z_cDCaUkk`PaPh07MOs>nU+LupJuc^65I!!rmFC} zf#kAMTVbz&e2aH1GIF_BJyH{Q-t2-uyd~a3Fi;OF(=M(SHJp62sLe+|&%e-gc z$Am?KPDt$d#GW6c%#oQf^wFpD`E$%D0j>~ZZd)jJO2{OyP`EBByLjxyfx*Hh9Yw62 zvC`( z1G3I*?+p^ji_Yh-^jWImQhG&Ks~$qaqw@_KdMiU@Puzz&IOUWcvj9MX+(|yBNCBYK|2_ z*%MM>Irq!F1LwvlPJc6zJ&~`oK!4jFGL(-o6Oy1D!^)o%)Gj=0zMwN~3#8Qh5qTB; zhtt~t#KFz$-yy4&g@klxeZ=!3cpwjdFf7#@7^N;jCUfEk#zCNCM=m2y>9tG3YUhXo zLI5ll2S9<&E$3fkGuj)klg%{mj!c)Pbpb}LuJN}JIm=P14Jt0jzln{-NK)a{usxBrQ^fO|zV!#E!LrZy_gtl0M4V)#s(@JO|19LG@lXPm7u|RH5 z;~*zp|3m6ub2Y4FC=~cPf^=1fxT&Hzf%yS5EhqYbQd0&^aRayZOc(N$m3^TV7I-$K zuPHI3uC}FArjT830O5iCVqVMnBm0AhS_gTG?9?WvzfuYEc5N<>!QV_O_OIX6P1_-p zXz=dw;TTyE&!A*RR?x62q;tNZAaw5)hTLc`2iPY=n3JGCj0!*N=3G>*Ah}e&SMaV4 zfu2THLoh$@+4pWwcgd&Vf<^2e`MT+gu7gE!{Ew!qSe8`TqF?joxD0W3XNJ2JP}tYM z%F}T>;!HZq$lS8l)(8-kWQ9J^pUS`~5InjDq&4o8@1w_a>)UZ+2*K*L59R3ctB8%U zU!l`SGd{?4UM4?n-*1g1A+ZjvCtLptH@CkDby@!mQ4{f|kDcd(HYj)66H@K_vmiU- zWbKmbv~R?%b4t@TrSNj8{tiN?zdi;pq{l$31Mpv18#-cuo zCP5VTm<9Oj*B-r6ga5Zd3!lRf|+VSe>S_B}6+&Kv}#q9$IqeZ#5WEn#%M@qN0|&IGM) z>WF6SdET{uUL~+~zoh4{tHg+%jp$SD0!D9_PUF<`GUDU8Nb!5sQ%WV~U+kyd7-}2$ zMx<(kwq=7fsq;Jjk+>=`9=uAq#s}uE415s_%e7^Q1F!id9HaE4geZ!KCAoLW=p}h8 z9YI@CBc0mVM!P<}ogtYEz{~T^|GXVt*?8*+DpnpE%Sl%ux27S`*2ZbygE z@h*bUZagkho-mu2ph7~FMoLMKOFfMzj6sW2I5-dc^I<}v(%-^wblh;3=LUrkvc=PRdFCr*vVTT9r>hrWH?VjZm_e7xbHCAF_CrA5;|O+o)qG`HQr+UZHdn z26(>h12Qrumoe51)aPd`rQKU6qo;Zzk&-wd$8%_Mgd2j;~r z4_!B?rOl5);`E=m`s6b^C(Af)-;)e(ia@M*@L!h;Y%`xm~8U5YK+|==JQD0ufU>N)$ zPi?9Z8$gfHSW!X}%oV(N(rrdmmc0=X_3(j$7roDK9O5Ft@_G_zkfGv&pEe%6Y~r`w z@sTa+U>1ylLpfg&Jtq9TqpCq3ep$6hA;OV+GnKCgk-*;M{bY;3&v_VWZ)?e%^jJQc z+RHk&z?Y-BxQ3;gtyE;Rh;Dz>fkOIXTg~V`rEUi)7Z!R!Y{0gE@*ET24=Hy5D?rr0 zbdzQ!9XX$(Kh4Deo~j9-GpVqgI_t6nngd88l)N*xTUs{VVVvUH5dv_s2tVNzWQ7D%?q^G!2NM z1CUWTKX6!E&Pht@&HuQ_#}3m%QNbV@F}|-9R%Q-3w>uYb-lDby&a-vn%9Y9k=S6f5 zws}v9ZeOY6*UePPy=ZZ>-HP%0(TaOxk8$c%PG_`+f$e?d-fTLk~j8EoJ^z zQUAzpC9SkV^YPc*!g07m$?0za0s?x3oAYN3tP^_^!TK>Mml`;d!Qk%amftx0L1l{B z2Jqs%ML#i&@?=Wo36dh!2KL;6Sfv$AAuoAX82(I#x#f;r`hi_{LZu_IJ7DoYeUmy` zUP@?NkNt&8bkZQKSQ5>q2=Vu>y3vpEEXTbJsp~R4&IUDKoY8SJ>{9GSS z9+HnN3>h0sEua345I|tnw}H$87^5}85r6Te znovo_Sa%H;2u2-ReM{$g#;f0<(K6-)dV`b8l*IngQn?Yi{Oee8NF71XNR;FP>(u7^ z+XdZ^EMhI0oqvPptZk}ed%o|%g95XAv{qlh;;}T!Z>dj!lSxTTV_4h!p`0A{f5z$# z<9dp}lTM!0w;|I!hi#O96lD_Zfe>?9_@I;veTmUXD(#ygNfFkQt?{EE6Zbf9y+~x# zJN3-uCE75@9UyyRofk#)H{tlqb@6t+3Br7>RKorZC%8CF6m1WhrnT>GUtfZylEC7U zvxRJCUpC(+8EBLZB&tNAktKKN)lcfgrnps`l`B0vC}V>h^WB`z3LGJ#@!bA^Cv?s) z&1jE-)%G@<@DKFF#i@los;C@pui@2Hbgxy&U~y0yMrR2ajy{M&KV1KxDJ@3++@zx-o!O?w7YJ z=DW$$->6{pkks|2hkMnaquVeT*<$B=@nyy%JIC@1Q4zuyFPg~5rS>pV&EKF0Q7I%6x2Mk<9fe-Qw1xgBN8OW z4Az@B4U~95EW0_p)RjE(w)U?|8>f4Y5jap9q@?xKDIPp`V<2_R|Rps73QyrMK`%Fc)0xb{IRZSzNXoG2rbD4P5;h1N)^iixo#L zE7U;>%)DImO3C)3g=jIBEU%9$=pdtC1yE6ILT_ zqd9rK!`f}V(f)3zG0;}C6@kwN{PTAAAYAS~c+zcAkNo@DAqf8$AZ*}NoEC4{c+HCJ z7cP=pcHNw(wjDUsTALzS&@`h7{JfP`cK}a{2O4{$a@r@{{j~!DzQC@mJN-2&0wK*& zi(~U!-AwTMp4_C2M1CR$D{WRed(Ha5`0&mT0Q7E;m9`-Ntzaf;msA?*%vsA)z96;p zxf~k$#BsL!^{pqMJdmjb_2#^%o-eO@I%fG>XBe^gQ?l}hNV9}ko0Ea3z`_>adQr2f>1J` z(!_%}-NKpB#??#UES!W4n#xEN8^q8{0E+n**+5wv8$V~a!0W(CIuCfT59GyB*F0?`Ji@wIrdn`CswP0hHvYFid|g zd%}^i49G0IZSj1A-nolEmU(igMiC=8UqB=PG&N1|C~jRA@E+C6PcRO>(5Xf8Ema5< z`J3`>?l1Ygzr+ByC825Kq@P>-gMa#WfT8Ktj%jUYl5tNqXTi#PBDXa@2kWY8{E+WTb`Hw{1YO(B@8>TtNTNZjy7GRq6Pr|UOtL6q(j zOu=w(D54j;aSoZ(YFc^|$@KMltk+j$WgE+S|DwbqzZ}Fe77xAE_m`9qfta;QJ|gA$T~CjDWVhTnzur`fV=%f=u?CEaXuGj!-4C!9@U@Y#+w3`S@S%PF`R%L z8CU2vA(ce`3R>aLg2c>*X5^K!Fh1g{z0&HLiLmjI7`$vTsnBxNX_|>4$i+H!oPTS$ zHeO$EU1F#F)liV|~DJYFGivie?)c!7>lPF;&khiU{ZPrWUm0nh-W;Hze$rV|Uzz5l#R&C}GUuAp(2gl11 z+UsZQcwTT<&GB0MbAN-8lb7B+2^L+xiY3nSh+X|oK2dZzHtBFtk;i`tt7I8Tj{Pef zc*@@umSQpJmF#@mO;aPY0PQ(!%4}G`#7XZiCWb0d6MVH@ExMwg? zJ@Y42l^(gUvMQo}%=-&t??S&K1@Ah6pdh1Fl_@Q-VG-~#W@xHaqvP|l+{d8`7|->( z)CUH3@r7LxaKXec0|f)x<@o1WU^M<5z6ot)x4fWDoT2FRUt5Hp(|SRs>sI%&SK5gJ zmZ|ww`8Ng*6Q?~ZozpOSUS&S^xL`r7P{nW1!2)WgB!__MDV zuHz}Nhy165hQ~`{xtmECa6apA`?6^EoJ2^oiF-Dx&HmzEW>!1!UpF`Gb4s4H8nbY2 zP&yXnd@8iP7*09-K=rXie?IC5*SQ>WP+|0>ic!IIhU&oGVsOlZWg8yR2iNt!6h&x@ zq4BL@;Itv`Y;pT9B136?H%`O$1-U{N*dU)Gs>YjN%~#8Y0KlWQjxP9E51}Aj5Y037 zH@f8!$Pn5>v&pz>Yr*;z766bq)HbVmP+S)wGQ-wnsK z+~4$t<>w{-Oi7ZQQG*CH3d3XJ(+@<%CChR!!bDK@rQ}XCk@)**VpqbpQ9l_E&I-4w zY4Rnx@^=A#E?ktze6_4y;!LSD!_OfEJ_>90&^25jLE(p?qI$lCX~ zsJ{{PV2E296PPs77G?2ovr~d8^&ko3njAo-S*+-D4AMGs?7x-7GhZvh*&|w&j=!nK zGu+1p1xupKYL}5hVMDQbv+2tP?aalB$Gtq{O)NBh`jE;|cgwFQ4KO;%ZaGuZj@*C# zHXau4yZjW(+~0-fI|HOyb_R#4-gx5r4d-``)B(ew^B|ENkVHmC6hquEB+l&&KNh{sNttVS0irsvE)1rlhgPD z61UiRN%(+=2`sJKNL41PC61U7Pvq&HeIEtXQfHXPN*E?>#qtm=erfp+4oW}Ja!CBK z&Y@r*Di?i*ocB}+E%9o!#-z6V|P; zKJH*k&OzcvK%Dk+*rz?~LiEHe)qHyFPOXu+Gmueiq!x+<#NVk|05ju$c#z>F3)YMu z^idnDE1{1@BnUi&hAQ(WxVCY5}-^VCL4t^J$cg}&I|4zpLI7UX9zy$m8<37&`p z7-KnLYdXq;O&oDMP^@{ezNr7AlVRq#e~gzr%+}06@Tt&vdtyOAa+6|@YS{99I46nA zeS4=v*2$*vik3g(HR;^j2lYpq)wHhTz5Yy|3C-6k#iD9t)mPn6$3P2Cq30+axzaz2 zNWIi3Y>kf*Ph(*fqGCZ^2JTIL&9Sk&nI>EUB42YsEXa;9#->+RozQ!SUl&JRQr z%!Bmv5)Wut3BJVPBQLFm`~xd9YIRzCzr-OXr?So-{;><$d;eMkTSMBRJH9158cU+_x^@or<7&Ofoe`vu|< zEtlWeJynV`Hslv4mpIEYw3ea-ECdrd+tw$BAlK}w#UnJbC>Z|Y3Rv?kPg#X`JLU5Y z@oHULXWHs@P51iipn};#W>e&}=1CtKQZYsFmj>1kOXO>S`Zdh#jXeII72`=YXr^8O zzp2jGW1FivIa##XZfos~w(^biOvCCEVykwwM}N%312JQRWRid)B42$@IpS~2{yp6u z%Rww(V z%83@sqMu{UWfR2uXTtr!A{}t>G8Yl6&&1y>eDtor7Dj`~gkqWBblq@Dcq=5cx6TC* z0i}K3+t5Ba%i)(P3)RKPDp9b0RQN{tw>T@*7bC;kI=i22|1tf72Ok`siwwb}ec;r0 z7u5NM7w=1g02rRGc=}DK%}B4vNCXP%ixVzp{X|;N6eVYOggDIef&J>N9RWi)E{v`S-zvR`2bW&i%|r1t?&GWPUV(3Bf8A`pJ?iA0yA*O-ZN^=4354 z1nBTlHqj>RrzFepCSZ;j<2!%La%%WnkXkTmk3y>> zb>W@{J_L>7+YyfyiM)IH7_@>*uEo7(B$WrLP2M=vPHC81O>ueY!V?kD$ zxk=AJLCnQep)F&7^M!MyN7`0bLEbbT;KV)uOxW*hpQX@#Ci~cll+8d?1*xkqzE|=B zpn_w{=S`2ipe_7ev(*jWwTQvW6d&1|x0JV%7+oQotSQ}ccmJZsj1zC8B!7|`bWYn7@_J%h+{}O>7_p}z4-nYT`yt+llq?ulBchQj z9D!-f3i-EMU_`wkj8O7#jRzo>fA>$DZ+@LW_(`CBO&t4F5)$x;B< zUBiEd1$;@lu7xZ#2nZoE&MT=n7iEH+18eVWPj33Oy)6&w*cqh#EC=-L@5S@^_irpc z8UJOX^HBJL>donPF0xUvCj$Q5;8a^lHZHb=@l?5&d;*qSoN;KG#r>w-OiKpNQOm#s z64`%TOH9gJ!orcq(?vuN@~P3|+v1X4%7jz7X1a{d8@jDJ>hhWxd#D;V>p18!(8%D? zMhKpwM*tAM=&9n|K$3)O<8v`e@?PLftPzvL8<{w(k0``l*j8TL8Psj?Zo|lr4 zm=%_E4d79Q>kU~k*8fQbI`b}P0jGYeN2WRN+pQTpr17H9&U$yMW7*KC-s*E!=#|6npmaw=7ICJF-PwA?p@HNd038n*vLg}85uADb` zDCgq|$2K2+%`Xo5YFSS7nKG)VO22I!WaqK>4jMeo^F#D+J3QmqFKr8VXY6Vpe>cy5 z1CH^qV+adTfv`k8*tW+3x$KWL0&g5`mBcT(Kg)glcQEU71Zm>#?`ZIurs-i}`;HD7 z|B4~lrgM%{1yF0Xb<;k{Zw=v$)B21^s1(3F6b%~z`1#6u=c-)%*~*K4wuy$oxfKVa z@S~z^@PJDL4p9iD9T+P&UpHqnSpNDDMjF}dSjB~9p)kf=R)L}Ejzz}bB`}TA^_qL(I2_Z(*Z@{zgv|)emh1=t_Z{s84_7Vrx5F-M8~;6E_r8h zu#8Ba63%`e;z#-z;JG=Ty_o_PPY}DJ84Jcqqd`}MQc%Bat;NY?Qu(o8u$#fYk^{ov zosS#I`vIf^5<6A`d5hkGUdtu~II zOL-I&USD=m>Oqb$F3VIyRA6g(JB{_^{;;@1et}E#2(qZqPJt^Fwy2IlKEY_|hJpC` z5Zx^b{Vf28gteO!=00)|LwaT2SH&@8e@~GTtRIv;-qSjOaWFkoMTGw>lBzL1xJiNz z$%w;r_7EDY)#}FVOU^`gvN$4y0=*@LPxFUa<5gArLHDIcQlSapSu7;^cosGl_DIY6 z7WEEEF`u75-y1U^`)`a^xDe^}SN)Q8k2T4;wQ8TiD0uTUA5}eRh88#&>Uuzfx)J|b ze4um@V=I2<$t`Q0aprU&b$i5AxlxqtMrA-!KZdZ6)?JIev>ZoKb72bBmx$z$CKc9k zBq~@U1wVtGZ{xRp_l+waX2OT>2;x}BQ?bf$YIpWy(NX86{A zD$~vbUA6KmE1Scf>sT1q92sTK>OS`u{YbXK{f#cI{~ERNhiVHUx(sT8j+6Fb-#hLK z9iiw<@yp-Qv9_=IE=l8ov5tn+D7;L6!V2NnP zza4V-wo3bxVmaPTiO5#cN2WB(_}zpL=}lLVHav$6x4#9X7K2*)E9i6=hXfC26G6!8 zgnnWPIil!&@8WO{D$2d|5~cV|QGyUx3V$?px@fvZeTjFs4wBOxR0x9Bu)-8tds+wr zXzT)x?hSZTydJxve+&om^4!ImqU1~UMxRzB4{Ck{<;2i(j4v(?=zfqe_AkWJKN9}f zGyhVdp6G+tp$SRlpQ7%^)Rl*gAr;6l?mj7-d|sgZy(*ceP1zqe!>k-rE8;ZzfM5wp z^X%U=g4pRLrGx%@&`%27$;x-2D0gFiCwEKwkxHrr{LBGN+AN~__88*IFCR*`Hc7I>VO7!X%?u?{D(f^F z{D%u1&G>ms>TcXS)D*EWAxfb8O7`vn)=&GpdCcKa)}=O-j_GZsH4Sx_Iu-k#$)=0` z;NO$hr$oF7XH{9*40w89+iOdX6rb3;);Ov3Uac+Emr0EN(fk=D_ z3@X`E192_36Zk^YC^wvsUVTOpl3j)!L2Mm(x ze~*l)?p{UMUg)o_28WL&Rdmy`CoST`t><}U7WkGJ4Zfb){@Gm1B9))B;oQElNn`%8 z$B%-}-s8}__jq+>`u+?z`U_edy-d}bqKMC*4cilL#}NN7Hj+}`y<>GFRu$<@3KkR9 zrfV4Qan+wwh(E{+H<4vxXle#PQHYZNE`nC5^1pfi>_bGx)FGsbbonx6ao2&}^XB`v zXoMo#s<4Kq@K2EQ8$h1z#qGry3L3%9A-&2~gpg}!F}Z0VEd7!0NNeWIn9SQ6eAcJI zTa46bvn_Prn+|gEhpU~+Jn~s%Io|H_>DipC`iR{4hEzn+z_jwohL!zv`R&;(a~)Ae z!RB-h;n55~puQ#DVP|`rFuV&I7IagtsiH_USQOD0_hs;1eFZ8pwT{p}e_QX{ z_1$c)n@;SZkgABkS!wvA`a;wEH>;#|v^5>QA5_b^^l8v$wqXWBPjp+sst?irq}Rzb zE&tT`*@CPZ8?G<(0`lHv9AWu{f}mW*c{=nkl!aBTn5v77kpgkM*= zcNFKh>sBGxz$dZhXNdM&`MK~=w{SM4u1`@4?^YrxGu9>pTjNG#Dbj#E(G zceZ<&rI0K2PxJubf15JeU-;_I^Xt77V=?3XT2&TDKH>48vbMlbA$wi`{eu?<@L3`IF6CcoLH%{^fr zz_UQ}p-R&ni6a`bt$~@$%(rOALZ8ea;~~LS_$#k=OBbOb(*Sz$UzNzga7FPla5}E( zADx&9TnPnU?U_Kfa?TUgB1A;zs%=sww?9fgZc>jxiA5@b;FrDxir+^ zB*@(oKB)WWucp@vvuRMj)vbMR;k)slkjlPv1XW|0WF$@9R2R_}vUdrf+3)cq?B4~$ zfuEDFhy9r+!=_tRJJAawUZ!FiRm$VX>2?$&B&-X=9w0rC%^HrHJtB$d0?aqRy*Xnj z5fu{bO92#o29!bapM-NUm-iKEz}YZwuk{y&-Aju>J62JHz_SU16alIc)qTZdyNbc! zC!_#@#{O@u8J#|~^LQKAc2I=fC;PFkzy4bHzHA)Dz8|%A$czD}A@ZA)HgZ^yAB#i` z+x`;J)La1;{9UeUs7XBy@2oF%KQo{B(_m5YNmPVi8)9Im+t$e<>ziC2df|XrtMS?= z=ZfzJaur|hAe;z)3qoG)4;9Z_fdQvE{%ySRQ|kBJageA{{#A|K*W*?8gp%Z%^rxyvH` z`7p#Ki;YwKm6_?a(r6ET+d&4ZoM|Vamx$<-1JQq~abnQp@zu*KURFIPYn5ZLOZidd zsvT)Ax&}))zqKZB{UzaDE8)bxzh4(b74AozgEP1Z4LPUagiL$V_76|!5e)b;<?;XMHXwO};FJ{+01cP`V2(XROJBjm+6flPnD8!*sHzl?+D3DS@b zg876P=7wIqbke8D=h!w#X^MhxNI)+fO5rwfzc-tc4Ldct_HYcM8lgUB-;gXO`Y(Q% zurvmb&6}=&-D#-z;B{)CKTQJbPuMzWEhh@|P(0TgR@}82lBL%F?Yh%P^e;~D*G=r! z*_IBq;>|j0Qp2V{MgJgh?&|SZns%CicsF~gAK1HPtBA3d@ z$j|#)SD}oxe7$K|?N7ohaPj?zANn}!sA@`b8Q(u&o1lwB2}@T;KN~>>gHt=_l$aL= zCGPh#Fkk2@Nh`B#H@A-)WrGneI`BEIk+6Qb{@~S<{LNWF@6|f5TSnun^fTI~VtS3e zFI-)g0SV5s$+dTOXUUO?ieXE~jnPj|fQRlS=M!D8VA#bc0Q~Qe=O)DJ%&O8a)?jPg zR%2cq$)LK1s&qC9?Bae86GiO?CC5LEFEdGVAmsiuFRo@=5J=!mk z_b7Qa7P8?K^>VA}4+=n;xcJah&Gc7dpyOv){kJ!Xu)c%wolc5AtCXj%Yr2PZCACAlcGTq7r`M3mMzem&`ylzwj>JIYLe+}tl0e$QT5zGi+BlN~2`Xinf zmjI^)3@dBbReIJWTtMwkHn&SqTyB;v$${G5p8Q)7ZeyoNU3wrp8yRq;eErTo7ISxF zR?a)2*Nk&!$gX613ijky9SG>-i_DvEXy=ng%fNsVfA{>VINocV8wW8OU$WiTW6@5g zs5=*0TJ_yf!S<-U7!kSC<3x1k3m7>dM7d5$5jCfcD+qY8rV%ZMEd<$72CV0vxu?7Q z==bfU8 z-6^^$Dftrj6rTWtb6)tq;fN>@6Jx|7lLvJy(I|MTdV>_6E>iWc6z_HCzV%l>Y(f3J zw#um6m>~=aXKW9-R&yD#NU1L%^P`2Yh#*z_35X1}sP?avg=gxy#|N6^%j_1+UWgaN z9fBW~BS(0Ko!raYnY4R&`)Od)ULv!;AM+|sm|iSF#N3lAuy#Mm^#|H%z?qXe!Zr@P#%8 z$SK211MNXm3cigTrWyDB@9QC9#VUDU4gGf>UV~h+BKiNY~AsV@e&Ba$DiE zoq6=mV?I8Ltp^9hS7yyzbriedN^4{vCtzJaNZ6?hWz-^?4t_cYrWDeaBTHcV&s%gK zZ>~*8f5F*cFgghGA@8}%s3v`vbR9Z-yO2d66R4^-bG~8|J3sx*XiFc<#d3b(u1yg^3qoE6v# zCCVC9F`z{G#5W}W(vw&Kv=n?Nrxf6sgW!2yTGR(Aj@5xY&zCWJMM_X_`Qfo#(J11` zzN*4PHt8uZ-0t7H^!M=2NT1lurqHaTNVne!(l*_b8;tx6e!At-Y^4P<8s?~x<>U92 zlnmcvHf$eQf(JiOqrR~G-w~%8|oB5Zk#@U9nBzd*#LylJP*G(l2lvY%Wa-c9?4&{PZgk7`su_+^-;)FNVkL zWs3N>CPhN*5TT*IrMlbkXN>Ck&o1--+-7F4o}HVYAGeUVKu+hBFdXqfYNoIVl4OdQ zhNVyYV}p}@pzH4%?q|>|ir!#+JQ6pgY0}K}>_NCjZxGPJo7p3%`4Gbcbf7}?_IxnK+gaRv|c&c{vItZ&zPkEB{VHh2f_%B zwIgBFyz!r{L$BpDw`_LCPe5uWbQ7|tJEyl@ks3c7RjnaL9r|*&f9tPtrJgPtqsm-G zH*6Q+&tDC(^S2ff9~T4SV&h|hSVf;sk?ifa?{ttvU!ci~krxjV=sqx0`5}4? zZe-SFYEg-Qn25;hT;P9Zanfd;Vgi?IL}FR(`LA0Q$9g#`OyTHCa{1P-fCSb0W`%=M zW;3gO zRH{n6IY7g0Bi#T}v7nhP_H5}(R7giO@&TvR>n(GZ(K!RJKY)U1woQC$^sn&xGlGcO z(-=^mhuAj!t^WFJ?R$MR<*1Q^x^Nqp9p z7r2;Ir6utJf?xGhdsgk-tZK$v8!86Hnv+v33Wz4{Wc~RdKzkZKKcTc=C@J6}6*|cR zwdbpxqaVmzS3$_8&yVDsF0u2D{IkGYbE~0K@CHLsE_58G-mcU%c)im;&d$y8-B_`y zGpoO`pZy9^H_Y+DR8V7Jg%3yk@H}GERb2}8I~#vt1Z|R9SAKV3#OG^0Kg+g3@stfC z&{jPrt&h2p9W|W}n2XZ=4LEjBdIR+2$sIH}sF@gcGF6|Ncd%`x6F&_-?Q4+TVZnrg zTDQAz=jgV<7iu;&;n)uX(R9GGI>Z}U+#w5|Ojm@2Bm=?AP*#qu{Z)uVVfm(liG3hV ze^x3X{L&KdvYaO^zri|2@xIavefv;ppFUr^ZtuHXK8lww>%q97&vl^)okaJ*yF=dC zKQ31tkSV3TS5C-6r%OUIdm?V}L%4lHLLlBNFWb~i>#4Gqq5rgW2J!MqXY~)cE}JKU z6M*?_V%EzFF1(UYO|&GI;8!9a&JRvUt{XvF0onybF_$T91i<^C;U6DVe(Xw48_dKR z5pEP8`Yz~UEDAoGBX=;hL~#QNr*iafvmL7hu|B!^mN<%guoqWd9lVfK48hyX+JiM2 z!m2~p=A~@Nu+|UGY$S~ZG6w=aePSW=DGa?%w({wnp-Z7E^Ya7Z4$`;Ya~dVVWd04s zi$xbZGx0xPFUQh9HzEz`z(?e3K^g$<2X7HaQn%#_waU1_KY>5`eRTo_K&xI?ekj2; zMu3UySipHy;GS}eQQ4(#fMgF1=dU##Uc{9~A_TAF&ySfYUsaYI2l*TF2edQ~+)jGP zP!d$I=9AT=7la7^I?J{twgYV|SXdHs^mNx8#?RZxr=@7^{XH^BctA9{Tz5=OJPR~Z zv*Tq8$McuNBrIKnWUV#j^ZAP1mvDs`8LZuud-aLsf~es9b=w5oz^da5;VO+Zv4m6=uwdejP|a)u zYhFn8VX8$K!Xi44^FM#^X2FW@VY*EjjjA$JCA%qZ`v{GjPFpIfaw*>T)+|< zdr8qP-wR#sz6VwA<)LD4Mv0L!pE7ll*Y6`}w2{GM0{=w3bh1iSVbcN%&f zkv@JOHgxF6dx(w!^TnAUp|{4t8!1_b`EPzG^yx2k@IPp1TEMe|PkmC^29B458~#Jr z<`s%&5*H@jWF{nDsp_49p-Eq=KF+jRX}y1U(iCAO^?=dyT^#(D*8idMCxwOLWPNeU zyILilM1-l1Yllg&!No(WfNz$d;6q4Om%l~%-SomL$&g*q3{XkBO&T-E-O8^8=Xmlk zN&l48<9Cn6GBCcl4Wak^RdtD(9zymIWr*0j8(~TeUls7-*=6u|m})0*Qj47}med5c zhaLL4F-q(|X?c6hE@5e=$jZC4(3Sa3xJ`%UAFvJjVhPv!v>|h28d}2#!@m@?SDg*{{$6TWUzKUwh1GRPkmjfUV4iQm zDgI!PZ;z^Tj+Lzb{`fZrE*eRg*_j}$g~6oU^%Q%*T`0<+^{?)eD`OGxSrvJ>Y2>$))RxSpERjY50^>q% zdUHM)0^e&coFkfdUsSk261+ti=4!Z$L)tI}qTt?a%VJ+Ikf=I>!tTjOIukNDkw|&0 zM0{JO93DbpJ+H`S6nT{sCC=Yez{w)ya4xRTEf{roTm0-Yey-Lf2m|ItKo z`Hl}9QMvH0-M;>nn5H?+wQpBVEJguqln_6w&eg(vL~4ITKm~YpGnj*ul1yqBo0zsF zwpI1z!NqSz_`q!UMXn5MfYt}QxzAT5sFC@*r|5n4@rOTPiT%@tn+ra46YVMzX(S4U zy@J&O_}eOHN~T=8KOmeq@#3z6DGa3Atl;&himfSCALrP4 zWxbLDIW3+b>!bQ9uD-&pw$bd2r965A9-lfwD0V+m4zUKC_pt%S-MXphgVM3pO!hC| zUBDZgQ6xk%d*_MNNpko&DuCo*p{jtu&YZ;J&1kuHk@R;~n#pwej7=}r(AIp);l`_V zS1#mfu{o*UG~{Zv_)=Dsk--%m{oCu>UaBE|;c#Vd(o@arN87)J9Soqbkb!omZcN9A zI!t0g+FQvo&lDJVz*5 z#OEpfw^F|n_aRW_N!jr8BOXtH@#33uc`O3&{K_vCEv-J%6#*HA*!@iS54DgO;X1lY zdg`f76)S5MTTtl6b{tkxyhFdhT~-4!4Jp_yvNk`QGn6Ls<>5VQj04rERH<4yBt^a` zO)C2S^~z?=M!@Tf3Z$|X3;$bdKU5gevU0gorA8cs?zo|gSV(FnC22{DU`8ID0w<2t zjCx7E85*k&M&SCMq`mB|skNUa2*5K$>Ckp!H*#Z0#gZ(`DYdBZPWrqC#eH?mkZ1lisL6XdVhs)nf z?lnB~Hi?w1!FIjzOpsEm#wA_jhlU!Q{5yu?8D^AFK6(4rC7!0hI%$Ue z&7oNjf;UGQ1OvaY%UJll#=FT<=k4uZ69J<=gdaJ63UB%xe>1sDs6o=HPl$`1zrt*XU8(@6vc}P+iYv#9=)TVj z2n@3q7^+_7R%(w(rt}F!s!U{ge+_nax$qv)ch?5`q*#9DF8o9*Pdv1%imrV`$dktM zq6(^A-G2p+mPj?<9}}ZylB*x#3T4OqcBH`M3+;sdUg4>BP)=$)ZU zzoKDZz#Hw+zj!k{cZ1Zpmw~21%>3H_UW-MbX~~6rQ(GN?d98Vv2q(lVr++luSBl%T zyj3YxJDgaymt({%aZw|uA3#qEfzYL~@IIo1CYS%rMsiM>(t~`@8C({hxWfqhyCg2ry3^%j>qL5Q{T_u5$owRxRv35NG-1n zAw;9;35()r#2c--S+8V-9^wgU)l>fJ-DjA|Z&1xy5xab}hoHa8k;1zTmll}O!dTGq zIseQUq~Gw4g_`?$85?QS^2e-2#7%w_4cQYKTIjD-*1|M09&|vG+i8MD_Af*r%1lK2 zVnZmo>34?4d)&wL!JaRJ@;$2&^x#V>3RsSy-A;ssNTKn5s^C$rRSHi}^~;r*P%^y} z#M+;qX|vxNq%yDlVj2Ir_@8lFaPKnFY&Wav#|+i{EQsG&Z)yYT2WS-2KY0GdX)Ugr zFE?wIF|1u;yT(opgyE@lMhbX>|D7+GF%gBny6gTRanYn~dN%{fCg`HXm~h9>Fm2I+ zT`g_`plHb6j?PMSeOoueC+n@fKMv){l3mMrvFKXA8MBcVc{9t_YOgeXnEZGJmZL}E zWwuV3{_H26n3nYwW^t`_gkwQAEpMh{tTP%NQ z-3H?9$Np}p;N@3npxOq7MrLY7E~!VJ{C%Z319L^Z6z7&}WHldQntVKPSs84IBuefGW7Ymp`&oA2{l<w`0BveI&iwP3KuB~X*1R5#XB1T#>!Whx#<3E zc^`+qm%p-uhxM75*AHN`30{!%L)@Jt-onmCa&jTAa_5QN(a*$vGxH9)vTKOH!C5%> zrs6o8u$RF_6gmBCPrUbo!OU(p8HbVVeNT4L`7wEJG)WqQbL_CZqKTU*1B&lgu_|=` ziZbJgjq310g$)Wn!L0v-^C+AL<{s&LDj3%#k+jHH!4M^x?>_xk7qvWG%#=W0WrWBq z+lAyapC;&G$jHtw{w=){NT5vxLhCBRsRp_b;2q0ciL5!3bZOe7{`?@(Dqhu5 zmyq6~{d-o}O2S)4yvPno#W7?Z6hx;#g}f^S$6xb4cTbws#9xTuzz!-~kQS1_(j$E{6oiN{B) z-BBv05Dce6iv>}2(Q*}img_!(!Y!Xg&970&`-hbL1^~R{huL|YZtd#ACHRf`9pXg* zgN5NSPYia(}owGrS~C+viPJVA)+Gb*qI6$c1bs!}9--)>=Rz6yo1L^cD3_qMq8;;#d{Ls*d? zI;yx;Xhc!4h(ih+xa)Un!-C+!>5t)Mh zgbBD~n6c&E|6$Le>V29L{6!uCC_=<$-mA>ht&;}@No@o}8)QVfKWEbmyRuxZ50Q0_ zf0VCeklOV(vsct`V|*3B0%M0oAO}SmqcjFPAFja76Bxm{F2vkaR?S|2uTDXuk0Ufx>JO* zzk;cA4C3EzNq9bA4USWLFaOmqafB(9!uZ)G@BE4#^D~YtVr)fk5htnQCuU0k=hiEF z*H)qYo%%qnSvy_v$MIAoO)-y^-fdYB=#ZT3hi<#_d%}?fDX@dr@-6=zJ@q;6!_S`J zjAq&@YwipEuKl(Z&k%q8h=BMYd-?eYD~d>gN+;-!g-?n&YT^%KT4^6{FRiY`hCVLZ zr$YdY8lCoxebFHF=$z*ue*!P@2`E@8Zxee)&HWt8AY{KOyrF1v>QE3fJiKi4xR>se zT1%h@m);M^m>{w#Xf!xI>zxuOZAU4!o9%C-j1-b$g9G+LW3Qh<)?g*Rnlb}Qrh^XL zc#lL5Q(4Y{pbURJJV55H8E06rNm97PoV{~z3>Ptw1Q$R)RGn*S&@M@h%?rWt`79q zbpgTP%qA`o)P1K$GKqA7-rM@ZbOz&Q{0h%n(jR~nx&h79z!!^KaD)r{;-u2JKzI_` zm5XJe@D&FCsu>0!)&-nBlBp&Br(b^E2J64B!gLst{3w2pyxxBL4uuM~f0G5J>HMSb z>(q(%idZAp!i5JLrUI|tU>>~iPgL|cn{--r0XuiHuwltO77NA;=kxuLwW5h8fdHT+ zwSK5;)?V1YozY)u=?5N$CHDbQW-_bzy+s}R>+pT$IfUka({=??x181ndfk8Lu|o|9 zn_+~q=dYl{YY0SF<@bBmhUw_2qW%CtJe;{TfXa%#qPLS{zNPejotluhay&H&DOvWf zrLf6)Xo(;T%RalWvWnx=xI+`@ziR>4b`?PFyYGkn?CHNGcpAQ-XERe=+FkHoGxF%e z_BPjTEO=wU39D6~zUY+K*8hKr>2{ORE0$n6#-q%(2!3Rmgd;ou(%ZfZSo64)Y**&r zp(kMBA!sWzOD4!#psCJ=?9O~On8Y$*m-G-WGJEbjaxTJDTi!cg;OhEez$?|`$Zo4J zJw(&cA0q1P>$NJ&^`pk>FzQ~{9V&eluWtU+etuEu4Wh0PR)&icl3sKe={`|*9yzqj z!uF+1eHv6#O+XaxZkyt#PlJiiJs*7A{D`4hulHxlGUFPin~+a6rW63$6$cd26%}3a zHh2;ylo`gx^Y2so zO8g|JaensW=L8DErb?|BAf0u8kbzW;z#y&t6Fs7hL#=!8g@g!aPSlmh4_USx4bDx1 zmS*whO8M8Xg5Y+wLX`pbq3)KN+|anSn{jb2FuJ>BI%ptzlrCeJrR2%_8IxXrfP)QV zetUK2g48sLR?hjMAa6G~@l(N5zr3+Pf$la!=!)>ByjWJL-#x%c1>}ZygQ2$6a``V$ zAjp7r#*JD5rg+KL`2<6Ln~&Pd%^|y-n!I#Y>0LtTFm5TQhSy38ScAMWwK{{f`zu3( zJD^YE=Z6Y8boDseJ}L~6GIwkxb?0$g$mrNfXG@Nkd>yzebw8F9I*c{wF=Q7aVSS#v znipPv*JJ1sdYY3jeX=uK|Ilx32QQ%_Imv(#f=vGb(*}S19!GIO>d>HHJ%|T`1BC3* z=((&4`6LBsaT8IZghS7LX~Sv9{wB|jqyne_vA)W~9P`6I1N1?RV>aUX4HJ11Bj)&I zrkPKFn@-p#r6KO#lIm_+{iy#%sIyZV*aid0n2z($$BJRfyRRRhSEjBf_vd_uHBZvd z_%r!*9&_3UnBFic&3j_!5?-Ks3c7EuOC|~7t5VA8X@9+Wspw6R<$cjTRrQ=Z*~mf& zTvBNHvt3fpL-SwJI7@jQ(piOs`asz9i90nyuN3^5!t0_je0Q1<{{p2{Leph4pHw(M z#@kYS94NF2=7hrNY;fZ9j`CINDIc`qw_HU?eG2->jH*rKGF&hXX`^?G!46_~-hMBu zYT*EYD!bl&&oXqLdOx%ef42O3P2YOb*ZFHlx~9R+v{~^Hx4I6Sf%$q4=ElF4gR;d=-Fic#$Lh#NZw@5F4oXRK6fkXqdNqD<2<& znvVqNza*>((PT-*NjB#Wa}@SPMfp)Yl=6%;L7bT!b#S=f~yRffON6=?83F zkWs3AUbqOu)ItgQ`C7~S;kci(uR6I@B^ab{1PvZ-2DRAu7tx^wNaJNK$2y4t=CGJr zd%}O^;%b6h)Sw;icr9yNJmh+s9MBu&@`dBe!%eAK9K5JdA+`P(mdiVr?J1hD-k^Pq zM*$Y}XP#?cdAoVg)=1!aEG$a#Y-AmwS2b#0*Q!CSk`R|u=|B=A8`k6;UG+jM8U#Hz zQoHD4GYe32T&X=E#X9mgl^Rr8J*BUN!fdLD&*eVtf2#>q-$=%94C=gp80eI6FbYHv z$6Y?g-h6y}GF-WQUD1*V_6=Z(q9u-SJ~YD`>xX`k$w7K!ZdQAL{5U)LE2Tid^&`3n zY_a(yUlrPy4o!;hGVilhghF%c;WA^2|INlq4l^x>BU zTLafQ5ZN@v_dDkoJMGTG8Fs4%a=`}`GY;yM#;k$qa9-FeNBv#enfx`Y8H8+;9^3|V zpG(5|`^ROFbAU_mtNAW`o}W$Fe*D(iirtg;83>ZJX|3mSRg8j(d*;6qg&8ua`Dfpc zM3N18gegzoqc?5sz%L8P*0`ZC5q&_pn%B!mr&tr zZ+;r<{yVeZw?+TgHj~i9q>>)VuKJi+Q2}8QP6PA~4zMT4&Hj5jvz2}_2wlG20C2BVGXqPkP{Yx-T_kKw4iY@-c-S|Zrkg!sU8YbbBA4#^K`P~-C2=5u3 zB*$I()w2st;OqIh@*l9dsZG8etaETb#$CuWpX37uIt#dt)J<$Bsf-1bQPP7fdp|0% zr2Hd89kj$LUZ_s&hBxkdca$D`?JLeLaw5vv1p*?eAqPqW&FG93wu8g9Y zE>viEZCY{TG79O8#yHl;$P0es}iV)`~??)uY9%_^d84*jb4JTcOYAiYGTc)UT zuWgmF*_RA%S$O_>a!q9(6wYF!LClPJX;;WVr%w8TMz7wLR7?PJLheu5c>2&MU7+2( z{J~;2F2G zDp`ugFZP)$MZYYKizC*iy^kq+6D-aSr#xWp3Bq~3=3WH5+=)VxQcRJ2j~=oVEcfDb zi20;#ry-<8!+RWe!)5+pSzQ%fbqpnF(nmC8J3=^{u2*JnY4tiah^C?Hz7T~X;>wnq z^DU?{@MTxy2AM*6>DVs7gK|r7?j8=mXvz%5-ohE}KnCQW1}<@uP6C!1!94+B2@K+M zNa1$wyA3F@IL2fA*@c~PEfqN$*4Fq+?Ym5sERXB43_ep_Tmy&IFtGM@bjHp~A5NnM z?q3v34VEJ7+|31U3PotIx z^9!8jqr@t;gfVfnqZ$`gs(PmPPQn)z0CxCe+x0#^e!_MNd{Bj+@uN4NZrmdKmf)hF zk-r-SmqgyQ8?|a^yqsu0_v!bKYU?YU6RJTmN7KtmsuqnAmZ3UZK(QU z>H#R0asbXnGRk6cmN4*o?&wT~U+wFTm$D?x#Qw=G=N$gn2J38)+wlqmJkM-o zoVoMX-iDoi^O{ymms!XCu^flWslpYy@M}=b4T-d(j6yFD`*uHzF9%j6D6SxV_QfJ{ z*eLDdlNl_{>^|jz306V|Tqxs}x2{%Vr?+e0vIiVx>;0z9S|}l^t^42TqI!ux=|_13 z_s2})J-x|}dR5|+U0rkT{Y(jQRetnjPmu^s4VX~?v!Z!uQORM|Zw0*9v!Z=oddG_J zf=TVgI_CZWPqgNkipZdbRXDc0KC>lg57AMXFK09(vn|ALbBRoMTNnkEkA~&<`u_Oo zK8tK-zt4+Fr6|-Z@e)t=CVzP)=W2f5P?{>-b}wjg9NkmCk$;sx4}1y;MD!T-(lnb| zA1~!nnPZ2U1vc($ETL*^L9`SpR)2j9p{T2e%lg^2dc$1ZjN@o>JS}1|!)%8k#Sbr6 zMn*K^V(Dx?Bl$igy!6rD%iXu7vpIkbU#*Ey=Cly#s3<<0xR{&-5dZrSnZ2*`_%pD0#NfEX~KgeRUXKEzLq*LW{;fb=FJxoACzoPG9v%IqLO^_z;XzV(f9g{9F*LF0bS)!EIzmfS!b z%IF5X5+VzL*BYui4j8dZ2VokRx55&s9E5vu4fV9wZUn(6nDm^TrC@63Fyt++9ex42 zf`S4PwxQo78lPiTKDGXtXnjiCL%&1%*ur~-M9DWysxtRJOfb4_G|MAHtU30va*|x< z9`m~-_QKIC_0`!8Fmi6YI4)c-gD8v7sd-IrvR|$l_){C(6kf9D#I$5I9;9p$E1V3# ze3jo?zT{~o3;)-t9F1(_Eumlm+=smAhf9z4{E`>(R`A*UO~Ct3&HQETix}{uK9$FtyhKrI^slbdb+b>Q^TK<_@BZ<~oZ*p|33sI%rDCv|G%Ma~u*p z50-m#rfCN-3I+R3>v^F4YIs!mV%(IlkYYGR8=pEISn4FT`15RQTt1`JF27xIMu8*m za*EgAs%f5a?w$)IQeE6+6QLC(y0m(C3a!~ZRltD~#N>&oa0p(%vV#GZSA3cFN0f9R z;E2=b)qPS6^;~;#bZ^_s1fmPaG)c)`>0$g>Ef01*kI9FbKvzx6F`IoH==-spXc*OE z&tB@qh?Jw*!iorOM5Xe5t$#ewNyc-S6v}1*ZHq@%E<7}cCenePW@#() z`G+u6KGX=vmIC`Z((d#DZ&0bfZU@LpPsCpI5#fYYikHSiUkO;f2D!DT;sav8irg83 zFZa^&11sY49r97t)5H)@n@j#`BnDT8ccq18mE(|4mUU3uMPPW9fV7JN8Q+)*I-IXR z8NnrFugcK}O+6Ad_!<9bPksp|#sPT=elZP%HVlDf6KIT?>1iiVHV*Ogo7FjFLGyUjGM27TKxbRLxCh4uRl0_aJcquE7 ztd>K+gVhP(Hg#iYHJ%Ryi{~wtM@08SLL-LneCTJ*IbR|gvTc1mWWv=>F|Qhxcn~d> z4Gg+8Y>maR0zdL9=_lG{xMjwje1YCDe$gZgBR`lg7%Pd-K-cQ}8qAFu)JrrPk)Swp zp&q=KbF@i({uUx8h+=Uu9?JXbol7vv>G(I+pJnQ~!BupMG~JhdRh{3zu~W+YyFvnk zP7j?E9N+fn2ncqE47a4T?LjHNGSZ9li<|lvYTRH<&m;1`s@wSfjSoWv_4SQ$mi~$z z$+|JpLgW+kKq-&w9s@q7gwv1OlePocK@jky?~Y!uof1hDhH>T2%&_1-QI1@$9GN-T@bnL=h?0aGz3bJQe^#8=vb+f(!}C#F@v`E1 z_=S;DgG-1S56dsNJJ3E%vZJ3TAV%k}~eYmUNpWe~imam_9TIXw_Gs+WrJNdtz~(6)Q`PNhOvBys5(m^rTGeZR)**IuN84U5q6@w^d-emHxWtOL zU0`R287))(oRBP$G3}?xe3V8khT@NM3-$ZRr12%K>pTuGi@S+o%7Xo?>(CA_h)!G2S4B5NB zr3rj4gB^+CA!JI|ef^6lW5uZl%xxO!q(LM#Ah*v0C^2C7oEQ1dX~+xdp2~X@&}N3bTZ4{cktmgo z6L2k~_ON@Q3q5rInhjh!HMkvHwyZ6NF|xE%Qc%icVt#qR$+^iIrsMW!@pzD9P`n~z)8w8FL#iPlS z&x{n4e2lZ)gmvLD*{ zR1<$N+ssUIoz_4hmv8ECwuNPrYBb_TG7z>BPt~XhCW>;-_5RR$9dzB~g=4p3VH)O) zy=EUk76sukIu-3|$%ZA)2&F_&PKr2f-ZN-_#oE#ijH4@Ok|xV`!>sg+(!<2fa1PM7 z@YM{*0rE#A?R=|Mu*FS?c=fIxa9cM2m-l{{ND!xlXp<8di&Ll1Ws*i7MOq)>m^mah-5c!seBK z5%tdkvA>BM0=7j+eDDRPMi+i)0-|h3u$+0mz6@T$to^lIO(T`~T7=NIX z#UP;mF)Pre=$O-xquMSy?yEm=5DxhA%_ufV!O>)rc-@+_#X`<6fz*CAi z`Y6pLuqv7`mIL!47((`Q(*c(8f%~XLR!Kn-qd^CqDspe4@Td3*&rt;yRj};sx+!qs zsY77_wS06Pl7mETXC>{~wL|}7L1F!33Q$FI2d4b!%n`b_suXv*nxF`Pu zptzJJ_W^t5JH#LExiAK^NQ}iO`jyq;Hs5dB^%zRu`x$2hNR|!q4V~yW}tPlr|$Jg7Kg=wP;U&yN~l`O@yCi^LZwqaN|J*^i1sJe-gr^GEp@#lAl ztaX~=>R+hUKNyiMYPrmvlE@=-s}#glEYh*Xv#5pz@m?$WN=Mo}L2EVnw; z#2@NA8*e+6_u;UNlI-#!Aqx_Xp%A4*D`i~tmr(H>QrGX4fm!w~7dZ>HYO(ILC1xyi zaq8l~-Qp0CH!-}k%Z4w+ zriS7M6)}NAnAdoLb1gpybc1vVvQI1Zh-+x4D>^0mKtA4Te&2h^6m%MJs+`JV0S4+Z zSs=(~ky`zxUzOI}RAEXKPSn-gWBKtFym=*6ktn~Dh_P8Z*X?62r2*uSvLYqDDeb%o z?t9$|t3pc6C|6hwBez!1y3i{~kf}Qyz&Ww-HVggg3`s3dfqMj4Q5(2EkfrX5$s=u&w>Yo9jaP=*RIkCFd}p)*6ds?p_^xO|gDNU6k8eobMJc9+objlZ&-L z4S1#oYpzQCi4wN|o&EDtj7XPpEzyn}7Rfb1y`m0pX;e}#MdR0x$!gsCe6FJY zupI9u#g_udmB&bU^KLxQD0D)Xv`ErFulYw3OMXcVYkO0C7Y+2pAJJ&U$S#%+7fjX66Kk6mrSpHK$8 z-^g%YeN2(FKfQUb8Quvp13kXW^QAMg-0OF)2@+?Xfl6-Be=Po)T$arT#$f)Ga3E$Z zQK1ygC&-vZ{;N`vI*SO|rnypUc9ISV6&pZ;W9fZ{gU*l_G#uu{)yZSVOsn+MhsdVO zV?7uP(GY}6e2P^J7P>Wb{jAK83mPfwsiJ)Gl@hx_)(JBtNt5@+zfO3ks1+;Ze#lyU zn8UIYjdpdjAxT$I#dnI>=&x{$Xj{_{M^y3ZIw+k-`?FgmzjFYkFLQ|e=t!&|8i&PK>wn>Ui*7`3MATv zQ(69%!Ew5C;g}ZmFgu&_N*JfzLBIU~6(I3-$_*LoY^Q+eWIa0zs^<|h)<^D6}vKn7^>9~^qc|h9V?pQd{jWL<;hp0};tg1CaL2hMw zshrUAlgSFs*x%{C<(904Zj=Cd1k();P0E3acP%xWyk2yUO>$16#vEYk4P(;c8Pwff z2-e#X_P}`9bxW}8w##>8|d44_P z65&C#2QP&bk;;v7i(%-n+AVk0pk#ro5D3RaMZyW^{!?11WwIw`k~e*}#1Gb!?rE8; z_w(W_R|Yw`mwNW|v>7;U&`U~&jXDyi#|q8!2e6*#kbhKe;v(=IeMm8f@Q9W=?b6jzR=2nvL4ENuz-ADTT2=Gj z$78J;9eF%YI{+e6BEtXbIP@unGyj$J$RF+NzCxm(;wPdP3dwmpYm-RfA!J;Q_(Ju=&&dvW^xsIonH}J~eco_3F zZy`5OX#Z<;;t8l*wmt^1WOD+2qZ|qHijkbAvFaLuwn>gr{y;=Cz+g+(8p}!*kH#KC zRM2{ACGcfErVUYaF1h7MU%~OeJ>f#~g<*B;X~hHJ&a0`Y_!5-82+Zg(!1c{l~7cak&CHKJbwN?vI@1B$Eo-<>q4QUi-L zGeqji(pR7FjuYo6q68Gj8iZa9gb&AUSI8+Ku)JXn;qJ&G5e<;Z{2^gjB*Sn=J`a@2 zab~w-y9$$N_B*BgHL=>QbXbNjzL$tkx5%!3&B&F{tLIh)W)-K--toeQ9_F+nyNHGS z{*R`s*m4}nqQ4}DrC7F@VJEhj@#{yC)w8JXI_WIiigNKH*~}9E{oLxwfjP1c2x7{Y+@?aC z)9d}piBfSomC`IjCw>q@Sb4Pnh>L7QLM{JQMY5V^ni@Ao&y|&GhT!R(5jkn9$~{HK zZ@ez+%PJ>BIgg{Ka`einx%UfL z2(7VcA21dI1rR(#_HUJ0Dwks{j)m>mV8)iC^sWFYEOofR#~Ed@z^z|Cf_>7wUSDwF zW);So^~kudL_%X_fx6V86Jy^P?XX(@#;57%AO~^3N`tqlLkhpRD!$rRCXx#^s9@IB z00I+Ryl&H3BRe15sn>y13!|&kLNZNH9w8K$BNW%6Gga6MTo-Y|V(r;;{{&A)bkT>; z#<-YIl8iBuvoO{AhDgagQKf{?3!>bq@R`59Ai=UgI>n(r(KZr)x9eJ@DRR6Vbkwu_ zC6`el7UI7b4eYxI+S=1(*HuNzA!fhEY%2S&KarIk#KRu&gvstf1GXwvTk!4!9F&=Ku*|8OCo)x)fRc z<#{%ntgFJJ+cEw(yYmF5lOvG&_na`~1N(+um`k^aX<+zdwZ8mFqmCK=d`c86Qx^%@ zkBXDY52sJs_^@8Q{&lV8~nB>5~#6u(BNl+?c)Gq49EUg ztwebbhM)gcqrwpbFA%4XnZciSgl_y_kB*}3+3sinHM1`9g6hXHb{(k1sCVi@U1>hO zJPdgnne@!z8SX`_y1cBHEQcym{^ja%@qERXgb1-g&tB@?;ts^+sO;Z+1Ij?r#61&t zc{r-~BbCZJ)XZ^tANwCa7S-HbzwP=PD!G8-Xy^XrnZ3%tL%eCkS=j*-3QSm)IwKV7TtglJ$4Alri|JHt*&C!W8#34 zDQybL&1+=G6t98o!np_to(u6gMUheI9|Ak+vV}VxA5dkr}l-rTlI!XaAj=(#qXq6x4>FIWdSo-@sCe-lOv%Bh_KlX*ki< z33B9=dbi+M^?1Og1B+9Vmd!bwE`1*?q7?P3){gtq#tSL;Z1AUb8?7}+jt59tvii{vNK$oxT*C`_ zIyV2_thoww7>%E)R16;NiRWXQ5N&IGwkNd$igZQE7QgHl+FtZtS6hz^d$ih=WCWc) z23^bvIurl;3GMenveU&8RjNZYD+dO&K%6>KXs0-`BTjsz>l!~!Z=n?V6SVGGIH^wc z^UHmGd3BEbWB#}3l*aK3U&ZmREVPOKQ~H<<0%4n=5R=nMX?NE8S<#}iBn+{Bpn>$x z0X$z;!OQxiJAM0zY(r@gJ!w_j{iOp5@|NQIpQoUpo6$qp?9+$?sF$r>h^W#R1W8>; zDjV`rBFX7Ozh9v{rM6C;S|-Kide6c&G@iBfBG)}?!U98cmfGlG_EfjBgR1nf(3Po| zol4WG4o~WaFz${?nZcZse%eglL14It9RP0Y*2+P6r&!^ao+RUP^*VEc@(Q|Xgs+JX z+p0R0X}WX{9By`omAI**UVU6t46PamfVFowLB`lW({j{(j2NXS>-UcN8{gBuxX1?h zF#o45U5~viab?k{Ew5)F=bCy`hJf4PAoZn^2@@RAgj0i&TxeIVS29!8Da`E!k zLlS_S!}^JTlqk@8pKSbx%dLa?T@qsd1F@v?JQ!{E_>pOUth^KxlaRYCdA-IZ zXx2_P7W6Z{dL{q2cPuD{#gw~E{fw`nQ!t4Bbu_xl=U}I69iow4(@_!2O!D$*^OE)Q z26?L~eyKGrTYK9~sERYh=OOjMf6Z`(AH(9tI)WtM#6dCOg$ZAr8XtM?tT6Z;Z$VI; zMFIH=*hg?n-F_W-eXpcY06HPk9PtsXIu~(NPCPG@aX12KhRp+dKs`-2 zts*~xAaE*geLn?nQ(yVH>_~{vQvN0ZVq_Vl+S-abnoHUtxt6v#DrJPgJkxt3+E=wf zF0vr(`-?(b>wA{BGC;7fEWc)8vL&-j&*4jrbp8&^CBpveg!6?_>-Wd|Q5R;?hxsV+ zH%VL_z~|0Ni15_@(o_uuqQFF8`)Bq-At%Oko#PxhqFW*euP4nY(*-;hkvkKoYIV3C zpWUMfY+3khsk&3d90WqqM~OscvUVX4Uaa3i7?z66+?^B~*ciq4;fe1Hm7;F{o5={G zi`m>fyTK4LOcw2(HhIZ_N<{ISeWB%9i99FL;U19Z^$7|e7D?WyDg-5F1PE&#RW!pK zv)|+&*^vUKjoe)l*!)xTYTR6_N$4$E?V<$#csKUuOe#6J6(CG;gJF0LQ& zf}|H$>emEMnTa~Vw->=S4>s52oTpy7$`BZRaDV>YuZ|GdGO`c`7lI0&#ef!++$7{DlH$!vliejW4@SQIN$tt%kx7 zkBoO#6*AvT&40jF-j`>v{$%=gH{9P)U828E zkb7hKb0(eFL!-}N)NF%5g5?N!LwFmu>P1w-mn!VTkmlqU{hbNI%VN6aih4c;=xYZt z3dUXT-)Dwh-_XI2YKWYsjoH49G2u|Nx7jrWC040Q{_taL=@r&59Fr3br1rYr$c*)C z2c&dAS$Rzw)>BF6F{+ioR2>o$CJ<+k6=L?SM%aG;KOYVvU zTG>%wzKRjl3HT9cVmK8ibZWHFGa%aOp(}-#c$L!|Lb4?ta5S)NDN>NFNKVa z%ydp)G1}vY|4P6A3-f*l$NOvMyuU4EzA|IjBPD#4RMlqcX!i6eFI5s0k{b-utekE* zG!7K_ipmVqE9G6>B@63_Pr(*Q^5lO|JyHI)3N{W?yb^x5b(7*MJN~H{WhYvdt(8;`)&@ zsJEaH)E~%E)ba&unEC1FI!V2Bnsra}Iwte#cp|6$ee`c~!_03IkG`@kXxCF}vXz*n z)i=Oc(~m&dv<~x*~R4ekVxw$S5ph}Jv(OU8)}|ME`C)#aDhtXU3Z+6KOCpDn?+O}%9MSm(_%>nW zIF%ehZMhG#ZZd3U4nkw%Swxf|w+R$nF*vvEC#kGPS8(zG--ZGaR`VC1De9oWKKM66 zbEFh0=<0HVtTnp+W#sJ7NVX#Z^JuJiQmYn+4Rp1lK_JiqI&kM_GS~94#&SFx9n>m* zPGd3T=hl}ZzkyuOPvRLvvCw~<&`>%vF^Y0;We|>UT2tjwXPXPYMIvnx|4O^5n6ps5 zSJ4(_5lnlUOiXDQrk#il^3}aL**KsgQNKn4=a2bUT#8C53%7+b*x-pDC?n;;S_{O? zq;iwMno!a7M`D*x5KE~Ec)qSlxmcb1B32jS_wphNKqAXCEj!$KmiB^u#+UFnE~=$QE|q+SkUwB%e$8Jaik64WpO2b`m8YXx7W2qHR+l-MK9zP!;djdCd*| zVAT01%7p3+;%gn4rpFF#b70WslD@l}) zM+(uK(9j)m_L)!~IpxZqE0wICR|?19@R7PK=oe`cm;9z92-t1lM;6Ie9!d1CDdbkw zI`0(|pV4jF{@mS<>WK%td}sz^?;YjgoW~8&kQ1iW*V5#XQ_Fl&^g)eQqeKComx3^{ zw~fs0!UtA)({8g~$6a@t4|jriwiGvAjh#ows}LjB zRoys!nbqf7l9HZWqbk2h5Jxvy*v*ayayCR5Chz~4wYQy6B7-E9XZMBVFnSRRZQnel z0RYf%wFUzFBoa zUn$4ekFSm$5Wfk{lKE`+Y%g*m0t}iqraIE7hF~&n(;fJ)>HJoo7FW15BEWfq)rXXC&djZAxH_ zdhggHO{32Cv#*PmkYVDesWkBYqDTl5KC&eQ(Q)gSW<0L{-7oP&yg;uKc#=0cf!_rU2ZGQC z3+&iorp*lcE%PkLAkhTlxkX;s7^qaM^t>2kx=iKw^GJ&k^>7`Rs{d0If4cet)Y5Hq zNEbFgwz&7##8V?uM}-)isC6d6Ik`i;Zv=l5rbS0K;}O;WpZ&}uYriI_* zs7ZB=>ks}HUr+`q|2C;fCvZq2-ERY~&tmW|7omIci}ZHsJSsbm?w8%mrnCZV{;g93 z;2=>KT|;2^lmD(0ohPvYLq}P(ib^z}<_v`C#vibbV#6*LcE>0_UKhoa{H+(vJM)1y3g)HEW{Ays zL@AqnGyToagkUgX$bl)_{u;9(*up8U4i<|j+-r@Z{mg7mak>Q6YNDdhS0p!3y1RMQ z+=i$gnlsTL;wfgnmv~zBgyZW~b^nXFI!boE?{~hgHLJq*@@iD1QHQkXX6MH@1?g|Q zmOaL+lgBU3!X*gNehGRVIIxYP@wePP#4sABO}X(GH)|CdaUE}WCxc_#Cy0LS)|^7E z3u#&E(Cz1D6h518%JJ!FTYx*}<2%;q=y+j_)`zs}O&juXM6>M z#IUchr7e5fdas|cpk*e}drFOlW=))+=a|J-n5lE>E0s{fo3~xt2(clgaC$Yv^I1fW~xzJ_8%$^0`8;3X|sf->z^Xc8hM4 zN&3oIw`HSnZe-1U-b;MCB{)pRgz*!VcGpMgUJ%IW(E)E2+xfeB+flXZ)J8L+g%3Zq zgGFWrr?iIbL-I;^=$8g~|3#Q_yWD%W{q66P^AF^qiHC}6v+9WDqnZJ&7TeG`CU55% ze3FBZ9hARv^UOB$>G8;%8O8d}tK>9z*|0U8yC17Ax!+Y{6e*jTqpoUfTfx)17RWw0 zP^aLrZ`LCV79c7j&UhyVSceP{a(Lu7F-e4sVK<9?R7Ep8qio@cYK-Fg3;oeYX+VLe z6KU*@nTC3JuIOe^FQolB!Q}Kn zcWPc_xh2GLCGe>Xcrz{ziNAAEy0FGmBS`VT3D&H4?To&$FHR;~b3m!Y0~@AT6<}NS zMZUT&=^+R^s)pV6nA9o=5eHJMuyZn1{1Kyz@6><6)Rsxc?`JA~-r@K8x zgH7NO3P!AIJ5`tu?yC8`*n1UBP|4zTi9xFaGdx#X{*i&aG)b3hhAtTF1t)01vDO?l zp%@;1(WT}etC^?c)X@FBJ-oj2RZq{}&XVFg{}t@u#qn`5W^n>99X>yR{fY*1CaYT{ zhzMz>LkZhe!|aAXk_rC}a$=}rBKDW9%gt+N^jO3IOF*>0=tI}x_ke64;C0v~5&-2B zrEgzk{NDUh{<Sh(HALemY`CHll$vVDzaXLMOv`T$O0SM;wJ9G2VnPJg3sj`$sHak4-&|3t zhc(T3!RNMwSpvOJQ1#nBUQ_Y5tmS*ZUfi7pHgfzmY&@zzF}xqH8!zBRy{%@0JT=Wa zAHbhQg4uD-fIc|0JQwuLA=3R#>}URHtzWMI!6DTW&P&)FDhK1QEGmNVeO)itMjdr- zf`A=mDj&dh$D(<+%RcX)7d^*JFoG2PhRyvWF(p?3)HpRAzeaf)jYHQR*JT=3OGWJd z7gb*_c4Pxib8A{hbn%syQ^^D%Q*%Ok8jT2W^V4wmH%mX|bANv9FF!PkxHMM`Ddx*W zWFC8CkE%Y!7OrGCnWR!okeNm&s^jx3Z|s}ruCbUR01RoWlSb2iTPeofPX=c7eJhc# zQgaA`W_kL#;tgAokQmR4ZhxZRG(o~BBlm@@-&kLukO$pufH$&!*CAsot!^}AjPtJF)GEJ z9V3t;l?ELks1_Eml74kcf3Afc%@6)Ceo1mfUYz2T1I<1p@vfiQ3laz0x??vwSm~L7 z0ZWo{=Wi&nkU^jj5;U;_X+2bkyqY%IHv9S6wY3CbwS1I?q0xQfTv_nBi$ql2KIjs%3JdwFg6S5^crpg<(`<0lLZ#^6EI-Xxhn7CBPsVtA8yK zi5?bf!C>5=xD3VB%PKX?6u;L*XQEiG-C5N!()@l7^zgcYB5HcO^4aYw>eYrimH28f zLimJ?=LLm%;U7eyoQH!G zAe>;D64Ib%HGx~oQdlSkoro*(vOc>;ahdE@ey*<&z4gXfXXlY6cm;PxPOgwKwA0jS z7^OYQuQo4Q9n$Cdu0N|Es6&p_+m!eadSoS-^s!hsqx{7Vqm#)WM`id05)Z#Tq5 zr4ZklYAX3;iC5^yd)eq_JHL-7Sy}M?B%=}W4v8Eq`n{HH7gxUf#Rj2Sxcy`g)B`+Y zg4yxx{ZJ~N_+!*zjx)C7_lOc9@Fc`1zU8{PzV73_DXHU1quAN1Jtn@CQmOD-5ld4V zMxJiszZ6m6?5`JyeRRe|D5?-Tvmi@l36k(0(TaZXmMjz26r)lZIBJQ=V589U^~>nT zFYAIFNi21?yjj1sGQFDq#^0p*hEN}`U`zlah^B>n=cf@z7?;fLDF%|JRo6IIGD&hl zpOsdmNUA}ArFHD5cL??(zPF(5i`}Oiy(0jP>{$k4Mb&pTRVNKY=a&^zWAFFP0jg*! zT}|rP5vsIJ9;tR-yHetd^ZTxQaV*$oouMU+hS4yjgzG76F*iF$CSaJpru^wN9TaZ7XM*446P!eH-K#nQD;e&Xbe$2OoBb? zM*)rN#EgT>mH12%qOZf!TzZHQu#;U0p2qMtI`*DCeWQ-P3kVZ`tDKs5KoNA&3j4Vv za2k;dQ`2a(bb24kAEG1jd^B3NFPIk^$QOhjbAw6?27m>khJ6%&tEXDb5?$i;KKbv) zssl?0maKlURGxiUrFJjR7>NSTr{`Z%PhReVOtT$_dkR8`DxSy9DnZ@@K^+Mq)q}@7 z;*E6u-;kjo-mYd$0jS9c#Je-v6(3$>2dWR*IPr|1cBMK?KOCEt$oTmbB-zz+JY;U` zUg<{QUuxk5UgP;Iu?C+(W<750t-TH;iX1Teer+O6j{7Kd6EGpO@`T^yfuMhtIKsNV*(Vc(3;vE_6hsgw3p>P-iHE?1%PbQPPQQ~Qy zYDs4Jf&WIfHdF?}U=2l^@|}3|YTQ=|o=AtMDn*)a+20+fUDd*W3yoj|&<~aR>o`iN z@>lq@+K-ixgb_KQ?h5v9<>UE~felDP3B7Fd%lE)w_-41F?(Q4AnddKcMy0Xk)>GMN z@!t_XYWFYjn11LybLsvdDG2jNzIqOh_-Z704tGg99+=JO-ZXu9dgTYs$m{diYxr2G)9o?F#cf4lbW!jdut z`m(^m-617!aI~vwmy~isv7dzpY`;jDf&w%_t4qdQL5e2Ad${CB;?9hQHx_7WY690g z)+jH6mR+}N^!jI#a!nT58fGouEsxnhv7<_u+5fEcCmGa~;}R5=RUE*}Po1O6ATV>L zt@!#bxt#UXu#6@CNlJ`lxKjGaxzdBvocw_R-wS4>?Yc{oe4^#d>9UO_aj1+ri|qx9 z893nz2T0(HPp_3$TItq|S$k4>1;vOWGoHV!%8ENaXvr!8+blQ9^02RFqsS-z zIf(z&&Gu2`;=AFw6!~Ng8`panWN`oO-NV;$?LmdASl1)n+Y-B^^I(+Gf;6)KTOCk- z4Zw|?mSQ5(n>|{uy|-wyjdV{kVZ|UE^frCvQIvtpPcM^6#@1GL(MV?CP{jN&6hBgt!S$}@5;SQ#KnhPv6@YT`bbo*RuMFnfib!xH8DgeC zS^cm$X00vir8FYxz6e0#f$MiSIZo$p4*oYm^$V=Z@&hyWAVX9(NGJFXlQD(_5#$#R zOwlFJKahW z9FMQT1woJ+{bSAIqS}hB3lT=XrWttPE+w+dk(a!83CS&hoz6jsME3toBnB-OjQ>c( zzq^p2TO-pERqC`&{bt_Tm4EXZ_H9+Eh$ri68Wy)TcSzglPCbcqz|-Tg(FzNwHfD87PG>x2^oGF}o8$8aQ;e;#R?d<=7aEn==0 zy+m*=UwLhkDb8?5>$f-n1Rv~qiDKQO#YF~84hy-5SPikrsLkE%(H7Cmcc1=z2ze|v zt*#N zx52EPpxZ3C2a+-~vZZY)RPnbC8F0gkhxZ^TfceBxOabNx#J83xI0p$A6LymS(qr!* zZD9DHE{s17h?|B)L+o*3xuBe^J=&b>V5u8fcGUB?fr$#7K8(S{KNh`P)fL?OsTsjV zWE}b!)y9;fM%*W0|+%;!2OjVvqNx6fa61ab})Yc6=+lrh^PrwyLFBK9xfvBuHxx&&45M@y62 zTz+#4qMScBt`^SUjSs<`{TzL(&4*nSW$)Axa__#Ut;`cKxre2bWBOe9VRD7 zb#a=VQEFsP0YS>MC!5P{VwDZ_8F(WfPfeT4&LQ7}ILX4LDK`u>2s4kXykxm5%;NbW zXH0v8r~+fJ=mGoZAF=ns$V{i?EVEkbCdf3W2mof*==$B`6~&}|@kGpp%JWlXH0Z`RD8HvMjt3z-M9;~aIT?@A3CyiR)_r_2 zqvJBq%Q2a6M19IUn@p7QS6##PEDkGUjI`(n`|Fnlfj>G-gk9#wy zLq<;`FUTOnuX#q#@zY{G~ zzdRq!0lP9peI__s96B`qlnN=?gIR~-OFKEUT#WRT9nQ0aCDMVpPiG40(oznH=4WCy zq{Y0LlQ2%Sq4VOSgrGX8^IW?hCdo%V4wxsJa^9~iQ*id4ETZBlQOPqg@3v`TnAkPw z8RsA?aTLh+U^4n|h%1D`cjM$K7h0v&R7^S>pSCJ-WaLf7CzHDFee#8F-b{Xq9Tu7| zw7K{dZj7D$t=^2@J9(Mm`_K@&`Ri7(UpAoLFJ4EF4=$2`il+NUyL=;ji#|}C9N%x- zkR$=TNF;`6ysW{1ckC`PlI7H?TylYZRPVGS}evN}MTj8Q^6tB{tyB*tG>~tMf_}~A^!5+^RA5S0X;^ubd6e&l+ZY}xL5KQN=c_=ut zm)XQkhI}4d(<3>09n#mtrDVC;rJHUbuRV0s#|Vc;nXn|W{jN$X$=77+F;-_1H*Eh! zJ3Y5R;}R*TNxnGN`)|)8n7b@efkezRFbB#YQ|2|u-dL4;zL)}n3Hn!VyMX%H0oqkx z0o}%k*?ONE=ONPa4wC|qpP4wqJks$X~8o=WQwcOUf_TBwf3c05UuqQol$7?VnU0=cAUR{y*T-yF3&e@ zX%$No$F?}%*`)zQObrlTeof%=cS&!b;u{7)6bF?aL*t84C<6p3XH)&_ACD{ph?|}D z?3roYNI%@n{fbJTjB8DUu8>4_lPw{D5otM1MdQ$e!~>mvn5UEhh-C92cMJv9v`Vphnw+s>42AA@>7yaLyOc2CJ z{J(laI>m2~Ge2V{%OBZM5AG}O1WZ1{Cp}PYv%4zg)6*9jTN^|Nz2(ed&ugg!3sVYK zJTaR=Zj)QH1nFZ^1t#SIrlCVqY5jb4>eZzglzmEOpnp$D+F`wo1EBOssMvY(6HLqY zcrHZ_XayarQW(5%yc=oz$|&!YR!IzrPCTvDIm8xIwe%ImxK9tYvB~ugN7@8sd#=6z zk*PHz+hV9e%>8D1WtYFA`ZFYWCbWp4D1D#t+OD(LG~8DcN%d^j#l6p4WNcrh9Ow-H zO@HGX@a7qA*|-F)ADy_Ge_Of)SGKB5Fx*!@u9L5sS*AY7l^13A-Gn7>EHO;MP*jDD2P;PhsrKD} zkn{DahSEhg%WAhe+fCniNR?p1C3H1{&3+a`FM@?oo_(5zjb{wMnOO_F>XUe}6uvgMLavPSx*{h@Uj9@xPS@D;^S7(3UC^ zgDS-zW=MeIG?~H^8v8m)7)O<~el)?hqY*LbPL?mSgzs%Gycmss&@Wa4+$K2WXpATS zSpkT@(rxlZ!YewHbhwMa%B_iCN(WlPDaBKZr1VT7hvG*uEyGWE^xXx45VkwVvnHb(84t!w0#l~*9Y~? zM=6639FPp3ls|$c8lD)z8mC+-B)I^#gNak?4%f`<*6)Tlzr1-1hNB zaA_#Ku2l$B5XUK~UqukaxWKqd<w48B|b({6H)kGt%0P@i~{ zMwFCw{bn&eVkpW`8we(_2Gm$ClAUc^Tu;+8yr$`aw-(n27}QO8uz#3IVf8SM%~;@u zpU>Ps|4qsx#(^Q@UdUxr<|T%B|GHzuE^Fzhv!)G;!^#j}DyRjt@Y!(%aB7e(S6~3G z9`?S0o>o8SfOS`9{t(T!U5M6lb)Bv`oh%nowC>jvi_>YoqIsWod&V8h?ex<@P0u>C+04$Ig#wz|_lx-O*M?)~{- z;2zjj#X3UwEWBE|E&bjpyYtHN_ZFY;GXwxYNZgZi2Grg6OG5hkb@a(WFsc5lAJxWH z7x;U`!7_+jl;EJd$f8&Os$7(m9{5v=gUlU>4DcueY(nWLFFbnx;?9?tyTf6`_Yzf= z^#qXlH~jEB=UGWzYh3Za``^5-hmWGc`QBmuJOd-`f+g81m$KhB9fokP~u-C%C0%#Ny8X8&?eegX?@k z4ht_;-pj+P4hFwt8=0;P@sM0Ti^~|Pa5D9rF80@X0r|2c`DgA+xuDhTy4Ss<3~N~z zkco@fr+ZMdJ3I<}dx8aOeAd7qv%jg7>0XO7Ro9F&nkTHB8*xSGS;MuVi%UQi`h9l! zzDN=}`&+lU%YIs?`#kr%sZ7GNJzFL3==ss{JaWSvKfb+o5QQg50wtI8UyrEGoNI&L zgPEjWMJi_Dt89jef?@n1iaFLp3o7M`hHIwQV{gUwZ)t)Q$!k!H7Ds`eu_)hxPNX;i z?WeP*6m5_aNQ>YbHHh^P+&HEOQvUP45z|=ibZFAZS?-TGfw#I8m#b)6C;QKVpde&7 z02EcNTI;38D~~jQHiv%Iqgy3wsi>(fQxG~YVjpybd!H35pX52K^RK+@ zu;xTI+oJmCP=N|*%+YDG_Dy1W9Cjdpx;1i{nnGk2+~ZUZ_-XrU#!R2kHiZZ$?Mq9G-R}` z^`Uv?z!t*gZ_^A_n$sk9r zgIxB0{1RKt|J8IAYjSe!_G4LpqS?g6x=ny@i z74ONQP8b&gANiubnqZFOrvNY?4;rusjX9vmCGTA<_=rB}mvPIV1vGRu?RF-4BmM;k zOJ)Ys?|{93U*QmiEM67*2TV;R0D&N;pDZ9DJ_Z%hd6L!CpRcpVb0g?79r=3t-b$(J z^eU1Xa5zE!ZC-9CZr!aUbQoA6vBu0R%|qCQ>uZ!9{Zuu?^hpTL0oHr$;?Yn$rMk|q ztT@C5L7=btPUqi3is$oB2Cy5Gv;cwabo3;Bl5x3`+!M-BTx?6Bi(c>L)ih^E3*XJ!jN@7io)D6RxmDUP|DK+ z09?xAa*D-9WdQy6RufEuMe-N+$-gDJH8RL5%)@m}r-qi_d;p&<^FQ)eKU-6X^=r9& zC)9qzL4)mc%=WG2Y3WHH)6Kg7TW-V{9YQ_t7u8`r>Uy6-lm#y#0!|J><&WWR#qae7 zABiM`zx|cDQ(Bxga96)KTP zo+Ep_RthL7LLL3U5e&jIm$iUjJBZ8cIWp&w;N|-N|(MiPZRHO$g0_OPZl$UMlY8iLV^qciaApf%3%I)0WtQ2-hK=zG|l}@iXZj ziaXs^YOdazK({zhA+h`97pW#lN-s%gpYD+J&y?I-lif^VeuAA&KY_uFJBhmJBj2{mP{zja>GF!Ys~gZw(-JC?1s@=u1{; zrk%8CwvBG$e=ZyLsgIKa3{kws0a4v5vr4rxNH|c`e`un8D(=|uC)#?5&2PL#O~R+( zSZQxH?I7$C^-5#t8jocm{VE3AwSF(XL_RteBKHD2i1{Q3S=zE78g#h{>&LQgMiE>v zbmv(&#rXmpItL+X(LI7$!Fq4^SQ(GR?;(GAxVT#o1Ra_2Uaj?!dWaOJFX`TX`DKLX z@@^t8hn!qFO-H^I{q42jsvLjV2w(uum)_8jnG#-kWs!;x=wxlUIfgY44}T@$zDE_z zFi)?%#;5uDzO=;cKErs=d%jWsdPG2`l|iNf+cWymR4B^;!BXK%%B@cvSLbWnqaupB z7titbLPF7$Bl|;w@`};S@d^wD;e}17zxi77KZdWBrt24OS!HrYc|KCZ`IfMP3V#!) z3sS@1WkOKOoNZVEqI?OGShFhrzU4z~I-`cwG5SLrpIEdBMp|{*@mJgcsn=$caC)Fa zG2Y-)GYb;x17)I({o%M_$KQTB$R+=JP(L_^ftAOo;g9ycSa-Xv0A3ERj6kADoJ0sA zpb+X}#vS8?HE&Bih-Qzlh#mlkidT>3EIxxrmSFb%W=DEL{pHrJjR@cCtOuZ^bD9_{ z>S`Zg7sC_*?Q4sn0M0Yd%{nNnOi+c>p!A?wLe?dCxCPYD2u7XhuAM;EAaYr9MtD#} z%8+r?m=28}LVxEU!SmvP)8m!j)CuP`>tWf~gO!|U{D47(2MeQ1QmXB2Ny0IoWG&Ya~?61B>fEvANj%eVG!^L51%7jVNw28sudSW(6?wb23st=9XXgJfI(V|=Q%g03PGPaVHX-8w z;=4UPX6_&aOS~l{j6G=f8WU0qBKs%smpE?L*EEq?5<4_SzOo%OB(3OxRYB2OmgkWp z?9ZbN>5Gbx>V4=+lS=)EJK~E0qQ5=MMA}+)Q5#hk{*pmoKhgC=p=bm$o<`!UQ%#X; zwX=Sc)$i)W%bINJp?e&DSoN)(E4BX8S$+tuT`zJ4tO!F=SPK2UzS*lmlu=`T_2P{Z zpz7ABluS_n)*G}Gv4q<8DdZb)Z9!+qtPN0NCc@AbfSmigDwKbj~a_ z_+T0;C@f}O+F#vhsS=U$0tAj;85gX1eWpk2WJULpSWZU#e#h1G2a!xJByVC)`29t@wR?lv^?=Oivf$7 zB+=Z$Xn=o}KWdeCY!|L-#zfuIfY%gRa+4-=(v9eSZ29YaTCK_=;m($@oa8t4pfQfg zkA^Fe|A|P|;2KzlKZ(H$MA|E#*R4q_>tW2w8BZ|veVy4id;`(v6j&w(iO1a=25Q2u zGi#AkVeLKUm~c1fwB=S_wpfs)P19%4H8+Kt4@m-E4nkpB+vD~H-LO$vy!@i5Z4V8L zRw6evFflfg*+%E<|g{gZ(WO@G^3u2hB}zf<0=(!UJBRc<(R+@$mw4f)r@HR zExr=b*SRSnNO5Nu$UCGQbBi{v6+?odxpF~nA<{qskI<+s);!_&U5 z#*+R)Bz`?0q2IO?Xd5=;cu@Ynlhkh|kbZw&vH|+D5NQ&7Gf%+fnAp~u{#q-qN|Jmc zKRt2_UrEDWsVNBuWX5{^FNya40B@;Rqmn~_IYu{+UHr3t55VX-!4W6Y2{C zwbbYO2Nsdfk7bZ_1zGM?*Yt}Vis{P@DZTw0XQ(N~=irb4+`MDhw_^v07Tt995+ z0ctpnyeN7`zr3DhTMsHSrj+lK zsrT6wL)>h!pVAIOEvE7WZQA>5=}@Ih8b^%EN^N^AI=?XJKjJ#(1iu{g5xX%T4P0QJ z04#5uiTX;(9Zg4V3kL-ZM6o4;5gmm^aGv)RhSYY*lF?5zD_Fyh%;bgnJ?BUbOk@ zri~f7up8^YK-0-_xyNUeDdle5OCLp36)8tk351&}gNpMc9Af2C zZu5uZWxGRT`&C(=nxAKBDo}aJ&vmm1ub{VnqP_;9hE~Ho@d?{zD$fvII8+Y+o964% zq7|QCBR6;WD^=6k)XoWiAo$m(#C6nX zZ7g*m`;k=zt#w=xXR;WDo_Hs1>nQNeb!w5-VU40D7fag3qhm-zUX;O?Qb*lz1Kh=q zC;nd18Fg0lHZ1H}0vFxX;Y}o2a-K*22`|+ydOpjn!N&6yaiiIZm7GP@68lHTxoLq4@`%PAbAUkN3sX%J=)`sumRbg>`rz=e3R^k&>@7x)@(d2APfH zVB-0g7&7-NpFg1Eq_?aVw=k?6Eq^^8(I3-nyXTmp>y*=>F5r~?DSaepCt1tR_wH%Q z$P&*btzzTCbY%zn^8qyKmFq>Ls4eCMpvKk&=?~$(zrv51$yKY5CM)bn1RX^6LCPAX zz#KPTRa+?G%J0-y@m%ruECA}i&G+wBj2HfZ$SbM0%gP7mX?I`GRQ1#BLq+n`(HFCv zW16EK(md&x8&}_#j(M74UZc=Br-gQSgDNIdTwg=@Senq60Jst0fw>m&#d4jNjM@&I zY6miCg*{?$4OK|xK^=h)sdfEOtnRsGPm47^g@k?C~Y{E03I^~L&`lFUbr4W5LAgz4{hkwo z2biuzlwp)PzT56Il4#~n7+9tP0t*YdpPQ&7e>*Z%lBf@9Ogux~(P!1H4p)Z$r71?b z|5D}>zk&Wsxs4zwdsTA&sSWAKVOmK)Wjn%}ar7g-@ywGVH&WvpK?{|(%77^RBDR#y z)NSYhaRG#TZvy{@Sn!PMQYB`SJMA)hX1qrOwUjXBx3)`lO%5|wfu;Y&#F%G4w65rl zMrD~@^_+Q!xQ|NlO-S{c)TSiN%MihwLJrnV^X%^YZ5ARV`_l@aWgL>W5(KsiXOjNz zY-B&6qMC71F<8m9XA0|YWKaBa;6T(oR4kFnDDfb{Vh?75c+j2ZnBNy2$yX|fUu(vy z`c2L8AiV|>7x<%vQmu#IFCe8ja32QMHug6zzzI8_o<{Q)b8XhoXBu9W^9ZK}ARqE% zzxXLEdy2?KPAaB*eF#Z%;@u$qTyKa9WE%KiM$sJCdNa1?bn2VsC-kBtHj~2-1UD64 z5)ZsRbPq7W-^v%9JcEt{Nql5p1bpJt|UHxhp(+!*QR zy)dsm_q5=2x(N(Y(S`uM&pWXC>!E}a!$0$Yv3ZW$BjR-Jm#1-ef2C4&yi_&TCiDp1 z%YCB>5IF0H$8Lwljh~z*#}#r~H}7?tL4}O(0zutH`53iC+_7o}YA>RQZ~ z(8|%m?V2^rwqN^0Kp8QIa)|ip`^^&z@l49(S0D(Kt;qgMf)Jfsj~U5>EJLB*&&hs+l*`Bfsi-C+af36piIDgqJa6%MEfndxL-edl^ z#)3({I;7p$Bx*#%ohdYb0-9?K`p92wedWAKkbjlS^LPcGTvqO{|G`K>(`q9^e-q-n zQS3fqeqR9B4Po8Si9aM*+4~uu;ykzCix8RJI1YnS*>mpKAnK2E>s+W0|$-kHEV$&2jr2mqhNfisD(evOl zR>|vdh_H*3m!h)?w(#1jA43Bc5ZZ^*(0LmxDsz@SFAtXf)acZMmeD&?UDFFd0Xv^h z3+tDEJBo*Xj7m^i5H~)g3^o`x%D6R=2;QMXeqhUqf3@C$v+Sd;a-8aw<9167GY#Lo{ z{rX44CYmSy*mS@b1}h`Gke6u*2j5+1Wr}VcTJdcYgJBb#052|XNkie`m%W#<35$mR z^p(?5g;V-pwVp-rIm*s2x^Lml>PuOB=4v{QQD`Nz@E1wo9N$S#s&C|Dosae3*?^cSN^~M|y#~shF=*D#kYtg!l!dAk)B{I+!qN+xnwQ z6=dk2sYYK2C>8vt(A*^lvqbr7cNHJc9I2$)jO*7=D#=PQ^1)96X$86*Ga%%Y#czQ| zs2l9`WchloNNLsd@_$4P)B;Qmed$2XslK()gw_RhHnLs{m9>tI^G*6$7u=72p{F1@ zQ}A7lCLZ;{NnhYJ)mg=YCEa{iQYR%)=N)ZQSRSFh0d2;|!ls1yD{8J|(jx9G7JEsE ziHvEdvtzt6nZR$q@nSH!F6q|7sB-2VBjMNPrOWiBsVl};AjF}F3!ln+N?zY(Ey;ys zB1B26UwV6|aM%kCto2eR(zQ3MzMbXo`AHWpiPOGoCqcPib&5=~oc&eSN)NZPabZ48 z2Q~!pQf47J5V>$aSz^)`%mW6$FH4D>6D-<)MBvebUBSRK1-h2#aLuKWL*IPdo)6J& z=y4;6nG7R}5?QtRDwzdbXPMW|n7~&g6SoEJFe#EAeJMB7AbexV)BG8BXBV%lEm2>V zvPSyjok?v~zB~-qh|W47K3dtzX;!CV#O%Pk@59t_u`4V27q2y@tZ#rNJ~bB$k(wLN zXH`&4mGyE80M1~YidDLeM_8%o!d8a?vX3mD)Al?HSf5s28jMlx-#mA@3dUIkQHX&d z3oNlp@LfOEKcYguqHc?prv0=vHF@vb3ol|ek@Iy#8As~E#a_k{w0G>c@dN)r$`q|O z;>loFL7+d+xQBu3>zG~!M}%x&alv4K+)C`d4y`6$+ywy6^EZbaa7<5imNXa4KoL=1 z^EVJTVoQ$V4`XBs8aOnZwA_!|z`l8sxDJH@=36=FcS`>);baVREt5U3u7YXF+7IQq z+ku7IZSwhn$EqJl4*veYT`xUpeTPuL@`ujV%GLc`kjX6|7YwzaY_C64aURSg8P5sw z7_iYX8s+OJ`ME`oZvm-9K2q@lqz4jKeO0*jQD1KsD-QAc=npv0NR~GK>ktb^H*_-+qBA9&*y+SVkmS;n=Nq!n0QY6c%n$YA5<@orj#XS!ITL$s`wn`(c|H&`&F_Hji6l_H1wLyrd{TPP~5 z6J~cs(KlMPj)-)&eyV%I^}Vi0Kct;bVOiX^NPCr(K)tDWLDNJp*9xI|@Z#1n^|VC( zhR5rT_l%XLV)W*ozt$6&4*M7wDP(DTxJetOaJ-B$B#HBMW%7u*s)Q)t&DU$!+A}T` zqcv9jg7xC7hVd=$x%3D7tKyWQ`fbu!O(9gE(AuNb7q(EOeU&;6%Puk~O=4I-bJb5O zE|fZbWZNaX#9cf8?vQr)>%IAuVlRGE9$ZP59CrEb(AV>k)hri5Dk51CG?md!pTk^) z@o#yp+lC<6CptH0Zm5Cn{p9cy^TDKiIKE9zim9FrF(kP2>Ljv_%&3CZ&SWdpmmL{4 z{L7uBOY>lV-w>jbIvqiFD_8YWZn=h})L;fr^Fky2)p}H9pG$F8xiVH(DfW2Mv zWnS5VJR@$!&HgF~kt6YBF!FhitbuZX10nB4wvJ{blbp;&SMC}fc}3p<%OH0Ice(zM zmmm+{vR%&wX>xug#x`mbHt3a>#GXH=qdX^|y~NGZj3@XvU;aM8icY!*Cmea_+Du&q z99AzZ0{$3yH1BbZ7wP_OO4%q4Cx$)om4%u~FTK14LzupLs0X>XTTshq%b_9r(9DeY;qmjbVau&&VwmMc zkN~$QMTwbU$uMIr-&yx5I5S-T#U_oj$rJKRSB~cnpL(_e^6KI_m}FFh6I0xo`bJjX zU>GLCHj>1(+R*oEfT??XT4VWGIc*>EJTRaef3XzUW!j^U&(Ii!<3`e<;Ig%NAL_QA$pg z$ZT#RRNFg6k#j#?NV-By0q6VajTb5y!V*b}VH?aOJc?y~ zH9fswtenvNu$jfvsv!%Bs>IoxJ~Co071oNj=`&29J21EfkF-N&8(lergMN{-aWV*E zebYnQ*Vb0AYEp@;{hNxhx%m)f|NH+Ewg}ztA88(f!~b<|Hx$P@Bx8mFn{;ei&(;c} zFA+QP^$0lUKF{Q_%n{Nk2tS;(UJq)0WJ=iVXSo0wjkU~2VA_E{v%Z1AgyLdkb^W0~kLStL1YB&}PT>qq8?s^%?2`n1zCx?dL4S)AW$8l>F{CYci*^rV}} z&1EF{c`XSK;@urIHCmU`*5~z+p}OSY?p+ibw~q}XJgME{e@g>?nb00oR4DMFenJx%9$)IzYc4D{eN+P=Kken*k}tsjqpxg#Q4WTMVm0( z(8Z0KNxa&Ppx4JJel#f3U!cQmK?(ikwWDpzMIs+Dofp!7j zg(WZ5bD_@@4@eP+D}(iJ)RR@V(Ap{NpLTX7LpwVY5`S3pb*@MItmegUaDbKS@AQ!Z z)`RzN%#iZc*6xjX{9B^oM&kvlbZqEu;_4IUS-TwBSL_`)GVt?9Yao0)zPQWI?wA^# zYjXM`s3EQ37x|V>{=#3Lub}g(6*B!f$>Ux?$S_J8C-@n#6NPTOG)u_##UPq~o?Grn zU0&f?T^BL#N4897wWWsneOcCqQxAVEm^jE!LM@-G)uUXur<=H~v>0|uMJga9&u(w> zhJ-PMe9~y7$X3LrIp02YNtE^o2?h%7Kw7XAZU7aL3%tCeZv*|6kQYkgn)DZ?p4$zP z>sR|zn6c_>K!OE%u{dC7=v=DHq^$*OiQ~s6NTU^Od_}j#DXr7p0BGn;D)SDE^JX_lf*6=`F&=* z^RE>^EyOrG0bnm#jfB27j*GA9z;R|o+hdH9f*g>}nspR|;_Ah|EQ*oeLCgXIlMK&; zwh+AK@ubl-my!9{(7;_U>+%BnvY%gjF~-r6pw4bO9-3Ax zZZVxS4{T+OKFY;I*U;G#6wK6YMm+9wsK>0?vg1{AprT(CxE5zvz*5&O21vY#mRMz` zGJS+C{xP4GT!Fu%CleM}DF5wqUa7xxC-0pJw|JD{e=dnNqmvwr8RK5D?*YAi-KtWj0-_2=`#9cv+rRXp%Xlt@eq28FRNota&M2=Z-;L0H^6q0CN5`t`s?idK#RUJ9(UE8 zrf$sj{`O;GGoWbkh-z>ysfOvgMg@jgh+%Q32Hg&k^6@G{ z=1pYa>5(D07uUFo!-H4sqyB{;{^_Eu2$iwFY+({!0BI z<_R;%^7cFRSk;aGW0n8L`aS5z0Gzk=s_Cl+e#!#K_#y1#ga-wA4$?G`zkYY!(SG6;b+aJ&4ZE~*}nV=?k<$p3Z7TIxJ zR%vbPPkMIipk}t!SCNuC<5*v7$E zHx=3M%Tyi(k_XL>PWg4U5sQ#$uS#XH%RcvwqaF3qj|1!j3`r9}7TOCALgNWiSa`OU zRUeuBjl^s6KHU>ePP5E9Z?EY_;RHm>MB(zows=Z&Lznm|6eSxgMZ8?J4c0fvN z9$+F0)+6$a6K3N0obFhZ9PDk&=gWevuS{(}>4L6N^+(2Wz#g9Gh$J6t60XrztlR#U zSp#bH)3v)+-M)6TePvTzUC?cUySux)JHZ_WcXxM(5M*%I;2vCp28Y3&;BLX)9dhS+ z>%MjGAIP`)Fjc$G+54>SUTf`cxEK~&MGEw5bz8F*vDFW5sp&Ve4;7{EjxdeVw3nq^ zJi=Jo0FW~iPh2#ML@w&~_@jdGz`HA*(BFldTRMWjM8_$YJj^-?;e^Z*)P)AGNSSj?8+1n-~pM&fmIF0>V2}>wl)v2FI zUprXGeqU$XmZU9Sx_reN5$Yr8W127gmMT{eevKpSk=s9(PF-P640R2B7oVHPTlN(?y<|tZYKIFNGPiK-bklDKQ;)I51Vs-c^2>2McSGJA57(FZ`r=p|YlQ>|OQOyZp?!xJk8hd`Hs*EM zl8X_g@f}&+F3V5ts}{J zgyvNTj>%U=k0O7w2sGa)${?xRoFdmUsQtK+kIu^l6>d$@nYNbtHB>&SYF*9UroQ;$ z5vc}c`NX6*gkg&W_}y^-PEt`c=^R*&+?HvsbN)FEs}=cMy#A$r_SXN1cQ{_bv3kP@ z=@@y(Rgr9zJi<$Yp|JpW^>nb;eL{g`Bw0<~b*W13&0n5}`DFPT79>2nlZja7$-`Mq zAl;SoIV@554Y8Fz^$o@G_Vah*a=6e&uRvlbfoljGtL;mwdvOBgfghP8bY1z_3G|9~ zm;hJF-lNLjqtdsDs)Qr8i0%QFFW9JZ9L0+}FrVw~W8#*)w7t7|4ht(499w) zJb%)@jsuuZv@;Qg$aKyjmqWvXvXh>O=;--HWE1Q(54D zXewFMd9wJY8U}F|nSHdMO3qNP89rmz6=z&R$27=z=wS=8I{D{fp5o$@qv9g`eOM}7oyjA%vS0vHNbSHMpDzk_X3KMi&5oFp{q`jWOW83Z>$|gS! zJ#YOeUeq8fo`(ezw$RL3Sb|nJx{CW*AgDQ*eC6YQSu2JhXZW+Ax^I+ucl@TD7kuIN3MwN5OntSR4E<_Aag))#@1iA8MgC2l+t*N;x2XbR zZFjLKD0lUHy-J?bPNAv?JcgjvL`|1_nEJI}Cmknb*w0|Zb%CmaT$O>sdHeYFZo6@$ zuqP!ySUH7&!)tTGRT1T|RGK`yhFA$XzEbCU1`JlLbyHK`$qs~2t-QTfv zGCFgG4lm%EQiLh1HHGgq>Tb<#xHB@^Z!+Yy)rIrELnHAC3b($_1fo6iZvjZANx z%bzd06{jBVQ;Tel3w=AcM+y|L)Cxv87(&Z*B9V<`NwFLe%mbzP0q}D}tH5zZhix{IT>H93Dpo zDi^;#9Y=;#E2%yxDKk&TNIjGOW-&b)-{9nz((^|(sHmNeu}9}^eK@9PvVk1JIttL# z*As*oxTqY_z^T-01%&u-xAR%othyTIFrPe}f8%V0@^SB;#c={2dr$XGY@I&brO>kW z{g64^)$xmZFvSZs@N;mionW1E2(RP>(9Pp6))(FWSw-fDQ^^K zokK^r6qV<6_**GFr3qo~MCdHSzQ*St&DxGC8-Ek&;dCCr*W{=hoFL%n5Tq5&#HB8U z06ZZe+5dWNtmb-x5u|l!^w*1^pO=j0=oc2sWtPu3oNd!1)Ha&^?%$`zdiGGSyV}bt zOm0V~pB)yj8(H`l%7I7e@Mgb?oZFY@n_sh!m8}T3(`gLf!)8H@kTICgc!^W>2qIrT zUg-MNU3};dS1N7}s9KV^n#+hJfD2vTsUo6MGdtoOh`;`9&+gy;p)h!={{VI;7wwiG znv=wQ9%^Xzk`X)j`B9GA_#<_LFoF&TeVoxYD81}xbuYT`Xq-fDLO%s<0K>3#UVUlG z(CIe}Qx6h>SpRmUh^VDZw@3R|EO9ukrWjJvaefvZb~9RXhY%C2`ZO+?TAP zgBBsWOYwb(^^IcWJBog>^5tGk$S**=-)Kc*AJrh4!hMMQC9C~Y2&ojVs>X)2tspr@ zpX`|e-iTz1KkjYQ*Dpxj(NvLfihV*Is>Wn3n5yHXA|RPOA)C2dgn*Y&Xw?ksNC zI3{wL;{Bj(*UyE-wCZs~OG?Ix$SbbE3$!V!8{&Ern?> z*chTKb?QbUu?Af;=0nc9G~Mp=D1oYh@RVn7GusN|>Y{~giH$xr^jIRyCMn7jE7bTj#s$ol*Tt?V(~8T<-#w7I6=yA=EOG5Quv z*_#zLYea1%c?k#tZ}iA5fk>1u)QZqGTXnF0qKdD_lYs%%WH~!X_1;JvEJ=EMi;+TO zID^@}8^v#?tWck;q3Kf1f|NOZMg)QAQn(kd)_d-!su;NsZLcZ)PAMS1W z;pnc-%~)FO70R3EUbF`vt%3(Dz_;@xZZ-bpa+6O&`WSClDU~zH4QHsAi)qFU>pFoA zd3FyZ@{V%f)nbXf&yjQ#o^fHu9*TKP7QPTgj)A4yJr~6)SBebib&xy0dx@YW@7F=g zKx>|QC4?$bMGH5t&1^rtXddXEO>4bSI z+ejPd2*l7>Bz~1kRp`X#OY#BX&#cGz_B0I$R2}$iueWHmq1;<*K(#UJ^6CC$_Y9@! zuT7%xoDL%7J`2>vT^d>?@*iMkQs;iM9Q%RwqheD@xcG2v@6;PcGLPoY#$#P<5*6j1 zd*=In-?!;o+>wH#udz6!s}}c0%ZI@3nM7{EqMREWkV%BS4%&|A3nqi1Ai zu4R78Or{hJ8c>Wx@`>34u+MycW=5VD^#AN!NQ2bP`vR=p=1#y@{S_Fa!CQm=YWb2|S89i$iwc*%iTYL*A ziVKO>FETMELrD40n>NA9CA$WF88#o*$Ot53kR^mlaaGcIk<=he1#=2h{;#km-Y}SD z)pF0M^!KlS7sNh)|5i%HLMOuZI1e4MYF}$4-75anT>YM)#dyJ*e;+gamu9~PGSaar zp57Fz^nt{Q#XG61HsY70LA;`i}=R^X~qlT?CC7@93pyD9rvveg1fD?-~2SxXJn#HM13o`qL+}PjZrC z8a_s6UAondYq|xzT0LIgQ;q@1-O`#Y2*HIT5(r_DXi(%*l2K6PIFgbf1+sLSyX4Xo zCXxV2dGtw0xg=NxRVu0_g|r)S?Q{&85lL!FG&)pruEL)=WM`+RYdP)@BK~z=GC{lt zqK8=>{O|m)0pk;b2Sgi0e+bQ;K1?SL`<|bleRp6#!+qIi$FTXRUe3>0%+Eai7{up- zBtXiF=rei3lX*Go5ZH1$C1ZJY4=_SnFQ8(}GUZnz$e~K>=4iDRor@q8vnq0rZu4qK z6v6E-wcfgS97HiZt>vB5HYa=SFm+aNGYyRh{lW%w^qfs2-^WG(?^lujZ{~qS5916TVgV?IXCkR3CPop4pGP=$j z>=4lDCAFRSzj);TZ}m^XGSuG+u2kV?Ng&Xc$xYPSZYf4hOa{|=>2As&Lw&~fy+rYl zN<6zB4T2U4RwEuXw=OPYgn}YydBTt8*7PHkE_K5*Xt6I7U43Ob{-la@&77CHp#ceKkBY5KhHFGyZLg!8z@gx3?&F z@_4wVENk{}uAAYDkCTfYA;^?37qz_?B=y9J8Sg)?zTPm75&pCD|9=mIj}bf>U4W5x zxU1MUKV>~5ant9lCg;bcc~t%aMhMM;GG=cA%inp}R$_(1*~8QO?uSLNO#(OFw>3){ zuNqdGS)Bmbtm>Hn5Av?@_k}iFYEOm}Q4PiKQV|l&Y$P8EeI`23<<&C;`g-}KR!J#o z>_6)iYghKAPQ4}%w6!{HdJN{T1pXXjVk2CUJVgz5S%E z3loR__n%`9<`=+I4l7!JXm2Cc6C)=X&}1NHn%D2Jk& zI5sYdJiZ;lt~e%>-V%#(r^$}Kfd**#ty1=q!`xWqeYkm;#G=RGZt64=!F{dU`$3S? zyEN?G@pTVq$Zbj~6)JMa|UASgDcK~CnA)sNU zv-XBwTKZ*wlA)_#ZL_5R4$)nNAr^Mrx=!Xp{tFwyhhd*WK!+COcrZ|TG7wAH6Z=17 zu2>|4q&9*N^>PM7?%SwgnDz|_B{zN;I(BR!qcU;Y=r#wal02sc9&DduYTCUcWZJO{U;;>M0u@@e+<*-QtXX|=;JM3PX+aH)N zV;)<>B8^x;{U(3OX8T|({1-?9zrW8eS#u^J{JJ1Dq&9?lj;M*K)n7+MO;WH^rnfw; z;7UevbWk+!S;3&W=+2gvWi4 z`oeP2Rns+W0rYc*tnk%@!@H3@{V9)7T#Im0`Dw-iSd%Y`BB6MQAy&ajgwpINi=ZTH zQzSl`;g*6EJwGLQ^FU6P+E7kwduQt_kwM%-9r>XA*D}g%`lDT5`Kcb}=JX=tB60N( zJbGbG9INJr$~B6sniFiP!}ymuciout79=SET@mPfQEda3oUV!Y5dlH)4J5iu<|$TK z=LlrBXSh^;-Dl{YzNVd?`8V(H6I7l%#L9K>;qJ{~$bx%!CO!!i+0{Ba7+Thu;UPnM zQz%`M_%2i-CXUoQ9lS&VQ8}`Z;IC@7kAZTXOAT~;{=;F9e__K(O)w2<)g2B+f&_0C zgoajGG9v{PXQKkfoz3id+|;2xW!AI!@IrK;5rmt}tbW(2PtZ)E-4FSqhKpt;SEv9_ z_}he%=`MUVXap{zSoQQP7ePfTKr%wlnoAi%g0af2KM~W2?V!p_cLYA)&}AbDqf&I% zGPR|*eJH!Hz(JlqyRY5>CK*LTo)1HA=eY%`_0Y0@Wu*4*{h0Id!|dG=+41j?AIx~W zl!tANk&&?@=N)$jm$30*rrSS5Y6}QlelwD^*h{1@=FI8&M8E0p|e&?$?|=8`i7~4jGv3+bnxt^Wbj;{j!0gY>gmD{=7NI0e=}jFdAhE7#Iu=UlW#WlpP?a@*;ZIwv zK-5N=+P?>0N@@Bp9a3((&_GYaR!c(|OJSSZxQU=f`r=zhd`BKjy2mv~dbiQ(wUZh+ z_&_^PBTYK|qEQ|1DxG~GMdbW_lN+YY%L`75q7hY7fDTDh=s!x3f3-o?{)rfE48o!v zne&Jgio*6Ms5bJDRtXUd1gEB~sNev>I<3b!m+`&V6S6V_HF|3B)oP3v8@AnsO%8Uc zN)sDB{QJz!qGgAXedfCJqEgq9%$TGWZHR=z{>o-4h#z}$Cp@SgXG zzbH^cYbKU-mzkq13=9;-#nWrkM36HbL6*{6!p4kVu8llWh6bj`)1+{aB)n&({`N7T znX|=DI5!Fd>WO4#RRbh}6zoKD0<$6->Dr|cxX6Cq6uQbjziDr;UiV%|P4(Gqi^RJm zzgEmD_->|K;-@aS=x9MIWNn- zqwV#@F0-z0knrGzsT}?vMPL_q_`7yf#RE5R<23S(=)juS9CDR@O1FI~k^)eQ$-=8& z#zd!00Ldq6&Ho~Sf&3>iazZEwR`}pkSp?>7EHX&!KHcoZkI{3jg+Mi$o|+#Nah$q2 za(gYBl5`=b%8vr(wFi_^YO-pU#$YF#*#pWVM638HkFs!2FZ+*L4k3+dt;IK?&-b* zD}$TBN%c!}7wn@4gWCXSyeFD1lH6xsdIprch2LG8OVbbEFKCT}j%6f)_UKqB^17(u zmdr&UH4OmYy3?4=oIxm6U99j%RuuxBXGqOGyAx=7EX4eZM1@8ZrJ_i7M=In!>Hq|t=gR1f2*&q<;3yg{x2=b z2JhOAlA-PabTA2oHncXwt-DOlD6|qE9R*+4mXjhLUC0*|DK0#UJ|?Wx2Q{31a_Xjs z#nyD|Kg0C{~wz}x91+vgHbUy_A zBVeMeSmy~;4V)!)GBzSM6As$j*v8J;qu>n_@O+cQ=~M^2DB3Z>4OfvRWXpF2M<4CE z^2&1nH&RA)=aMFL4Pu!4nN%uc8i{y;;R9?7NgRYlvBELfYi^+MAj(K2{KDEaPUnZP z8htogC9dPsayNyZrF#@#QyD*eh>1MmpDd*#&G<-m0?STHYCabgos{RqTaq=Q3B+*g zYUNRgREB`^fO%S5qTv+Vb{eTgaSLGF7Y+;HP};xC5o;-2(nt>~AGFg%BRyCXN-vGx zndFRoR+js#F#g228l)ch8gL!Zj-H6|84l+BPb_1l_z&xLE7`5!Jm54kHzktMeRC>5 z=8N0?O6H$hqnARXPQsAwwO}IFNjeHLEP+5qPY)uZzLb^&=s{3lJBIal8k7F7; z>yt>r*Vy)=w3rt>@e87{s!(T~G+5}&gyc$%;9#Y+%8P_j4l9sUN`RD@=vlG#;BTZk zpxoDQ^wy@ttSb>)+DH~XE;GTM&p|TI)_HLCs2wOYGZ?Bz^D48n_3-7aG_JO16e8Ob zb*Ho$KG^h}_T{#v*}yXE(2~+k+;__az;j5!Fh8jtzUGLoy7T2>)Jq+PIkWVvLPsTE z=PL?-Auhf-x)_QQ96w&_aX_8ok2#Lqsc{RQrPomptJvWdmUf)nZju|!Qv83E`1iVt zZ;K81sEB)bue_2jz3vhR+RDQB;}(za#(&qLQ1z8uGlDmLQd*z+82K4wyiX?9h)QN; zfBCphV9@c)g^QuT4*=~)PK?D~7RdTLvzJc8i9}v_mS4{L zr#x8!7b|+twJI=cMr|;x0ZoKt1F{=xGLMtI>^*C+B1_JiI7B>6sNDwu1#EoIi$>ta zmfLYK%7j@$(=i&jv3ln=nm zyvooz^U3WIc%xk@o}ZI_jj5Re(jD3_yD##5&>#D52Qq(xSwoc!a0ys8p4}?8{S)H| zQJI7Wt)hV!9ptE__RA>h8z3w!uU5;l*}$gTP(g{BRnkM%o6<}o4I?^WYF1#;1=NPB zJbWrv6b5i&LDM|;o%N6WBQ6ccoL_@ z&z74O7u8#hg0i&pAeM6NQ@@_`f(`0`&|rCZ*#1I!1Zw1!0=S#-{+|eNQhB_2ikgai znTq2cP87nUjpG**fO3b@t#%woxP~7;tu>VCwBeXFwQNr7Ua6v(_W8#gj3YnI?E|~D zdF<^OpUJ_44&Zs^_LGC?-7(2|3@EoeLyu=>&w8jRs!ta(mL_xu!$1n(<0X&ySo|Yo zEW5n{2d|Ud*_Vt8HK!Gk6#pzPc0NHh^c4NnG!SB)E8wb4#RYzO$g!x4h!q`&(^pP( z3H6|q07o8jBAJE}zcezzmhwnJ*_4 z31YBQK{7Ouyl2hHF!s=lcXS%&>7_+HA~1V-REEWVpyUYFv>6 zuyC`-p0812(T4WAF~VFPoHid~fU3q2LdMxJ+DJy#E7(B6s`Go;?tDSev|Pr()q8U) z5@)^69s+!C@|@K3J#hNAoQ_@@Ia72(177LJ#Z;ffX~amqVO?f@g=q=s0KcDbj zE3CZ)mZ;HarP*X$-hQX*dEH#~p5Wf3Hct{UR~%)h)ZE{=8J`t%4+vWuAR-lnkig7q zT3rxWG-a-WkUggDs#?U479Bht4jik>OWJc;!B1J5Rv@;2A@eWlK&}1hS^nRHZD;;h za8JzKn!yQ)K=1=bgCj?`r>Z_pT_{nt>N+dZlsz)0^~mLwQlxUSo7P-TXB}b)Bu&T( z!($NA7b+Z1wbC5kDqsgMyjz355Zlvk&wXQo4w)S}InFI*@Qs(~fS8kwE;@khbK33X14a4pvSsWcdT2Uphv?dB6!jBXdj!=<^tX&N}tugC602u0K zlh~yS%t+U!4)5kwG@$pNeYC~Kh(4Nmi0|rQri^vv+xw9h$=5u*&hs*2Kbs`?(!f}i zcMBxy<*`j;-a9*w;T!kXC(|s(y7g!FS_(5y{NqgjaO0J*Ng{J+Qtj6 zfP+)gISOusgVXG`<`7B4MPW`eSqU*y&?KYV?O`j=aNodnCHn~(dyZ-NS~IV*FDGF0 z9{Icu9m1~CTu9h&C;7;~rq=`vZOL~~jGw)X^K9gqY(LZ63aoj%!tht43jK2|xgY8$ znwfg+Z~+05!>@{TQTs8M8AVEqnT8vF_8TgJ#urI-Pcw+@=FwHt@SkyzB%;A($3XyN znZwoUr4u*Rh={V}vS*{#SE76O-0}{r{0T4p#oeT94>FR(DeC*dog`qy!rz@6u|mUK z9yv9-FOf2-Vz7`2ne^%oTCfP5MMgJqTrnA6_gmo80 zCn-ci<`*2SN)Lc#s694@UAx{%v))za9B)^$!KoRrAnqJ13Xpj%C$dGfjL zH#)KSh$!yus9qV!Dzw82@0rjZI1+o^J^QB2knscEElTBXG(10(RVZEZvqqi^qNbN5 zOPYS4b=|1%GA#9YeDJqLY$1Ob2pse>iRzND5x5B>?lD1KIvtDdb=7Pk4`^51e@6R% z`ZWHGAf&()sZ3eSbjPQ^oi z2D(&hblkD75%>;}jBmQg$!E~xqh-EN{(DX+$o!*N*83l&gb)zZp!a$l--1Zks>{KP zeoV|`yEZP;*rg?}D$N>PFqo`wnKd&ox=uRqWYzLybD-fDmaIAQKfW?aBC(q(2z_6t zjjJ*T2$O^?2vO#>o3L{E+u_2)%DA~&=oUu9b{X+WO+e4e!dKXs?2+7Tw`syVbMh`JF9Lh1gRHd7Ykw70y zj%))JUd5ZBrl%-QDmesu(qwB?=FPSm0eeaDql)!}A@ek}A?oR3DlDvug1snSNowHN zC=ZwRR_kQY@%&BM9LL()+@bBBjPUUsYXzYjNFaUOfDZKvdZFt`B-Lq-whfomEFyL9xVQxTgCY%|hD0-L0z>sFCRXKGEld)}Um^{?Nc>%~ zjLn7KIf%eNxD-NhvijL%F*hu$#20YYgFjIgNOhJ57l*&zkYu1&T7w0jWIeeN#O!Yd zHG$nNj;4i6_nz@zOKPhdJ+b}C&J)^I%n~G57NPySdAg-3Xf-H*mASEAa>!X?VCQA$ z0BR21e07QaC&bc~m!^H@xlu$aIx8~P_=X>n&so%&04`oFv+4n&97DTi;@L5^vXldN z{`_oUbg}Giy{r&UpjF4lv=hio?~|Q4o!p^`J>}tMzJ4An4n)SBVdfQrxj5*gEd!A(a;dK6e6Cy^nMHe#k=Xhn{d;6> z7a{>~#-D`$bCmr0{wYM<3-&*eiX2|!IXmlEQNDGpwc zk9o$0cxenA2GS<^Xc~RN@ywFp*g@+DXKUWLshI;TauODbOg{(G^|r~3&fMt3m&_9v3oQQ(Yf{xrp%a;bbn0BmHRSROEBIQUp74zsTCh16ac_XmY*sg`G@NI?j+NyUTT2Z{6+%aq-ll)#K3e}C z3wTKrYIKO{(o;*>(AB$lWPwS=bFdII!$y(^ScQn!Y$apM7vSvjV&d5u;= zJ`jaF-Qu*T&OnEgKXJC^$B?UcNn0$#eXjadAB|QsP2jQ^IJc+Yrn4f!eX(s3AXkq2 zC;+vE>PssBS`*LNHJ!ym{^^Jd~2mBVD+pWAfwr2 zV>ZHvwfcdN#ZRR8!%b=2Vs>5MgjKAE2u0d!22HGxRB^&!Q)fcPl>IS4aQTV+AVlJ~ zX3(h_s-b4WKN3r?8Zdk?zQUlxN8&9=+6gU3+RTDk0o12@qZ>D<7?fi4* zhJh)y-H7(47!_Ph3Jy_6oPg5;@BE1$@)!%ajp)X){M;qZ?jsJK4q4Im#gU{X*YV|l z2LoAG;4zB&a0GEN$3(_RFtBl678vPcy@b_3%PA|o#qZferR};mo976Ww;lGn1nT3K zm<{6h`(b~Fpu>L;?S~(rxMqyllw6=Ep~g!p;w?EWMk3H+)^KjDaT?3DTlxFbu%x=# z{&{4OQFQB%c$dx}APHLjIfDX5ur_eraFWh9wCE<>~7n=%g8RV zQmpELwZ@^1&a9P(vxTswHCsBK_H(%cJhPgDe78j%ioFMv4UJW!i7I5(UG-y4K}`~_ zT?Z41q9^lHQ~N<#%3-}#%sO*eD7mnQU`xz?buNDEpY9ciMQv`}2hAv4?V+;D-H&axI`7{X-3W7qGmD<&2P(C z@)!)l@S#Pi{jA)_4sA%0*>0z1;OE|ha)@!YnUVDk` zhg8Z)^ncpM;adq`f9xu`eo3l52{z$i{_~R*W5`Sdx2<4hn3_1cWF}uzjSfPBJzc>_ zNy)DKzSOWe!&qdvThvfz%6Wv;L3$r0z3fJj3`Y3eiz0YbZ6 z@I3qF0*W(d$=Dk`u6DF1E%8grAHUBPVI_{a#n>s}le}{iGaZ4G;=>aMJ@1%iUS3v} zgE_n83t|#Gf!t|sXO8^SKx}OJ*Wx&1VW;avK~a zxjvZtL(E_CmL*zjMPC-ACY=>&d=O})@vA=+kU`?EyYfwK z^E4usN@2dx7%Qejhy!g5Cx=NzqFH*fA9;QPJDl)U2`3SMU zWPYGMKT(_}L%Eq4HbS(?%wX0rTVVt&J)u4^I&S5?0V+-k#{*=zJc^V~IYfWd;(69e z-3#Vj2}+N4SH{1Bt|BxWxpO~B3e*$-k=v<2? zp2E$3a{Je`0u_(O*ps$2J2}U?&_o}_rI3cff-}? zDJATD^6zwYz7!#;jPS&_ufr)((eRV_W<}yj=+O>r3b3fgfttWDe;Ju{5|Z>#Z6o^I zP{^(fjnzp>GroLn-NJRzqf5DqYgl5$dvdV+s{+=UCXLOlo2VWj26| z!W{~6cmc43$qm#zhL{0nqtX}bY=Z&Fkvv5SRc;^#hSX2LnHMFnvB4@IGaV9SOIr3! zJvyFz+3yJGU>2e3o4z5Rn#;5x;2+axU6R-sIg1 zT9;bAc7`R!r((DIdYyOMrfu4hWE*-qy+)VS`B)?ROk1*0#p`PDAvIsq;H3d)u)$hi znD1d6@e8e+Lv1teH6hzO6+$eYGhoKqy@-7#%(T_;P65+UDL`OS8_IB4<9-d?D}1kvV?%|x$F%1hXw{CCG( z*muYz!%oK7_)XI>h8ka3#U;UHq6g`aR0!x~SauUhz3=SB(2Qln(?RZ;BZ7$zDMB6x zmxkWd&|mj95o4&mjAzF;>8FKlaRYvw1{+_V@_p8XRaSHuxB@Mk6;DIEIJY%gkN6Ha zZ7X1dil7iiqAzJzWcgJYF|gv5^6bknlP6!?hbNNu3FJZmrRAj+>R$a5((zEw| zmRe_}D@_?Oa}5SeV>f3SrC}H@(cb|V_Gku>do{=Jk~HOg#_rA>AR0O@{_N4e;^m9D zLVBWc^#I6fEI_!+z5nRwbJu4oVEsrW;akQUg zytRYTt!0>jp+_56&NdM}R$pr6Kw=nPeu}*eOGDSrmx7Kxk?9+8s#(Sy4ZA*P=&(bLZ0L(}=+!prmJo|?dFj{c`~7zWz;%OO;3 zN^HEW&xWW_61+t*0{~Pj7Cx-;H=kYcnffvPt(r&d6dpIaziX?*z(RvT`n{X&BMWA#|Mf4~ulWUl)o>TUTGikEiI*FZlA8G>Zw2ElmJClK zL;fN^N%3l0H}MQAJEJEt#UG|xX!*|A%RogJHoBBi$v|8NhC7dK@lF@>$a1zA_^L#< z`;krl65{4MZ@A z=O}7~EYl`bch*73@&9LviJ$^sMj-F|jRc_*^9>H3TgUUcKo$YF>~VZoaUjfW%**^? zx7vXRCpJ6>kjI@U#Ol;9vh>A?3VcGaqElz~!e|g<{V~hsx=iug_61_#G_A^Sco0k6 zbki_s$W3LTDHE%MYYB3%}JYTnZEdB&h6 zH$^cjPLhMLg5^;@zUAKkK5xa9C$x zI;8UqT;j#!4MtuJ%Id=D* zOkv?I=Mk3W5jZI#H~+}}$4dCwRl=h*6czMkAee#}OU|UkdU%)t+aND`*s}0=cW>b4 zO)odMqQHgRbMNNmcRrZ^sA@2*(^RIbh=jfu&eLKyOGb_MU?ZY%tJ8Lii4;rxH zcUs^#?|dL|S%3mX2KM2Bui~`Xk54$keNIBI#9y0Ruv4A7P#|M86UW4sZ`X@v_Sam* z(0M7vXoV|Sucz4+Lr2MqoCymnR>d^QiUr)rD9uz*fN1KdDXWL*96F59pyD}gMST&xi416ciQlm5LYvwa}(__Zj|Hu{GKl=#8 zMgucsv#;KlC+`$Dr4!IV3PvQ=!Y9KisW0E5LQXneJ{yf(XapSQC7cYngoZr}D|YG( zhRW5`nx{Q#>=br3QgUE%oRqt$Ncpfx0_frq?{yT*{75nS(aximim354U?cVP{RTtH zPh)N>5!)msmungu!*#Wm-Fq50E0i}dGtNpny-QXX_d^$!FznN*lPDq-r|(4;d1|b; zs-$S>C}i)$BRMU&7;gLfIkvW(fBT@pZ&QsM)h!T>=OTka5d_87_be5kppfU z%2SkTytOWZd64~f&TZ4DK^85`-vQIAXkfLR=G|PYKW$Ifs+xfGv2eV_?=JK%^n9&}4II9TbxJH%K zyUu*?ml}*Tj1-3GHP}~Ekj)ojJG_rVQa76D%C@pKJ5ZO363JL+S(>{Z4(Pw!O+lEB z{N-nXys*-^!EnnIk@|)vE&tVEqx0OaMwmAb>vrgjm^dvH5rI1Q{5);VxlzO=)m6)Uinh?YID>B~<#q z2@|Cj?zNTIV^7E@?)O#eWf}76lmhz`(Xt}zOK#w1nAo`8TQ*}10D~*!q#piW%qe~( z+qzQDe{8E=d7mbdL0Hg_1T)@6n=zp6oE}C3k4EFLJRW6rBrSTA4oylZ2gxuk?bRkI zU~(CT1lXVVOCbF2NACS^r$b7F6mKGQHDN+;O6ltGf!uz{6jQN^x>BjVgShI$_7?mN zJHBa>bwQ7m!R|?}r*@Oy_?o7LV0V{6bC0u>WvziCz-9}W8na_?TKdMszHYjehO^k* zB2j!t=;gce_+p4GgL9>_he7$Qf$B|8o1-|uCpyPbQ4A}JO%#3v=oU_jTIHqNa!vOD z!=eAbfy2*mbioYz?j(jmkv>`jsOV<=_q9}QIdFdlM&0}Z3?$jUQ-=;;_82Q)ZZLwQ zca}W(u7Z3!A3uG}>toHvO6J&cIt7ve(>!#_aA8po6&;q0f{#9EX;yw?r^s{tn4%jo z-{n3!QeQfdgM~Jg%U{MUCNcm(gniI}hl`G*Y|W`u%EWTp_3L!-Qt4v1PWdqqCjEP3 zY+<^$rT2!2KnN%iVKHNf&%yvCfdHcZ^BAZm&WI2s$61HQYWMe~cjZ1W(wyoq!B%9O z%m87LoA{P?`|y8S$xGJ-<7i43jql0JPrr8DMpCN|M|eteVHD%Q<}+fFRZkC%2NaaC z9s4@&X&D(ymZgtwIRtQ;nLB--+^k6&r9j{BieW^$qVMad-}$umKXxF3|E||UVrY_G zF~K`5TB$GgdYd1+xgLN(Qc4nLbe`G-RCP^?YKSh?r07}5QY7UZ;)quF1jysEmxh4v zV;Ye^hR#*-Y54W-3fuY58{z#3k4@kZ3EjPLaP-!^*l?$r{qMml96XK0jMX_8k=^Xc zajkr(#@B^0qmwfpNMphEYF#ThRDM$&?33TL%?R{`a_3D=tTnsh6)Ah6dadscYT}o) zd!fyF<8OMfY`7SHg7`ArIo=Q_S}x3%leUFLt=L!35z&AQDhArxd$ixQfw6Nj?ok;_ z?3PKzrCv9jvbset;}-aHk1Re|8P23khc;pCheNreg&{v}BQiq5!O zVFB8%-)wacWz0plN5!0rZp7vGdwDY_nwV}VwqozU%DSlTXbS$Ti#%5p7$YH|+8n?E z<*dkk!xJ?M4mNsTE;jmGh0fL4gk%^CG1hg#7%cVW$9u!w;oxyaG<@mTCgZ3awigxg z_N(H^7-~ruTzUBZnq3Z%3qa#=%w$IP+~5~oEfVoxy9GD|?W#o#7((dx6)OV|&C1`;S0Q#iO%;ZJIL{W1-d{p(JiTblHRLf%wBa(=82i46E?LCK3l zSXj%JR_j?Yl}Bgrc&SjxGz@u$3^*j~*VioC`#mET-N!_ncqv)>cZIE8O4a-s8|z8q zfu7H?_z-A5JpXx;2roLZA(m(Wq471ksjX{q&~b!6-LpLawfnOnD+{xb3h4NGxi7bB za|^p9_oFWV>jkKmp9K{#S3u*CGoGPiS%LQ&>2<)K;5(J7DJ2D0u^S~b`0^<-#Gf{H z2i{$AM1J=*nq}k|&st3MY6S%S%?>!ej;A^d^dB!>nuO@4m!R;cI-HjRE_nLF4Sg+H z#Nw^^NLIT_*=flDUAtwxrkdgZL)%*h#o0z%q7ev|1ZmveJp@9q;O_434#6#0a1ZY8 zG#cC?xCIaH?oLDZeKY5txp!v1Z>pwhPSsmf!H;gB_kQ+Xd#$}5tt_e#e(F>?#CNR$ zB1EUA0X>n0#vhUgEUZKwn+BbFP92Tal{7pkUB_ZGl;b(lDr#W#nymW>uaGvk9CDPz zJ?3~P<~!27PJ|1;GmDI}_iBVhW7b-$sUum4zoG9D{1C5A?_zf4fhXT5B)~9TN(fhM zR(uxvwvhS1iE;k#%S#-T5p}4jMz1iZazdX(C}|IzYH07ymKE`Gk&*b?{qCxEh)B3h zr{5S@vQs#qAw6F4%;fHO($tq zN+igslhzz)IhCsVEj2AXi%#CqqjMZ&Bf|H)>c9s(WfuhJZwwxK_=e8Ph*>=R$zG1| z(Rz$97%U8mR}VBDt5aZIY9n}=J2UpOA?%GR(*p7*g4PD{W;HPAIy`5>nv>1S)j|J| zx~;@Py}&$^Mll!vaWj#O`1-R8rK}HlL#ZI(JG_ftEOG4VcNe_s{7(ML?raVFe4&MVhm#B)WOhVVxKM!)uGLGALPCDl`!#bP@bmQ0u{b(L zbxx@-0N8?;jM4L|JSHJhWy>8zviDhkP0zgC>QYX)+@gAGzs9VoLgytI6rB7_e677T zfeFN{(_gKxQzchjdpDd2KW%a-QnI z7|C5$jds_%nKCEbD*@Er4-Io#zpOH3?OE=EnIyDXJ1UYWN1U`0YYy7#RQ4;{_e<& zL=craf~5LnsZPPLoHkiAELhi9&BS4IAy?$pkZYZ7#uekXdsoso37=rtm26MhQM|Yc z5N_sI&w$cMS#Sf|tyub?)d5DBjaXb=7x0vM_g{j<2}E;tk{lfSRpny6&N{TmPgfZ3 z&Q_E!a&*p~R@O=j&Hl?Wp$>X80(&W08${w zjy>DD<>(t;3XJ?&MJYuj+~rA+W_Pmjjc#fVhet{qYp>2CXOx$?%mNMf0faq%kNQ{o z-kK2)p%tnRp|kc)_~0tKcGy|rtWzm4L6QouR`CAf;ZqnL&L(P>!n~-x^6UnQ;u_vy z0RQM!*Pp#!PgEa1vhOI3?`ewG^V7W(Tz&22w|HjQ(MWKE5HbXaLz6K8wci{6u6JZP zf&*5XYEF{hnzhu}HWr@~jOJ_JFrzO7G0FyD#Gz?9Y`*Hr-TwHhhn|`cFwWQxVA9M* zs32pr=?f%h=Rh(91p(9jcgr$rw1zefif;WuM6a8|-)IZCt16=hKWiI(B%5!s!4A0Qan4DG@U2=fPf~Eo6Qusc-&ER>D}Q{TU}g zF@f%QGb`0AR`^1VC!V&KjW;cXoe(=|IoiwaR{Kuf=(A-ynzK&(`Ai;qDxKxdHJ#$( zKb?6<+rNtly-WV?;5Tw(4XO?)*C8l6%z zB~EMG><- znQav=2gt~PGQy2m`G_d9`9_LK*d5RK2$8ilPiO<*dD3fd@{@~AkShCu9Qx2~d-LM{ zpCP?Oml2^JfYKz6_WWIhjBcEX@EQx_6ae|{y}h0*&~=27?vo{Yrez$D-1+~;bF)^( zT1K0qwihA<&_f<4NU77rg7pukd9q}R=6AeTQgN2i^!(%5!zvE+iefx~B70X!LwV}X_ zq7xE`1`<fk{NB1-WiY3q**U(~SQ6nuCzR4o>}SG`I+lN;@D1x@+76s; z$a|k;h*zL;DBWDdoG{(obj~_a&OldT7r9-JNCyDWvL?GaOWj96qZ?=Ls1OR+x@M|L z8b%h%U(Xw@CZf1zwJLRGLLWtfbW06mUa&~Rxvj2sdYw5dIkQ)-xloxmM8?>trD2osCdB{CPCz6|iZg-&n~In~VTz(HhPtxuV$V|te&%=nNtUlP>z?;OCS_`ky0Bu4Jb zj`cidZfU53NB}d|kL&IBI~hPy185*RAa>l2Y`ME5&qI<}cv1o*{X(V=WT7DaAjHkS zJp~_nW+=#9cv}@c$DOb*aFe_ztxUQ= zfs;^ad33~yS|$cPU8G}6j>2}3qioUiN`K2YFWs03z-dQ0hEk!fy`tlo%p{m+vr8@; zC;Tl-JZ{lbM?1EM`Z!NPkR@+}t=Z<;NLEfPM%ins2MLqs?eKM~mHUsYIE)_nVY zJ+Hy(#6Wzei~U{V*H+xU>H%5cfqCkh8N24zx7vt;Dw0qdTqA-M&Vbu5Je}lU@Aof0 zJGpuSrzu9oTo;*05JjU0s%ZQiB1&C`LVzjVhh_shVH`{?hZA6Ma8!q&Z~AHSQB|p= zTW#>T_G>j#PkK&01J$U1Mf!OgShw5-1Iu+g2&=L6vd1eWIageKp>=PRWr2ppX72=d zT)1kXj2a=jjN>}}XEkf*#J2b67obh5hs<{j+de0?W=*SZS4x@2))M~BA0ge*-_FVV zS|<FZ>l;TXk%kbd4wXX zR!$n}*&2y#dNOYZ?E%9FLP+AJv`@DfN`no9SeZBmgE%7=KGXQrR_< ze+cztac67CW+mj$ja6y4n4J9SilXGk5MPbY8bk5G9fyJ~T(I5kdpbiz6l?m+?p)3K zl|!b|;WxUUaWQ(`lQ<#}2~ga%6>wd&%+B#c8$_+~GlB)HJ>OXmE`k<55`vv2N?#fy z9J;^<6J=%(gtb=It+aKN(a;{F@TLcTnLac1cvwgCFYAyBoTFrrN$WnEXtbZcJ6S0& zjKWsd?<$i*O25<8O%E(&en1h-m3l!?W1u)?pv{RZ=soh~lwByn?^Y677x6Hp9bk`X zG;@CpE#%2LTMYIHgFw5!Hc9VLcnC+Yzp>t4@86c2!p_xNqKDm$sJ)hsZl5pyi%9c{ zfG;Cp^vh-j9Yg?%|L7l*AOp_Tcj?bWX04iOJJYiRUCQAPP$n;YiYbH3y zfeu3q2bkq+G0IeKo<(UV(o^Reg(7`q8LK>^kJ}@{TcZ-{G_as`YsYFA>JBNvdDgj( zw)0r?($4G3dUh#=y9n@EAd**?!#yH{&!D zmMeFbojQAGLe{9d#V=ievl8d;?i`Ni1MZV7<)n4C94Ik|BFF}#Ijx0Ja&g9yn!R3$ z(>e~6)~`K1))Ja?e!Sh3u`0lP7xS0#d;DPBsP9BF!IRfxh!;&6%PDBst^&Ax2_>Uj zRr8f>_E2lodV8&6VY&uFsMop3Hb{l`>{xMYd+5jPMvyB#=YO@g2G)zYZt1SOIOFKG z21XD%WDbwzchdHG-mDOD>zDJYwbkT7B=d+((gZ(-q!(G+pDFctpASKX#;GN}-Ma^p zjBN23Y0i{5a|!g@l-XPCvSr22IyrNjoqd()u31w@CqL?y2qSWNY@Sz~f_8W=Cdarp} zN)RirbUf-_7+%**+sBK!LJsDl%w1--Ys?nI*2+JzguN=?qm1cf&}utfe%w9vsAOw( z77uR;=t)YMy!$azo+ot|Jy;itq$);BF68*8xk9P)9Xh5b{b}NyFQaH-5TwyA@i{-g z)(_&!-Q*%&7);KH3G5xB==>eN z;71J`82lDQYN}megfpjR!lW})o;Vd2vFPccrCXf6w^y>U)PPMi7Ph1(BAmTnN zdRxZ&<2UBd{&q9q!qS-Wtgw>WE%@a{O{KH4&lfF}F%{1Ohh)navF~ zgMKts`#!i673;B?Y-8!eNN_mZ6B)69;+@h*B&zI1H7)rxJa5%@xQFo zzw*1l@l)i7EKVd%_?$ZL-1))oM)aR&^>t?pRH2Q2kjCRN1adLcf7hyVrGL3C+4uSM zVfn(r)mta2xR@SaCnM{yeHZ~~QC@Bg0V0>{Q{6<2toynjk{vTxrP>`r^+j2j7lol; z$KY+~FHn%u*Q|+>>r6?6KdH2kH>Cs?Y-S>ogoYvs>u4PH{ifE;3El012i5i@A|Awl zlRJg@GEEu9Gn&;b1LRGTeV#b(!fga)w}kj@aR)A*fjDkcKBeV@v9}lzw*fY?RWh4n zGT;iObc~4XMj2vhlbkr)TyXbuH%>H~e=n2GO^2I)AhHE;_QkwW<%>>WJit_sJw>`e zc*rXCGH+!{kGhv1^&w9VMd;@y-|T*XcC4;Fb|C;`u6YLVzRUeEgX!6R$tWtSsu}Hp zjbEpXS>2pV9gLoh2TdhxP>d2jvjS}3-ejj>4{jA+;+|T zqY7A~1YVuSVyYSDh9NenCIYJ=J(UtK>SBQ<@~HJ`5r3#`^I$bVK&=^uBJ&y8i{vu1 zRy;Som^vzj7w9le#yKXP@do02%7!p_X2EN zke>SFpF|$EX#^eyUq3{j-A7;6#Q0P^t)oH>9?nAqo(7+%x<@3xKuMNFMDBSyuXuJE zeUBQ$5s^uD?oc|8QB@a3PB5hB^R0 zlE?#$`Q%pp^ue*{#B0sU*uda~QJ3t#JS%amh>8MJGg|^_!1$^ts7(R5V#lQ{MOZYG zMv`9rqE(|h9BaDHlkRhEzn)<3d>ns&P>mk*h+2WOByX`%OYCIW2Qyok$H5cGzR#U= znmg3Nk@0u`P{A;k@Gn|RchSvE_Ho0ceM!NX0Z~4Vi{Fg*trEA%J3hMEa`KCX7~NU7 zY`Yz7{r4_*WUy(=kG}YsW@!_z$Hwqz~%J{SKcgP1`4-96`ci6VHpadK_MY&!#BeS0t@k2#BGmd;mGCy8-1FL zi1OFphlT5}h1uQX2S~95DZ*G~*BO~euocs)23id|UM>O_Iq6%n4Ofb!t%f%>s(S7n zOy)+&0w1*93-Z=x)2c1QB|gmq4QEeE%T~HQi(9#7mYjYQ4tQnXOzc zn<&?4n%5*e9$4%Pw99lIgnw4hs=U?|+ehg5)HcVt#E8aW-R{j|h#CWV%rtehNW{`g zM+=?1)oMIY-plXlv3WdW%t%|=G2p3weQJ>7)H7tGM?DQV9EeDKVu6S^4zEH5Qvol- z<^nGS&z!cIT_{@iE7^Yz@+xYF$E8laj>`!@Dp+=%8-60YHWlUt8g?IdPe{+h{sS&;A(->NEzUp;m`3Tjv zPXsml0Z5wh0D#dui<t@~kNkRK{DsNPp zkaZe&HhNdNT4{8m1y ztEz=*+odvRFKr9`!T|1_Yv<2zhIMn+tw{#XD{z~1->}#=oXGrLokd@i&Y7a;gq?W( z=nK+R+XuQ<`nRB_uxVxtOio~X4PB3tvcexQi%mj%>#3mmx=D<4VW4)XwM`F%)F^bd zg0W6j#jvvWJDEzmgqAlK>=1(^%DA=J z=@OQsG@TZNPXxszgG%3)`3q8y!BR-A@jbCPEfv*Nv^J6`x>Eas-q={2Jwge=zg}vH z<}stqdMD#ZO=iP~JY?Qfr|-JVW(NDKoNhqCV1HxdcGzq#^|I#)i>x zQ{Qt>MD9aX<6Odj3gRz0vIaMPP?DX>><5~iW#|=r^3(VTfxtr;I}8<8S5B|=-ak31 zYqJr$ce$evnPu2xfn`dPJZ^W==lk8;>uM$=|4X>KC&Bq%arxCfb)i0Wfe3oOer6K5 z78X$PJ>B!I^6usG?ge?F5_P7)b({yY{E+v(OxT(Cd!8RzvhRHEqrPaK@Tu~K09_gA zC_DOpW2e3TKsmYhdr&Sm_Po@qyU^O+2YR*B&f+sv#p0`|9EZIMU=taw`*EI~Q=T&p zxsYu?w1;(fUb~>6t(x$KUv;U?xRjY=;h~V3xJ^4O$AS{lnyoyGW}3VTib&AqVQn=* z0_giB>kZCL3j$ikCGFZq)O8*77qbyT8C)YiL%WnsVBH`wL%!dtBWH1;NkKXj zRcoC26Ix-`cq*!0t}I`UG$H*nk83Jl*uEY51bxhWUvH_dlA;poYIV_lLytjlWl*K6 zKTShx^Vn9D&PJQqU6^C@!g2Bs)t|`1B$v_4Kl~))o0_P0fO4QRR~qFc2N&U&ge*0! zi_j-`J@`P`tmI~Q1=MyXLBmi)uE+Nfy&WIu_QEtY^~o{Y4~7Iq80k-g9>DcEgnBy!9$eikd*F!I`#dFW!5wOF57pY`pZwk>BxY+kT)r{|nc5=6z4*hwYz!+0VcTPZJ1F z1Lb zG{JYBPo{~WtmS59pbhI>PQD(`OhRKVVh-py)N?=xL_`AIET-5cMSt(gC8A+ULFj4f zL+AsPaYS7^O%4p4bWH>eT{PYGd3uBzxz*@ z`(EZ(VKwXYG}V0+S~kzrT07|2u^OsvM>%v04w(HN|K}0Tb#>O$-i;92p|h6<`8*(= zk?u5dHR`-?>=MN`u+ZYXa&g?}t*i3st)(Fb_3m?t9p<7i(NN)WKCmdy$=or+_ft!i z&fFE+2HikS4?2HMqJW-TK+d4T4d_rUSAj333(B`z$K1_l$fSdv#$NnJa?2hyJ)8(L+e3K7x_5r z>22q4_M{TO%Pwq*qa=)Eg1?v}iQMa1@wg;!*ZL zi$tY{K5Zgu3nyffi0SX9e3iGj-U@pm!d2>x__@Oenmtjk96?>JPN*RApPcLp+j_#B6`b=t+Jo%CD#Mz50AU#msP|2XLC zx{u`nJJ=?8lm%!@YWiO+kOiyp@K(LG*VBK1} z<%@T~FoGmZzmNtV!I@pbFzTUfvOf79doF3Vw^|!dMk)Q^^c-R0!)JTc3ih;DiR6F$ zwQD>{xuOptQXiEq_}2dW7mCJ7WWM=mgB-D!Iic=icBJ5)yH<=sI3nzxD@t5X1UJxhM*_Adei zvIz!7&);*-7hz+jaA@vIe+de@+G~gsMv4E*M>Bof**$XJ4}hEa>$)!vb({cFc}kx|+@vwk2Ma;X;ljR$!L#LjtK;FM-Ur-$M}G$5gX3t zvSg{P)=Zfj&8^MXZ0d@65CTrgdhRSKKHAR7oA2^kO)~Q=p+-PL09>-6ICZ^V9h&37 znVr00QL)xiv=!$Ae)?x=(J%8~bfo}N$bv+Tbf3YxW zD|$~kDf1hwLMqEN-p;#1mc*^QHFflj3$L>Sm%nu-Y`GKG5soA*M@!!M7laHoX#B|i z1QOvb$@&pjw6!S1M@L2rOjYL+K5t6Ic_nv*m62(S*UBh9WBLU2M4Koa4xK6C<*F)t z6uC})#$iv61tEhxqWPuqg$LJ1cZ?`-1arq{gCp73w1{uwd-KM*_H-TeseBgw$$)D% zQx=qPBp$T#1nieMEceesPk!?pR<#SMEvU2HEivdGr)mR7tkEo2BatCs>YV0RHE%VY z>UKQK7#HcI%|~BY(ks$V!wmc3g^pPkBe5N{$q;`g50}e~G~0I50?fZgzS@IFZ*Ms= z$M<$-QGF!(gF?U^TJXV*Ywp53!3f~CyyU^RH%rp-lb=_wi+2SHW#so*Fzx+cz!853 zNS2UXF#^PEGz;mz&uaTwkJKC>;1&>WZ6+Km5)Q zDphosYE-M9<8%llKo9awzEibklvl_=liR~bII4-c0(yY1ELDeDP&yviiO|*B7qh^^ zY<YMT~=PwJB&n9`mgXi-Srrm;Eib;d@S|&YPn*0h#nT$jEMO!F2i7=*P~4dZcr$ zmw`i@eBfj8IM?Z_Y=?Opi;$>4W$2iks*vLVzMH(5*eA;YRYi-!((;kaV0GMg&$@xp zK2zgBr)FUK-+(jR=S0=64Gr;-bA0 zSzy9^`5K#oHMb^8%mOa(8#+51Yp)d=J_?+LaFl1|>7lx=D!6Rb^)4N>c9(g!o$PV7 z>Q;W(et38I%;NZ!T|Vn3ndZX;U6~*JE7BML!-L@8MY)Fz!F@xGd+aLOGq8sF|f-S=GaWg_pYY% zc*>zx@YX7lk*UvVW#?+ZI6&yisVU_wyV9-GnG-=-^pI?Ke}Nd3c4eBM0^#J`T{OE z+{2zp5G7}Hwe2^MI%-zkaO6;Gvs1Pz_-_iSZ4P9pTg?Df7>c= z(B$er?l&SpDTNGEaj*(3_B~!v@!H`!^(|JQKqORn)xHK{)pfs%(f2!(7BTldkuRxr zI({6%waQJz2$Qr?x**h(}M}c~9JhirAT&n%)KsHlHCrt)4v- zKkvstX?-?9*$Z4gkVi1g^KoH-|EK+9VD!Je_J92RnE53OB*Hg#UD^Og7`#$+dg~h5 zdcw)~eBS%@ptN}Zce67_U3F}5P^$ORk|I0pW)o?wbu~|}Ua?kw91^1r$kH(O*0e96 zTRjdPe)suZWd9Jd&qL&-gA{-Jj~13=ohSC=n(A1}&7`n^9$)?F2tvxkNJ&0SZ$=|A z0&84*XchMGYZP8=p4|_7(*ECAlsUf|3_O~RsZUl#ITmvscWy7x>HBs#J*yR2*yy3{ zU+wgV^Eh724_wN%h@axIx}kb~J6^2jzy@9N8nc9d3i~c>Wp7tBq1MVYK^}pe-^V)m zR$VSbL2zRjndyz6&MNf?qP-`Oc<88j9yFqO?DJ{qJxj~nZP$EX{r~-E4Ye*BS zh%09#%0wLd?wicfiGm=)gM9M?HMn) zVL&?=dqVp(rKjT!f1%eA-DAd1^fo=IKF>jgBOK|lKg9;4s~)HBU7d!qhPSqpkAtoG zuT#^Y76M~Y!*ftkt-M zBW&!s!zfbP?RPFr_%QRV^g+b`;S~Pw=|al-!GG-T8BzD)CT28a&0NR*AYy;86&6E4 z59ll%crcnSf6o8j)%Q95{@wNZ23-8W)xPz8I1lZg69ow7V=RB``6_gjmv>ud_ZM5& zlftM|1Z(%d?n(4d27L46x8R^aj)bn>{%oB3i=2*mc%7Y{zup|GmZ_CPs_ggw{iXk= zO4k@DREV8CF4qxFzt@5iqvQ6mWe}l}y8^6iZXC$WdjY4bsasfHUS9vRg?@lh_Q>V) z%--aQlmW}@htgA3XED;%aN4jb z>m)muduO){bc{#OfH%J=9RWtseex(wTCC?wJG*FSWDaX6GQ&+vi;;T;*{$hp?&c`* zraMw+7xy1a@oWXQIsqu5}Od+_mCh3h|PL>MzdH(la_5v0I?K zRcUeg%)CV9;{Cy)gszm0xLcwX2723A_L7YtK2WUUY*jONDL-fmwY*sW zWivIBZ4~$B^XvM-@qiQs>}Bt9rTPpT1=FC?>e#D64~>iww)rukUQ)#m++^A1p_4XC z^vnhB%wj#&(Z7u!1Wu|65v&c*F~m?^bi4~AL>kb(|5*-aH5rp>QkaOg{!s&b_tpE2 zJQn7-*)fAJBXu}DP2(p`g%n`%8%jOG+eJp-W8m~AMIUhP{f687f zWS*4#j{Hs_6s7mdcp}a(OGYjw62oXQr;i+8)iT%iyDI-6fiX75p&0kCjNP$KMl2$r z^&6bf;W$(G*c$jP^fBn@L)k=jvUkxE-x6H8_Y`M4du{bYWz9+FDxzRad4t%p!LV4J zQolYiuMG)ZBo5q{7yrw%o`l|Xf5K8cemF~D1^!bpd9Vh(ewQdphSo%clmQdh7wgr# z4@s+X{#MCzma_%hh^eZ>tPT8^D)X&t72_TtXoSE%C)RgA^iebCzD&LSu;}oMA=-SeVsBcFKPl}_x3c%arcNY0q^^zqUZi0o1mdyXv*I4fM%a`T1uDX;W!F% z|6PJ?qsQrDvW9+%$>fuk9*CK~Byy9``0N^q z9ZifCmK!{`X@nV+#udDU`4D}X{ruo034^KD0n0e(eg1W%Z2Vkf;PCM)B=>Tsx*1fjBgf)pyi5nX6mQ7q3$5- z%B9?0fYHaXcH@p)Jex%p2hhSb8kCM48wT#wCakroAF#(wSO|oOoXsdwL0DoVJ_|aR zABxo+08eQ6HBMiQ7%_5+>pUS}JyDL&MvjJq0!o604Qj<&vbIm&;{!&8;F4TDBewex zb2i?QWuL8Grbl01-dlQUw0BALiSw ziUmB=!*{QRVM%5B4-FycB){Ii!h7{B@W7!3`>Tmhy8Tk{ZN-yOVH}3&ApziRA6w7C?roT}*dIwtfRPps$n z|MW*#y5DMQb$*o$3i7r+`t$LRo_;cE2i65=$&x>evF(@Ko1_a>dU}d$BOyn66{;w{ zw|d(Hy<9JIKhp+81jNXS?Z=$7j3l#gx!Pq#NZtgsh#glUZf&w@85k1AC{_hG?f(>I z%e@-J1toCSg2}JaDPLp4bjQ6m3*2g=iA;j^R=H~Ut8C&a*>ngJUhMv1`a%5Y`TWU8 zn_0dH+{`a~yha(8yR~;@svl!sJSGDeXK+&KV`5;Rvs;=LF;$I3aP+c4qK+SoP?0CN zeZ?L;074NxApEL8sE`y+m)|h}JDM=JpF*5+*8wOsTD-0@Cc%Wx)#kHVlD>K;Tn9ci z17JE8RG`+N{H-Q0ZAVZL9Qqp1|-mBKr)t$1vFA0LV?UcdOYTSlkaYh=G z-gAq-h+m{a5lS3MWmJkH7AUXLaj#%Q^r<_6ROoNhe#CA`p&^&Kvtl8a*|2^ziSYR} zIIJRsYZ4?V1lTb!fFl10%F$w*v(a1oYz-_+L+u@DMv!F7_Hpb|MRj~PXu9CPxccZc z{k{!L*!ku~PMcwMDXmSIeE;wx6E3*<=wb#5ek+^_)xvzmC@zOp_l4d--PucdYfc>k zoR2MnO_zEigWUC$_9&~$JkKQszdKwuEOv>OS=81AdWS4w~ zbOHYN=|bq3pSj8ARRNOMP`8q+ggRGlHtiQV%U35s57$iI8SnH12D0F8p9FoO4Uc(q zwSoctMlkS5k)? zgw8}x{s%6uk3aI)F5aa1^`b7T5YfK8r1*DMWB&svxy|QTz!T8&b6^IOf?Aayq9c&|oqVVu9qm|DkxMExA;|N@m7ZoBwx$K9@t{0!dp8gv9;0OKsMxdo z8)J-#`FEJt8I~kjNMZsFRn^CI6?=}7;R)-|h^&Jr9%FfZwGu+OFY84}UliP@5fxt| znjl?6kZwHj9|<2t+HXI<^Gs*9QE04_eb!UwpTu{w{8Za-L{Id=xCRF`%|T(4l-dMR zU8o))Q>}v(z|P|as59`t_nS*zS*8t-&b-Z%db^V*&r<$Ffg?;#rX)Zp8ymYcNxUzB zr(lOh)i+n$Bz(LgsCYt3mHPCAr875e20wyez|R#{i~@(UltM2mqn*~^C;FUK6PEfC zXBKBCpd%?D(vk2qtw?hndDH+rQ1)}LoowVw;ArfQFW!icf6k;NitPpw&YI08$s563 zLTv>=<&Vs5OBr$CnJ?M!hNX(h4qlPWK9WGC{9w09NwKcUF0y><`!&*!X-K-AldW-X z&635acX2HGzmy3B>{rRF=O1T$AAd7y((IQ0Ec^PH&qZU}TKYbR74aDKYOixc5Z^T% zmN!~&n-PS)JRc7o2Q!}?+jq`+YKR9gmg&kEo89{GuTpn{t z9?3k{AghhmE~{nXr|oxop4U_2_NvYn2>ox?HNH~(#OQap2hLTs8whHz}M@d%P-N7MyO3w1`=0ls8T zJ+#!RTNZX(c8>YwwkDeq1J)#tK53lxGLF$xFTsZUVt|AAMWG1=O|gH2 zB9@tU3~dyHmG9IwWaj8y=^B9|m}|1BRkU}N^Buwx2cl!=Qf_ySd|N2tclRHWBI*Mq z9@zDZ1A5ru)QHdBtb9PvQpGv>Qf{%<;3QWoD+w_u< z>sd^baLQ69BS4}mDd?ai)z-b=ChwT-gjmG4;4D>fKDcIND`{z}?YI#|cc)`x$T=g* zr9$T!UdosT>&c`fW6BZSf6X=QD36Cch8IM1HX&a(oGReC`7lO+-bCNf6w{3&BHJqC zAoqJdXx4`d5yxQ^ofIT_ve3TG69Qy3T#IPmHO7@uK9M*Ruda&9rNz@=s@lNO&~T&cmV^l5e}j)+qDjE}jtUig>&u;G8)#wkx9q5jE{K zn;Qor{0(ap)u_HDPs70w{Cs(JVO5K`gMoiKisX=?|5a(qCp_K8+TWxqd&T8%Ny zcp5HvGZd*i;>3tgPRW88*U#1n-<-WB3+sGOlw8<#bo)~3V-<6=%|qK4Hubr5)yse$ z&BqM;`D8o>0b$tX*6<9MDPiix<)p`HOS%rF{-^_sf!^SZV~ml|#eFq}MBw`m_dcN( zlPBRF7+-zJ7hrpc;u#TN0VHIx6w z>-C{4WajL>h|jyj3|SooLev}Re{~IEoD3dNt{4(#O+|i zPpIUAr)b5h0lW%0lLxPq1b(+kOmcwGqzIV7LrBK_NoZ!-RMF`0fhDRr(R9k3*y%&fk6i*<;3d5(?acn#RJk$K|S|yKp`9#;L%AsuhG8 z{R!3H>Z(U*m9YrhGYNb6iqS}D_5We)Eu*4rynf+Zq&o+sL1O5Xkdh&kPNloM8$n=( zj-k6jK$HgQ7`l;=5|BKQcRUK#U3B3cV8V*E+M-idt{)7_u#!J? zN-{YAdflG={qc^=t9ZYE`OJVH$fSc)9m+eYV*CZE>oj5NOlSgZK9wTB(X}fsgYf)6 z7@j1gj_{`d+sIVz{d}(6)m6WQ@*gq7?pkjYUvirP9|srL)Ue?NukZD+k#ePXFWXKz z-~cO|v5mn=oqvCH8Nl`acLZ}8Q_snGG$|FPDD8j+=clLp*7YW4Ur39qj!sEEnz z10Q|U8|D6Ml2O<1#^%pn^|U0+?5#-j^euj@OmLqv3NE`876fw4{@W$I?Zapm2L07= z#M3X8YVteCFg1pqHX_gLek=9vojO$s7?+j0beCF^gmYvtZ|plL7uo zz{D%i(|#eHb$-d!G@+_jOlhQ-G1p*M)2^~><^i0-EI8UrxpqOhPeO7Z(uk1dXHZ=e zuDFjD=HwdFDxE^x`;VI%u9ka0QHG4PE#{BQ5DwH$(lWU7BEaAgIZP$scUR0wrSxTI zJn&>pYvktYGLP6fuC=n+jTNz;hXL@Nw0fiW2090&7;FCpdlX;skYjS>KC3@7+NHvW z92zDhd}8X4Eiu(&(ythyiQ=XvU0{WHY0|;_9I`;+ty9MmsU_u9-zD+$W-(!E?B*ow zTY7FqS;|XkUrUfvO6G9^Pc6CtfeAiw4Ota?f+XMoTBc>$;OC+^GnIaGsn9Mi<%$$jgVSHfJsN!g~&{V3RS*$*` zScO3WGn4LO3N9k7zQo2~^2L5BMVU&M63Qdnd+{-bLU<@OfZM4-N0bZ-t9gP=@lvEg z$sqhSJ=e6T=_Q^i4^~-tw~htGx>R zQjY1}X{U#oifNeqtDm%l+V}lj1rOhiwVIcGm$TjPR_+h~^Va`HApUP?@TVpE-H-A6 ztbr}ZFq%h$^{?&cU(PDL+M>u~WGM+B?-fD#8F2V|7)`*HC)r6(Y>-dQ?{82TWgtKt zRVeO$~@xKKm%R^l57X_b;XVU*D&blVISAH!H5VwqE95`E{`+ zUHk8=b$1JoO{g%wulJSkX!owoQWG#aklj#a=Z)qD}SFL6Z^O~(y>g;lqk=#&KCF3 zgL@0~KqxRtWov|x$J!*%{b+=9d|8;9y@g2{7t?wBf7jlZ`l$NeKLnvq7~M^c^GjVHElNL z!{wDCB3>v^uRKLcB+XczgN7aJvZ_Y8LI!h_i88E5i$WS`BV3Y5ni-Xr!yTdvshVFk zrz(&S^QeJ+vQJ%^M2vJxN{>AgNM1f$=^0UL71E)!M(Up)*kOd`>o@z(C~uAP*_bgu zyI*%FZYn>n1B+FH6-zfPLi?C?*UztcSB=@T*%FoTC|aHE?%XKPU?v)=KTvdgg&fq8qgAaHt&um3EU_y%sjufv~kV5W5et{4x7DX1|o@JIQ zq*#8_Himo@G(&;&X@b&G% z3PK&J@B)9_jYsdYsKTK2**8f~WPw2TvyAhLJ0QLc_P*PrYQDcF}QSR4wKFxR28iYpvYZ!YC zi)+Taj!p_c?7kLibG=j=vWQQw?o>3l#5o72rBzXG_*3?a3qLc-*W~tP4}Ogp#4d@z zyuLWlHo|XBr>riGK2KBvsi~4}K(|W3d#?k0!}F@t2`mqC2hlNmB?}V}S5Xfs@U@Cw ze;FI$#~$hYpU@im&B0RTN%1Ac7LnxaG6TT|`s(}{9<>bcQ@8a@m}HPD&-w9A8wnTvKDkvaMstcl67AN!jpqBaO88*B6gH&xRMyx>kDZ-<#7ENmVvo8N<=u5)aUv z$`=>Vv7-xb!2yuWhmMPN2xaXR5r(fz9{R914-XUFMgv=obv3Pz`I8KyXMHESD*0*L zZ!q|3Abgv@XqiX&ay^eQ`9ocJ1oy?pH!{_fwfR*dBX4k&7RJ2C3S6Rf%GV(;HmTob zG^{CR4q(t=rCUaOC?kZ+cX*r{rv}AB#Vj@}P%!c^(7Qw8Q!Yb&m|3TpG--E+`*3tV zMzj+1EZy~9-1VsyK`-pBP+)gPiLWySt#}IsqfoDPTPp;d2PvfRiE5HGqgTlMqP`^*W{CRPqC)0$0ACrzG1q!ab_UO-!9qrk4koRS537XhUU-^ zBmHF{%KL?Pk8Kd}$n}dgqB!ukyly~$$KuROwP)y*$VjsR2QhUIxf0do24W9eUsd<( zotFRe-rpnz`dmRyHy@n}Rvvz>v@e?u;30iQ37YMAI6v#c3;5kYhK2g%uiFdQ1a;oB~r0|+5)^*I31_V#<9Jq9=)&JNb&rfOA0=}gY6`!%5gs)aLzJSNzqADfA?@L&euHBWR8OMiTS4c;-#Q_V&pq2~o;++5GyC#41x%lSO? ziw@IUN1PRP-!K$>jUU873lR$4*{cd5Rs75&OgD^~{V1c)Gs1GomIMMtV7J+GO}jj| z_;O9bVz-q@!6IVUGPMvM4B_Hscdj~^>v(ppBbK-~>}M5uLedseAUI%fMkt*KTF4sg z4*?)Al>`io#mr245|VG={>4RBLL4Nu2)h@}w=k}v#LK1E%tvj4eP4QI;)w;ewoL5} z7I*Jm$(F0h0MQ8YpJ5Ez0u^5-L^7M?)B~gX=pbCHzrjO8XvryX-YU*v&`c=n#p=k< z9eDudrmC{$iCd*f|H9ww$nex4kv za7^MoL@%!Yw49bFnJ7hvCvBlm=EK13RP%I$eN8uhi>hDhpnT_nMy!S6X!-(~ygmQjP)xr}%*&S>CdGwo$(VxCkRK zb2W?m#yi@In&|aT5L7XEG=A{x@ znp-WT$z%VTE2$Pl+!2jQt;&QP3nlTz!Bob#2b0FngDjj5(v0Qu8<|j_WPcudsO z^WcoogV>)!9zEVhimZ3xubJlgVuOnLlNC;z;jQ@Nhmr@TYVXy`)@+-XJxk6iOUJ+G zX5R=p*uSgf|Ftka+oVB}c*7fGvHIdLj7WLRohxciWWE5GZJPKnV)VJ|X~gz6?TA`t zdG;5MJ&%|4%t?(?7`VjQQS9WK&EulK|Dh7|ONj-T(|)N|_dB6c0NuYk;T`4RQlQ+f ztl#g~rG<%NAL&LDAYbr3LC3s2U6NdJWC@CPR|ciG&Q14H4kJ+SbLQUPQsVJjJ~OFY zET&e#Yyp^5^~%MR)`Y;h5|a+nA}A|%olH(-Hxt?zbHmYZNe(o*JfX+NtnjCA4Xx>U zF$*LUpAMbV5=A>$9sJCffJLd0Fb*L-Z^%w2kRA3;BHD8u&TROlpZbfHjh`r20=K*l z;n!Yx%Bf)+sXo5=5Si_7qog6`yW89Piu=n4oz1XB6}BOwA?cQ;^h;jUcG~SJrMUDP zs0&!jwckaGFeV`X(J%{4LFyo4U+wPLOV`Nj_^m8;Dkdwt|8{pY$}>x@78EVhoHx_H zF?o7leaa1@3V@UP3Ydg|3r{m;h~T=0J{wAkWXn&P=&_L`i3lxCQ;2bO-lZiINBS6T zK-q;g)mEK_@K*t0kIy>z_)RhFt{vkS@^EQ5=CzKpJR>k|X_kF29SRbhnaM!M)KMPT zX6GPQGbxlzmVX<)p7k0ftSgvC0GD17=k5f7(7QR2G5bp`QQ(t#h`2vcbOb+&MHxIW zy5HP)NY3~fRt=v66zyCG@{Q4zg6=&{$NO0k?N9jG*K;caMy3e7zv9g~Y)JiaG$r-* z_1m9(>adW;S%UnI+ES_CpMBe|!?Ol>iT|^H{;$7{J&~5X5$z-?d)LmpKZKh-kLeBk zF6POMeD_#{4S{8UiqkL&wTaV(5}7$P0$oOVzz`Xioddf+Ys}`vZIV|&y{dx_6CF!B zWNU5!9dmRwe*6d-2J^7p#)5_Ec)?=7&6=!lxo-g?NB^wmSCDy(ua*gU6ABcgr}T~f zVnGIm&=T$J3GRL&-dqOyGkRgW?uBgC${FE$1FsIRJ-5$Jp`KVMuqGaF0%Jo=AtsEG zCQ$4LB0Nv+T~$-R3dHi*&PPnYpXH_H_ablx~=uG3jv&-FXo2D6(c-; zDGtjkE|*Jnu4~8~xk3c%&r6gE6fjBSs0w=vU)x+?9fKJ+eK-;(vXna%|kNi+3D4SZ8wXNVw#fCw&pZO?vrE=*hTm{gLYz(ORs{H>Lw z1(IZR(j^8Y&$I?3FnXU1*pPrgpQPDIh)~sx)A!%Nf@^TOeN5maL#Fza!AubBU`ZY9 zB3EhgSXW7A=8>YztO*W=qBihzO&9%$MovBNm$jbw!z@~${A$}VtZT)0WGP=YT7ymc zRYk*GH+f4?61wasW1k^pOGwi6?VEWC9IfyuWHRA{cdd|A!|Xrvd{ssE4@3TC(w zMpeP5Y;P*jcKE1^J|4GQ2$pMC9Sx6@y!=<}t!f;jPT~a1eK+vFNo;rXB=FQ$_a^A_ zP;RM|82RFP(0T41XY)naqmN3n=N*Sr;djCNQ?W9Nf5#dAqhVu5zB)o%M?(rr?gYqE zgC^I_DqNa%)V~aee-u4IPbG~L!g@E%Lk#2CKZ{?G{pmk;ww_LSE zL@olZ=jD>e(t#fA_gai1``QmorOFiKiwUm(UtWc>o`AchH`Fk%Er7`Fx0GqP>ruhOau^ z`Z^(g2yNIR(i9O%U0XF#alH1b7@9HWBHVNLtq#SM7-y>|SU+^sEJX_iT9%|*sk6ii zOKiRNR|_tUBH>~fVdr$6HC<%-$yaTno%NfXw&n077&4eN<*bs?+AsNWqC6SgbX!Ei99bd2*MXugIefx%rrRxJxXPTU8m`CpGylXT1_}l4A z22+}E$cms{cRBKyO4?u!3kz6$9EBF=mm-l4d_{p$H#Xq-jh~GT4~WQ$l_AOZ&L=&5 z+eMsXD^X`_BXqSr)0hW)urFgXjr_O6`iN!*&i~c|2wGNZ-82tktIIAqe>`}rzXydL zH)AM;RH-(ib(MvqP4S)t#J|*#Ga&}m)WKK8y5ljihzisYNAxl0!fJzggLFEoWKvRb zdTyuF)(xf0_G+~+n31||>r>iaUu6>?%{MC1V0rip|2rn|^9KF%{qXF>_Z4x^_b@=% zV3b~%__)n%H4--x-|7t5${YckO1(o;FXi4RD;9;Skx*#jc-%2DX8~t--<cs!6UEaoZ^5ZF3*+l^+VPN5hSx`#+QCuGbt;u|~ti&4F zdYTs(r_H#q`hR)8gM#!K&fi5Tst@p>fsP}h<4%9`Jo?n6qQ9IsHl!sRe^#DIvNeTu zsuYg}ibRv5F#I4?n+MM(?9LxpDk9HBT@FjgBv(_lHY7jxG)M7*O-fdjyx|s-d1-x< z5?#l6W@SpzDwDh`(h z813*@#QMXP{z-klDj{#0NsXexrO*>9wXmRF@=FQl*C@`yf_@1#Ao_Wa6Vgr=;B!q{ z93lxAHr|!0ud05u4ZqG>oNi@ec2}3=dxy50hk~Q85oPSzjseD*PAv6y^i%;h0r?1wa-7(qb0{)K3>Z(cvw4cu^_9Q6D}{4 zE^8f!2FMZLrk7SpCu|AgSn9_SLK2B;_!BuiSidHE^J~h{^*7alS+RWz>YUAk5wzUc z6l{yeEJ>|-+;jv)q3+pFCE3ZKAxvP!$}&wpObjJO?FvQZMA21W$@W%SzS=x5K?Na{ zvgfelPqeDAXvBE>@3!M*fe&h`1D_y?SeWbnK3h(NQbc&4XeV#zcp+K>Y@#Dkm^!MZ z`4VIka2Xiq8JeUm*-2Fod^5yHa`kSFuzrh_f5c|QM><&ddrafQW(D^nCX)zgPw@6c z(&yilb3xq@@hRU}kuN9m(w9cg%`tAPdv6RBDrO%9i|NBJw z-+CMx3~6Dy7PKt0o|~aH$rNJGuMG@azpqLpl*;48SyvHeadPbKAkw*(&O;0js-(BC zv^k@S6gSKzRr_4r<(&(=yby;dr!qyjX!k9*%OnJZ1zLy-(rbp+vnsIB=fy#S_u^bk zltk31R&U(3kjHzix$)zWqcGOfEYr|UBYBvkdCng3RlC}1Y_Vj5goX19Vs+cRTg~Pt z1{^mjh@OWE!;g%<{a{zjtPcGCNZ-*H6YcQvrqzFd0}K^D!?;QK3jjhu^Q-1FKUB9(IoURuwLYV7hIQ%oXNdbr`|TfQl$j^2saMA5 zfurj4bbv@(n5DNl9OVzR49IaBhhM2JbZ-r0#=HJWgJF6ZM7b#B={S)cgW0pn-NdmpFevLSxbWrx7cE~AR;7xm$-3_ypR)2&yIMi z6JD~`r70^!Pmb#fK^RK|oC^U-vl$7_KjJ&OTp!#**jn}3R*&E zXa4+sU(=4C-%+5yx@t;VpZvf=Ri!VDB3L2)&BTrU1DO}6Zj5RwNbM*rX>{`xN$Rb7 zS{zf|(P`!g!}R(s*a0dqqd;UHbr3~{Yn6#QCbz!nQe7o%AVkO2GK_I>5}VrkVcckZ zBl~-(lUHARbi@T^gw6W|`)?-et@JWBV=%smnV#b@)$e;*ny(GL)3$BQ2BTRtUwY=+ z|4_CkdmTt+v)rT3V15=rf5d4z9ufW`Ov)waHxHJ)U$!#&g2Vgv5b4AvdHsIC50tm= zwJg_v^q-!@c075!&fifd?M9iBY7^ii?5ooHS}x4s=d+AogOZ%UJZwM@ec!VTK;J4C^8+ds{FmpKlTHmwl$Mk;ZfFBN9>G3p>%NriyOLdl)f4!PRi70 zwp1xOn7C$C?NsGjMNVY~`nQEPKibhTmrRv5VJGQvKJJnm+u=Fpi5Gx%$WUyy&Jm|Z zLSeWOx1S-bAz>H(%~$o$yL)yTN&koKUeLoDnLMprzt&lru1Bn;!PG* zyCTfr*Pakz!uq_fu1ZG@!<6+^og0-?vkiHNl;_gnTe7S~qdlduNdCf}$zco`=>eaR zJk^S1FbT1*932fQRQ|0wj4_3D;T)baI_}Xzd*LO>)qRk7d)QNC%XU_yo`|<6LLZ49 zqR;)}d)U6e1fox%ofMMcjC(}N#iV$OVq;<&jKD_&?yaEfs0yv2hzw&Sf?1{m8D9ym zv%7Ds`_{_DSVrvu2P`p!tBT+#q5k^ig6@TeWuLmT_VMW$YA0zxduaPDq09eDwTgNn ze_uX{sXK3aeNp!`#th4St^08$ry*!a(pUoD`@+LWW{FMDZz1^_&FIzZz^&(g3#hDr zmF)!4Bjw&7SnYP9(I8Xe3r!>Ec&}kJ?^m?ozIcjF{Xd}*!0Hwq)?4)9TP}QJvm}R5 zZuO>Ef#*M@sRuxs>Nmd9;?mD!D=&W*kr#mrfH3M1tWDh*Tj1amMtME(6nY^npp&;v zgaT70Bs<+K`k+2$4rAi0cZr4uPLdblyEl%P8&QH?ynIRs6i zDbax@%bp|NK`a7uM;{-9{IfWUOGr0ML5sf+%btkSFAxR+#|nS7@jz`MarK)ILWQC( z1zFX3cWHNAM=Ri;t%U~@r=Fi*2p0=`9*K5fWSx4t+Z7E&6Y!<)Y;b zXa928i^?oxOF&3|rJ(KrFU7koxr~d|xt^F~zgEsJC@fK#TB|mz^S=|~pb}x~XW}Bc zlylCDjAm3V;V9u*GSw2f0#INU6Sz*A&# z+MyO+r2s6azHJqhnI?K0X3`Ag{2-inLz+D0l>^;pPqhhH=xA!A;TEQ>V15^4V&W7x zbqE2{)&`7|!;EeW9sP?UoUHHV!4LBg9h>}06&Tbq{<|v^v!YgXKJ^TXtfWb^cy-;u z8N8M65%Z*vVbiI-t$_7(Hc}d9!>B9OMaUUz`5PsZlRm?D}?`Q8V23+*w~?s{F;5 zsUZ}M741Mxvr4)l%xiwKGZ|?iCH0+RnEem0UaHLdr4gW!+bNLM6KyiW^gk^TG#Wn;tz)6)(+Z+EdrbV{Bo{ofGEGY@B{a3DoFx=W&8_Jo!VFgPX7&W zNN1I5ySDTA%rhc=NRw66Hm3rHjOyHeL>7E~)tL5lky6=z1cHD8Fdq-HxVX>C`#*XF z0+O0mxLLr14#1-zM_KuZ!T~w2@zI}g@iO`wLY(#|DdHOMzU)#Z`EL!LIR|S zv(F@eB&M0TG)?HX>-Tx^>{SXIJo4uG%=|xba^wCc3Sp%qMyB!h$X*As(^r|)}I^d{Fxr1shlPp zN8e6MQ5O^2@^rqqD#wDfy-#-g4eRGRw@fT1@W?RcaL`I)9`|aKwW}lnHYx#KKl^j@ zYvbaNJYl-ei)Iaeys506hM#5@!S_?FBDPyGFg4WZYSkt46NyfcgygZ5z-fs@k=MB~ z=QY3FCv&3EQL+{%t9JwXBoFT+mX1^h*BlnsHMIbmSBd-%ZQ?hr`3%|-7BJkc|wMx z&UQ05}hs_FWf9A(}St$k>JqHfq)csYCbndI4+=L(UeseFMO9^Zh z$fQ61Gt^%}BkoCfY3+8;>frEs>U-ad1>EBgjIJhK12meTh`00YY(W-KayLLOJj{WwKiC1cvw6a7UVnF#(y(?P3Do@-1OGv}Tktd^) zOCHm#sj3K_jr@h3l}vyPRs}?`H?({@<84=6EnLO z7(-nWgr)n&Rw)_3CaH=X3LO!uQuaAd>;3EX0q!rJQ`RhE#eDWZxa!}SwT1{1m zGNRO3h*B+(JFn|iFN_fH^&Sq+5kb^8DAhW^MHtEmD2hQQbWs={=c3j_aJTp4&;axgrqv>FD$S1(}=0w-O`re_^raUM{%f-r0VHu@O`884p&E6N# zTe}xNhf12hD^W&@B}W~($`X&))A!{sl{tUruQ2F?VOBL$6~x$3X$i6-t_!JQe#`aT;VD$c+Xw9e`Q4;A3p;S z6F^OOIjhrDdxJqySrhNwW$vsH5FLCV7J&;t2_|Ud=A(3HdF@Ux2s(B@&Nc`a$>-syq5rg1V^J(jLC!mD<^XCD8k*d$$J!$gre6g~cVuB-gr= z7a^W3Ek8#pN997nlQ!ol{cO+>pTIRxA%BIJ+t401hc~_SBGvw~;X@3mz~0o)R;Y_y z1)iLtzO^ePU4eC0QBiqd)|^NOcM@TXPl_63Qm+g=nRGP`Huft{R>~$UWC)Xp+*jBH4wAJC^k%xoeF1negauW;G@;mz zat-l>$pTI)-;h1R*|HJ(*UUWU<0l8>FT|Dpy#qPl68)425q^xLE>Z&&;9HV@idC&uVwn5Ve^6Z=X>^L#);)0MTRX@QeJ+UIaecA)`0xT`uyAL-T8i+ zRI`-$>NNY#ni^Ubv+YQ4^|?0nvhb`Ch5iHWR;K8#-n}56N~zxnXX=#hG*#z>&)lhw z9@nl+gzQPw%_oMgX*Pl@7j^B8E9n20ekW8zbPZ%PN5QKOq>th1HSaZEWX z%L=9RFBSR+Ds3_E);44gTX`tk&t5i_9@O2O+z&;8D!;a~eD;4lHA}a#m$|f(y)cwd z6ZSl)C=aaQGE}yPsQE1G7!EBb;(L8*Qael`hVyx{mWa`Sb^u@Xj86~LExtMA6Dz@7nc&H zHf231l%8|LEd}JcKu^6M$Dx2OQ~r)MA`kxM2Rq$#BfU%dc*uEbua!S1l4K%w%G)s} z8@d!XA~IQFZ7}m}&9z2Q+6=)SJDfPwC^$hLHW2!yQc#w6x}OdEw~SStubP)QnG4Fm1EW z7FZdH=}f|fl`3kZtC;)Q85ua4A&?ja$~aUtX>D>;mi#yS!5Ct1en~4@|D*V-RIi+t zSyO;*X@Iq6Op2-nV7C2X!kYMOkfGL?8Nc3 zSQt92+GXnMmfwtJ7@_Q({3iKtuqYDx)*vxDx^vO}jLNlVmg*?`JqZoD!Xm?iwUOvlrw5+#h86a%pROS=T|6cBZ-U>;no%@gJZ*1>Da6u$0tp@6UV8`c*nb6VZAr`chRO3 z|5|87=w|_s&)rvz9tSu!-8M2GSvdSJRhB0OzLBB-z(mS?yg=I-a(aLEj-O;v=z1)- z;HJENHKiebhH5ANY^+R<`SOBz678k2aAQPAFjNG$khG({%mSC z)=m-ds+FTjxYE*n4&Yvl@aK+1i}=LE04R6lDqA{f{KP6t!@1GXb=oc8{RFer*%@l5 z#e-r~d5-gDENUcEhIYdYhNUs`jqG)ONA}G_n7BsR=NgrDOp1^?yfZNOBVnEmeH;n+ zANkzjF_sw@S-rT4S(|t zV_avg({d^XYpa?mSE|#SB6Q)T-@ybnCJKx&|51n;=SFqi%ZOHPc4sp^6E~~1SIavV zfTy1mY@@6=aQz}zA(cNx4``Wi?4hmrQwwQWgU>s){00%j6d=2rn5^CQ_|yX5c#n1w^gxqaZr)nDURQ|$O3%vwr`y+jo+yHu4*y1i z5MjOS2zg0O_`>dhmQ7PjDnkMj^Sw_ZO6X0rB>Q$x&^7KB>JwHX7OLfUR)36a+$xGRQ;wBO7@%5!-)5OfsGV51=V4qrd^&KNy!Y_vyG_5W zh?bq+cP{`WjWBlZ`fb5>rv&I><31+=p?u>yuCB04@L}VvV??S-PLlWL#$Ytp;0@0B zV8CrcofI^0?a?;fBDC;4Y+gGWC1ogGfEiE+4F6vQCFE12beC&IlG7_;7McU1N zO&*z6g_z`w_ed^Pw+td3ZqbAc(C{b|#iz<)%UG8Rtbm!5+(^*3HlhB97Qu$L);>BJ z9~(@$*s)K#X+5TzeS*|1?~U=>Y%*KJVoMgd*BG2frHc;I6<`w2Cyh7&@F_-teC zqhx}+(?5hRd^u|3MG;g-jyLN_$cOtC5Xv#Xz^*|^_rO@WfCkG50Ml>fSzi=vQ8F^I zeEteH(J`?LD(&ibBXk4TX2tD))+{52Btc?>Db=AN&j}vqKWdIC$qF%XYr5oxV@joe z{>e>3P@~FCLW1j&NI7Kl*{4aR0fP!R%ypyyQl2`6`c!P&ECqBTQbbu4(rRPnviD<+ zaFmN(%`QOF9Oi}Hm zr|P7tThMu`y5T!G@I;DA##Fq#Mb&V7A%pdY+xx7!Lx%a)A_1m1{}g_9iWKGHOQGzr zaqU3&VNN%WAK@7{{_e@Vnb}z!?(}ix+h9SN%%#U`$--Q&Wl7>b0|qh+Nje1#B>+(I z?Daw*X+(si!cd@CuVZ_vywAYdK0lwl^t@oF>e%OeNxjDNm=Hl|6);5yAiAB7_#g6| z1;GWe@1=}OWSl!@i>dWIZ^I-~k)bmDO3L4N0qHBO#Z+mki%w3~K;aJycnb-K@hLkA3fcH#gjw zS^?phq*SvV&Ky|`GOo2qQ(o~&Rd3J@-5;YCI6Oa<MEe9 zAy05n*;y3VO#;@Hq}{NF>#FJ?rwZg;>>N>_t8~|K0?DOYb!ovPPO=xH{r^xs`Bza? zkQmd%<5gh6j905eoE}TX!_Iz3%+|`;^KrRFYe2Uc+_`nTEU6W6KYLlQN3}0{J>ggO zkX*UUL&N)57{Akz0I>WTO8!Zj^(uck{S*l;veVA+l>BDrwS;fpP4w7bNdv&6*!k-X zZL{#4r0P$Q@a6URR4;RGkyWlYeV+X!{SjkEr#FKcZ$=XJ)1gRdN98lUH>M4 zOR`YbwtQHhu7x*~DT2vlLJTPf9E7bPBl}R;GZteYt~CGiyK?FQ^JkZCrpi)=)#wkb)$0<$j}^P1mZBh zjuy{DJc%PLJWVO_%sm~ zg%qog3==kKzDyGVMdV{{H^v_0nZUoz_b)Mo6`iLo36cdur-d-Dz4+Chw@mP?1fucn1>$c4jx23mbH;NF7kK?kYh- zoWhor#CG?O0(<{Ci1D0>v7Sk-Eg#q??Z-4GvzSdy0MY>b`9-Ow(;G$qkpig}ypzvK zFvBe7RWTx1h-g@_x$~zy?u}tgOd@)oV?9+KAj*V6ZOZ|l5%paIPmDv1EI(zert$#O zaTh!^K|t|#WRxLd0DT(-vT+Phi`83gT((yK@{J*uVic4-l>GDSbXden#Kj%41AXP~ zX3SsOigPEr{&m;v)E4vOjqt9={(;NO2cU$6Z75=bI}Pz0&sI7T7Pe^1z!U)gKVs7{LI->5g8XyR3RGx^l`LaNB^w=Er+0S~~1v{&cPvj3`-{39k_ zjQ`+O8yzaO);WgbLKrRU4rJ6239r_&v-sb+#1lJy{-X9@G=UjAHTH#i)zjxa^-L|8 z;P^vx-CZvL&y zBaAmP`kf`?oWaaBwNn(GPt-imEt%gp=*$h0Z4*$dl#;`C zkYC7@7E2-e1Xo?>0Ic28_puw>jnhX2F*lmzxZ$BsV-o3-gN?cR`~^3tiwoW)6X@PB zNSeS2WqtCOG)8H9lhiwwR62g1XNK%FD)XdVv7mp|#l>WglW|3~Msu45DWbsCYgeQP zmEb+otVbweO|52befH;*GrWw-KL`5d>XkLWXsCbb)rLaZS&4m2q7q~^pfGkm2Jx-N zWlc3Y1x&WLtZ!|}PsI{A!vb2Ajs_S~PYzuuOt}~ouQD9cq}pj;5Yy#To^EBYYrZQD zh9r}GYMfBK%jz4{<$8SbK@Q`!)R){x?hnP#tg%g@FU!_yZjOcw(3tO8&}$fDgmBxS z&8YDFU6l4r6gk$x_Ut)A*7DyJl~3@6I+1g(`$)g#iFrH9s?xtawF`tKC!38c-CC0% zd&YO}EHL`s)En{L(+th`UYxvryS?vz^Yy_NPw&;tU$GQ8B>Q`6m^lU$t=kmt3m^{9I zH8VbfG^q~`pvkbltJHv@z<|+HoBiCXbUT=e;y|36z?hhXmq7uq|NAVDWWF#{>(0c` zRSn9yjEa=_3ic$TRr(uplx}h?3$>qcehh~q6e%RE8PZz#e1l4(bR&yHWb_Mte4baI zvk%wL;o+yJ2@-=&F}^0b3d3KU62Zv>MUG^EA1stQuFjlIONUZCrU*=FCY{$oet{6KwDb~WY0pESH`2eB1C_js# ztWBmx+5lEarO21of06N&tF3K*(|L7G+uePa%VV*)Y#!#S9d5OS&s7!0G-IBfKZNmC zaUc^EUw__`gzcMjCJbG_KvfH4gzDK)BEuL?AXrqaIKI-|;@|RAiY0TcfwpN4CKSdk z{`N*T^X(HR`U>;>oH53hBV}=Lfmk*LYbGTrs81MwOGBSQR=5}gtNT7GYG5z{(Zl6T zRLRUgY6Nt$3m{*9mp1gEsY$kw#=tsa-&gnfvUi`%U7UH7Rjmmk z2gJQXfd>}N=NU%jPdM_rSV{bV$m_M7nX;+v7=fHP4m&%0bnf-s^IIlwBSrDB68U#6F5;QZ&~LzAd4p_@Pre)pVbma9pRm&M1lLdCcSco z0?4dmsF=y*?`V_;_;diHnf4A)Lx!D%J*6L?7OJgY*t~rC>E9D#g6= zTj(u(JP@&dh>A43-C+8OkBecIR zy-U{y*ggbcDi&Ua^;1X9>hSj=c*gX~-pxpt3qT@@t1hkDA?*$5y5?cR z*?_EOP+M*Wig);s`?rG$-RXNq&glv0ziuyjY^!|~$~S`1%TRa+x&Qu%0z>ft1z!}1 z=F)KqpA~fN;XJubGW`*VqUyAqscY$p@o4^V@mFRC zPeVNT>y9u5-c&9>wPx%J$3x4`<@2p;%vQRarS@a&n7I|VzpDY3f5QM?*zSOO5kDXj za}m0`5)L*8hox3#wisgDW;_+kUn1hK91-D(>mP&mfFk?^r^1;XUBV7CCVh7G_OH6` z?`BUYffh3_{=WOXl1)egpBT>)$xAGg0HdkxRoVdcHmu4??bafclajGdC`4BAc^=HmckLHqfD> z_*I!g-5G7XFO3VXO`KfRwC$Q*JHXvPT$$eiTuWcoC&goix$>|P>%)lfY)YXW(Ayz? zJMT=l(I{X(e(+B+8l{Y3ZM#RTb0d;?(H4z-1%xR0I=VRN7uR^9hSqy$vPhdic!x!p`rHl@14!LRVFL$jVS%G0=RrckqJ{P)@4B(I#??oDz%lfL6d zrE0=uD7#(vzpQ)WF$KSu`om)Ilo%^jAnUZ``U#PWc%3~V2}77yaS?%vH~5AAN537l z-8n%o;i=vE(1GXiR^Px(j(x!Gr7WO~!;5Mpl zc;g%qBY5~xM0gG7OY7I>ZhYvN_^DF=af?l|iGDbHUXm#)|4Kdkn9Mo`M_wD)o z8_LfZjP#t9Ns!}b9FcL zXRt|3uf_$w_0(XOc^+NAgBK zr$fb{YE3op*{69BJD-)eAwT11qR$H%NzOa=J(dQoiU@W_iIaV!+&ft*3zz+}QQLwK z-rWz1*c*p(MXkv3gJfd4R5z1+O0>srb7qto@V0TrqkyW;cIV8TNFmHGn4~A6#-|eM zWM4e!hIqfEM1JUqMim=XS&C9aWakC3V_l4k*F$act`R3W6q)3Qs449@nVEdDqpGlL z!1p(Morm7S5~WM3*l;lxr;yLjyvfg;&;J=LZY9GIu0uKTTO+5QIj6pxJoUXhLGq^` zRoV%{$tD!GOq>hx`EpTp45eza)iX7Z8*?D)^H7O^i{ z2S7o@@=iL|I^|#xqX&n)GIMc>FEwuTI1!DZ{m19=zj|LAGV8M?&I5X0f-i|5?TuPg zIN26kJXF^&-F<$)$R^PNq^21sB3t!XA%wmX#GIFZy zc-i7stgV9JsL+qij4md@T|KEo5oh+!^6P0omzGL-4ihFchx@!KCvrrph_s|?exAu+ zbMZu6Y_o_9kg2tI?KwI{C5?j1CJ6f9@@r>*Y9@+V@n+>hNB9V-|JqpG4i4s2%2_;o z$_@uAgOm`MI}`yc)37kpgZz1Ou(&ueZkuKtv}z~5nXq;(2|73!|Rko5m+PaBPpBGFbds zHOo{(zCcp!R-SK-Sc67vL^WBsKA65=OUop#_pLZ(d2+LQWEZN$iV5ppUIJpXcYKS4 zy;>+@p6c!5!hhJ917)M%!mmH#L*{^cAZCHrYs50}KA<~oQ6XgH{A}PN;QJ{5*KK*| zeAERkroOokeskRDQ6ggecQGGp-e|Tps?Qfv_q$->P4Z1kB?)W%F@HzTg>weZp4s~; zLU4Y6=DiCiBF5l@+he_=4r9Dh?uIgbk$+tzm#Y~`XrW;qsW2p>MCnQxEA047qoN!< zY4H3V7o;PhW12B}PZcrwX@A#wS*mT_Qm{T#&(drSUj-=wn@<;B1J^r5@=mu5NT>Qi zOl*^%Yv(%NXoD<2aenr;Gc4WRY7=R&P@D%i?{2!Khrj*$PR z-nrj)1{pLn^zy6!OY&f_tOD#wh^}C&w&ks9^xdq~6PduZgVPe3zYMGNssfh%(^=#0 z8P8KM6_P@hQc{G#9J>3bqKWlU-cvufl2TOo*&U<6JE7K84 z*XjGCt7ZQNr{qv+Y!nnW-Xt0-%r2H_eISH@jU_GUCu%!H7*1b@CI534P0VayHXenv zi!m>HgE7bj%-NeX`jNOlqISR8v(_`U@|Wbqi=vgQIWlQg6GJSLcNb)T(>3vnFKxacY(5)T)~tBj;a>01F{5J(Ns_fiHcJ>F1Zv5}QBn}JvAK7)UPW;BuDzpwPIOI< zAqskDk-e=pO;-JLR?Wd{1I2sa&+y@oiT=`t$Orvo_{V!Iy1V)ajuhjlY6o%hQ8)1v zs0*0eR;yaQOZ|&lx6YinYnYCDCM=nVHP2{iF)!To$w%}yeP*=id^-|ZmizWTw1nt1 zb8(w(aA#UUDh7XwC9885 z*cogXwTgZQRMUeSB+rnr{&*gl(xgp6p-rxKD%0Xl>I_~mb+oC8m4)I_54fP5(T>G+Lu zI7B`ovka7%Y!sLI&wBu@OiDIdN;YiqNfSZLVSiTRHA;YjApgS?vneDnGQgoRwwN5W z0YMEdTJOFW`#~jFk3@2Jg`~JAJj8MswQoL8BUN;SBBPS}o12a3V zW=I(Kjv*CI-(H0~@Emkz{lK$8JLgjbUH+=LBj2k$1|UC@-zNU-SV8jf_j_IGlel$h z1F~+eRCDbC7#73xu7C(8SEKp$>yW{etG8xUmDRA@UWoYxQpvcK`qyni69kE$PodDa zK26X`4FO$}Ym?^%v`9h;swaz8CcdbQHr^JpAV}Jm z23+q17Al5U60xP2&mQ7i`eCR4PzL`g5YY4T$LrQ8k3YwOo}dqJr95KP5Fv8H#11k{ zacw2foiD|n_;J6sx^aXrpIQq3IWx_>Y`s?YY%E8TPGy`pcey z&pp)Mea4ug25ltBfWrXYc0~gn%L;g`(Qz`@%qTkK=7Fa*W|3We487#XbcQ5WSBQnH;N~tKrQz?)l}^{I?e%oD{Ty4XPthhIe$dh(MozM3G3e4pYVB zUPLA5oTdx$Rz7Vi{M_yTX}kIGPU%EJ#Gf>%l!U&ce1wqt0}nO`^JUMYhDQwe5!()bktobz{hKo*e#fR!jcM!_JaH;Er2`~^#;54+Vw%;lp&aq*C6X*&$I!Z zR67^9=WbhLuh3b=AN!byTU>7%dsK^=0VTmgrgj?8QBVOYgmO>CU0 z48aZDQE*rJ%((qNX_=l~Lg2!WQgN%D2y={X>lbvul)%{+5o(`D>h zy$mOEtpZQ~#+g?Z7YUKF6cD=?IcM>SvS@FJe${)NYDj9B@?$7Rh;QxvP=oH+ zwCC*lBBsCR9D`uxANL-{Or@DoH}ygrJP{`G^z z%sBYLjC=Qbr#8cHO-gn(e^wae>iZ_!l#rwg=~ivzSE^0!jW*3O{LY_(=NaIy7W$bS zx<1Dr{D{SmCOjc;CT&uCjYeN}?I0HWX3pm19RcX?lHi+@gJ5x4ipQoH;=MoKJ8}E? zHw?9J1#@+Lp$3O|FBdpaNCX#X4D=U953@+#dFm8R?LzVm1_!TRt(pY0F#b!sTJ<2R zdzfhr>RAX`DA|gk9%*G4zZRo|D^aWu+vR5J?%g1dviD(r>P}C0RF(fF`=Lpr!rz`cT>ajn+{*D&19>zQ5veHy z@Xl)IT^^26-YR>($Iw*=yCpzon8ete*9pTv`*Xx`^D^m(%y?bXt{jclZN>um6(=fA za#5Ve%D_l)Fu1f831fNS)^RuOrYUBonnQu&`Jk=225NjZ{TfelalHw? zd!M4D}huSz$w>5Ih|P}QJ{jmo0;q+OWfr~v$XA@e41&(7Jbae>oB-w*x1#MfB5e2 z$91Z*WuzA{Ui!!yu8d!U8f#%C`wd(>y#$a#6LUcT)(7wY=lKyR+`lXbC#An=+p1+n zi+MZXbFVp?&Tb>23V4cUOb&(so>u%y*JXOTx~5qLjva_DJtObLfBxs`s%)>d*sQZI7&xq0;uXlBKb4pTRDSoTrU%P%=f~nQB)Kn-n1ea`dzEo$ zQ`$pCLiyjz(xycRb&Njo@!GA+*;Wcl@*nUfa$g(qY?=?IqV;B*!Y+>Hyba4N3NwoRLw_QM`a-#y*%etQemLytBwVp0la;{9DzWOfX z2_OL$?NJ`D|Hw966}Nt<3}2dhq`RAX%6b>uvR3*PHJRyO%Kk58RSSB0SdJuOwX?Ow z45kmZjF-+GgE`hJR~sDa8S!uv{?ConS&s-y8XgjSF4BBcq&|k|rlXs;+xb>;<+X^Q zWW;{#93w^)k4?p7x~dDNl?#klVQ?`&Vwmh%8{tJ67Ft8bGubd?P;`vx>!7WRCEB+$~e zV=(6(b#F&xzhB|XiF&7m)NbTI=DsrQJy^<|>It~mu{@#w;-l6rx-OVnntJiRRO;6< zfK)R9OF=m6J1R5Fq!l=EOwj~ePxy;s|1cmhmiNQn>Ta%+9~0s;;^K31+PlligRlys zP*9r9?zvel1%AqYtp}<-8w$0IYqLN>0`jpRMWKDuYFR5x+20)g{C;w&US{c#YksMP zo0-Y|4Knf^R4XC&35MDk8coa&DNOv0^gt3<7ev%Bd&mn%{xBCR+5?~gY5$0$f+1Oi z(ew!EK{3vK>efT#VdW8|Huu)fUyXJ@if6j}V-Rk|ckmXK8xK0RzSlXA1CRFmyVsD%&>Hw`d>ki7K5-I>tr3*`x zzrSG&+y3z!nX9_Y?PtKbixoK>7Fwg)s~Acqsz@GrI&VrrPX4Sb#C}jFL{cQ?q@A4X z;ym>A1>!;Hd5qqRdy9kgK=>cxQFxBb(C|e|dlzhgmjDI3G7_pP2b@b0$v%fjI=tMO z21*9#pXKPa*AlTjI7oy}u>)0OIaOoBJXEZ2YkWT1yw4EW{@|X-J|y_2_~m!)cbfbj z-$C@2L4I5YK#<-nX&X2ki-xu;5MA0CJZBRJv(9Z>{VgbB`Y>v5mMay(yV}nbZ4TD| zW};SPux^SZa6pEP2vy#zs<}lfam<8OegU1l1JBDepT4BxSL_$pjPwNl(S7?E9@$&AY8Ab52L4z%`#TnRR+e3E2!hX2=tt#$@H%U7fh6I#h}u z?Rh(`Q{PvM+{pSGWtjLNdkgsX4>8q#L1VEZg2scnCz4n?;dhYS_>}dZMj8a(`-fP99Z{MW-OoLo z9~1WlD;w4O{)Q(o>%b+RYm-?Mek-MA4z(YZ^&lx6EB8X}(lVz2e#hA?8n%-RWJkRA zAqD^R&*3#VAR2tOxn_k)#OhP6{A;I-!dm&{KZ4Bb$js}K%uF2HMW4}?<*b#J*rSPc ztWMo!fD|+rE?Pk1J=E0bTqH7^b*?Zr8qh_{FhrV$wf=Cql}{xtgR^@U?&%Xs7ZwbW z!#P*95KjrMJwGLmEiRX!eBV9no;O-6rnD15n;Ly9Vg!18rwBjffWa)GW2oO8&-rXX z@L@Toyis1+A|@0d#U(Z`gOlzL-jbJgI`1_NW6p4y)h~OQUQrhFRzbJ;)mgwt zg@0>It1y7kpPr}C9>d3wqd(sddRqfT{|k>^e$T{bl|k`j44$)-CTqFE-k7Nj-z{*l zfDZo|#Habj0_Xbodb)N&X+5QCm07uRSn;4UW#GmIY!G?fFRZ8`%Jcq$4yhOapP8Kh zJv=TZqLHI2QvfXmex=w-UGW72tP#hgHJn^bpb)7kFa>wjQO7Fm{Y>lst!Umnm-nu@ zRNB0mdp}}*s%_m9T8FcD<>QTdza!58s(boN2!~%;?k66PHxNTg;KrK6VwxvpZ zxMl5SMqoAJzxl*NLucKabl60ur{_b1i4MfRk2KX-4ze%4XPrZ*)J=GGbTNM3XXe#i zD)06+1PQ9V&ms0|Q9(?dfMT)Cf4K?ukj!+%WM=D_1TtV)U$dwey*FrWBy4&rZoOSC zL+khTmxB_2TAb7%4&t2Hv*rXfma`>BW>o0aQk!MJYSK*88m`;kgSY7UPOjS>;yYq$ ztn$9%EU|YSpGUmJzk&u zGdM#Sg|yuB_v#k@yi;fS8&SDHLyJ4(+Aq`bb-QriNXj zRyNvA7eir>DACCijhCVnOl1RsQ|AOnA}m4TXz^2M@NZhH0GtpcJwemOb?~ocgm>B@Be1r7Gjj^Hx^gZBbce+aSUZX$IZd`|Lz6^$ z?}tL?ep&U!u@*=C5976GQoUHX>;mPyW*^VyPbFkA95R0AH*pbNY@#y-Nt#>$Cil!D zMq>!D#>~Ot*jnjt-U$>>=VcmxDYb{p>lf(TezwVSSJIu1n17!nU{Dd4JVL6|}@7#Xv z^I+VNp}o8{FJ~cNS zX|3F^K<>-@XPQMNhNI9NMT6U&9tn*f^G%y}*N^@nyZY<|dP_~2oNp{ikqrOuy81t- zNaq8h*`=lYL{{~2H&KZzefpsF#5SS8bD;@DrJ6i=PpnUD)@l9^Fq2xjDBu~$kh^{cmnbBFSXBu9Re5bZwymp;vssV-712t%2eie7QQXzOu}jD2U< ziRpu6@A{P@4%MB<6_Hh=qnoR`4h(FIenVQ<OUSPYB1qpUic(usqSc_!jVYx<5KD~!%p|ktruvX>ABW_%uhUizal=$HSlz4XH zlzn-F!H5&Lb-t5$bJ6SOIK$08HhtFMt6#Ggl0-(wO<3or55XAPJ^kc%+hRbe(07cj zR7lP^6ThIo6&WDeiA}?|NW^v#8HEfbP)U;s;ZxgA6rfQAQj6wp(Zbb2e@D&*T<8ry z=)C8?)?#r9_jmljQVN1gsLaZ?*^4rmjB6{K6t=2P>W0Q)hzZz6m)FYom%mq5AKZ;g z5MV}3j$c%(FJ9-tf>VA*r#=Y7-c2EO*ga^Mtf1QL@WL;h&&yk&V#Z{tkn10Fu4Tpi&WFEzG6*7|tqfJxs2?iWV+V97uz-po_qS^bNdU$LXGZac7B!+BL zmW4Y>%a3<7JLC+Vp+KAu3pAA4eWR?ag8H6F31zW~yeDz4>?qJv&ukK9b(-~JIW|An`K%x!@!M_f+c*#3wk zNSj)@adbn_u3oQ-wVsRZ>IQ7;#u5ErJ8Cyt%A>@SOs#TmKz2`v3@H1li*@JBbp)US z+N>M_Qm3dD2xO>r3$I=pG?xk^?rAY3q%}WFG|_b8jHN_o8I)lBvqx@@r8R-e=ZEa1|sphK5M+<#Q*QjF|Vczbf z#(<5Uiy~>0=PY5O;vA{sJe;;$(`P%FI=eE4mK`)HU&roqWV*s*oiJWT%XB9QDpO$x z<(N8AoYbvcrl7r6CSL2lx38UQnMNHL684##wssN4%c?p#-Pt3JH!& zz3x47VYfxzSUi5K!fha$^ zVGmX@39XUlfN-HsBu-P(As)&6PmyRy&F*YP;{3*_X)g>`ve2@Y#%M?0JRNC+qiu6( zL)6InK<-sM(aq`d4N1=1J)QW2iEk!wR{~4dQ z1tVfOxJ>18-!Gva-oHE6E@HhH#gvS{U;0(Ngpr94pcV+$7tO~uy(CR9z?AqswN&Q) zE^GLqC(z4X{Zl2wzfwHZgJ=Oa;elxZTebmPdM0ArlmNfKXURSO=J~_;@xQs&f9u4- zjd9Yyut=W120FcuB4?vUOq;Vy$!AdYfCO%dYieWfBaw!Ohw}Y~YzflSIWj~F$S{H$`^b2Z6pACX@OkioIY!z^=st|Y>1+gCfip2#JV z*qhaX!VJX}o@AiO`4II^!I(LLdKHPrzOa*h7i{SM+@KezIi}+>1y8ky)sZ#TdNeEB zo+nhDhh33Hd910UI{a~A0jwZvc|T z+GBp4Is7mgWw~yeM=dk% z?7=orFbfetDf+mRtNGPugW$1IfItnzJxMFQZG1B?pRBmrqJ{vz{!7i*+uf(C^6?$o zc@8Ek%`TrkYDzUc_Zw0cX5I==gD#l8QjbQ2lD{KCNxth;YkSW)MN<4>afUGj`!SvQ zM@hq-@QrH?vqO z33KCo=Ut0k?x#ihz2xNn-B>aP-7Mq%(}#XVLs43YDDAktcv3>@z%YFJd4eTg)n7bKqMF@V7uBoU zPi`Ri)Ai?QymvT8?uW16_Ljd!S37o-Kv|j= z%w+|aEUHuvN>p4N3j)T0K{gyzNu`bk^)gcm8Q+uNIl5#mx3?iZ1J?Ch8Z5;7ds1}0 zU9r49e)$wI7&v3Sk~nv5iUed5F_J1y36Tg2Kc^h-bI{jc;LUT^8vIQ3mvj9av8eDO z>0uDH#OCxo2JrCct={wIA1g>051?Y|6UN%!X_PwEB_xQrq! zt?lITDca;VT*@~hQa6Y3lZP`@q+)UH|k5Y<;Nq>iN@v2(%b+FJ1N4t z610H5f`CutdtLwc5wsqyW959i^_VW$I3Vm$*Mva<*jdr6$`i1s<#r1t+Zi|?nIPdd z^^)=Q4D8w~63X}+<~nU>r(YH|Luf>NZiqI)!3Z@n4Mp8k4#8&n!D}D&>mw3z1GdJ8 zA2YSic<*~r%=E@n9(~}%AkLrsr1GCPU)plZZoR;|(KEk^&!R-9?~Z-O#55o{JX;xg z_X{_j|K7ay{nGg(q4MvPmtXkb=W$LDz9^V1o3(A_59yU+g-4_3@JBmmUnTn=iH{g% zC`)GhR+k<49KZ9Q-l4wI9p5r??7qe>Yi>?li(^^m%TJ6)<#ZTXj`rF+{Ex7N_((p#F=M0%s=&Ynci z9zFQK^;@azy0Py!8qO3Un4{(_uDzqhxXWFy=WS%`hML3MK3aVC5G9OFJ#C^qh)o~z z$3dCkch_MI0aE$^@K29GgszEiXl8>x>P{p;rH`?`+|puGnm$(*L+Fg z;v)UaQi&{8cfuhE+$>ou9oJWY?&_`J-k9HH!CbjIBDEWFCIdn&QF2lqL`a!#gj|;X zb%cs>!5u%eGvg|!1J*8a*s5k?h|b6X5QZ7}YF?7gt1zH}Ki-q~BOiBPZwgX~wKO~y z^Y9H7Reb}$xq*fp4HLzuzB01f-un&K>1iqWqfWMj-PY&`Z>R;yiv=kmMnC?e5EjG- z3Uw>G&$%6m@XXdPWn8>k)8u@68P*X*LMdu@^L6K7ETp(a=h^5=E)vnVq`YX7Z%Na8 zNz>^`(^LY*FNrygBxH(^pi~BkVRiffp+My-3Ns-qmxV0>%CcB*v-GpJ48V;{8c`&%&uANM$~ePe7aT z6)NoHXqiew?4QA<^EKh@BeYf7u=PB+(etAia*taAQW>Q(3eVXB0DZKHc=ryKnXZRfLH6qFQKZ&)BDI=dls z5A^qO=^ zV%~xE?Be|=Tr3yD-=fM_Bh~E>pMq%Se`?C@4>ok>N27CD10Z!OZ`giA*X9|IgN=dh z09^Ksl>*!Qw=oav!(B#;B(5)Sa9^$ETp|gPa-XE&L^R?O_Z-H|Q`NqyPa*`42lpgU zcPyiOX{l+TPz`MT_D-3iJJzjG*Ejz1Xt^9atSd*qq|?M$L|2SOUfdE073i%}aFA1;nDA}VKtKLC%cq#xI(I6kSL)hzx1IHC z++Pa$v9ZXY3_EiGI{-0<)VTtlBLR<%(h7H{UYm(ij(Q2_oq`NKYMn-5jffc*u~fqQ zi>2^WFdJc-oFZH%*h6;0*Q|!iZYi<@!L-E5v;(cdwhKTA{Cfj%f^R-wm~ketJ@hUB zhmh2)6N~Rxl8ICHs5^xUsQA;wAujQ}cE~k;v1syudf%rsqcnZn3)s-7YG*lKDZEd5 zYh0fba=g@Bl?sT``IOS$^(V%72Zq~~E1$1%kHI+h`;XkeJB!T1l>)=rLuiy(WZMrC z=8_0GXc)9JA@}mrGyzF`7NfA>5M<6Dlq!7-xFD+?$jS(>lB6UmGA<@3W9XH^OK(iH z%Jq#KUXvF|{IR$;ifbJn-h%msS};2pe6Q}KyI z8-p0?LFWf=`SF32Dj@v)7(#w+VdYDishU&SWIq5};pk}x4kHeJUP~+k;>DkN#g9+h zCbJK*yQjZ-Z~mp!aXiVqV;DnKcN!g(Hw}FA-TnLIUeY9`fzO|-TLQL~VP^b*k5~%j zu>Q-2A(n|4y!kEz`0!1deXF>c9q$@vh#{0U8m1tRZ7Y!Bs<2o?Q7u|LX`+v7-B_u_ zu}^i^PzgRve#v&5ip4yVSbv;ic!$>}^Uv5A@veshn$8YQ!JUJK*X8K<*$tZNJBzJ< zo=$wz9&Xe+dPQTBB2>-r&+dH;BSC+gy&h@hXvA5J>EvZIEEhC*mRKK|SM``@V9EEesPv^fFHck@Ly zyks!-?fyVA#3bNI#G=l5f1J4?V3%;I&P$^i7tZ!e_BEkQ8+$e76b`GEVcTI8xgoZP z3<3KF5IfE+8Xt%__v2%`IWG}o5B6YC2MV~bV8T+Cd(7pm#a2J;`K&K?p;_kBAqw=U zO0jX$e$5CS^h3M5${favA8nDF!3r!w+>m_6+b1oSTvDg3*iNc*VZfnnnuo)-KkgArUXvb81W!C$+&=@)2uVAzzapX)ir%BWv;R zCD?8y6!!g4SUl_NM;veg0!Z9n$vKW}mD51BeP(S~hs_9w+5%%A@9M_}t}q-5Hn)SA zF|Y!TtDbq&(8BtvIoekDGx#D*mHJoBK9^*pXRnvJAk`Jy=tpwn@q+Jx`&2fc8dVDy zuF|}0r;^p*-a>K-U2SdXRYz;E)QDitqTjwGi=V=lag}@)k}foP#ykr5ZNL+>125R38d>@4#J!pb|(F1@MIvA^%{@5rx3m# zIvtCQcj4MK`>XP>mo(HHbg(@k+>4;`lc}j@HVgBoiL!-?XEeGWy5>*2eyGmB zg&x9L{r$LA#2kc^cQ!;}Ip(?e+U9L@UU8Dqt1|6amU$491xaKsw{qUj+lzMo?}I&I z)4dB6pvi<+BTbrcZ%w#<4)c0wh1;~Oh*OECrV~Wd$;P_FD<36S;nToNbTelFqz`{` zH#i8~3G_d6*fAGX#g)_ADuNeOhSE-$S)MHh3%~|M$|pVRl~c z!Sz|ET2V~pHWm#ai{(&2@qK<$Q``Jm@+26ap6P#R*zN*cv=+2%9_9nWlfCE45^MqywPnsz*H1sonn+QwwwZIlT+4k6j3}qG<4+? z=_^udUL1GlP365l^DyRnVsmf_`#Hyly?#Pg>&TDs?P0aZ#2;27;J+gn@A1y-Z45R3 z3bWjEf{~x8Fqg?aES;c(P?aC9NZIP6&44XOD+~W<-id7s0GU+4Xcb)l^IYN+j@+&uX?`+nx zs-i`$Wa`bkvQnT4zw&$HHwgOW%^7V<%1wf}kAYe6<#&nN{0f-V4PV)tg z%m71?6GavQc-|+wmUH6G!mr8dxWEfG=SkzI5jKf@Lo7_ez={5dgsk`f0nW!^DNFlF zI38?Ya1dWVs5SZ3FZUkg__Gm=VD<_5a|#yerllTaeYP?Oex6z8YdWVWX)ro6Za&Vc zx%knAKTR3l0~aF{Ss4clrrHH8>-6GwG!?Fe(i2HZIce{OvtTmKV-9pIptAk?Tu}E) znU;5urMa6SDFbORLhA>Fw&p@+BECapJ@4U!O`0zEBb$i94g}tW*NY$;P@s3uY%eJ< z$YPQ)4berL^%s)>ouOHmMj}pS1TWJOqK;hCq~YCYZ?^mIktY9Z#q-;yFzmB5Ar*~U z4tCH7|09V28oJJkN0m~;>vfzPJ^!J*=9y&C={~+Ykx%&L7{t>VT;GM#5eG=2acr2< z{&4!5mwbt9U?|1F%u{X9NsCjZ%qt`HP3WDG;6GJw@I5H_i028k9zaC zpX=7AG!qGKD!@Tj+X&*~W7mOC*Gw$`*P2BI2y5zIc|Mx{xhOz?jxe;_N-}MJR!%MS zeH1-Zh)Tmcu;Yc;eGQ{GkB0KWbc+EnGQEgC287d-ayZUc3XE!b9%LDDJ*dxW!^nvW zjN*mUQN3!U&q-=y9drhlz1pjjhmGF%1cUkL?GbIY2jJaI36DDqC{b8ngTQKcy;Kkm zJIyTxMc>p_&)N-{MGjc`&0IuT(Sd8_#v>z`yn+oDgk5M!!EQH~GDShxT5d8+iZk5kIYLx0>L_X8vI<`3PzrAh4 zqM0Ywf(Ca8yvgWxQ9~7_`}1QY2VoCXDj3Nw(25lY+tPZ6POE3-4Bv^C*xN&FEoBEz zM7W}73@Oh&SA8iElg|HJvpI)Rqf1BA?g&p3!kR>-dE~eRlW|IAStn3sv1BVq{HfBy zzsds;;>83$eq#`N@d}8`l=QknIy44jFHgiQ1cTV-Uc^W*KJqJLNYjwBYzQ_D>!jvL zMlX;llUEwbr&k!4xe{b4qIW9lE!5_F`f|%@`2!Wc>(T*6x@!C&%OF4eGb`h%RUlnr z`6O&%J?-W}+{Mh9pYy>-95&}gp5i8+w>NG5{m3YP{x3&ml6*N(_^RJtNgzX$KjT@x z7GoGD2T8hXYvq8WMdMaF{GGSeC~up=8)Wd&c3skGP82;fhHz_DDjZ0{G5H5!0Uqax z5;n&mvk8J-8R7tKMs1q>PetwdQ^l6)*v~GxzSi2e+GVH__N!~WZcmTK#($?N zR_Hlwj+O;cY+wD;_R4>CNGC!s#QLc0vER1XamIc-ls;H23+d_h9^ZdQ_F}rW zOXKbXdbFF(-B}H54vCt2-T?@eZn?Op%3Xs6&VWo z^LoenUSx|!Q!$~C&)nf^JQy4d;M(@7WSf>P8N=EvTq)?cBPI<4b|>>@FbY%nF~rQv zD`q)P^L6CgHqBse+3?q8;V;X$a_{Ft&yQwWH89p@Q5`v6_z$#oT3@LzVonplrLG!c z#?KWnHx}a_fqUwo}%G|;dW=*K#?`+A*RaFVO_x$=I!{(Vf`gJu0=zN5_gf#0C- zSf9f7w|lnl(*Di{Vl}sarb%Hy%jm1vtM>?DTa>3jt-s+Z)MZE{>2m)NN1HkdE#@`C zP8j?872VXJmEQZ|I#TuTIJ1bFRcp?2Kn6C78CFQ{T-%Ahobn#1IG^SI0&mB0DGrU4 z4LyIOeMnkfh6Dsc`iN|L(NF~nvEVsS3NU#MGoNFjmw7Z1dqCgiUce_}QN7fqx)%Vw zcyQJQNiewHdf{H7lf>~;cR#d+#=0D->o!CoV4jraP>|r-(_J#BET_Jo3%3!XE3ig( zDV)U)Nt>6moXtm2ROcGmTb*B%rm}Pk$D3uQmT|v-m}^$+x03D`XHL(DyTRg=Wq_!l zwB5l3$PUqR@0n*BZy2x{udMe@o0S#g&+8`5 z>g%DYjwe7^FBHG?J&jQP{y0T0JXF$=x}US9W}VD78OjAct(}<&iETcK>yu`&e8|GYkc`DN627}PcVeo3D3+md9n`jl5S1V0i zvFB&Gr&p7+9*joEcj$z(Pc`__X%oq-lYllx@Nz$XqCcy@5K~{1?*-mg(wo8kgg%H6 zkr+J#J7$=LHaUD>&@3Hnkz$G-rPIDd-CseU>$H3b>c0m;`Vs<`QYcFt#HEX=5R%YW zt_KL!45q|GN(+X|vwv#12su@eP_edj4C-`O5%R?LQFipG^6BwO@pJ3NWjKu44u!Cf zmG@6=t9)wU*{fJJPQX}w;O!H{{l$GJ;8nq?9os)&6;a4-=1QyGWTtsS&AX~qjg7u( z>ChU4%$SN4s3v(g7CT^C^Z$c(4nRRcrF^zyx8h3oBy8-1a6KVlGcx;ug9Cx3XQyLo zSo2p-iy~gRKJHH;p<{wFKn@ZYg5S&-DAyy;D;2`^=+&G`Gh(~xWb5)-!y>>Pn@7ZzLJ+ltWJuvf4vUhbJ zSC2n`$wp$UpqW6x+9t zFkWm(m?*u7c9rat?vg~zygR+l0na)RY5c*yNl3XN{1k+4&fr})aN~kdVQZsm^AZh$ z&GQ$aW;YYc!kX^BALLTweA#(_kdi7B7!ab97RPEMvNU4`?nZkN3b}GEjQc6ti-~Gt zcLOtbk0I)jW(WyIX8VN8~Q4835brVL%!tp^ajcv04 zRo|AZmG*-)TwN~brw0E_-g7pB?#^%BFV2~QB?YWR>#z1Foxvor+mAFkGO2duR4Gc= zqHpfSv?=Vh@>^x6+69>>elof>jYbo4<5F3rL1wCd^$+?PW4s;+?Y~k*18wA6D7(9~ z7PjUcQInE01uvJ)NzTXCs3W6JN^-!$;m427@}az#l%h%GcTy zVal5D@T$L)gW;Q)zzUU2n=zUeZX2(TX_X_ZEF!G1) z>skq)xdvq+S7Oxp9jQMhA&kXUNYEH!JP*&e;^bJG!nAnS#`ee|fH@|teH&*ivpO7* zTOvFNhcvi-HMM-#Oz$-k5S!fGaiwq>y(+GeSM*9+!Y4}F+>GZ6{P@FBM^L33qTX$y z`zzW71zLhpYsdfe4Sbn`3dKbQW+bWgSd`uHS6+^8JF3XF$b~8R@GFC)TfY@PV(Tx< z!ypZ((pjl1Z`r=p=^Br@6SvEVa1O(qhvefR9@nn7F3i2XeWpj$=y|*|UnQJ7D+bS7 zreoz8RH;JREk7Z*V{y3^@;ZjsX7#@HC}QsKok3`WbAAX@+yRm68w(hu=fbLj7vqLq z4bhSj-VE!({=|#X_of^R>X~A!oBiQ*!@;rG-YXrm9Sn-A!mJ4Ql<@T*g1W0yJH^D) zxSm`BRRvkf*zn1v<(vS|S1B@{x=I1reB3<%^c@S{Uyc{nca8y6s79ZFTMXc^<=GNf zmCIMS3QG}4VT1`MK8F%HLliQ5Rm>$-)iy+D z%SLD{D1xibE{7m$_Vj{jVN>Uumt^}m05kW)i?B5>Li2nisCcj52P7xG{u%joe+rpnl8;WhTcRDDO|K9E57;>f z#GnY{+cNNU8QuJGuvk0(A-6n�Ec;eeQ)INE0ptJx2m;IfMTn!p<@(>b7h9bPPjx zgGe{hT_Vj$sq_d)2olnbFo4p6fb>X9cSv^%NH<7#=MeAT>wcbhy&o>`_XCT?0)|;@ z*mLf4@8kF#&v4MG*7&U)8K+i=8YAUOqIYrUu3rXX13n}BD(hM6v8tH_0s9)8U$642 zsyVEowrV+xF~dI9+VJo3Ae5cW0XnYDc^7+~2-2O7mX4qhqMOTfpUZ7w3@J;g8_hGX zv-i2Ig?ysDORpxVCf3N&X5O~xt&^kP;siD9^#GQuw8lk~S6TX2fJyF;D=xpOf}75!gDZ~`Dio++_%s8!$!_0XpN3X{ zv?l-S8UCMnb?;+7%ILn)hV9_uD0V4Cc{H}V2N0%A!tQfp6NsI+T3*MkQ*Nw;LNdAI zdXC}YR5W6QyU3#SVFoPr5;BM>#PEBc#t4iX;(LbMSN&2;w0k#Vw4>cgKd>HG1e!6A z0!b?L#n0rM7FO)^|LpaKFEw#ORld1QK?heK+ceUE?$*CrKjn`vmP+Hha7u-AN`q7^ zV|+wIIsb}i4uzx*6+_*%9ZwXxA@MuS&O2?IdH$MO*^vdyOvtQjT!yfXxr%@MTjp>->5SIkWA`3A76wLtEhqK?m*jK*@w{Cid2eTfrC4#` zTBI>hGebrzkIqxEe=J(EV&tAex3LcjTZnBf*8(IP<@6|_5&H; zUw^C)3g)+9ycQE;&gfG0aN$kcd4lDkdpV|DjEyDkBsq6IlZ{oizEW6TmM_k z??pxQO*L6vKz9e6!vDJi`hUN;lng8cOsQGGs3xdwIlUGgR~F?NdthzL-Tv8J%iY|w zf<9}`|4*euSorAhNbjhkyDV0K08~F+h&(c=9w>8UY;=qiO;kMn;wcrf4(u6fEVEZ= ziRji`7}&V<1IVz{m=&Lje#CT5*@B$HlE`-*k*`e8Yu-r_sKZjWV^h#TScz*4yu05anmMm09U_7EJ)#^?(4I@>% zy#1>O_3yecD3m7@L9i}Zos-J{uG&5pc{uU;!A%Fid zP<5D7IL7CJ#%N1gV-4MA{_6@6Ng~Rpq_-{pi}RQq-vUlOOEhIdB_zH~&+}XGB|Woa zf4bjS?Jz^(oIeuK{(CdMC4+`#%@sq>e7ARslGU!bMBCd{C_cJ*TjOkbHF|7@H9fNu zaOmMnA1fYxKx0uSI!-?V8mHD`X5h%4bnbXws*M8Zo6mnp)aTSzYJkb{5E0}T7HRw? zT^Z>9o^qS(<{TK<-Ek{4kF7wfxaUd&!2wySPm*R}!EIE?!^?P-z zh?1Eb3&jYMpJ*IwDqTt64UZd*2>w?KkXQiZDA`JsD!*FrgmGliF>eQdo>5f&4co+$ z#NPDrjr|yI^Gg~t{KWl=7aA4`jJ(WwERXF8wO6#HF-#YXhl^V+NH$S(&F z)0h(T(UD0ebcyBQC=w7vl+41BXFBsWtRh23{TlTZ9;pS#nlh(x`D)F99~pqcf^hF>ug5>8e1`{(I@oRVavh157!x?zqmiVLQ4WL zn1z;VO(mOQnF7+0vO#{SK_5onI;l-tvE8CEl!oQkpA#T8fmw`@Ksm^Vc~B+@`yXC#0YXFY;^XBZU-a~?W@$`g3)(6Sp`a; zc(s7uXsn~uUn&h4mRYR0KGT~CAi@F3t{^LMyUC%f|M3F$iYj84>1zm@r_FTkKugsk zNbtOVjPD+dWKMlK?|aGGV$L4QAvhN)6hGQIwrhSp!?6?9LXuiW--xioKu?k&0rK4kG+bvXuZl1*g(NXRq~)h7`HNw`zw$E;2_J!zR_H`r ze=?>Z_Z9LX1MSLjz>NQ|s$shN+H=@%TOCz&1D$L~Ag9buGW*&if8Cma0qS}wKG86h zUF25!+)^^rLKql@#Sd0j&3J{7s4jVBNN6WvqMhGiF_UBhLP%S^9p}0jaPA5V;;Ab00@!hzr}OuJhM?TZ~sy=meun zIEZ@d1B8mX{l_zV+D!e@Lt-iYYwwvo9hnEqC3R@hM$cO}Swfb<3u2F$9)*4&9(&kY zI*me56kab2jP*|xXIUmXmniR(TT*B^!N7@40suq`Pqg#K`<<-*6t~qE7NeRewgQp- z*UIduunLpnJ_>BLoJaVs--n0ojE~#I%!5OSo#)y&AESWQ6OkIDjWOwa9>nF^*&aPS z3K)J-0I8hGHBjJh_XV=S1?&Js?c(J+%d^jwMoJ1xbk*UeY_!=;lD?+ZpykbRi z4Ji=Q-0FpU@YvBD{p1&ZsjL(ge)(}Sd=6FpWh*$GZSns8BQ@o9H=pP814Ek3Zq(mC zdxB-zOxG2gR_g|Zn)^y=;*nIemSu}%sh+xnsGQcc7a-)SPb;Qdq?)Ty+ zn)Z@@V3XX`$+WUIc^Dt>{@&~@m8q4IM-7jHw1SyhN2D{8tt4}4FT?qtn?{#(AjZ>} z8`F&+93dwkO7`@}&%q{P#3_1fi5NvkoWr-)9 zhD)mWO&8T?BXL^5g8Am4>uFAeK$oF`|C_azlFis{6SA48OzI5Zv*BRoE@B(2C# z)o+u<0PhzRZ|FqWLlGY($N3`rY8k9&FB}P1gLP0rn?XFI`C6w?Emf+Ee4tzZ4oEil z?O_$bobR~GC;hYfFl9Wk=De(Q5H>mmiJDgBSVj9@UDMdzGTqgnuSXoQMh2|1^!hAT_QZt$k#2(&RgIA{uX^?q$GA&Cz!-{o=ZLy0l2Cp zDY9V5T2;>iDHyPPYjSkGJ6K?5@NNCd!+X1l#mz&xiH^dXR)W4g_f`Es@z{T^wf}GL{GYDcv6eUq z*UM#P-;}MzMDn`~{{3yK_8qsWk8Vn|+tM>^b?GyN%l5PTpQBCRg11&K{ewA%CP$kv zs%ZY!lPfRlX)WWl9N}yOT;iibKa*4f?0GHhTL4KX;5+5+J9X!xQb+dJhTxw@zm-;t zp@#eufzf3*E)k$#Br|by-@exJ`M@J{nYtXTEq$440Gbr&!J}lcPzWGzI|Q?FnFLJp zJ#jUr;dGhjsp9@_8YN!cs4vU|$nMRoh%Jrt_ zd?3QZlsQ0Skmz~{hekCr)VsKSX|BQ zoJ#o~Mj=EEg6W|kT4oYm_2n|_U=p+Tqh11*jnAr1=^n%ib~7a0Z-e`1Fba;BF4BfS zK9`4KdqQWtRmYd$7G-MK3NT+D_k!6b&53(qy&DN#TI;Xb=Bh;d3a>mCoTPbh+2XlS zl&mw8Ghrb({eFPa9@GUOc)0b@anPlz1TaZ>+OTOcHhKLleC_cyc#8RaUX+`Y2t5z|%%3{5fe7~pHU2%_XC zT)j&&A4v7B?PTHcAtXOv9;4U|C!(V`ahD}HSuuOC7wIw7LFI%|tARcjg0 zElSiTnEaqB!)jYKJ8pN3mOKDpsA!+J73!t=44~$#T%R~X3bch}Q=Po4{q;s`4@H-F ziI&uu5h+&~Z6$xZL)X2)1}|R9j7^x{KfByHb6;!RH9g7r)va}VDxpozA%{{M*Lo3s zPhNHU;J4-Wy>7E7{kF6@MSSU`_Rv#e1nIAJ9GDf`T6#BII_mDz<4zOV{SEN$5U?I? zWkvsbGAzmDZ$kP&o}%srSSJ9l@pxOA#zYoc-bG0W-+Ea>7kX$XLBBgirVw&`QFkBo z&B-!I@3*1Du0(I=Ah=Ak}TDz z5u*~iYq!AP2eHLmD-op&>XJ4MIqUO7?=2%?Hv)z=#|Y#wL~95F4igKjaD*agIfo1{ za`am9$V;-25bF%`Ki&;fdn#gn*8#}{8-E$l}UGMAqwrA_2}7JlDnHh=qx#5UvD>(|0Jka@6_;mw@x{$ggo zVEA0nJd@9=M~ zgog}&0v*XfW;3qeoiKp9nY1+6&+? zFfm3Mq$Sb1J1jR!4+GovBVUK7eC1>4Z{3)7&(9;ufIt)*mpyfY>Y{AbkxfQ%GQT|> z9`NOpbmpl7P3_b}7ZMB$5CMg@7KEJ}VLS<-PKJ@LCUSxIL|IzZ{Myti+klLA= zJKuHZY^3F8Y%U);^iiNhTx(9PKaYl2oP^Gd)c2gbHBa$#t@~kb7q`^EgISl^0LSv= zVIN?@B{%U{VH2XZe~J<+9C+EdDRma*-ncqagO3ZCasS&}!2AH(g+fgY5G1*(oIyR7TFW7YQJG{YX34TyIsx z%L@Y#7f>X8S|*pPpV0dDx9d#(cX8gxBpf!{ej9zM)~fGc=R1mLjKzl~pHofjl7651 z-Y{NxcJxtCym~TsY}cM$tJu~0ZLQXiJAPLL(=2U7_MX?e4VDn zO!36XhTp*-2!C4BO;R!q4uUR>DFVlQhpt!5JIj|>iy{zn^Wo?(f5{+O-c?{S)G>UF zZ*%ot3AQvZ-0Ps2Du3qv`*}6FIt9-q68-I6_gV$GaYtZPf!8p4@CzOZ8NA(kiQ?vL z_;dRgLUl@H_%ZXRFKf*@$m}lBol?w~vGQLFmGzRZyxU3@0^|*Sq&ztBlBqI#Cw2I= zI7r1GW->JL=sgu3<#~oBZ`g`6#Gme03mMEzXX5eh?!uY*{R{?Ak&MHQV_M7A{tWAP zPddEYBwrCmzN)f(T0`-e1{VR)k4QLZ&MZ$13MUE-ralstKEc`&!EaIFcj*zK@5VZ3 z5sY2!$%1pEvQu)9437L9W?rH=56Ql%N{GM{Q0>Kn{|**&94V7u$dzU6aP#biAa`70 z6pFm=!aY@ne={3Mcqw+#r_flsY603@*IW5U(#+>%Dxi)h5c^pnQS*#OWjy&qh14o` zv8K_JOo)@b35Uc~evNn9_k~?}k$}GF!NBL2?2nAA<=^{V73fa-^q^6*By+P6T4Ln@{ahqz8B06NB;#cT)iI zunM3P&t97XlkE6~G%4ZJgkHW2O!%7>a`}Lnj~aKoeacVzL4x$z{bpOngWmU+_3-Fh z*JYHbcPMdM_x#2;5{KdqfAtg<>0>&+la;hesnjafU_#xl*`1O4xTJVxy1t)*>HLFXT!>X?-Gc8+_|M32i zW)P86{o9JwPXy+#T#sE36Afn&IeSoS$CatqCraCgrC<9ger^bU9k!92`utFTsadF5 zti)}wb9S|j>$TMhlB%*9_^8+5oTX;?19f%>FMIv~&&FFc=fFd$$w^g;-D=#nwqV8< zOLuxLXF}I5${sYJ>j}o#0>htdai`^LFtWk9KanZTHJaA*yyvB8W81AowKRDhw$`l# zKHLu_rW&aoaWLvBrZaRVREupxO=S{E$0r&2oYpTZ|HJP*c%1pfdAsKGPE*V3aE(It z;*`(JvY5fApm(1UDi>)hBQ_D3JgJjCf&L;d5tiyV6lcH81_Rh;uW;^O-yI$8nrh|! z1SG4ao{{l?w5!DU(#n4nZ~#ImSl}RT`4`Fap1EyKK>v0y_UF!@N9#?(t7c*OH4{)= zTulN&KF5xK7Vb($Q6b;ozIdx!_++aK!eDNqS8owgqc>+%S4dG_n1 z)VFQU#D-BM91WWcsV497*y2;lSA8gdkv}$XdQX*`kWqv{yK7}w@k7;ow^M?)^K-t& zA5RG*6H=~n;4s75j^*my?J5S&_a$p_Uguq$8Q)D$clqwjuznnp(=lT$eM-cMsr%pa6U8vX@WP2z7&^RpOnZ8_f1U+xZ z@%>u+z_>Y{;-i3CG6d@mt}^Z}^NuWP z>SK-c*^Yd+EHsz|82J?oq1jrbLiz!^T6M{i<;em($4KM$$VwT?k-4c(e?{RUl;miO zAJ~}Ye~Wk~H~uLgxG;*i#2!m~+Pdb=$Gr38 zFRJdzTjGWN&R!gZzioR;GlW*-|69UlGy48+)XoP!k=MNGpo5@pMl{!v8KM1k{NB2t zwmjtE_v9ZYi8rh4kn%DG%Te=X6TriyZeF1<5#yo!?=60rJId3#(y%jQ&lU991|KoQ z5+nG)u+%dV9^-Gji6d#x1uc?9X)jgk8p?zEsx z1HMPE$A>g9nmd_Aq1#SskLuYo%g_>k=xu2xJJ|o$o+SQ8nIZz$)p=b!mia|LOTzD zD!WeXIhXL*r_C;~Igh2>L zF~Qb1f=sj9fC`W-9eXNuu6G7VlKK@#&8WXqoiN~zCYcQu55ghUTD!o~W}Bv93Ta@| z-5P;Dh4A&@pbwhY47W8R;gJEN*f3GFL=6Tqegzz6)=#`BFuaDD@mjNHQdSCRYv+@^ zb&Kot)o?lGgSVle^>Yv9%I&0$IKm+o^JEPrO2{;D`!7L(efeY9mRAwS%Q3QMhKn%; zg%H1qV;>k|eStx;AuF$dYw6s1#~=&+5{A$rO9xvLK=s`*NXV90odI7Lmh0~|NaiGY zR-*=Rdh3e59kC1j?~V45|QR`+ngT*%d<3qEFl0Uc}=pXbu9NPLp|&l_E4VAqi*c_wJDoM z2UWqyD07055m|I}`wFR)vHT|0)t};#b8nG3EixUINIS4OPaN50;l5T_jU2M@ojqq< zw;{Yg>O+5kmthPh3BhjkH9ff;-kHtK4CVxu0W;Qu`%u}I7rqtr{*K|qy9)2eWEK88 z)h8#ayZ1HKXnMGsH_pGFD6J?T&8=)XUwQG+Dau4thQ{OHu{r8tg&W^hxP9l2cUNk{1MlB_;7zX_QI#@#e7=-b50`BZfu{$O=H(!Q*LTXBl;KiITve%xbA`9bzWT6qC%NbV~;B$O< zOZ;IBySE*p^2oZx7K2OSst(?=^E4b>CWpu|wLwTO@L8!H92ay`)Kx234O;Ms5m~c9 zv-2VkFs@V7E&eLhu^3@t2q!KC#(g5z)e|wF$wRP3UL;q|lw0Pg%q=jwVw&&ZDvifE ztG{pysal{P(|1reG`i>+w_}H}sdWU%_lYcRQLuoQQz#@s>fLvgEj%S^GL+iej>bqh z#is9lsV=S70%$1GC1qO@jShZksYR@EgUj17W<th|aF8J*>Tg(S&dIHQ8JNTS!` zCq|e!GMECM^>Lm~4ou59E`UjVBK?8P3$gFpu|Yy+4)-9W8ChmhE>67W^)Asq!d(BJFBr}_=TojU<&;7dqO;V8VmybYpeLU0meWRS6l9k{P68&ye z)eW6*o~nIZITq>t6fv08HFT8hRb1xBVsmdCGcAWQ;S9l(rT~6Pe>E-4IzE-a|7 z-JOOSfJeCFjq(`KzKs%}Qo!Z>K2Rx*0O~`WFnGp`;d&9tB*xGX3NpsF0IZE+dvkim z|J4E%pYJ4rHb>3K8M@~E>Tj}EJD6SoaetoG_$8CPGNYZ1cAwKzj<<0{usY0!8DYZ5 ziJ|%mpt}Gh;+@N22G|E8&9IkaQEC`il#kT&Kvcb3-&(@yO7UMeY6P@jB=e*R zQB_YV2eb!6+wEcxi7?fP5sOFj9YUOq909v_N(IQ@b7UE#g@f>#j9x8eeyP^jDJtRA zra*?RNDM4%%H&vYOfq+EW~F#3w~Q?@=L5L`|2{5rXGFgDJ^7CS450XB9WYzg8>wCbm@`=<@x8d3+Bg4pJ;WbBxyG`xxC*6a3i#{cXQ4`wGSMe%(~l z9{?MLIRZaehl73FmvM{jd%wBb;yw?ve$M>YU;gv3011>l-Rl!z_mrJ7@%s2rFYmj} z90+nRF&W|pZGH8By^E|!ETZ7wFDo&{*!kip;{!1vI1WX(j#r&Rv+*J0u!SA5%y4A9 zLUk){6_JAtU15H%+Av78(7jvyCXMPmnd{t3uU}`^ewHsRM(q_ESJ9Yir6y;wc(L&A z_b_@ly+-W|#E5j)OSdS}4(G!FxuTVNK{h$ba6 zUqoRRw;ekar@KC;0-}cQXdpB~19@L*m+%4_yk{NI3?o>GcDD;)MMIK2R=%_cbC?d53q|zF!!O}(hFqDdP5>42;Z_QsBY6f$ zbiQcE$kWBeeQXcj9ygQc$wMLFP)ynpM-6$9@xDZ{P;s05c=zM-&EgBGAKq`g7L)T{ z%gSrLY^}2NRU-=E z=4-785G0aU&hl*W@@n|eP`EScyU{dt+@zU4*l(z}0K_Eeo%1TEHF};p{glyqlfJio z|3x9>WFfxW9s@+nJ}#hUAXxlN7C!%i=(l&^JU&>VSj^F8N^C&Q2| zAx%g4=2N4{1zu4xGXCLz1*tt^~ljpOk2=9;-s6#D8uYH6FVw|Nl3 zqW>4~+Pf_@r4|J5R+s<%6A>3$-dg8EhkK9#f?nFCJ_QQ=PflRl-JQvN8;X87);ru( znr-8LSPLyU$6az_(!+L@`|D_+PLAkmja5d)!Dp4)A!as{N_lyU`RKsCC1nxFilT1{ zfj|AP!$XF^w@Iy)83>v*?+r@v%d;_;#eOv)2=t;mM7=4Tyr8z6v8B~8M&3=UuF7<4 z=%;K%5jNeM?hmWbs0328Ispg;qxFwgafgRTf{?Z#U!4Z7%Vi`44wj*dg+6cYshGx0 zITXLAKO)S-IX`A#T{Es>pT*UH-PN!lzP7}5yVE&Elc##SQychHTQFt^;VfsL`0Ug^ z>Y=)-qLihgwCbcZY%-mDnu03cGL!e-=-oNHeJ#69O@6E}I_sKex$S%&A9)uyJVe73&OSwsf z)i6gp72=}p1mukj^FE(KHHR%GTzQ4Zv{SHgEeDK>BjZPM(3hNEHNL27jsTw=kBji9 z@?ELcSFZvVHky91f(hrOk-dcWyY52?{$Q$w41I@&j|~7L%GfZjqOw8N-T#<;toU+K zt+w$usmp-WWiQEQv-N$2mY}dKN)H3DN$6RAOrOiLgCvAmek=FQabJ$4vB@w+ChSyh zEORNVO*1+~|HP-ImRT9T4AVbJr;Z2%iaRM0uZor>UYqVSh|<v$T1ifBi@l5lJA%*nXR^~MnxLrN+)=;?Bw*C)bmj0-PD4f{SH&x<3=$OHqEP#N_?;LwoO zi_a)9WDcX;k4P}wG+TrICiwt)sfTk%jkg)6A5HJkSW-1enb!(X0HK9g631l@2DU0i zF6mc?npv-h3hyswg+lsA1DC(ME|(I2FZv{<12c_(KffJah^IY<=d3p?qv;ATgr|PE z+?d`^!~#B<_o76i2>eCmRkfgw=QF62&f9wUzxpm8c2P?rC;r|`MCqU`J=`s6(OOVfJU@2>E*4+G=#(DebAh8-nl;Yo?%l|5WmkC&o#;v3ktTKE)|CBxsj^~xW zer%s6qjfi=vEyJrikFy@0vzr!tisR1oDqZ)8CLNl0Mr>Y(2bo!XPFQ@6$x!0K%S-% znB%=4_+_ms3tg{?wO?6!Z^-%t1C;eKtXnYeBO@$|G~Hl(#J2Y7+l7QL_6@6@0t=15 z`tl|;GoJ?|^Dmiy)?iPm;N-_ykK;A^R!J@8weni4zmnX0+>G;)y>`}2RR!~A%r3j7 zv6_tkN~(S^C7+bA$d&g%?{Fm(tkLKuaMd-0K%d*(Oq0cM3L$GmFQtpevf z5=}O*o+m}BD|5zsN{{ts-;)5PUypjrD(o?fGgc#F<#d%mg#pr`CNqcF!-(|I{5GAyQb`|EMduMc-0LHkj2Y}snLC4 zELbaZ6cAr4btKD|R`+w!&x0LtZs8M+7KTLfjeOn_J08mAo77w-3zrUat>dHm(-VwY zPv?!AF|7Z*$mQ8RWHS++j>Sx{9>pkfVnp2sq<(0lB1#}yJ}~}s)DVKBjh z?7!pJ?>(>Z4WzmoYFFfk05FHy0I`_fQ{e!z{1~`MH^}!Z)c11%DlCGwp+A+4hcZB( zdXFSf4i%Nz;Ta1q7kDcJ?>Ej!MjEei!V9CRCwqKKARNAL=3!4gAS<$SQ|Sy)Y_79a z(wf-xFC8JU21WbPFRDndJ-RB^Yodx?q}FCB?p|N|?eWnVPj9xj%E$(tP<`8-tES_V z@8Gxelyj>c1_qppuX2|e662hrhy`Bu#v#K5#{!E0cQqA8D&+FXJ8q!0*=J7X)9$sL z)lV&prO-`+44KIWVgs{n@1ToU2Qpq6%YnCc$v{lk%N4=ZVM-0whE!JHYm1j-P90RP ziTe2y+rWBHasS|RyiK8o%IE$j^?~tL`Tfh4MT>33azj{?>8f|n`jUMa`rj&tM*{i& zG;6cvVnQ&5=B&2L=z_6i4C8&nexi(0U)rT<-s);u4}aiZ!SLu;_ol3yT1wjAvbK>_ z3&)5IPZdA-Bx4k^-e1mspxFOx`I>#^>}yBEd7H!R{@f5kuzk+5=yU#vcc+f9riK3Y z%>MIMv3$h3oKKkulQX>LlNP|Nyi=xud zFSTBCcImhba)lf_U#GTOcx1&zDfK*pU$Jdw<7}{AL)_iwSuQ#6MRux? zcJdUpAdpR8YBQruwevJ7Xv~@dvPHl^Z-MMgo{`dCA0E(05wm)29Ak_|6ymyYadcRO zcj7B~xcg=IrF7}@yMeF_AGcJ879Rb6_z|zlMF5ifH4B0^@67k0Zm(%Y4;hAcG;^*~ zr&&B!phf&cU`L#^Xj|G1H z7VJuyKhr41KplZU+d4$Ajpz6AjCyTbe1ej=64PE5>kgS3(zzfCy%Mpwka#voMQ<%PURpNF?SjT4g(iQyHC% z|4_#528Dhi6EIU2oIq{}3=((f!IKpA_Wg80+K)s+Me`B~bPZF1iiCo2099omA>P+4 z;OZ~VU~L9x3MNF_Dzk_}bcI2&DI;oYVLOM;ZO14GHk;0mSh z%21{WX{ulO&+SWO;oovWmM2!8D(1m4rHqv7!#2qp%CYi>!b4o3JiPN_U5sBt!a|0U zu59)-fAEXH?D{29VMZsNsBgbsrN3#}&%C9}JEU#JHr;sk7%vp@_&6m zVA|kliG2%%KJxpn10=>T_7TOv4~jaX9D4LfZ2d_Iz;Be+SNv-a{~riz9vW~hmTP5| zIcFC?oi;yfH;-278~eGz66xkQ1edYlO*zSHu220-f#ba|`h^=JVahQp#Fw;>yd@ ze=M}q?t#=I0YR&tD=IBk=C|;diw?q+obe))pUX?rfEbHVK$I@I3VWh(MuEB-`m*VH zBC>FYiFR(mx7T2@6QsuHta2dSD`&gG#8U;K0N8!Z`o7)KzBnJ#J7jJ!>M4;vMPI9J z_XL<_Z6zNS<_#A3ea4}G`V`@0;ZyWGJm_5;1LJ0%B_4@@Duvbu!ULmk7MnyuZo-z$ zqf6O0`C8{rGVubb_@YpaP1?gJ+ZsF1H&ej^V5Drngmt{zuWLM_&~{n4JWSUCE0`^a zfpTkYI%72k@3zl93T4)(v6;aSkDAO(#(!qEscF0vMReS&2kBdFO9Wv;S%OZb zHru&HRhv#U4~0bFWl{$WMDYnPy{5`exO!<0AJR^JS^qKlFAMz_>8)M#_q+v{A6uGE zXy;oEg|)awx0kL4sOv)VXP>JrcRAb(P#I5)*$#ca;A+}n6u%yRnE3yey3f+3FFgR9 zp>L>&EGGpHZcHGxj&ObE4}sw$G3Fu$=ilZ1|BNQ1)KKvH_#bVZM*E+{{mDPwzUK9j z8+b;a!c#%apNtFCaVhPs8k$l}0w@>W>LUhAtR&ICjFf~U}rMAUiCF^eWAsYn9sIv zs5^Opd<%U!D)FY(DGI(Y6*8Sgz|rDVv+!~-(6=Kk+_$2}*Gy=yoG)mz_iP;P$i35g ziHS{<_-Fo~_{2AC?yHR8W!7@}Ywp=$nsYZJ|R@~`ouMmdL+8;Lo=<-Uz)LDB$~ zDp`|7@M}aERG|%BBeF!~Wvo7Pt%*(@B(^<7n(waC%>4RN03w3KD=C{5%I^OH4Rmd8 zjMQ#~svk2#pDmt(FWQd8K)b6IVR><;c_>IeqQ z3mC8gIJS_;eVq6+9_?RqSeCwJ8s~j6wli$;05zX`W6hfbq?Cih#&|3tzND=!Ti&Rkjv73& z?%f`<*V{>Ak10BW^f%iZoQ(H<#Pv7r*~iPllwLBugbKy)s~SgM*j=|6Y-UCd7_5b?=?KnoDB6hb7fDVkev77U`q7<3f)8Q9L1H7l6q zG^?LoHUn2FB;KgZR*}>wj=vws&qRV5h^zNrl7(tLofb)oG5u}Xf3n+yIqf6WH}JLe zNeZQNzVFKet!nXVabw$R&mA`X=>u&m8?l>t00OBHspK4%@hD&XZ(Z{RP?0q2gCdO~ zxeE83sLzhAG;8lpdf4hu@3W>wtF|%J%x>Dp&Tea)tItdc-<7GFJayf%Njtb|8aC>p zEBi0#n&+wk4<(C3B1`IjD37W`@`f?3xGAl?_rH06x%+LpFP5Dvbp5SQ_@68K6elt! zT96!H%IIpwRq%4~u*^wV{IJwXSU@lU9PjR6)KFq#UyvZo9La1)B2jwR_K0r?S|LBj zBzy2Zvl?9%nj@`)Op?GHe@!O~mkq|KIQHqLiz`yt zE{4@$QrhFQRtY7IT$su4YlbElvj`a$LohO9v>?Gm8yGz(F2kBz>1<|Tba8PC^I*Lt ziIW!xOzRC#Da_t&bjD<^@{;A;+WEv2@34Vay3& zuQUA_`74Q2=MS`_{ob@cuXHDjsr630m!02*jvU%QZ%JGY7H@f)wGyDzAszWlf!&k- z6A_1cZ_C$M<^>7{Ky(twPiG;Zo1cRkf=8l{-GY8B65t`GPVt9Yno~WhY#>^LRMqFm zhDNj+jGjM&iKX{?CEd5m2T%RJ2E?z}%K+_Wg9`GiiV{<8b~uwO-7lFEHWHjkHHu7l!{-c;Ng3`!hIf|auHjO*>(^UkDOa5%UaVJHN^3uC-N ztPlt$iL(4wH^?HG@#Yh;SKls$x-tsI8$lHVLBi}Z?)ADpozRLZy`=0Wj7MJVmsrj2 zf<(wD^{K;WwcuWQ0>vvcF8|ZU_6(hV0d908A(!VSpZ3u+7hZjHOU$$U?b(f;_+o1P z$*_CT8iM+-OEyPcVv2{krEirF1JSe-8!A60TUK_h*E4SSV&5@QxtjxV?#=YsYV?RE z>MVh&e6l?My$tQs!sczNecB&?7uJcYWBc5$(&v@l@03cb>nRz>w!$@+=bHEDw*Da1 z@m#4Po_}5H|Hpz;tt=~r?22WPthtTjf1UV&SQ=43Jo-N4p;0}8=*=p>Wt<8dEt?A> zI5G+aVvUIRk^qz4#=U-v)`3%^5Zrfd`%X!>HR#LMGI9rzyCcB5ux6_^GX8i@8j3aZ znjk+WtQ#1jQ*fjXqjRpQIJQwx*^O^U5p%kX*@nI|*zR{ZwN2FIt_Aouc~5m}9(g=K zU%!?=1;sIj;dbEHTaX<8cFXX(V?4iMO2c3huN=+7F+`o6rVFX4tWw<9OflFOt`@gP z#-U|fV`~~Z&=3_RUv5I(Fg7XPNqqtT5S{>ACoQuSEhY4!0RFaYl`82ZFZb#G<8Umd zvy0$+Ll~3No%q4#kDWY;DPx{?>?|bC{Va4otBLJ;Z6rY?*qiA!ztMv%5C0ig-=4zm z{MX|L9GN4&IRROdxVsUV5W-563>sp})aEi9QG;?yft(`2R%rqtInwQF}tmMQK<0zsXcMRNq@#h;V zLG>pz9Cp)I-6$Qx4czoxNU#%>FHFIHQDObPnREcTK;Lc`u>>PY7-_${LLVR5dC>_j zZ0!(mOX>(kr{Uil7N1Ig35SOw4_2KL1+@RNVlJ&7Aj9Yt+IPsYoONHtqZ{r?<4OE! zKW-9?<;yU9wH#3z?vwmPlOm=a7!^4}V&vIf(*pqq-jfi}6J+pPBLPio$jW0Co6mfj zy%1=4w`6rgd=3EkqvTo3u@aB_UoAidkjiMK6QoWlqL&(T$m~pcij#*76L7dPiy#4E zaiG-QugI~OF+M=s1(*D!^WGF{6qDgB(c1Qn=N$BZe+>hZ^ROEw2^)GvS0c!k#`uz? z8-@+5!2h~F)REbKlQe?}zJp{vT!ytTk+4VDEjN z$9aU))rqZ`>-r$-4JK#oKLZB;%OQg)N+=jzb^vNqD*k= z2h7K%%`jO>JA;}@@0`z{N`&-2#vP^hA^Ic8jW+of<;YJ)>bQ2@5C!9ekj~6by$jr* zk-Gf)n&Yx*HRL9DV6rylORvE6{)ymNlkvp6U23kmp-}zj@S$qip{IotI|FVm#j5N{ zbHEeJZ~a1f<+5rRhf2{|qyXi6a;2v+fJzz~sx-uwv9w22EKNIMDpGK#I3P$#3#El3 z>K|w4P35ruh22@5ol;dle=Q-Q;(k^BJ&tumnNi-rYOJ{U!69=T-=7k@));Vi&+#`A zHA;t#YtBDkS9MX2++qdiND^8|oxyNa24$Hs@Dj8&S*H&9ixo?^bE6X8Bgt|yXu@mu z4m?b$&n9FsBQVp=+{lAMdB6#=?x&i-d0`-#LQiDHv1t+H8_*$Q1)8RhEmU}uFL>`a zyIn2%Y$f^ZeQ-IQvt=uOn`k_bur&(HKu zjql3UqOUNrD~*H5l3*RF%N|#i9`%(lmots(n6Qpzk?BNt7y?4d4TdI-+%0jhF8XP)3OFZ zp^yTel|f2iasiCK6jVYCoQ>c!6#|N2lCvkk1Vk)@8f_37?v4=eH?JaK_uM`4q}J@c zF?4~l;e-wkb)FJBNXt43lZ{OiTn|sQSp6()>HY0DD{e4V^;z6F)Fq5E9+%mzRO@PTJ`SF-(emha8oT#M z?+S{Usv&BXjnGgvDwknWb?{Hs;7J?JYnNeK3svo}S4jmrZ50u-ytn}?gSmko3z<iaO)6^YP2a~cHF?th4kwxo`{(UOyY*n$w=)?boI<}Pe8lJ5 zunUA=KB{Y8wW%X5Yb?i88IgWA5-?!!_MR<&N53TbZaG>zq zgccJSD?y?pi|6GMZ~8eP*7y(FSMKi??}FfRzb(23us~jEoTE+**_HjGdKOupDg5xw z9gq;D-6t3mJ7M%gM^nOfReLYObR5COR$M|HFJ#lOz^VWeADS!(RV#C|Et|`kQd1r% zUk%+A`cRxGoJYpevAQhUbKs`;#v(%Ll_KgZCzvFrn<|=(l&6PO%+<^Q(SdK^H~VvY z0y%`Ple&J2{Xz9uA><>r0r~oTiXSPg19Ip*KZ0TOU};z17)hEG)avwcu$5yi;Lz(m zaVIBq^m>xMC*oRZrnMN3SL01gp(mvPW=N8e@4?3`&>a(YR?YF-{^KwC%`>guN(N;# zjl4}pAIGgW)ZO1qjhUlfGFbJA!bI8&@OS7TGe76%2=~vg)4iOu`%3cyU$6VvL-OR| z!p(b~(IJlo^8V9_LR(vh=p^;@I9ppk$xv|i!J`0fv+!q7U@ZP@(;|nX>%q;si2`WN zc{>LOM%424x%0Mzan9n|quH6+yo_C7oas33CY*?FDT9l}rNWYp01}ceP|*Ai4-7Je zDo0Px{wiio2z?bX8+&P*ghiq!era9LL;fY<7sZ>*_zAKMJ)sqRj;6WheDuB?pq~34 zMig{gi$}0~p<7ON!7iTogNnIQ-LS^^93p$P-&?rqtU+3evQgbn;&o$GDINWbCUr7L z98k#i(7^6*=p8Qx2#bvjz%j3_LlNgZ*U?O}qC~`S2wEFL7VGxLH&LdQmPg3RiC7c5 zdtyGf%qdo$6C|}ci^Bol@nYa)32`>!eAs~o>yIl#6Il2AQk~Ii-#v;todcRc&)l zx)4b}wQ4FWhb3MzG2-VRT(y+P;+#7p1;J$AeSRSt@Mi0SW|qTq>V|^gP-($XSTL@6 zHUeiJATQg#fuZ=;{Mes=ZnMk5eO1`V&4^+|X7JX`8g0Y$e**bO3k6n@tvia%{nC+p z#hz0Cg2O2;E2x?tZM3TM-CX!MPHs2no$B9r*Nycz2CP3?V1FC-VD*7F!;Qwbi?IMd zZg|5fdqB+i6TjUrHeA&2{`r&uE@ec9OvQBW)YQxGf31}U(%ZtNZ_K=>ffO?V2J-O# zmuT)Mo{9oXf44aaS64xg)FECM9)nkCX236E@3RljY`WK{wv9+r#klYndVUV%O zFmVxJlXv$riZfrovb|n;?SqDted0Vhw5=nv!KwC)3VJdk7>+(F6=1fLYwb-IPQufo*+3bUyXTx<>5Q({s7$Oxb0pZ-C(gvp{DiWsGa1h9wO(`UP z1c2^pHd+~9o*eH1$=H_xE8;jfYvZsEGz!p*A}VoXXciW0DJk9yo8z3|XJ{zKz}m8O zv=G8FAPGrZw&zAE4n_2y?8%8c9Ys`a^m2PDLr+k9WkygiI^kgZccp6B2~#*B9Vz#e z=pVig?2@GCIu;URZM_hlsAPFGRGH!C!0eNnuR{YlZ<`#XJ}(fsQW%3@NiJl=U*3XQ zfCv;DuT&aEC=|tY$82l;RDxg8=J@zfQCb}czEtjOW8*8C`35GpI>lC{jsjxO9&5g; zbeh-Cc^>K9;k$Y!paK;^5qvz&G%K<-dU-Xvys1xaALk5DyQm`{EQkscP!yT|9SqrK zAgg~kY=c^S4PLGek_ZWnBCZuzp0p^c1k^Q&kAv{%ln1JsnyXp)vR+EdfMWLrDS7^J z(Cq{vtv|`#&)aSFy?|-oR_)BUoIY5%`5@i=XSWiaM8pPTqvcdp$aiI2R!4err|hQr z$>^23-!DWRdg4DW_&;D-J>XJ#T^EqHcz0H3vY=M^cTS$1(I&ohsdm-->|o<-G94|z zr20QNZp(`7FnVyr2Y6Q1SOop!?1R5p`-cubUnUQ{pBgZq>_3qv}CKUK5aC0S53ts;&!^C&)(oGTZ_}L9s zn#o>u(S)65VdD0r+Sa5?GB9lZ^h3-6ka+$PBHb3!;+Pvs*mm!t_X^Og^2sTt@SpT{ zJPNueMHhtcE$q$1hb9w8BL4MO^d85tkiLHL) zrImi;Zwmd^-$@8TG$hUzQg@^NZ@_nb=B4@Mo@b>Nf6GvNg`dF8tjM!8nho2XRjk>j zBFm&`z=f9nZ4{{hYqz%$5NkuN4JGPUkYoE4Ybuv{j$;)QM)d+BX=?s}PrIILP8$nf zyR06UjoVst?seCVDVXGaC@=N5qR2nBV7E>=z6~@M4)2d8M}yXp>^}BKFk! zC(~d7erBlNO!4u@o&J>UGD)GWZu@7Vk)ni)DJH)a?R&loOv z`snF3e5&fePc|-AJ*VWTQQR?xLSgCUne~35Mu58$%o}gbe@g@f*fOc$WMJEiA)ua& z=18qq*-lbsDNfulR8kZLr^RNrnRbbmwmS4RtG2KWtBjcrU%E4h1N0rgg<{hPUg4xMQXgzhObX5Ilr(qinzKLT9g5_OtHJB;hYMrjTBF$ixai+q8pNft+`R9{2R!y0 zJIcOL0UKH;wQXynaBJ|Bpw2hZ+W*JRP22ZCL0p^_5H&)7dy0?&!z^Gg%PM6~qM3z8 zOJZ^He84!QF&%yK;2Ubv7$ z-8BR9EP-?89vZBxwa_WT@b_QWu4sxeY!%$#=cB7uAQ>4ZJAh*9*Zo$S6{FtLCVRKzUjxG@lpdE}Pn9SFi|Ft^|f3T@J%?k&y5+9iiQ;A zc^yLkSiuiqrsmm$uM_OE3Ki~29s#VFv89BMfWb$)6E7}`UXV7;ntKGo^kQ%nA=RfH z1f-c@2^l^hoAm(GhWU}9$%GIQoR%P!oZ0xVD09Q)e~mcHNrxr9m6&lP*VgUE&plmr zprzqPA;p&8qsjL?#4lRGsIIImYrWO=p=0L;*Y;l@4wGa_Oiwj;UV8Y??6}-|l#Pk` zERusN@Ue-nA_H5rk81XCft?Ku=~OlqSA_7L7}tWZvSE>d9sHQcc$H@U#ZtVNUwmY%=g&X#WCnFWFZkPkKr zQDmPJG=7phogsBis|KN6*_d0wyVqE}Jhp;DDV0TeFDq2c=79`PTq*&6lNn?^Axq?q~M;EJBe zCb`!x{GGpJFIPr^liKBX>#%q}3Pxk~!6^i&dCD9I-178&zaF&*Jp8&wsF!b(xKbHIIF{IBix5 z)9kqP{!cUad)stGsO4{#NTK{`|?k$^FvHeH?KajNcE2?>p}kut$tUwA)Ktw)V$n z)$fkJ08aG(p6!s0cd#_|S~%_M9DMjc?K+TLe7C|dZm@Wilzdw+!uW5K{Qr#70RSPp zwMKr;KPyaJTxiu6md#G@pOP8yhhOY?Ggkl)0cj6Kzjk$GvYW3#0}Wh9Ig1x3)3t&g z{Z)N1GGSVxKe8Z0oKr@Ce(*&V*s%U-FS_h_q`qLK1mNpstSE|P+mIhgv(=MtW+y*> z)ac09j{nxwl&D!ZMHUxFhl>rOu^JhSs*LllynI*;Q>y-@5Y-WSXMSK5I_IpMVj<}D z^kqCXo>Kh;gJy+v4qYa;oR~+kdYZW0w@DhMh6ceLuVz#^Zt|<5A|ICFXm$J2@0$jw znBT?>C_jEv$olOyqZ|dJ+=9W$LisLu1pI-F={v2$Ix%DH)Fmb z$%{zhHz}RTz*nKcn|nKIw9(ivxt^WdHy@p&{KouwH(I_@mU`&jI~+g426Z-vchUFY zmSsI(n!|_w+G+QLR3u-EYsB!UetUa7RPDC9DmE9jwCl0bGj8e>#vcuv52a^lx?Q%9bWD%}}Ok>*j%kjxyx;@xQg9WlJn+xF~MACpqO%?CMmD-?C!#7%0 zUs0wjPHEdW3za%(AS`yWS%WVv^QCKGuLjTpkXn&VQ&ACNT<&@OjgSna1PtkEOqE+i zouHVGdfK7r=IMwQqEQp7V^@pOkrKjXObG}b7i0M0p}ElLHc4&rK4%>K83q2F!e;hb z5K=f%1AcF~km;0x^y{LsktQ%T2NO^`HQ+zuJ~7(WJFYC>bsQ;P!>2fq6tLtenI>Qt z(v1s(i)Ss~pU}6T<9DmNmco`put|>qi%B;?;o=YiM_!5E8@I;qQt?)!H!v699PRKW z0zpLL$|aL}`bn3<$;w=l_BEDDK+Rtxu32}{CF4kdQ~!y!bAv23>Yq_G!}|xup4U6J z<1|M1@0-#e7ZESq(FDMwPqp|qG@MIWGTZF0NhHrz9p488Z4f(t5#}>e+xijfzpYzM z9{ulxRw&y+FH7vG9Fb(GF0g;=)$n`|LKyP)Zx{EQ)t>d3{hva28H{N@^tYIw?{4n^ z@+*OlBv2XA{`oT+pLIo+;dSHe9rOX7R=-|F^crqoNlV6uzw?C!ab>9%c}7g*+oERn zX}Zu*K&)5f)1i}P!YC8mn11Bi`&C0qpC)BiomeNq{Ba@gyizZ zm_@JZ*(mHSg=QL8O65_K-|cz&FP1oU*epO;suwO=esN!aCO+73cj_Ivt}G5mmv%c4 z2!GR|N4vuul$-fCbk#pB*6g~UsPJ>RZWAG9 z=mlWcT6`43VI$pS6KO1Rk6cyj($8#R$T2zSGfs#wyXj})QwIDYeBMKO%OGy{z zMd7@@Ln95f2h8m{KM9~|n|QOr=w%N5bQzO4qW<4GsJ`AL?`J^D4-#$7-?I@fM_sv zx@2645W7GaJ7~GMUxmLEL9K)}GPX-@GX#ZuUEiugdxS7mAd4THOuQtIS_}=J=<|mB z@D$%qetBV3Y(6j$PJAqdmMrT7CY?QRQo$}V9>!w(Whth5+IX0)WkR*IeZxD>H9RPBFhIg2(^!{tMbkZ~HY7pvKp9ZZzFMF}-oX-g?R~KJIbTdnzgt14_j#p3FOD>LacqhYgaxwM7Sw zNZok`f^L^?b%KMe2wvb3I-gdMLAg6aeK3$eTF^+6;yS3(@URP?Q~43H_MF7o(N~i)yqTmNRyV-O3;n$}+=L4qbZ(M><+)Chr~Psql=9FKk8^bCcL ztZySievzT^(T^X2l+^l=0A9IpoMt5L_cIYdUgdYCkAc+4#dyD^Sg@8CL!=;sntnI8 zU1_dsb#16`ko#ktq$YXh*;fBebA=bdg7^Kc+m7G)mfQX9j}d}(Zo^I-RoWe`VOf1lL^F)l&##dQ=z&v0As-(!RqOAX=3T2oE#d`-4b|CO;K0OWq)-|AlU0 zd?g|G%4`t^HwLn{#28&A^>M7b!nH^9bAO|kBM_DGG+NTF@kno1{Tnn9keUuRg6 za;lm4TWPJz-X-9i0bvyze;Ki}jW)j@am2S%OtE8rj>SRc%|@-K6aCHa0{#l%ICDa> z?oCrLSwCaH;M?z`N_r!J!@j0XC2A{N*lBo7ItYu7#4T!6DQpcSf-0qS+!0~mi*%Cp zI_$j;W?(U~CWdVGlzcWhtPh^;v+-n*(U|Hn+WBo`ZkRmY^rxlz9>agL^RS-&x8>Ld zVrjL?i@Zfo^UT5RjdznA(r^zOWC@eDh9Z>PLAhRD%FCAVv{15ifPsld#;MjDAD9le z|B;tYGFH^gDgtCKi)CRVy(Pjxr-cy$KY$?~?o_r`@yE7MK@KcVp-sfT9=~SMIm}3> z^?2m+$X14kPQ|`f9OGeaqw=Icl#}HxD^FSJ*mysudxN2|0WR70*4%z9Goc%1r_w*y z_Gl!Y&q;pX6fVgb-0!IyfApiP&2ZcMhJ16CAK>xxbM)0>8(#XoW6OJN^{nQg*Pgqd zYp=-f`O9uE!YdZgE3N-^cLvc21QGckgq&mo)|FwUG@Qr>F>)A=eme&5P>7rVZS4OA zs0aA){PXMjhBy1?bx#0ETaEm!jrX=-7V4|I1k(h*wu^C$ch?+_#3*s4W+hhMU@K{9 zd0w0dH>CqZXDA`z(R7O?6{j11r}A4Ri$+`yvbs=3a6E+b#7kPOKgBcy1?Q!rD4np= z;qQRC>$}<2D8F71F5|hJM7`ls0F%lR6k;qKI`_pG#9yLu=r>NDYRwNVr1ZB?9}Q@k zV!~bBX*v<&(oeJj^`eAdc>^o$Ku@34N$n+xz*z`kf!s2=g{hHWtXX_Mt7*P~b%` zbj3y_o46C%iB5py7u4#;m=hwBxe< z3|SSk?8`q|xDf`$x&M{e#t+B5`16=4{PtD)=!X_8D2kphn8jC zFf4#3gs2R}?Y=(Vj~rbkUkh!lgooq3BcpOdm!dAGyh;pUIIoeHn>MRD8P|ExbHZI)Xo+lKRE>0 zrX1eNue7a_>rW($HB?l}jNsbA1&y;R_~dZtI1_dT&p1q(OnkHqC}c|-u_tt?^#{D>R$sP%uGw~JWdrQh-a%JZRi zrjk?T7Yo#5yRDanle&_JB)bnAMThYQ-_~w5)CG8*E;Wf@x94&3d^@d^@&fC>XZv^h z%y+ySAi)u>`TlnQ8B}rkGwEh^DsXci5uvBqYKofh-5Tu;EfPxlt;>I_pK9D*WB5Tg z?>ZuRalCt&uQs&WNmXgsH5QKe%yg8}#sKWbllpO}b|+XHDGD z<|^wAS9gS}eeF+QE?dN`4I*_i-(GmTdfb8Z{?fNDLo<0mJN`$~T=l%|m`kJlKt{o! zpkhh^fgKy(;|3K{c|4>+F&0GQQyVSEWKt1UF8#a2L~BVU7E}L**SU&J`|~$=&U};o zak)Ts;Yu@CJ+m{BzbobNhA6M8en@5|qV}4Ft7p3`!ogf`>J?F;T1}Y$+1;wc=!DiD zlTv3_oN*MvdRume9}Z3kV@hY(q94dO&b~nO*L5`P>B!KJl8>&SQ@rLOHN`3HYdfDQ zu3v{wu@Ub!ioa8KiD>lL5F>Q)z}lxAA^b#c@x^fbHG6-X#9G2kA#QV+M5DVEzLv1u`LI_LSbwd?$w<)< z5%kV^Bv4EwhX0IApm(q^7igg`R4Rb^KAI2?p81~=>bq49#0hR});d0SN0VSe z?Luzyug3ljfV)4K2cVRx9C2)z%s3!E#Oz$Q+cone!!zL`{`mrLVPKe*R3$~XWBS6h zgL;StL@1yQ!S4-zsGo@($L<#Ju5+-Hcu3I(3kSc(<9ppJ^NIb_#;vG~O+;o6613fQ z{Efbq9#Xpj&CaOn@c*US5hVKz-6J_h6Ps0|HnOdaBvV&PflBMdykCYJ8?)0lipE>K zA7VZ)<@k(P9Lz_K%6K^^f+*rMC_nM3y&F&TSP?MPJzdq@E<(0Dm6puy(6xFVzBUOZ zJMs(mBuPOi-*NZ*UPw@;09`$R+H-XoUkdI}t-1)pS*Mi>;kK2Am-FVrP zjO^?EZy9e|FIg8nrgz<5xi<(z?q*0uvr(zCky1yq3rJ_G*hpj|0z`7!ot{z(*V%R! zL>h<%tc)imfDfE!c9t$$oi^7=Ustz$pHA2O|=5n+1OZ<`4sF`VKkWc!0z%4%E z>ei*s^`)Op$#h|%iRXt*|2ui_Kc6OPDSDdq5Rsxr_3d$H8ocETr{?!OTJ|o!dZ! zPW93p-5+8sCd&Jt6z$yzH^gJ9a6sf|kurKH7KG;@$w9!`R6SLgaTn)(OMH4I_i5?p z-UP*3sKhQffADACLwE=sID<$nQj{$iLTyvLpzxTSl(OvkHx+{qY4i$fguedBm6CY-{aP(CGWUz#(6ODja_O(H1`+|8K^O@?q;FHfhri~& z-x_(OhNO!w>Y|g1X>U%y?0TDc%UM1kyC(to>=Z5SDU%tkvdt3+56a6`DlPQya2)m!Bi2S$1yeY;<*+&{$CVXh94jbZW4z}1sM_1z8zkWobjZp;RH5+Dran{9m+8g|eSLx+7OY|(f5Yx@{NVDt96 zwJS*TcbqVF{yshIsj|J>rgA})7)Z@Vam51u^^+B3?RDQ7mQo!bRL$l%dW>+Y!2Gj1 z`-^zn(p-2q1{GAO2!@7C&ye*LXnUN8K!V4N2DPkjkWI8V`4)sJ6FA8fO(6<+DZT_>ssuNvvQe2d$ZCqeH7`|iMRWk zJ3AB|`fK;1%+5{*6_EKGx&mZx17;w9mBlXm#3}Jh=VY6-Af>t>HYy#lruaGhujnlV{%j0TgZ_`T0--yG!Tle}>dWu|x1u?x zn#BEiAMu5gm9>oaDXWOx$3e$Srxer@hc{nh%y*@)mGp1NvZQ}dWgq_IY5*(=RKHDE zQxydx{%2pGRn08Cjl9d9_9yn+DlvXuai4>;c}ldP?y=~7?C!WTb4+P#t~}NenKtKU zYj6m^8$70b;)6j$c)1^Htrhf;UC-(m#G(dKuHMIHxx?CKMJ#I;@@VPwQ}DQpRnI$U z)j4D}(&^jPSr~O=Q8B)VK=5}={oZpQDKtbMUM0=C#kl=#O^>=imzGeuztalv=$tyV zimUSni2PIwLP}5Mj?60#v?qED}uE8Mb zt|MKwVmyi>2uhv6u7(6Ci}Gy&K?0Ly@>si2{#!wmcBK8<$Ee|$jehJ1 z79@ScS%a^Gqmm3>8PGF_o&5AAcvrOIrH6d*Mu@)a`uQFQ1r~$!2D-JI`Six#_uayFVhC*w5Qman{{eat@En!R$ z7KiULx?SxY2G!N~xx|29^bPDn`sAER0C*Dr7d&z0jkQz;je7`H{(`+S1QhgP9e?iMCp=W7d$q3*ngGIk{ebY|_)MKc;|0z0rMB!QSXWFI}8V zi4|}Gq)?9tYWIEup|P_Fc8{$myvbsJ2J*`M(DB!DU?$Y=E4K=WOhJT6x_+0omr->% zl234cBpdz=gn7XZ$zu*Z$}j^Mm~N$`tseCwGSae`ep2vTUmLp7Rt&Wi$N06-T9t!Z zW?tO~50#h58QVYKx~MYO!!XF2-vEV52Ob@-+rzQBFMJ|4h`eTr-EJZW8-|!2zm(G} zP>PdD0xS%qY*cOMMw8&_Gk5Uh!A`7f+ya)EisVRMo32IUMbP*japh_@6>>ZjB6e-=S8 zmc@`M8IiG1{=ORL7$^m2vE+?D_Gz%H51-npu6i4+{ezstt+aB%D2MeWlf-X<=ci(k z#@M&^W@!H040AR@|5#4ZFb93TUsksDEe?>I{O$cR`2J%5Z0YjBl)>)KODx)RTviy( zpV8x`3(KRPf}YF5hQ<7e`=g2&*9SJ@|Fqy=)HB8iV`pclx~9h6V%G26p>Fhv|I|`p zh5rQ2){x2FHdQYxVK_+s6B(yJhv*?kwxOE|VK9znNq|DmB4>Tl~NC z)n0Z4HVEG)OOGIVIFmdZ;E8fP5#F2`2{1P8a2=75+kpIy^d9P0Aq^)a0+a3GxOEk! z(zsZ<@roJnBZ?4AV+j#JCho^}I5FW*WB!v^W$XtNd9rwN04B{w5QIZTP39Z7(ypyE zu$z=Xmzkb%{pOsX@v z>D*QoigU#Axrk1+3r#~UlZnlK!x7hpugx4f3Rfx$!Y@O90SI1nOJn(NOnu|Qay0DFtnsvr;}pB8+48B6nBuU2l&)PynwR8rPL!J*wSlzHe8$*L)8WNzR&6`$K= zoa?ez5Z<)<`1TbCk9vB}-Vta1P?E}!wt>o9d?HI!?ar5PnqtxcM?!THO|EJJ!vLTHKg7b^h4n#&JM)EZ=LRWrthb7F> z(45R~NULuEfg{7x*QE(y@^APno0;L7GN6*>uedSza|bG2-(Ep}DuJqB-orrbClT51 zgk-~*not=g;>TciGO}{*_z^Inz|-C~(~>?dr4nTaSx~YVkA9U)&Fg{Lp@WRIFhZIF zTM~p=_@xMYc^b?6nDZ_K$_F+shIzE=np-B!Cs!Kvo)tq8YSvHT-9o@}V)=O!2$cSC z`bsc_Lm~w9i88^9`J0n-nlRO)Kd0oJe+V{j^64GU!m1ktD_s<4?0Ib%vXYB4(i9OI zXI-;vwdzbEua%bp^wSA zm`h6Bz#P42pxD5`2fm(NzV1WU&ib_QrkrqLdxS59I4xL5Dm%LXPs1okJJ241%#S?^UNbQ6+|t`iIYoxmdSp|9Mrd=w~jE zy~g-AS4e4z&qH#J#$gY5Z*uE2@5ii-!&nwB!gYOi3~WxrrJUAu$R7VYQKNqQ0d@#F z4-b#gsekzfA$|SzTkrc=e?GsinMFId@oR<>PA~ zwchH8f1f8&n4C9oqTO$kK@|r(CxwwFp+qm6dOTWMQkm?-i+DP+CKNJ0dS{Su(L{g4 zKyt7k-!USGtl$F+wcPvFsoDj>2B!*Z?=Iz5SJXa#CH-up*WY~}5Ip)!r#P=j1 zB&94e&A@M)Agp>~!V)wIZ zSXP<-*ZM&gEiLvQ`p3pv^0bUXE}^KWcP&vmN_G6)qaWbm80L&0U&AsuzeAz9%TZ5f ze0k%!li#txWCUZE+!KnpF_5!h7J_ESrw*=9y5?w1;HW|owaw|6taBHyK%beH4fK4Z z2;G-GP9{#)1)+dOVLYiva^OR+Q$^|Y7P-mMBeyxf^RY6ig#c-H9i8IlCT;{%^6q9U zCMHxuW}}0%hxxDl@Tw5QkU}@$BV#ZT1z9GEdM%bemaU$I!x0#E>F4r>sAAz$5tvtwqRBl2b-KvmUw)NC zHocL-Cx7Hd&=^5_bVSPzCVI)Bxa;aoNkH~0z0$67b)a9a@y5$R28D|I%*W*<+}AC7 z)qAn##++^7ApFuPZr~FplJc)xii}y6UnX@t%5z-oMV)4PBlS?(2_>j+aB7uAZF8Vz zFi57a)(mKkK86tsQwwJSz(^%~=LGH=m;a9_x|owwm!Alna32;VzdvAvfOaGAX^PGn zb#2-3@Gd0b#(c~Uw^R+dT-k=*}hTsA_W%TqT;)> zd^r}l4w#}rptQu%;X-LJMDNm0v;3Yam`s=)N>)@klOGiX_u=^MA(gq2+#ZNcO%FY1 zYGvq?EJh9c-uxiP40TZ#%8T3BCBk`?9)}K>RiNYkMM)9_KD{uG^~@C9cGD^P+5}e~ z_{#<>*gN_xgWS!x+;&SY{#wm!`H`)0IOhJ-_m|DRv_sEm^pXF<2U~kE+64zM(KPq8X7^H`o}#M?e9`|G%bc zfIs;D0}kIkQT`WafH1eaQ8RnAwxk13{%u4cToikb;n66cD$S%Kgy6*pa%0XGZaW@V zZ2L$qR237HX3LB)s{?po6Qgjzh=J|!yecNKX+$C8d_*B?c!ULQ$N|g?7;szOu(%I9 z_ZV&DU}$p9LHHANWbnN1(b?SaMzi6Ed>8Xig~Qs&u4a<)5jKBCKVTBVFn}l4EmZB| z+Ndrb!hed*GW*3=WY{KM)%K%mzD1=|>X(=86X{l3Je^){_3FVKuM)?5IK_M;o>fh{ z(~?*ud~Dqq5qS5q*z36IRp?{8Q1=LGcax-w5g8^xc`*6TwYWZSYNGLpToj1SsBuAP(2kj>#bLzd475@ z8(=+-rz*%NNd3niUr}WH-1g`GSavIm&58w(nP#Cf;^(qqc@5W6VI&SC{z-AGYg zIg7?EukuE-RsD$PXrN?+PM>e}+B%3kLq*j@vZ5mHKk%+0G05~qRpx~3_%(k{RZXEv zGdCk~rkIOHvt@vYIH|5%RvZwy+HXsL-X=GDM7uU7h=37%z=RnQOtCJ*v~*u^gWYxB ziAL^1x_ebisa>vIvXKAQufYu>E_5@k}C!J<~IIul4>;Zo1!RPek>8WaJjod==zFXtbcQ@;a++ zZ6p)rad)6TVcl}@t48{#vRfz-;NN&6xpXYaH?~+w^KVtxe-D}HG#PZT(9hV{H1|G! z-8gl_8M&(6cx6DR>D+-YA$guY*aMjD`oxeNIbS%=nouE9Fx?{q;j#<%_uSMXQiY{R zZ|2>%@u{kqSPk}UlV+ZyfwCM9pE^UDVtCcx1$A0|MD#vzrf`{ zT|Kxvn-U?&T;e$~s_34-!?aKPr{R+fF<89d-#}WsGuwny&ZCMnRdUY30|a(c$elh3|=D3ItOJJyR!i*EfXvt%Aw=H&og?-r1K_qV{urb1ny;^rFc> za{cvnEtI+XDdPWQ?9HR0{{OdeGX^tbj2T7^*~U6#kL-*ggqTDq+f*W@#=d5qL3Sl1 zG?q}=WyunTq6n2xb}gjrd$!-}{kcD%-+iC+eZN2V{f{|kUdNo7^P1;luE%v1FU58 z_r<1b8$TZYD=}hd*!RN4dk#X7=oZgMd?mbG0oMSwWc$O9J1q_!khf7I+aNXG;~L#A z65liZ>QtXhj^4df#R$FiP@*8uIq>68E0gvYkp1eoV;!Yg)ibx^IHg0n)W{&dQq2pt ziRjnPWKYeDPR>N&gaq`Ei5;`p$(rv~OoGvQ8Fw)0o(zV*jZPX{!%E64v0Fk~CyFGP z_(YSlANOgR16}THj^fnGJRtY*&kbqu%e0?$08VA5ogb=6J>O0y3QoW*-ko<6uH->I z-)p{7dWQEWmz6D%iA4;PIRLn4*?I8^8EY@Sw%5I^Nww(%OnL>p4)+gi(nF9Nd2HF#W*Bj`d31DKa3x!rvUiU>)bxx8Aq#nyFh zAyjPpD$-$9g9Z4h{l1&~J0_WXK;QATeE5lWyW34z$#1_Zx5hY!!Foq>h)ZgycX!2^Wo~p#p8QiPi8F+$Cy!VDj`=c4gY-!Ae;h^3T>ks z*GF5UZsbE6E1F}>_xF}WxWy=+VK?@^Ruts)YkPhk75L6E)Ov9J+V|bCMZ7B7dyCK?tPv~o(yDPYf252o6e z4STG1EOO~{>y~Or@AL`t@2e5OoJ86}fm_Z5_t!QB&DXFUtosZ6)DO~>SG~9}xi}}< z>&3_Xlk(RA8|#Xf+(S$_QJ?*u0f@mbW+f`Ib!jm0Z2hTkjZ;nE9J_9w(6>tn2~5cQ z8S>2$qK`9z`Z4EsM2$maIEC1-u#F2`=i0%kF0Yo5J*YAn|K>9d!2e%)1$BHu-y&lKY= z3^XT@b>70}L`zeek?PtHe2cPkbs}#^p1u21e^`LdcM5@e4MnVm$R=%jCjipNS0x%8 zecZ=6k0~0?yQ+wl5Th%6aAT27%jV?;$~x+G2t-?JI3bS+fqBj&Ps|moBP?ITuj#zs z5H+^U=JYibI#mWH(cqm-q%tcyADtSolrknVX+%rTe$O=~>%)0R6rjX5cBQwAn=(xN zzo>i`Y=UB<`yamhV#^BcaVlI{Dx8QvXrg&12Y{75`i1`-@gb?V$^%;gikU&Dh`fC#i2=_&Xqt4|zHyJ7 z?D1h-j$h>KZ`(mKoESK3NzO%Cfk>M|NX0tK4~N+|!$JBQrA+HMQf$sy1EJdwitY%f zK=oE3pHU(ZI85?eb=_0Kv`b0Z2}|2I(S6`=6KSLYp976#Ke`AtK?_Jsn4%+qY&fxo zMDL;rsi7zWE}t5Qfdk{}YZ}tN3iK2~mx^wB*E$BjPRSFz&Yqd_>D8s(b!;5;?TX=I zO=M{M4u;Z>Qp$#iybU$Kvb7=Qbu=<^v4JI);zNXnFXGb{Xr2A7bcZN5ij zjLKneW70hidS_f?k?zmA)#chWsRJC%s0Hrqfjk;-!g8)(4-1}O8ki!)U8XT(AH&YX z`ds>4p#qZ-1J=tbC*h2iYb>X^JdHqb9?8PX(j@HIM|ko48q|~%BdyVmJ+4pH8u59= za~u&)?1c}1OEYte|KmY56nxZag@5jm)5{f$b$B1@aAak0X`jbn-BjW?aHk!?+paX%gJI2| zTa6>h7IaS^WYYfIE*UP+QbiX&=L<*Z*Odh(l3X_i z-^o>_0h+}=j-OlGGdqTo%D$#bX6<<*(Q95yAAj0mRRcOFo6EOx0SI~-es-fH7G02J zniMMZg`@C{^PP0&hbJ^>YI!yKgA%wN(Qt%-;ao=334f8vh9Sml282$Dj8a^=fG1(E zm=p3kV4uS?pUXs|-^-SlGEGwv$hT=lmDLkb=C_z~CQ{zsyk*QS8ZDL4k=DkL>1Wx{ zAF+rqQ16&h?l7hZqV<{$UnW2WOK}FqcwAVyjcCa<_0hAv7jkZ7*_j1_OIT+bl$Xl{ zYmOcjplVo-Hbit_B8Xba==)HNU$hj6x^G2d$i#dKH8Ccul1ouN8=&%jdd9bbE-9X_ z0=F~ZgX4*O=J(q`qgOp47A7vh^luz=OJ#4K1>U( zVBWtO6Hd%_awL6Q5WI zhdcfp`V*%{CfbtYB2W6ZQ7&OQxLDxau^%APcHoUqrlgClh)*gIxO^}@CD?MLLpJTk z#uG!wNEnM?;RXcrHYn$4XR;R$m6S@P5XfeGDxm18Y#$zv3fsEvuBeUhJ&MRarl>5MwEErz``>RQkue;O@CPf2eK05C&S;G{mM`+ z@47eiIP0uP&XtUsref0c$PGXp5ux1+ zX8=jQB4(LT%S;3aBE|n$e{Pr$DFLjGgPpdbHFNQ#Fzyh@P}8>|Vrr5R#p}Q0=IYt- z#5qLi?+}{7NO%1qk*v@3Gtq`#H7Y)+VU)`u%W-yz=3j<69K= zvTMPz5eG40Xt{&Vrp*gAn}lFP%Dl}1YNkMSA)?Nf`|m5v|0)N5$0LFlU$8kYM;`rs zena!ZQu6Jk@nw0ZgIdiC#nyfbT-!gL4uto9J=)&UzFl1b*d(TNmO^H8Zx*QZ{bTX^ zccqEQF>yXVz#c(_K+zIbB`M*IwOR6M3V=Lr(1j%{kx$v(lZoTCg6gjYQ<88EQ>!U)iJ>~Ch8-f+>W8qH~ z%O!d~bx}^T=4sv_#|r5kyT==$6J08VGgpgz3#eyiAlg0hT|(S|bDWNup3KU2#A z>Q59TCPgiPAz~89bgks6DTNNH0k=zhaVEu`>+?B0U={(W z^s*x__B(gZ_6YAe+E`1|Ig2OPpSc!?6W@7otq1cA zqu-UxZ65nQ?{e36s)YKbCz+R_hK{43zf7dJ9hkI*GxmL3kTNK(SA&8KOa}9y zshOkVcvK8%q?Uy==d9(fy45VH;PG`UCIg|`;Lk{UWoVo?`FiVGAn(DU))`z6R-!Y+ zQ>OtmIYP<&d$0)fU}(s268is$L&w zeO}+oP^2@lUMn1QO(CMisv{{KYf;PXFJ@T%jpC@iT3^`~P0D`f!#WPcq zWS0x;)Uh|zneM7`b(JSz-ZsuO+}IP8=e%T{JZ!!J+<6?4FLouIoy|FxJL!sg*z1ia z7ia5ugHkBU{7hoZSdKs8_-Q;Z1AhiO|9g0TprV%UbPH}3OCWQsn$RRm2+`^=C1VQR zObd(Jw_lwGRU45!9X<8;kU?}(U%ayoD*_fG{u~HKbAAD)Me@#6!Q|6GPZ_1L+~=hc z)qQ`#mlz;wFq|m!tL#Xp=l#^-%=*hCA4C7S6f}gwd_oTvDz}ffdhH_{l9QA-9@lqF zKiZqpaj#O@s4{n634V#xcyus!c|1WoaBt_5o zlNoD|4)=1N+&Fq&F`II$R0O$puU)JBEtN;BZWq=#7w!8HH zV(4=!n#BqOR;pQ{kdz;uAHKT;aQ=efRarlLf`p=<-~A{2(*i?ouXhbJVs%3``<{`BHX7`y8(%PretH z&q8p2tp|U$-jPDogX>o+D4#&R=7v#Iw6wk|>m8F2y`oU8B$`c8GvhZ?0%fJ#A>Tlywo@*ZpyGNc$6kb8 zDrM&oDvgrRm6zdIJ07bvQ|o7&WF%BIK6mrV%r$!a2{UiwRsOq(R6QA9S%VVPFb71| zfL{4%Caohpg~*EVd`Lc-zfjq0sbiRXXC*a}X;e^;NVb%zG{m*s`Lw<`ojn0Vd=GnrFxh|P&8s91e`C+!dW+=;8Hz8h zsq8EwX?@ktoP=Ate~u#j&UOVw<}Xlm76PA!Yo&Ws)lA_#68G8}2j3IHfQ=@t+nLF! z8^KlNBkPr8G{E8M0eSb$C6Rz*PDOY9u**$scp){$zodrir;QpXum`P$4!1;AMsOTz|9(no$yot8KUJAKKVKBjc z281~Ci76!C4a$Qkuz-E{E)}fF90Na=?%a-2lVOem!~cB!vxaBHl6Sw4APvWuBxOp6 z_aFb7U)GP1RGB5+;naBd!2>zPuso{Pd^^5n-nk8h=tBw1h|=Jw4~-}w5uKEgs04x= zJupJ7@J8XuN)Y-EE`b%8yN^K}5h2;S&?%%_#MWqIz--71qM>m#HH*s~Op;efDQs64 z>sbaw&#-+(53GsBK?MNxx}wL%bxGhU{oJ_L6yQQ9>&yIXiVvLH^t!TDkT2`i~0UR@j}1r@5PbxK(`d=>gXf+?ak{jPx3{car!Y8i{6g+ zhs%|ZbJ3>G@v?wVHb5A4fDel>bIdw+S}rrF1${yks4E-uU5u$Ip80* z3Vxj=x|~uV()KW67;LJ3ddclR2%E|43q?LRV~-@w@p}q-5~*;sY@t#=D1vAYG-?Fe zuZ{!-{p?2Q5s@323Kud9BZZ38tym-zSR@TfD&uCOd%kO-FI^csp`a!az~3+wj00b6 z40c~V*ZrxXDp7@cfzzj)X2^0Ds7N+HVzb|2c?{#SkHp%2icOn{RR7oiQJ z@5^N&`2ew)P)H&xh5z{?UZO!y$zz!0qRwisTB+RjBNWhSRL&1x(X6KhjGReT#0yEk zF8@5UsxqZVV^Cwc-p;!BI_H_i@&NOh(Xer*$RFD0=PDAB(qNf^>2R0lq*T2!Nh&73 zhaZED41;61%*ogQPIt-Yus>T6AFI@wlKvWNL*sVW`NE5=G7?CXPQfOaTi^9p zZY~{NWp7ih4=!qmNI;al7F9L-j~9TS6gz%~1;#tg%m;;;;Yq?cnsV~vDQYApDf;$n z7+M08fQle)*)i!u;A|?%+#;5lohlJ9(QcH~6=uhzS5X?dbN(!lD9EWZJ9dv-Rl@W1 z#*((kr75~6;^ckR5<|JH@VhXpIod5&&W$dPLXfjxXhb+s?x^$CP|%liCr~!eNM)a3 zlBJ*KSC2tVh7C3Mf>+C~{3E!C*v}@^h4A);d|lfMtC{SVtTFY_-TYHe_$stt_MqO0wzl-A{n*bmzdd{N{U1X5A#UWZU$yZ6Mv`nAf*);nN>17J2MtZQ^-|A= zOdfY)y|ur1YocbMCVHV2@^Yle)|?S4S0eKSt`G@W{H5sG&Ts;x_nWLtw}utUMiD%xpr6IV+2PSILCdE$Yin}T_Fp9ntLmflWzaZ-N`?N~UL1EyO0OV@ zPqK%u~R?RKAHud z&3yJp{S?%4oZ}1MlHH0bMyAsxmW%5`=4^_kdZc4Mfy^3(NM-P+?@9?ApI=ue>BM+mblJPpS^w)~Rr+`k{r>#8 z6tJ_zCTc15s#4ghSg(vs8^Fz$H~&o1{1?LM6)HkbHSII%fe%;AJy5UcA`4jotE!8mg;R?TGMp9 z8>dBnurwSLi_nZg=$tU`rFJYuS*})>6|%9sSMcxetCi$Ma9rP19?6KP4$ig8 zkMuIH%wuME34cn+`(^cU;Qk76Ja_2LOY`BbAKNZ!3Z0vCOrDzqWCqNYQX4JCo#*=`+o!ko z>RhhCZairF(E6vi@?iMUXRoHH=JvfFN90HU)(v4J=h>V8gY*5*DR1M*X)D&*kZSl< z-n98mJ@jL|Bh%sLVdz5cjfX1kVUo+7tzUPiF9-kbk48%x6b}5C4(#7ZL(LdQKc?_= z^6R6pDG(SAnEJ&NP{r|3jL3z6X>i7Df6bHWWu56UOvX7?VZwUk=PCdctO&wFiCkci zsuKDNV^c)U_}rPdK^!+V{GdsKS-({Vw>iv|AMZJ3c=V=U;enX~oDd0XRA@s7@lfa+e`C?)NzGW3OM;oIx6ZSuujKJvE#pQ`7 z!58*#vazMXyvQr4pkN=+BmRn#Aw{*{>S$SX{X)qz@N881dblsom=fOkBoXSVz+Rqsji{ zeJ3lG2~6<4`37v5Lon(>49f`Fsmc6;epZICL>Z2Uovsi)WMY}X%vRe?ea3m z$O~OxscKGdR6%g@2wd?O?%)u`G{7pT~%IUT>16liGF7%)WW! zMMFR*O9q@`Ip(#F$A^q}EL_=9bDv9Ipr7K)gzQ>m`ESs&nr?Y}I%9^cKTQ5SkdZ$w z5EG*W%A-NAWGf&G{nehr**rP^)UqO;#&Vy89_K$2&cG@)-->F_ z6^v)2s9{}C=O)RA7qv?IqS~3YRj1Hp;~b6OytfrRf34S}At|_IT_4ns4S#{Q>Ba{y z0yA?TO43QQ#aFN9y}zN~@>z^tIpOxc#`f27-QGHFWfdXH=0tmsZk?1oPdl@3& z0*Pn|?hA5p(`V;MxXnx8EXkb}-)WBxz873uV%+-W0(TSDc|5*q+XP+W;Q9D zs0FD`h9B|Tg{Y?8-DmfaK;bc6k-dpb99D&-rDrI-nEg3l1PhFXwa{3dorO7`UZ8gL z66aYjcc%tREySDnYTqqSG`?^NE$~h>4w;0&oqj6fKgq2j0gNk}Rr8}HI+flSRt{Rg zgzbft3>r@MIzP!6Xr7^}J#rPrP8*cM`bCYgk+e@!C%y1Em%_e8Hg?rW*tmc2G0vhE z_mCU)4)Bw!8TY*TnBgGjt7Om@Z*K(^O;d4m)vz}iZ7Y&G=p^lxJLO~e>V-PjW2vJO z>1{9n;!|(?zCfB^)+WtG$aXGK)J3Q*eH!Z;*URml93(1JrvBno8wG@o%?4UgAS-85 zDgg{b59kMwtn8)7T{*dwNOQwLdTN8P>?11I2PHk|W3gsn9qcO<5590%p!|3{bx$y@ zZ~p!mTs)ZcOWw~_l?m=poUB=AUMDeaM^}cxynjQUc;%@3W_uDBn}N?Lj^H-z09oDD z861|=^SBLrnsZ8;7jH(Pf<%9Jb|s*=3}Qi40%{B?C0D$RY%rdWhrLiqz$pQ;7G|(cI3&d1Q~X zG(TY_9RwL zW8JXI{sqb4T|Mk{s7THl-N>29=i`Eu;&;(nqA<>~K9}i)tpw`h$qY3dk@gd1c$)=| zmIT%y2w9U!*9on0YPbv}KH*ZgH2REk8d@f`CxItWpy7#f#n)V6c3t|~@{SHFYThulHGkKOCaInIj?2u=iTU|i-R*f1{k^+>&8H%+ zM>%@|yodU1ztWuEFi+Ig{sRvhQO4CBETFdL>tq-vcS-l5BxR2+6f*C$<9pVcBdPG$Ac6%o4(eh7729ToLbj+rf4iA>5lQb7c&dG+2t%xq) zaoW8G@D58}P12|{LXiQR*iP>*VfTKXqzr!>;fpVrcIHoY4`sx)Qi3ur`k|gC7yXdh z3fROOoO`7kHu$^d6MM?JP0ho#;jMAb{{8gf#gJDvc3*+TEOOf~mv&!18yoej`G?Q= zZ_x*2>U=1UIvCwsZGEG=wbS3h1*W<7Q35w*?1R3cZFRx~)8!ojatRtpBjhoULY=vv z4;=kUzN4ogb&3W4rY8y=5q09+naumAXOnyT+wy)M>3#Q{e6x`y=IR&JE5*<9b7%v_ z^c!|+v83>m`~2nlqGFvapS{qoR4pz~)6QUAu&C{iadz4i(N#ZP-H5s0e!k#y+YQ)k z7nqCMugH%0w$rQq)JT{ffV~$^{2&DDKi39yMU6>k^-e(owKa}G&?e(nA6)m0jw)4j zKZ=aGavcgc-q>jztbncwdfzshveDosI4kU_Oe*Uw58e)qy&sBqwn*POVz&%Febm?KC_F#9kY?|QU4 z;sY9Smp}R%GU|$f8V=6CVr-IaR2=h(_o_c!SmLy5Tm+_sw4|~#Rv+|b!TRgvrUfs` zWf*>NHtVXAwl3$wXFE4@3;pX?qklZ2%;r$`(l1VRmeq5S0``V3N|mox)sLo^Ro88# zw}qPhrR)TJLXsY$TY4a^=OCPljJ_`>WMZWP?>bp+uAQPl4-7g>r8~qz;mB^|JmIL3 zosOiZaqTRHBqse=P+tdL6@((gS@4(4R7|z5zuV5M!W{oa)^4u_VU%t6U`?;bk{s5b zt!o~2=pS`c*1v8$44iXTGF_7{qni4}Q zTm1&KLTy?3RzhXvKh_05xcI(n0Y_UEr<~ehl@A*NMU8c}6Gk{Suu?w2URUG?XESHQ zE7*%(Ca9V90I8C31u7Z|^W|f>4KTOOqNl0afU2Vq`*ff}#hLV%r>3k!p)i(2uKR~2nWvH6292fT(;m*kjPa+*qD1qD)@bIG)`)%qGP$eHL|$|xK?qcU@K&* ze(Ig>2D?plPr1kLJ>8vq0DapUG(2A)_uuH6f4$3*>#!Rv7!i|L0`5K-Q*PhDbo{71 zqdM&vh~`s{>}+DClRUU0rc#=pSzpe46AFH@QhRC(E`G> znoh|O%R|12ZTvPbQuK<2gaP|C9Rf#A-ZYBH0{t{T^`V^tM{A^z3ZqZ=#gCcYyx$f$ zuZ>4^XW+v3eKhqT`j8ZUxS>>M4*`95A3=ldWX0L&^#opIKn2X^B05Brx;Fyj_3e>% zMLhu3$ zaRxaH?@pwDRg6r~;#}7@956irW<$&Kix4uuSB{yI1<1r4R$iH{bGAa?s66GIFAOpj z7%)ZRg9>ZN@lq?S!uni_jo!&Ng)XnpGvqp(#N>s#o-qITN@rgDjsACf*MqXc8HP<~ zzROw!!m}F|PO1ftdQdT!o=lYphBYmWTwg+5PZqB{_ZLxe?(_xirn`jnqLd=ow7**N z$N9G|fO##l_P)3@S_X)*O_6|Ikuq;^Ajs7dcw4^s4)H zaKqT6H399#dYIXqM#-Pg{svD9h~O7po0a+&YUB?wZY3^4?$Q{wi5KpeK9tp+Pc5rzYC)_9nOGh9yDyxV`l_9{Ah+Cmj8wVV^8t3~H^-Wp>Rr&dsTeMH2K1A?qxYAj~6;OP|ASMDnp$(Tg$WyS!;<3kK; zARtWQ6JDN-JTh z{RG?QUd6Z0f(wx1(8GzU$#p+*-;p|1Kd`G~h~yRK18=?E&sq^f+({j&j}BvBUHPXT z3+P%X%o3G#b*$dr-oZb5C$@7YA-9@$ANrMP-**?7uh-_D`PpIPo3OqrnxeI;OHLEF zsXf%2c)~SI4;^(t_4B`Yiv1AJskb`ky1mrCIMqKKGJI^h;L+YXawx#p`mf}>n5Lzd zyYqf^E&p86{-gA`!l-JvlP!C+IOG&_X5+_BM$3I0j_EEPyJ z@L;Af?qR-QrX-0kq%_$!f_RenvwmC)KhQnYmAp_GiC^|hOaij~+s|e?fCXqoJm^MmUjri9oA`7R!g6E~( zw*&b`Q2m7W{lT1&C8lI$6S;`Qc4K-!!Lwb&%vQ@WmOZ-5r#wj?vtrLPhe;u|8@a=s zAoW8-UY$eB!K4|pSC9Y2SPwK>dlbvTO6A4!xf z4=&VenwmVSy-NRGbF@gszl&RePU#Y@h5IW-DD!fWVz-4e^lck`K<6{$+TVTVSlFsQ2YXnbfo@`+Ogg>D2LZv-3Ka+M3j#rE7T4n^PxhoaTU z%lYT>2RIN78p9S8f&FLDQQVlGr2mH=lBVS;Aj%1*_xKkqF~wX5NBa;VNvDh)Ekps< zVYs}a`3N~1)$OZY<^uK$vBB&vQn8V<$)T+{^Gx?mDIJ7U#RWT}~N(C-WD&~%)J{0zE1Q{z(M1MN) zgsBp^dzVB?~ZsRb>Uj3x(~MK>W_e|@6{KcdHd?QPUs#{-MPD2bcFwA^^@XF@Nx-z5{_)XU#Q(w!DFWY=}#m_6pf##>L3zwDE zQsjQa_3wHx=;XBx7UYe+lHh3rHHg<5MWbop!Ps003wy6Hw z{Hvj}S@Y_~nl^kMZkIL_H&t>r-3Dj}vpPuI#lij;rt!YmZnYl6jB3y>Q@ z9P_n&_Y;FSET6L*GJTFM)ou%e6OgeQif$bxMj}1kO?Z9UO$W3ZqTbuC;kGsrpmz4- z6;5nu#!b-v2&Vnm-d854M*8Q^c;0l0O*wKTmEhR9Oz&V`2^y$oS`w|vhhk=`+#Zac zALCe&YHn9d;?@{TUgXt5=G4~dPq!^=f$b~ZLMXzGGE{a+S9(B!5x+wyn9YQi9ad@9 zk~$!;66+LBjC+K>lymP3BP%|`!Ir|SXLTW(0Jvl`QK^iZcRQGSnAPfY8K2F;vqRi! z!>7rZiDjw~Sot^;2UCfS^gvtSD@}GM^|1b`)18KQ8%0=reC|ow&n1~D5#BbLWAqAd zU(_~M-S#sZTQDKFy*WrYoWYsdUO$;Paiei{-N7Gi67W&Lzd_08>0tbq`Q{rf;uKLy zXTo%1i0{_t7iWS_1A(_~Qte(NF?y{=!qb1|xk@W!+WF4JL$J~EmCLVqMW|zk@xsJ! z=9>8+X)GX2YJQ4Dz!OwiIi7}|NqHD%w|2l7;UVak_qPmU@P+&PI(ritCxgzCQW(cO zn~2LJ_q8XsQ!!#6HABhDl}_hH1%DEsb)2t5dIi?v=gffV4mvK0vMdw&ru`W^zF zj8kkp=Ya(3ufnwPz__f=o28q|!%h^Xhrw z+`@zy7C4Zb#)21~PH4<|rpm-FFfZ9dFKOmRNR7^^INP69AllSBC;KGd*E3M>0^cmz zjT!Axk>e=0H_zP zAysS-43F?6-krQ=7tT9F5ETGV-P%5L4l8wjWU0+f7=YDKjG-Vt;g>?mkTYsnm?slh z+Us6Jk5y|sS4#41=O0Z?hDgZZfE*fW_gG3^c(hh#8|cO1&C8u}sY>R6WB)*C|CGsX z!PBopNi(T>25>aqAiMH&R;1yxQ{R8@fzA5+I@ZSkmPH!^025N#(B4$TI;8P~9z!Si zlb!cJbpTTu5(B#yOElA^-Jib#I`_j$9>@o4@c&6YY&f*&>~rqowhdi7?!55z(U+B= zTi@VEU+!zt_u={ix4vD!F?;o&PzjrAgkKZKe(0eh=T!2+cxy!1@;CV}_x%jYc-^#q zsspQgmv$X~_2W&Qmp>=#PdL07dU|tvzu%ZDRh$O`I|LKgA@_oVdh9609RU*z8le52mDR>&&6qk>Q5cMO`|-0*NBhvO!FtJtF0~FG_QVk*DHHJ z1xsZZGT#h-ePXHz2;cVY5&-xp_2b{H^D>?rg$9nF|NJG$)VZDu05bJ=YlAAZKt`8) zf{{#r#*yp6S{sc5SRc*G#%kzzt@YerB2i8cLQ&xq_;F{S3kd9!W6OC+lRq89H6K6R z9(gLDG6AF1S@-^AvzBF=LK|G$**20xKbxdcKwE?E7SYn`!`Y)kODE4%k4(AWQC*OW z^=rV$4w}Q_hxEFzX8|4*BAsv-G@$%jKW$3+UpAC-c|8vRSP2B&@3|}>10j%m!?W~R zV5tUIDzz%xcH83TWD3}@I1XuP0)b3_{OhFPCi@j1Hn8;I(J3l7z10&izp?0#R3<>u z&oV@`x@W~_e+cVE^YE(6L{PzKcR?iFC#Y#MX6Xf!7=q^C{4x&o)+<@$Er)bh(XALn zAI3i$%4LrFwofo~37iDxFQ^a4cX5?Zwyt@$orS>d!e;eSV^;ztq(hhhF=#-PR&Zs` znYhuyd7|COJ>f6%6 z2G4KuL%}pi-@(0N!k06gZ+|X!2iD~Vz?1gIgcgk*&fobjxT0;sWXcopBxI|5|4{T8 z9By5Hv241uci?bM|MB~132>mwUa^JdR#YprF>KO^tUR}9|5(-b%>5wlET?rI(i0W` z^J;?;m;jv`;ES8uU&M=wcflM&1GJD?FtH3`c@EZ-> z90KVv?ir!*>mWx!sF}tu_(qHduF`VyrDUk#nK7&-;zP zTm}I@t)L&EP|Q`lmV-$Tl9Jh|>UFMq#Bi67>O&RV7a`_F!=nTN!>d25O){^8+Lg6jIVPvoFut%&Id)NL6a?RB{&e<<>O;~g zZ0&nGmn0az)WB@cVobI#Q?kUG1@G)Td(=SuG(pU8e@*hUuw59G(p8~0pGd5)k$_H1 z`)EEJaBzy+_#{5(JCv8q&7kG@c2{FWz+cu`I#L{Pwqp|wh5zt z?D}F5Yomr^{Dl?}M;hZ72Lo0WrQ^R1?G?NroSpJ^ho$80tqqkFN^pIJhs!@95}RCt z&RoamiYE3|pZH0&fbZ6m%fb9%!@Km*VVjBN*=v#w`;fa9P zX*#JgecMMO7I!aWrzbm}Ke`0Y+&`+zng3(GTHn300f^k(-Mv|uguMZv129aJwTKGS)gm-;dJ0{kS5FK58af~b zJwP59%E9u)ehVz$lzHWBCr(k2$gbowQuuU}@kX?UatUyzQsIG)XoWFzoWknqfhyER z=AHpg&)nEG;z;*^NB0OS6BsK+s$!l+ZCW1|P>+7_s=78fNn$n}3M|mqLZpw*B}oh4 zlxsSDSk(R`$xBkYu!}dy)&fU3#cDb*2`L4HMfZ4wCj@oV?Xed5=CdE^kG3 z!D>TgSL8&-NQ$kM`!tu|7x7vRie}iED4(c5jV`%cudD-Zwx2u}o`iF=ZG+b8=&?(J zX|&5X2kP#0u^6xMSIfl62@HADQW>MSiha@3PeQ-ARv@mIi&qx>MeP=NUT_&sXYzMLO@8cib@k;P zI)4P1PX2r*rjReK>ZtpV^cvcAsY*zOoh}M%1>M*=j8fo_z^-eWGHyRdA zxkTAx_;Gs@J$?oJjCN@kemD7!?CQs?u4A?9lERI&&%E#r^sq7>!dwlPSHmr4h>$Wh zvVoRZix*fNdM;OtX+LR-s(p~ydK&^i4kFpIfZ+vMQ7~TV1Q)Hec091+uw75uv$Fg# zn5G6bXhUVN^7~wSe#efy!?IR zVlMJihw6g+$B(a!$lGnje!sDYqt_>qVax9=e%s!*v3&oR>5d>X`2XOigZ>3%?1^+z zDx=0Vj|t=0Kp^3oFoM(GfUbuDv=w+@S*|N1m6t11@ONxwkKSuqpQ*ra_mXx+Ug`Ch3FS6z zr(_Y$yKyI@Y~FA8$=tnpObrWPl=6`rxeS^;7IjF(4D@GI5f1Ff()SZYH0CyY;-{vt zI@qD_&M%j@ztWv!k80RcxaL*s5Zq%>JfezlN`OaJX0c$dV1|loR;%m9<-}=7fBBhQ zoPztLf9A?q6%)VZIbbyCS8XWkxL8!5LUHxOZ_C~DDJcy0af7#*e12g*kd>jRs#Ar6 zr7cT1ACnc2XyLKMrRS&le~g^4Y+Z6!dc%qnR6`#_C;&V3MrmyIO#+WT{1DEs)9Zgx z*^*t5%Lby=0!!>HMF;_-Cvx@Frq9G;CPFo{wQgr+r%^P=CPHN*qOyTeAGV$Or5E0= zD`uM9+bK?V&Cuhf8O|;%chipMO)e0!5I9~W&Xn8uF0Q4tikX+i*kR{X$D=N%#|Mwq zUfx8&{M7Oku6@{%u&tz`jyN-5qh~Kl5@;;lmEk-ZDxNc@KE-(z@5U=(@+&0040E-g z-#*w?6vlELDgN?BJQ~J>w|AntrLhuleyhw{s1rqi0e~LS*Ajjto%IO(yObLq>iqu^ z_SI2MH*EjJgpC*jMoIUiQyRuVKtPy?fJld+(%rcc(h3Sn3;_Y9ySo&nB&EB%`?vdk z-sktv``pj_*E|Q$JnZ2UfhVIsh(lo#NTu_Sgx*EU$q>Sa zVm%go5XeE+!&N%i&))zDmvXj{c9_tHJA-B(d>#jah2JGSNLF?8 z^W~Utj?`$ZTzpII2YJ%B$Ma0K-)~+cE>Aw0&-(|K+}?HIulffX`ft`)Eciq7)$$&F z^FDptGxdy{vHp?!bz3(Nx zf4kQSP|P9lq)J+yj6Gq8~FKq9U2e)i$PAu%5Dv?SKRk~Y53^BGZHdUl7)6S(9a4O1LKGy zsSjod>dXgk^8+Df=wB)^@oheZ>dRAZ#99EM`AD?XMKlxW?p@ulk3RQc5}DYbzS%*P zZxlZF4&Q&Qt?Wl0M%>vrU=0=-_K8Af9x4xaLg>R5fWN|o6IGCv6sAwI7q8UOhsw2C z8`JKeq(lIzUT6w&JQ4#J1TLx(&p zu`bd4WiF#?bU_?u$hhUW`AIrH;%Z7SNcHbsu1`!?&raja0m3sDItzXZ-&wx9(0-9h zdU!vi`T15mjUJ_!l|3-7fO8LB31FeeUBbx@u#m#D#e*~Es&^*2NH{gkU(@+{RwHnq zLjHh}J>j$x;kFOg!1hNBo&MG3(=RR^gK5J0i}xlX*H}KIq#rnI{uLQQ1ZC4PaJ-*s zSWKylU5%ER3p{hva^=o8O1YllUHzed#G%2@s4;iJ9VA5E>_k3-u;OJ;c5*)#DPYs7 z>EOge17GDlL}2M+H_<2*<2?&i+7GGWeKMV%TZ6kaJyle}1Aene>C~a%r5kP~FA}Ir zZepx^Z27 zSpS+zLm=R?MM}WcP~1&>ex;N+@OpjRourx{5#H)yd3kw_ZA+){EtUT%-Mw=!iK-`u zV+*YCq4q-l;eo zOl)gTx5kG+-vof0kLnT$0S_8yJvZ8MM{Q9UW`%I0NLywB_GHMI4URWal5Dto`r}Aj zb50GMA*c#iP!sopF-VaNGrR0EfKLcj5k4a4;V2LS5Pbts4Z(wAzjt%_A-OKLaitCP zYmjD$IOvP`K@kE8nN?2q}%kN@d*;#%-9fA z<=n+RbA5>P{qe!kQe$#SMVsZkUc0SU-~TWs;A6cr>%%_nCHI^ znqz>;@mKxU%bC+AV}-tK{D!sjTbzLFZckj)H{}7J1L91bMN@dgME^wdm21syvma2v zKg=0%onJZWbWmi|O5=asTiro)xWjX#aHvp)1&aoz`S8uY;xP5b%JuV=mqc-XN6qav zfxFch*Bc}A$r_VzZog&X`J*TkqHT6niQ?RHlIu(PI?D<9)lbcYH!HjH&6daZ)t`xJ z1X>c?M9Tvf4msx&j%{rBrMOlqL0og-Ry0?jFGo_j8n06g z24!b(3{|D+#fE0kio95Lg4dq&7#=h1ORE# zy_XVeZASs99012jt(b=}mI-(;y{->JYpG|iwzTzKVpI5JFc#KK6Rduj{rt$eW$vo| z5S|Rl2$t;BnYlR1ZO{Lmi))VH)5fA2F++Y8B6?B;ku%S&-Er#Fm>|RJ22Lba$BU@v zJki8^xzVbKm7R*>p9F|Kkrb-ViI&W9)dl6$Hjl5?!B#_Wl* zP1Hmer@4Kv1VH&CO-?lx>TZD4*)PfDK=5x#2B%xg14=HP!~7!u2|A(FSO=x825({2Er z5h1$doIhFaF6UjTrzoL%ou;wsNM^T8k+ngrQscdUUJH(M8_@z*B-4e9?2%;Ea!Ubl z1Mn#5=zqGZ0H`Rj5gctrOu|^@grHyW%_8D%pH3NBEoJ8W3 zvO%tqD_^Nn5j^ufkmA4%x?Gnyf16x*+6VYZ@{+_pQ9Ifvkm9s#xjR@&d%xs0n)4e4n96fl3_Me z*RQ;;T+^GqPspytvp+CRB;*C0w+8||o44g;bjFf&MHs;AyJD07H%mpx8Z1Js*HsII z$$EmR@7(J+7-}b@)U5dopRaXYavX5`1!uiWWlIs=QwGfSCus( za?}%y8tX^s+3FOSizK)W%OuRVkvbz3ffMxRgrV0IWHcKtHjxqMnN1OJ(GYPSE!s8| z-k4;?qp@?DGK!C=3IiH|b!!VO#1AZ}jBkOrAQ21ofetK&&ir=5WZ;uf2{M$jK8Azk z5C*a*99}|sstI+Fha{zngy1*VkHe^YC+oJ`UFo}tsRZ~iQIzINat;x2pAI2qO81$p zsD^2d;ddV{8E9cvBj{`>N+A;R{bk!O_@j`4e3>+gcp4z!p;I&PXx@T5cqD)zjU#+V zD7-Ho8>dB2)d8a8&8}N!f+nDMDRBsFec6Od(;>+R>pC%(SLTxu; zNH2;6tn8J3`~L8qdj;a3P*h5fjjkkoH2}PB1kU(+BOYs)3<0727J_2`xKqhYbGuZ z0@6~MY9nXG9WT`ityO#!QhFHxm5v_p93>XY9NvAu{Q%=saQLm(S+(aFt(0TbS|_%z zX8s-;+;-fHq8w#JM)Y8oVyyYTdSgB;iu^F@aQ*S9;v~eQ2xiWbvdI!cNWhl$yOL;7 zHeqPFu1+z0vRh+K4CuU32iBrhoPxlzKMBxw3><@nu-|MQv8E3oaQW)w*xVLOEc@O8 z$q-4{OM#pW8>vrJ+vZ`6&&i-=G$!T|LKf@Ka(x=L0=;wfzA481;Mps?`)g4owL3OB zfKcp7lkr(Er)LCnLk#x8_CvNvPsE<+$0M6diomziIqieN%P%;^8?U_fHoaOnLrZ0I zSHbe(B@8+#@b@H&2?&02*61|7J{@l=mk3oU7XAp%fLLr44V&ips>^t2D-g(yUCK-& z&5@Cr%)FW~Yoi>+nl@CHY?e2IP*rvusV2l;P>G^s`wHt7QM^OWrEKT$-{6K=Gkmng}&98Crts>Y| z-%sVwe|hR;l3EsSkKG5(C;PW`-3iOmH*^`b0``i*OSO{^X(%OcN&AE5U(H(7h5`PV zy7BT|9qs-#k-q&m63Rql4xjl9$8WOQf70D?bWxiD-S4-y>N#ISWh-}%M&{GpIzRHl zB!x2t#og?Y!H2dTF3%(scJH8;?TzIE;O3L9*fqsM>Z>v;&mI6RBMy15`m+dlL4m8gHWo$4 zcJ{fBF~Hv}(l$+3-!|ImdT|9fBh9LnClFR6w(t^QOIq!^loPY?i{>+burK);ZA7pa z2id6#gB2c8ayj&K9?Ld&3kHF@qs?x_P0JeW^X5znGr-*6in2PkBcY_8ELf$oWBwf4 zDx0>7I^5enT~#ZJZ-1H{lRQk|WEnwpaWY7%y}A|OS|T@JN8w-)M9j^GW=hcQfILQ2 zX{!LBLnF$(51SpOsu!nx@S++kgp9XdluVueR#(8k67(iTfp~S^dbd$dsA_p--igIo z@D-^!ZSxj?ude-F7@E{X1h%>B`(+2Ke5L=^FL&^$L#kGqr9NZ6CC5uVgyT(m?7?}*j{(O>h3sIBZ#v0U&kT?Z5)@sno4Z@nMPuw2II z`wut?h*a%&^U1KEfE4}L&P@9Pw^e+FWHa7ZHEO zJ*Dgaglq$_-P?EdKc*NS6{OURu(r1+|LoUua0b8(tS^5p+r&{MAP4j6)=rVKSg}B0 ztI|Tq>=GdZB#Cw_+*1xJri(%n3Kx`6>g1VyrcYkOsNy2lhw{rzR6wDY7HQy>c123I zprU9N=BI1*aQrHU-E;CI0?<*|y`wPDQE5m2Uuiy+MiJHu-`Bn=Dxq0h1 z{(6DGaeOxu72}h(f~5lL`x_WeM_N;7c85K_n#P4zhp4h#H@u)A>2r%;k#%agcAj0h z?_kC&!58>$ITF&delP-RdNS-PIEOm(9@tfCo(*rskcHj^{jd@;OBQ^{%8e0Z&6h0- z(xl?q90a}sQt7E6-`!(6VP#8(Xry0ck+-5`9~h}TRm016qU}tjHy)2Bq>G>=5aLw~ z@!BS*%yu_if!k&ZP&x?}jRIgxSc#uf;S5W5yta;}4Isde-Bnpp955k_Ef%k^X25olEFuZr)?AUrU5~e<0(&yJE$a&Gj=OYhs#8r z4f+2Wifu&#c51OJQ!BBF)AZ!QNBst9z?rW}?P7OfUq;A9VgGo^O!j2(?ZuznI<{0K z?no;JkexnL0$Irx6iH?W&^2j&KMoT9=|u)zbAJ*xfAx>7? zr~2JQ^O3k?sKV7acX3UOetrA;@7($FjO(qD9f!;R=KlOUMYIH40J3XI!KC&VEDDhJ zrT!Z)=?NGGVbdV6M+11~I5#cI;w4iJeW_>JAlVM@*LDt!Q{9LYoRtlY^+Gw>nQO(j zJ(KiEUOglYLb$+sh$3@z%PsMg^9DXU2&O+E7ql9WcM>n0{Phi=Vh)9R0-S@H5be@? z2?pPO21ma|(M~R5o4O?v;}M+;M)x4hrVNCTFnGEd6g)Xe%K`1_pARsSXhq>s`=F3x z>%tmB77?r)exQ979SDD9)}Yql=V4uUT$ly6GwRwJ&{4?-6ULyB@wY8 zy*7?svZU@Ey=)_TE-E@;TTT2{a<`mOuXH@w1;LC4m2L>myO_~ zdPdr#`hoVcF?3Q+R7q1EN!o}tmX+0frz~C0gtr71v^3@u!&fpX5`{O?1hQe7N4WTd zHQ*nLs8kGWC6gJX~WM7WI9N?SFs#kro1cx|NG(*cN`*#3(qE8 zEj1jgbOdMnJ!ei_^lKoNVUDm)yoZEIvd2)l;XDT5-1}YT#Dqb~t^tvXbYeCBQy4e_ zn**eUHd~eTPI!lPk-P$qqT~QJF_)z~a_bL4+~Hu$0|G9d5*qa_^;f=oH6uLAKxmg? z7a({sh`-lGzsU2lWQHF4MavNw$`KmyR%e1SyF&1h2v=*FULm4TFQ+Wl*0_>rTaOrW z&rY1aC^h{fTXxCFt2zo{=|DLHjHeK*zo4}pW!^qhWMZoUHj$>5(uMZxe$MM`{Mu5m zV+19UAaek>cLy(=mGlb)?`P)ZI=p&vIlp}wGnTcg^`68ylEqy74d$-C(L^-wK+C;_ zGA8`tx44-oXRJpv1bs~o(HzI`0OfF`LUNNa2t1;feu>075!NdGl?;JVL9(+Y9MSO* zY)%;+->`Mc^EfZ;x2HkZh;+rDCrHOC%#5vK)&yQ+z4NSXo&#?EaxqLrFyMX^t1Jr$Il*I3I>mOHWBIF?6F@K&-<2icAK0M*fy*^ zKQZeqc=*pl$pBCPT?;;$EKc>b$K=SC&!fw$>cC5_vx8j!@8ToEFIT&^`>~GAwXkDs z%Xx8VQaE$N#-jW=)hxK$|Ca{WPKH2w@I3w)SylbUyYU=G`rKxch1x~R*Sp3|z`&!f zFLWL zOvBYN3By63!iU@Tgv{6C<|fJ$9yd@g)W=6YMj3eh0$@tEECycSZgJ&Wnz9$sK6M7cQuD~|3EmNC_r0Dt zRcC7Xgvd94Fll!>UaHEDjiTa~&Hugr`>%WnQaAT6x%qZlk7|w6($L-n1M^9#AM9U) zwyFUnuqj7|-Yt_8G-=({^1Oy3yJI|HE`8HzK>d=N0>GB>~Y! zB$`)jY?bV^ErNwqPrk!5=jJVbKV87qc`{1Y1QQT^k(|dSurv5Y*zFJir6EpUWMC5-|^|VgGePo`Nq?qeX3Xy!gRs(*6nbX6ta`(pK}gtt=1o8My8hxDyVn zlV$#9hF6C#L+U~SVJ`{V#d6COG}k{V!o6^yYy$d921R!%#q_^*0U-D9y4&7I<`@5# z#Y5Y7fS&W~FZck~A>thrt%z#@D1kos1qq~QZfgDcy$r^IJOkG4Z#va}j*KRG+7xsJ zFINqoy7=7P18utEk~djNqB!VWq!`*RF0dp#Iq#NRz0lU_dhNTM2y=R?1sU1he7>DMDK@6zv#_))ERug(7lw$!J<` z<%0MyYcaJSQ)ZFD*g|fQH77;{fc@{#v23&;U4Vb82*IiXk|C{kjk&u(pOD28`32%1 z-KK#miMU`eoG?^A6T8Eke!*RCMY6HK@rzzK1jj|f=C2Yg(PcAsN(}7Co}S>;7uhUXe>@zxb%Xop z9Ov|Uw7AW``FeBAZOX8oRM4xtKGXkb+)K`zV7mE2@G5Q@JWghF8@DG>t1Ww|aGTE@ zDjFDI4>Ua-kiBYW_7&_Py|Q_glfn4&X7KRC+(XmLADbR`Ob_0EXdzTMYu)sam5Ki^ zOYHwRG&qAvvGa@hMe5#_w}dga+t~n-x;G`ILe!s@75F;fQq6G-Q^BD^MDM^EaM01zZLOt4B2#hcL9r3cCJeXef<9wplaQN5p& zBqSl)QXNy-4j&R}a=;_{d=PTpI-l>L?mm8yb8-U4JBfnq;hny{( zJt%nvcO!{t7zZa4!9&H_QJ!q&B^hOX6(xV}+tipl>)^%uZe!T7&m%T`5;ZG5WZQPg z95WaBw_WsD?<3@%asec`ZS*IJSZ;2@R& zZ35@z`-~nsAJwXH;4WcZM1?RC3}l9cHVCvpKsHZU2D4BPvIkBPwMzAaIkQd=O7K@o z)3&EJUD_}03O@1CQ6iJsk;&v$UkhzRHXSVT$(weuyEV7YbJQ@iKeW(l#N52Xgf5%9vXHscC(+%c$ z!;;UUOsh!IIS@zAWtHj#=fp>|VpRw%A)%5&Wbtj$aD<7fnOUq*=6e#T#i#vCa@D61 zPRQ6pXR24n1lkdl8-9galXE@@z#{EIqIs9tLl}OlY6)bO+^fS6!lyfqEEWLAgZUZI zN~*E04}S~WR{g>H1%!cG(Tk+FE{jcGrO(*^X@4}+<_HROa5>@B4lc;pjy&1ARf(QE z^9>~3@RYT_&3Kd6O2r*7@5Y>ZO=B8}zP+A%L*{Dc5%CJULtbW7nGU;{71gBW{nHF# zKmiTZhFtJ#XBhl-br1C4_Ea2dJTbL$In?)~ zl3lDf5#5&zy;Q)RI5=lisBSbd|Ch4iwT0-1om;-C!>YhW_T0Vf4^1RZXBju;q-D3n zJ@5Ose>yjvBn2VokI!l^ck1V_cLQ&aB@-Fj|4)=A_YMwxo#b3pPNqPjfu$C$vF=49 zmh3&ezj8MqS~Y$rd`Y%>9k@CXhbW4$-zZTJwn#6stxh`t^z(zq;rH-}=$*Vgh++j; zkdpW)N~ZLjeyJflAV(NH(^Yz0*-VKeh>#JvCPx-d@qQl>PNgmvJli^8KFGqlhKeRO zUVV%Zx4pW*(3TfDFTy*?Z@nWN1ai*6)5_CQ0ntuT>%wSR7W`Q&BnYT^RPxu%LF5df zkf_NxTr}sAAPUOsvxT_4-j{_K$ePCSy&q6?Lj$-wM3jlW<%5W+9=X|Cg1ATq5mJPA z2)IK~tx~p^T|r8}1YqAKLCw#B(6=>gl%>+xAO_0R4m8~tsi|gJl;|c%8xI;=Moz&I z6ifJP73UH507lhfS{O#zx7m+f1wmR7ms34(R&zAaCQNPK7NG5Q@NT|?zq)1rxdUr9 zq&KBK99t@v5`417mvrS$+SD(}T=Ud-<$*bADZqpEFDW*w?l+fB0GFKG*k7thZxDnt z`+UUu<3%Hw#K)NDavH2?(3H_hw!4Sk;;=vfqwMz$EAh}xzq{2iV_)0*!7#UEmNqY* zP+p_8OvD|MQuc2llJ>=rOtlHX7FyCA*{npAqoiI{W*g9TjJVtCGU#=q& zgTKh@vV!k~1|^D`qj@qemn-BUKc`PbylC->_OXF(iiCu+lDwo`A@;T{6lxn{+H)Vl zUT$V>+lP~1o3LDD9a;JZW-(G7Xt5|QhvAo!h8ga7r$U*a%03)D>m~(FjD%XIgeFEo z%`Eu^M?brr8s~z0jts6scZA&#^w5uiT+N_(1Y`0I(HLt@`r->Y%&s*N2OUA#*Vi{- zlbZ3WI$ya!s7UYSSM_}3A*a-%+j38Cv$xjz{&TnF`xdk8BIQ*(8xEC*c{$XGUlst1 zmI7)-RoHHMs~QRB#Nb7kc?%1m=Y?HKyacYOP*8NHqAg#;e1h29780P(5iVXn=O6w` zpTdnDLzg)JI2z$usnwZN2fk+-`d04NBM<%cSC^w`P8(0-Xasi(`>t{t0tlkrxcpP! zy?o+RIB{FWlI#EIr+bZ)T-X?w{r4ay+)zdOtjCT*YU6ev|JZ&W%*(PgL$rZF_ZYz8 zA(YZU7JPK{*)xn#m>o}~rVjL$Z^R1k0a-M3V-Z(njedCBosjFXi&;Y1PmnI*D4fUJEU2TKG^dP$lU#K(n} zDoYjVSd(`CkbdI|&t!>k4rToq2(7v54tK}|+2VZ+;==szmzH1<$?aL__=Sx^Ca6#- zxJ@De|Bb>;6z|sKdTAa|MZiw@ zekHYVVw;aMXp)_L=_}J1x9lA)H`jCVv66Jet0gsvde1LDQ#mBe0rxrK5)(2_JxA~7 zmktw_Y$5v~1R_NbnBz5G4(U*kxq>F=no(y_5oC=Wse#oiwnAwTVl_|=*Hw} zEGQ`Z(bG zW<&ItRiH|>W}Hb>N3Az>5Zb;EWp|)7(Nbko)4>n9Yvg>mHpEW^H5_oKLwa2#6?c@% z>@HO)BInaQKbKT>!OW`+l*0@&S#}NHWo)Ykw|&|a)$3oG13+QJ-xeP{WT8D9BK%4x zEB@Nob~H!)#B=cLZq{!HAwO{z;Ol`!btJ7nc?c)-!e_)JuHt}1-26|tfB|**CuZ|s zK2mBu_|k-YB=L&%eee)e?K1{J>2Pwx)B!{oHCyz@)j$4(H8WE;OJ_fw`4oxTg*Vt> zlJ#AFs|BfyqT`vJBFRqY%dMfQ8vb;N1B`b?)z{YkB;3PAqbPP$Ev#=17=>S#aSQgDbYYhuagU4hf8^%i3~MtX_wI~o<%N- zN_ve+TaN?-qx|61#tzJojceFc^`d-t2LS=Cjc!vlR5V0Rj3H zV-;6X+b_Nk;=0+RyDg(t*`sZ}#kzKO1Wry*ORKB9-7{Vl-p7Y}{9%k%VNW5Uhs5)= zqDl=&nDEhn?ap}Z+<13Gfiwgsopp8z^pgv}&y$V@S}x&NkBgpQwe8L-pi2U724zbz zbVB62R#3i({2D_z9Ib(UDxPp;$8)i`WK=jBJygYc=xq;ptI6_CkmcPk_D{SlX}=E3 zRy%2C_OAoR=1Pr8E>Jz{$ExgSiN{_Yy=WJz2MD6x*RZE!kMjyx)4sD(zwwad3!Fi_r>vSBWYLg z_)YNxu{6^^yQ(uM)X{a**g3Z2=#6i3{GO2d;?t4gTHuYgUR6fc(BqAnVnwkhMv_zJ z<-u_`Ezf`TcNVSKHJt^XG4H>1^trY;xdvZkT%M_W9F*U#;Ga#HUbd)T)ERMO!Q$n< z@oc3}$)U^TNcVpmyMNDOuTlypXM{8XvBSjT1XibUDI+rl_o-H95&-H9T%ue8J>nn)CixNj2Q9WwT26&fSA$|`$qO@tAy@VQ zp*ICvREaruO%p?&BNNPxT%o)H8w(0!I<1c&Cor26af&DF_{ezJyl=Da8bw>y$@<7B7IMGBDbrW;5Zhb| zMR!YE(xfa_?tS+46K%(|ylKIt=&)wq+9BZZH2dik?}uYL;6r^UztNS)0rqsS2S19O=Ga=FDW;Eps4bGPj&Ud{J;jEiaS0urUSNaK=Cz+rEHrzWz z`U#&;oJT-4p{Bn0#k=+hZPuB$m8OmASTs5DQajoLJPK1w$ zxa^5kU=9sUCdxlJxDPxD#VuucU_rnQ%@ex65lrx&{G(R#kI;aw3m|tQmlN|N96mK$ z7m@)r#O=@fvS%Ocx20vI;z180NPZlXi$`D+yA2t%UDE9jiKG>FEKq)_c;G$+`bC+N zP-ZApMV9O_*4iig$ll`uGirMp;$vPNndamBMZ6xLq+33WT_I0QYq^*cpWgE)XK%T* zOe+eo=gnm9H*|2$=kg4XsJ*IGPq9)Lo8)Nt{$u}Yye4OY8drj5PK^js4bIl?^V#n? zD#655-X>j4N6*2e7n`Ke@{4RLG`Luf3MXqGqU3=8BwRA^2JgOvgtN2jJKoCaX*oA( z#)`=_Ol+5Bie8F06F;RI44$d`3Lv^tPWPK5YcWOg>vveS_}56-TWra(MTD1dk((Tu zg>x5Zni`AXgNgj{p?wySl%oTrMw0s5J|V`OyCA<=ngSQLGw%7I$6a_i?|C+1i6Cgf zXXM+D>Ne+<`#&om_OH7eu2p=Rs{3*xW3OTze%&;z$b4>*5{#AkjCo6?^$|s=30Y`< z1=kc`Wa(!72N?FRIpYd4@>~2SwDX<0X0Z4vr%-3)?2Vik_m08S@IU?JYAv&VV49f& z{;0s^6(GZ9j9h%MW3U-{W&VXJ=fnK z`pv!X;BNSDEkN;x)Q4%wyGkqKQMQb7y9>Drk=i$H%{6v3`sIo*>=|dT4tI?&5_j5} z#hc?j#O0Xg?b#n&?c{FJ1g>4=$N2-}Zt7=^*1uC}%|;dnj`j2R--t5fbB*21>dPPP zE3|uFkJsz_OcA=D3@DM!yBGUTyH9-BQT`_k`EOtuOwSrjNBcUGzSR3h_Qcb(R8?($ zM*tuFNn5THmTB+1+RsiN!{!i=C;|ZPmb1c%KBVrkAz}0}6B5a7oXEKTTrY>TO;tMt3i;{JwupYx2zd0EqkkLp}5FuoI!4fmmnUQG*gVTTE}dO z3hA+;jXevoWjo3_C9!ANpi7njo{GcLa4d`H*<=`x%TW5#btUbyvEb3_$|&n}E>9w9 z8;p>L5`rtb6+Hbk1^-<4Z7pIfQwS-Q;PG)$eKC&}36)4jCyrf=p3HvO=F?RhPfVJcynv8S<)^LB-y6Nj9;vuV{LnT-nO7^(AlW*+fsL$8`n$K|ObsRFtPsybI@|0R0*A9VG@3O+?hbT{Xd_t$FLa zo)i@1)^KvY-k|;AT&%kBYI$7J|L*HtZC7Ij|GS+rq)WV1T_D$G5ee`5AHEV^(`ij3 z8qdCe`<8|cHpXh#LYssnF3tDDW$>R7{5bF*J_T}4A^ZagkEiX2PpbzvE9kfw;<~?p z@gsU?D%SDS73fnxNs)DVMbqG+g4OJqDh7;D1JYSTCRnsAlu2hmu|p+}-(NDsqSqpm z@9LF#9#o2%C(*L4s%Ca;-A~4S6$BMAux&ERcA7xDPLMg@_YSo&dBbURGTt!ptGM^4 zvt<8AdN+s~t>Z5H;EYlOr}+I1Hfh#Wr|2H5=(S;*hygSI=+XVMPQp7}R(Vb!E!v0` z>@e{hG7D>-7nZGnm*vr$tVPuAUfb4y^=nA<^w4RxSJ4GbHk9v|M_HoB! zq@(-e^2+J zVV9}EU?D_nVa7wc!Eg1FJLza9_Rncq{<;<3u+I1Fe2|?LMIMJ`-WOTjJWk7=?1eoF zXD?^6(i%d+dGn=C;Rv503#PEgWO&dYRy}%c!1Z))N^rhLFru#cZsI^23RllIv+X;o z_)Zf|%4P_p%_tLP)P%CjL|LnF_T>B=z|6*Lbkv{Tv$C2^Cq{8=~;30Nf z4c<&>;Z`_**lcp@pA%b-6iA~+f;FRW5dnKc%H&UY%#P+i=jto z{Di__fy8zV6ME8MWSej*3>rinHnyOw9D5dpMix*gY63OZVBQz>xOnZBuH{7iPp;Ud zs`sXBN)V@0+a9jn%_Pn50+zkD<$DCp@jKcu@9s79B+AjWfwE9kc#>mxEviMkwDj-t zJG%RCo%#=7RB6RW;k;CizmGJ36V0wl{#N5XJmne4b|S{P>vbt)E`+t>>b=i&Lp&8O z`s704yzGPd^~q$Z$K|#nKeIv~9Lqx_5ee3xs@x%Rd=vLnF7lfiJh<%}P(#Al7!P1` zeq&Ig`1J-*In6(OT9t#X5mAMkM^&?9Wq@%)OmSyYY_h#U(S(o}wkvFC5f-u36nrW} z+88FwpkJ6E4QB+QfE%kKZXJ2>ZB{w~}}04W(qOO)MRlY%Dsg^d920R0NTV$h=X zgUK+j6m(0OYOpGL`pOs>9~5ZdqI-*jIu(J%DbKfQXA~tOwPJ#??R2N)yIbw_5TxYW z6^%4pBGAzy2%QF{#SHW;QDeQTBqC=t9RqJxMZAIB1RnIh(>?AMVaBOx-bG2*$v=oI zU3V1DLSsJ*Kz@#W>^_~h(r zU9+iD@$Bk#*?_h?LX!1ZVR8%iuw{_srqKYpZvZtBBQpG=_lO&r`Tk8-YV4tld>UMY zF5~5*7Xv#!rAv(WTS9G=-vpt?8WuKiGZMpDwQGK6cgU*lR0aq=F15|<tviRCKcs9P+-}^%8 zw-($S_1(7OK?c&wbhe#PvRqu z{}cN?zZcw#i+)ZcD1tO_{Zq@G-g0u-FRH2LzIwizASPtaMxn@d_s&R*gRs*~5-vJq zFJ?kqNIuk=l?MQQp`-YmmmCR$nUCP0M_iaFm4y`n@6?oeyeUj%_mpXQDykwL;PZ3X zHjtkv;du>U&|UuKlk7MotM9k(cP#-G5ur4OHSsaTr7D0G%(uQGQ3F=fh}hva`R@(w z7D6#8$KW#IP%7#1SrKeAv;^6m0}lcTH|I8~B_a3*pNJQWrfr-(6FXLvf-_5eA{@?6 zAcUC=C#DNCFvn@S!(tvmK+uATB0V@ryrv8TtOg?v9oz_40)tNq%_D1+$DR_yN5u#iSlQ(Q-+lv9G7G(C?y2ozkbg3EubG?By$x` zgoKtS%?l6{83OwK)PS05_?j0}CD_Dx3d0~F0EtqK(8e69%#KOazxQ-yak6MpDZ|*& z)qsFlpf{jT#4Ws51d>jFc@UoMyUy1VddT~pMBVnvDnY>&Tv06fmnH7wpZr$(3i8A7 zhzq5TE}eU-Dj?8dIh^&Ws5hk62!k-W{|+}rZGZ!Tkq^j>wv#7@O1ISp^W&Ba;DAV( z*74A|Mr)d|p11ceL0ohmvmAx}veZeS1XnH8{BalMQJCeCN>je2-A;Aslibl(fwB7= zY4R5p(@u695&aU>QN(JthO#MEY6GJD32J>UsV9b($?+^Q&q3-86mSWmyVr2W-pR+_ zrpTBFpJ6NIJ*#CfA?4%;tRFfJD?@bhgV^TLU&tM}yWhfPS(5~WKDr$=Jz|XUkAJUl z;ven*Rczg9hI{3j@-&YOK_eGNnLnhV49}oye~BoqtVC~b+uPaOTl&5xem<#NJ!mV2 zbQG((fA`T0ccym&PXFN?Ck38N*FF6SQ=FSY|L;NH#Jm%}$7t&0z5o62C0%}wCbpB5 zC`A`vHoc8QOlSSm{mm#G&_y;A-h=JoYX-~IcX}w^E;U_S0d5R#TRIB& zhEy9tvC~So_N#i5lbe3AoMt|`gu8_q^?fp<(=Y$a-Jd}Z&-5b4^i99tifH(V|9~S` zQpd^dDYJf4##y8QruiLzHw1B)f?6QGe zCWg75et&YuShC_GC3mk|;n*_VrRNmuuUJf)-GAS?B`6;}h~_Tx&Zs};+PV7Dc~e}w zSPM4Uf1^J)sLE{YUj664Tps@i8cVq0eZVKGmXH`6pH-a}3n9wzy>hmbKV?`%RZ*C! z2$>HJ*JK{Qn4*4NB^epdW5G|69|h0U*6MV7qs|(N;EM6Pk4A9a!8#+j3!pVby2;`F zuz5ZmH8^W6+mf6UsS)|2zX9Js4QtV?qF(p|kj)@sF?f8{Ahe?;thI_-(G8Ah1sQ8A zGteIfn!{2fN$s#Fyhqf;R6|t+xJwU4?=V^o7~53K)DRSBpb10A;)Ua!OrzHa!Hk*% zcL?}LJz1oCfY=I=@524&A&33TmLOq7)a^s}__mbQ+Ov#_t~*4(4toY6K|;zz^V%Kj zELkX~tXJf%)@PVdNf!kUNXj-o@NEL(^6uaPn^sS^3yU7DgZ3Aq=P&}nZ0jzN&E1ko z#;n29TJe0apX?Ze`8UxnJm8=uzUFWHB9##3~Bs)5t|X(kg33RJO>uW z9`wkBr=B;y_Qa76d{XU1HUE^l{ErRBiN12$UhKpZIXT&e8M8inbMwPIh;k^rfH8G{ zT$R8wY*e&Ygo}uRNDSMbxWYHIqSpoD2ipqE5)j|{&2|HcRloNlfajRH^N+ojO> z6d#isuJNpF31?*gyeJWx$!DJ_q|Yk>$2BomKKWxPm^N)8}f z_Og{UBj4`}T^>d}bl>qBrP!)=i@Qkh?&aF)1?`c|q?N1(!LSIV&swC%TD0dy&d_Q1 z{jYg?Kk^ba^7QhO68cw315|NLh#nq}gc%dxwgqxokT`t=UQEhWtAZI8`cm=$FNG9#T(fh#5m zfxEHJki9P#&!t47_9MG9Bo>Pgx!AyBwLz4BBHz@02#e2Zcu8k|v=H%8UC1)CAq?@<9T-bJL7`jVp00EJ1$zec1 zB!*BaX#wf(8ejlvR0O1E2qmPXr5i+G=oXL`>F)FF_d8##bKbqbA1oGwvX-*uey;nv zuP99N8d}jZb4;0*kM9I?JDyo3DvFTTyS~})DESgjB{0CdT}7XC9|L=%0*c>B1nzvm zj=9c0=i%aQyqg^=hcB7m%MJcQ_bZgtNX;$>0ph2ZiXz4{XFap6^Fcy4{Al-x_7%hF zhr%M(>#_6E2+Sfgx~q(C5{})LKr?qCU+@L{es37%+rf4<)bMWfj%Wm)l7Wu<`)kKf zVBJke+po3ssD;QJD<(2UlEG~&G}K3?Qju8Kt~Oo^u#yVt^3W8X_1KYd+mUhEkp-E` z>#_cV2E@f?Q3&WdB__u}ehx>w7SQ@60b=21HD#Exhn}$wL3)XF(@wfWK=z#MW{WQy zZT;_iMMv4jAeisj0#A+Iacn#tk37$8q!~$tn2r^_#OPfY78`gV%Rjwg_&3>XCN0B#^h(B0 z@v6Mh65-U+tlp=@)@`rx1HO8*aOIO=$)ZUaU-$K$XA#rCHt(-D|Dn$kN~lY3XSy0j8V7yN7<)_gJ&OKqpox<6pT#u@OYUY#I zI(pKHpR@fLwH0o}vL#Yl78m9KXPTr_n|Rg$#|u7oV;;A)wLmzyo6`!R3u4Q^qFRnu zDsE<(9pw6!Mfxqv8&*|B9n-)UGxKHKgtk}RP~ZK5{^0|C_a~;Ox*!eN#JTtOKUM;= zx^WvAGxybjT4n~4(LN+f!#`}D4e|C_z1*VJU~_lN)PO@T%uptQaKfv&H@TmNc%Fy; zQ!1Nw(Dx~lg(?tlzO;w7)%dgB0!(K+?xPoXI(fr?BT4}l;ZUlyz&86IiNgZeC}j8Bu%lXzPJrI3+ijQfK!rClW+dhQSJ2id5Ot3zL(_8ep4s$MrJTg(}@f%>0Y|RIS-59VyoQyPJzWBvX;}F>)7r;4s=3+>&q#Bj{GqY zd%nFg`@24^0rz`Lvi@rh{(BNNl*?70vYrW6-`E^)(>x>vp0Fv6{LC6ayfKvBjj+vG z^S=FKn9q@n@xb~E`kdo1n;e(k2x(5PS3l3pl6=b3>Y0ZC>5}rHvU|F%c>P4K z9T>!($~x(H?2rX7G9ZOI z3<@ELEZ{2d8*^*N?j+m2a)q7gkgy;4bhcqq4FdgqpIBc_4P5>iK#d*+CKNC`=LAVc zm~S`vlB_*rlkIA=jajOd&jRB68>%?!4~eWBYc+E&s$0{-k)YUn_jsHf)9MPb5UOjU zdWjx2z!jx_R<=g+UE5kH6tDU6rAl-CX~6X2jB-_NtiKao{0+01eE>ZvkPYX8_V!b$ z^?qzf)OX+(L7o%H-O20k>>4QYn-DL*-CHjVZ4-j|S8#J78Vf;7o4+B{(rsW$ts_cL zqcPYPSsjlJAapDm={W;}@Rox?WOaLbK*hsvUw<&BTN@y z_uPh+*jZHBwI(`y{Aso)VqU-cQvM89rcbZ-!`h>7)xFQggFdl$^Ng5!dRz2zsvsa7 z4&v8UOP%@?z{|VwafmGoOs~Tmbnqyy*92rN8*oZIlpRDnoE`LGd&YJ+cfvllZ*MH$ zr{mqCNwRqd+Hq|~O9EdkHVv4%WRB}uC*IiWW> z_hYnGbDC03lFI!Y#eyUi@f<~wch;ez(06N6&n{l=fi(HnF%`2N`|`tu6k~~u+PrK6 z=pKo^WBd7&VD~*)9)fD|*osTU+uh>l0OF7_W{o#y&8rMC%6QoQ6`8cf_jZf;Mf#Z$ zy^gD)|6>2PjoXVb#n&|&KlsfHS&@G?EdB*5uNMQwmrgoA?`gnA3BNR>WmDx6Z`19z z`w6(Lj$9^7{+L{Sv!IOjr($Z1x;@+?@lccOh0lv)PG+4AvAvnbPMl}j_+d_tX&#UCsSt%g%VQPwDuT*{*X@X3nel{4ch2I7d##@`Don3u1hwcmv~RnzB;71c?75P04MxFtWf(884j0c64ZsT>yuva zUXL%swLJIxqyuO%MQ>~7eGyw{_=~tZ{suSG0gv)^`M~b01}M^XWk^QnQ7}`%N-qOX zer@oj7$8HVa+mYg`014pvc~xQdUwjKqyA&6PMw_PU+3s9(~3OL`Xg+UGn?7%+L1kP z^j__VXie=?_A{2c=RvITmn=P*XM=iUXh~a+RU`IsL5EkGFVr)Vmb4!6sMWa&lri1UvQKx0j&0w4?aW$})zJk;B!MKl~St5{=X6`jg^p zJpN$9r0h5*T{^eZXI(f(N;~gWFOx{`UpjT+J3Zn~1V9jo!9BKr+eNs~5Q)~H=UL%F zg_PhBk|@)g*d9@(`W3oT@DOu}Ci8H~TCmS#Df<|<7&ur}O?KFn#>ajdbsKw+*b#4;fd zjtGLE7wT?TZ{0YwLyK_cM=z1Uw4jnthqFH-tOX2INoV7!Ty^WpgEiB(Oj_0Adw;TU8Uh@C8JjsF_sCa(qVaGFc_RdSsM?;WM}p`w6nT9ZWPb*Ls-b zQ?~F=I%S~x`TLp(V|)*4ulOdF)#yT=Qt(38ca4hZCV1H=1mEmj@XliGs)`v%UB9{H z!qX17T@RnOiQ{wLUv7?eYuHGw&qHOU~f6O3v@-fv{uHq>xH-tUOCn_ zN?lB~9(}xOFu~6O(!yQuZqCe{MT1QK*DFm1FZ}5Rzh`buvs@%-;j}9+QAERc_XmS) z$T~6b2L3>yp=M_|zx0Y_*(R9)+g7Ja_U>#58;SnN)g#654h~U6IlTi-0$pq$+!@O5 zrO18<)Sn=(AGii6?H*hXga9lJD&`FDzyVU9**6Uy(vnigb)~UR(z>-N*2>vHpmc&_ zQm95iOJ6kVQTosl2_>SAS++cr^C_Cy-?WXyelK**u!F8aZ5Rfk&BL0$BO~yNGXT!f zgRgMo7lO2&PL>;TV#1kpser*l%%2`e^eWwp?q?lGx`(i>g7ocgu5 zgdcqj%7k|?1dcErP4|xHM(77&Gl*zOVfN9AR9Ik8;Q?WIY>^enShgXHXv_qji+Ul{ zW1y@J&BVI1=nI~_F;8<^E^% zJL3Gd=cQ7kq1B&(vtG=f-1N-L*20PyMIYg8&lsCPr?x5fnAd;YreED6B^bqm45&ID z*MD3xIfM(SrCz`!u_-Y}0M^7D$rO%@N21)8C(lZ}=rZNxzx|@bR4idx< z^LZ$uQju;{+5~hz&c{Cb%y<+4qc^m^nRcgv& zD!G0Mwi(Wf+n=B|HEUO9C!gG~=$P3Ud!))<^-Y?&*OxGkOD~SQ%}(7bX2T~#s&209 zq8V>!?9h~|aZun}IED00<#;WXq&uS4Zdglb~C^lkOjds*^${pJpT8aR)I43)~*$pP7wQGYS zS-o~*zf^qLCV+fNOAik!I!Va}-3;YiwR};v{I4eOwusfY0bODV&vP7JK7C%bg3;*5 zdC}=--h8y3{VtZPSk#<#0TA$dZ+Q5?k@98|(PHv%# z{l(tu1Mb+~Cn0frM;PBEuOBd_V`s*N4sz4*$chL~6G@@11WzdPa->JgCpeQZ_X#hb zly>j3H@h4$l^c;v zARBt`CbmQsvh2yor&lei2DT#m`dp2wNC2i5+&+zgCp#Shkor7>2a!Cup+_A`7Qt9LCl;qBjf9ab&lA?T<~*r~xY@s*1R=`61#>J5xzh>0k$tXs0{O(xJxpi>4@HP`ZF#L!#y_(WtD4USt+00 zII7DQwg^D*xA<;CI326!kGZTXUO=@0dudh_D62P91G_KjQruZJl+uV`1Wr72TA{X# zSx$n9z@bdgJmRJ@4Ymx4-pEkObco*%^yu>X^)8*Wmhwyna}f>F% z?(@aBwq}39$>+h{SHho2J0pM?cvrzJtx5n%s^7bZscSGl-3FLetkaU_-cXrbWXV#o zV@oyN#w2dpYw6cb;5Z`zvq}@(G%n)+hQ)-Q*WY>M{n4w3z-hahv2brM)V^^7L*b|W zJ_Z-#k#7=Rd^bdhp2LB7Bz^Do3^5Kao>+9I(kZZ~EWM;+VIw#cZ|~x+9_Z~lTh36# z1#Dvh{Z`dKAV`J?BLW?y@{H~KgyjA9G&t3uRlD@jHHM()B~g1PIahTk_!TW%^ivcR zzJ8wegJ%(nSPl)D6s)zHFsI)R4LeK=ryP3FhySK;Ai|nKXs)FL-i%9_*C}t=$x>HX z^v0Xyz!dw9Db;~o)2qVSB{B z7?1Lu;+%6b&B5?d>BA_Z8?5$>!^kkuDr=N`5fp(xxdv9>19X2tzUQ9UKMM$ z6R;|xZl}pUWO%{P)rRZH&FUsPDi%8{7B?h*pCu35z-iqi4PK7W zk5Y03Y+OFJ_%e-+(+dmTLqh^h`iwJB(2V7S!U-#GSiaR4wTI720F}}8&tDCNMS9uM z=w|q_r=jzNgRG8iVUjWS;H>;Nrw#*SlYkvs^$Wh@HFiKgp28k;L9AOOHCiM!r7tqX zlZ%VbkK{eOk4<=(uY^`?$vH5itEYz9)k)GXEApVyHTvar@%lYU?!SA@sf+V~tKq{` z0?NQtwdiZ4Q0f|EJ*& zzMU3&x8x9dj!C$T(YApRSH6O|(AAh5@^Cg#Hf+oKO|3Uo&RMCuy^c$m)fu{VWr5c= zuy5muLg|V6Wl;k5si+3{bh1@?H5|SBPo|PGRV*_3b2b*=CAiY!#Cpzkz^cer$}YEC zZ^?2gKf7OJqFjvj^&jn0PcvuRr|^!24dKAk$qUJ<^Q|j#vsMrAitX)Ep6_bV-6`y= zVC!nZ`E1CgAl)U)UQX}+4_n|ez9HeWe<;ba8?fARwcE*&DC<7hTg?2wQ=8OOQhhEc zkJsb&o7F~tAh3c{ger^0`=lhO@z(H!2S*Z`Z!EFVs4%IbqrT>;Nj?ANc07>y?$-kvh@hr~89WfuG7wR-cmpgIq`{n#PdM4tP_IX<8Ap_{l6@(4 z+(mxT@>I7W)4-Dh1SaHGZ+<``X_-CYO5v170bQ0+q6Vk~C1@h2*yApZ3RA2o^A6WC zo_oo$=|JPtW<#GS-{vnh0oqclRaa7uYI;_M2@HQ)y8K>(e&aR}voHGbtpF|nkSHtY zAVD7V%f$BdEU}8bw2*dk25Kf9a|QowY|0@>gr@+Quy2k5C+U(Mi5_edp!zEn*N-8B z#=T}S!@db+h=hrdBn~VgNNwmH_pqX9V=~(bG!=SqK;%lX1Rp(Y^pmn(vK=gxq)8XK zRh56faF^DTt(0s#Dy0|_2k`=(+G5!qIcoRb4N9f6JA`)VbB5_FBRkTc?uXu6s(}Pd z9nP0Du$mnNf51RsGQD>H?BjA$JBPz zND8RA!4|H74{2`5GK!Yl+8TW<)95H95p3dGo4)fSDi`_YM^HLr!m9-y-_T!ihOg4` z_`iy@5iPtLgEPd;T9jppGX>rsB2Ihd>IAr`MmK$# zV~oYj=1yUQ;t`UZs=6}?4t&D^6SGKe3jlhe7(a~9GyU)^9=;C502i%ZEG^zUB24P7 zkI`uk;VpY4y?{|<^l)Xv`{1}+?ls+M!{~~8%VA*gc5i~k&NSYnghYk2eWfl%8hR;0 zKkxlLjZ-}R_ginWW^hCy;oS{(nS~qPZBGaCH>Mn}OzwS}JUd0d79XjknzW$;6yFVw zJ=J_u@in~P*C^}MpV*iFY&$&_sIB#>Xy&Myv9|(F=0fa1@e-6#YCu`MX_e32fR~nD zCSS#1$y{hjLd+{Cp30%&fC^ss?%viQ}PXAgBB(&(!ju8z7{ zkAcb>Jh3X%LX*R&W`8e*VZ!WmIv!OdadYE|<=b;T;wv^@P2#pYWnsHYYbQ5U%Sj7J z#}(LWp;hu)p)S71ts&Ddo-#zA{|rN?<>!gy$He{5H7wu$23A^e3pMP&*0|emT)!1t znHQGC3|#J!Pt5c5+*u&_I0x)R1yg_26Ki}O!yLV4a@fC(vUoP87wKwZP^Hex4)F;y{=b4d9jN z7$M-{qZ1*f)&;a+u#Ss$86m*!taoVm_O&tr7qQXz&OOSLVgd<;U62=fqo-3_0GdMYfNw=f58 zVZF^TKWryN>PhD~u+5Y&6pEW#Dtbj=-em|$GKnv^^1AYI>wLO91wn}R+8#jZo_P-O zODWJjC9Yat@qk0e&OHARJ>LXY%x(hy51ZDE=e)<$#IiiS?r7+IfrD!YlZ5l3<@1ErG6MDM&v?-ICTYph~C$c*({$1{rw~%mZ)BHR68h7*tC}5 zLt8MGUA7df*(xL0L-sV&&_n?UHvE5v|3C` zwO9iAK1TEmi@ZTY?sU}PtGT@x^>}IY1ypLXw8U3o8pf>D7o0N!QOd3 z#wV#WxA3@8N43OMwQ&RjFo&{?kEK)Ie|WE+#D*DkVM5vvn2?$=NPBwfFn_AaOdNR_ zywcu;d7QaDo)A1%BnG%~Mqk@AlpUMiN4rWfxiXVP*^P338+-hDOtJB4P3*5ryy9N1 zT>opF;$H1s_dlGI39PCu25+CgWz2KnYXgC>GowE_!=d3cBIv&O+gu1$mN$_x-H=s? zEq=h<-1J|K>p51PH$w6@&!3e0)`MAOyfmEALB$KkB7GmZUrFJLkp#6Nr8 zV%EEIc#9VN`*}qQhWD$su#U~LzHHeb(p#E83~6t=e&^3L8rPZ~)N68&i9G8+IYqMvc<^BnpHEn_d`%?o!EPkuWN^%JRehqok zdfI)QD*XJ6X0e_K&<-`AEqrY6-JP)BhEMGDZEc<3n8C}hms!m=1CFmZgl6SE{-vxu zncRc?I{w8fG!t^@CVM=l;Kmbm{njNIyXCx*)!@hNwG|uNp;7DY{=1y^#Y_0zj!|R7 z>e1p+_SsTY$axf*7wc@pzkf3P4+o~IFx>lHV7P?;Uz!Edso{{*Dyge+y*O&!`-Mpm z=;}plK2_Ii{DSIN>g4zmWkJYwMF3lB64ke8X~x#1;6wS@hDvU zakguPH`w_{x|W|$nnHH!SBSM^pM2O2-rNvtQ~=-670DHqn({pB_Q!|~^Td&@ZMIBT zJUvd?PC5uW?OqcZ9w9dvAQX}ipsXX(g!`fN{OnTrWYRNH7^pN>%ZTZn1+QhRUx?{F zC8~(T8G4SlbqB%3q{rj8p>7=>09eeJW!6NqYLBpL3n71NCu1jBrU9(Vdo>YMvRIvC z*)%zyyK`9TU6Jq1dCYQ~LsPrJoc7YwLCo06S0ecI=llXN13523+zyZ?2Xg;>!ADfX zpm|54=(85CDl-PcS!D`C#?*@G(o=Kk8z&O7?DfXbhkZp?6ow1b(2m$o=2L1-e>uYN z5o5HJWyDKqYf0noqj?cd)nh4a%C#E*;k69W&4_C5O_D)nNbQQwu;0ojm<5E$|7n16U#-^iG;hD}xfN%wg z;ZW0Ba=;0M<1_p#LL@r1J@n>lUuMAVDYiIukkZmLbgo?@n*N;-CqVViwG%R<0=!|B z%DbQB{XzvgX^j#z2zZWdi!l&hoZr0Qgfd=TTuM6A7h8HoIMkHaYT+T_w}aRSObxZU z={MosM1H#9sd(#60ahGpqmlXU+jTB#@2^-Nanivbi#!8qxvZ;27?n!LdV@=Bjp(j+ zSh8onEMGfgZ`6ys1(##`g7QTT^Q##0s|>A04D}P8kS0aBCZ$M|l#fH}^diTF-363f zbkTdXEW~(HrzT&VA1ux}@MK;^P_g-!pMHCJ{LP|t=MU1b1Z6m<+Bx259TowYbrPSP zSoV=~rm3^1sDrzGEeLnK@#Af%;%$iHBlW*&t+@H;5UG4OU^Pn>LDz-{g*^q~6kK66 z@7bk?>iD-NXygT`y9jleOLduxb(l+axJp6S&Ou+Y>PZ~9wJdJ($46%wMP@RsGYgtL zq91eEU|Djpi!qqzCzW}m)1%#6r@E1IYrF%@jxU=+n@josIA_$>#QXBam`KDsa)p~n zggbJ@+doRwm5n#gT}=B+bpkY*AmTj>L3^ zl82&Oe7FQWLx{l>Jjq4ZEf1ef>J&R8^UOs6$*luwz zxQb3m;P5+3<=;6v3)ytq+}Zi!88SXI07y8)Q$wzN0OL*|fIO!J%Kl~Csr-faR`4bU z(V1~+6}W%Z!g0QGSR#8Xcn4?gE^0k}7CV!3LELk-QSSHR?)K~!hwOUg%)~<>_4krq z&L#D3>)ox=LX>ye-<`w$6Oy*+XdoBtD`%jj$~pYe0`h0+!%K!?pd5cf+nhj3TM`(( zr7Kpxum(Y!XvznxWCAr`WDeu!@3Yte;9pxH6a`!%y)8$pAvqjyIv=P5x=wX?QU_nc zGa?>Jbv1|u_#PV~c-$(}l``JK9(Z$sl!*Z%72FwtN5knD5l?>A{1|V>Sy%{F+WzfP zKH4vnIRQi5(_BeJGHi#>oF`a~fJ#~+uzBBOI-!BmK&HwLg%qe|h#txf5xA9X9a6+m zf}yABa8~)v5%}$hclX)AC-nq)Dq&vWCOQ+<0axYO?8NR<@w2A%6W}j228G^?$P0j| zrF;KiA$st@Nb=i=@ zA_m=p^ZIW*`G#egvTp)wVz9)+sk42zo>qGQ1|qmVYgz2n&V?l+{Ca=;x5ud-csxnG zSbqZOE;BZ2noz@C-Jq?Wh1ZiK&f>rdSznt$5*HcNQ%8W4Y3Z2Y{-0U^tw2*Q$2eCg zw6Bm5MlVUv0OW>Kk79^0F8Lg`oAkL$0AE8LpYE#&59=c8JJorfjX-Gzg+Z zh9h@1_|#t4b$-PTg?>}1y~pf0Av0Z8SNZbunndi+bRda{=W9<=d?|lYj{8L$A&BH;L(DgBXbCRynwIYiop2?=hdeHvI{1#oufe zBK}ErB{NsJw}Wb_bNJ12Jp$p%rV!nV155xa_zcu>Zab&`o1WiBzv)tew1ql72^s8* zs8=#+e^tR2r#}buL~xf`g?vvjhhix+@N$}XYU~|=0zbBBVCJl2j6Imt&g$tYnzJ=( z(N|$lhp<0s%NA>K+~Mqkk{??1Ean-H=asaz}W_ zGx9z0_oWa06Pu)#z3tT9^Od`E)e)(yAK%G-8;7jbCH1Y*Roz`Hr5%5qYHBsR%_3Xr z{dwd7xW5&dt*Z>h7~>HNnL&0k;|c%7x&Djsm4bpiXPu4%jon%BB=d16+ zsO3+n8?gn#R0e3dIceG?R0y{M0HL41o5|{cG|$Q_h(%4C6v~N~hY!#`Cv`wcgT=U{ zz|ZJ-;<(A5a4Jdx*@)3S)=_x(silC6vt=NT9qNcagF)xeF4O6U^dn3wS3dJ7fiOEh zQfVItSDci0u4R-IDjk9)yuc;9s%hMX0!OfoXd@NyW8-ifriD6fG0y;oP6CsJ6Bt~SPQHXSP&_~gud=xH(0tEz32>f|!FF?ur2eL)OD z=E5Wvj}b)`Lb}Q>G`D-={VoC}JE1@q{xTvrB|5R{f#s`F12(F>3HtfBvaD}Ivx`XF zP~wEf0TDgsVMA(0slR<=_(DB7!#r`+WFROSrA_IAHC$u6H!rJAM2(srnF;U@vCXF}VMWiq_+g<7BQ`=36=-y5qh zeKBBtN=+D-vHJ(YEPYeuCLDC9#(#N!E}FRn{yYf^{+zI2L)SP+>dmNI)lK<*g10WF zXySwi9Kf!Y0!7n z^)>DD$BiZ~JPzw0o+65MQ>t|eka7h`9XDVQ5~lrb$J3k>#7gn)h3`Q{w=7fZiU3pV z4JlLO4XK_s$Gd@n01=y?8OQ#Qcu-lP(Pg_@-dvgZ%f+0ZJhINGTU;?^GUzIU4lH54 zd6=?CKR*YJTm_e!KngOIYTny^sn8wb$cp1&OsA}86(b@WZ7|Lh>cW3)yx~Nw%d?;4{E3 zVuna{PwFkR7Wgj9ZHc~8aTe()RIUQ6ZOXkoc&b6GA*40bK}3~O2u04Rdf?E5p? z8m9xdYBv+iKyc%A`3l|Lf_>tgT$syMtxIQ={4uIThG)U(f7K!xbHbfJhby)Y$Gdh| zv?i*8cmjWcGW~vmfLw6d3u_GOm}hLrkCItHzH_ZIrwBG(&rC5}R@g1KDMk%8yu*@q z0!o@|z}zm? zHmSoe34I|ir1Aw!Ny1F&<|u`ur!-}wQ(wtK92a}Gjm&<$~AfJZ;;Q3pY?Vj!voy+wO^Fb}vx724nP%B}y3<9gV>(Y0K0MUo69 zA{l~4Ue~Yqxh^&hg@%6;+=d&pp>4E!`&bBE1P3s=q_Xe^Z0)g4>=Ioo#d{tX?@-tW zvF?oElrBd&1c(t)e}cd)A{G~GwTtU;V4F)3haWspYK8)=3JE3!VI~c6(3*OId40j`OiaQpVJHZ6lLu#B3BehE+4&;0RM<`>9LPmY1}HIVN>>S$&xW>Ym2+TN zazgqCV|tQHdeSQ(afY7sQuY%y5|+UK1?K^9hS3lV!trWW^+J@7n;hUB-C4jvrp) zC1gppJB9x~SSc(k=XgqQBF`$aPRE&f_tV4}vx45# z1@otE*|TrnK6SMfjWrClgPNX>D01W|bG`O=Ju#I@Y-45WACYX~JK zi*=_8{Ih%adWFFVJeK(q@d`5+>C*OTFm|BW0}1i;e)g1p@MH0(;!$(EE%@Af^+epe zz{lSEk7}RjC92~w^*U($gj~2}hTJh|wYaZ?3;5_+(@S*pn$4(CgwpY8v~+Kq9& zcZajfRWvD`FTq;GekQZi;lG_L{$6Tqf3y6>o3zwFe)#EOYk?tJPFeOM3tO@ zmON;GU0|MlX02M9epFV09ZzI{>vq%P%7ZM$uc2`-;pwTqPIDHPs9 zTYnu$sTWWJGR-FZdUkbV4+P=%{1g#gq`vs1st{gm={{E&kjQ}4!-1-6UFW(b3_ zulvWFkJB{VFjZd9JF1^g6t`<+jiRR7+UlM0uAN|MZ44iqv{=v*xYW#E^_qx^P z4xWU6x<|Dl2gKERgKyd5XeO?;Av9olI$f=o=f#RS*!-7TZf8vh+uMEL74u}4}SOT^& zDGqh;uSy2UD<$Lcl`U)R$uq zt{=Esmi7r*)TAk;z&ijT1s@@Tj{`x=dz{De2@i-LJhDNq~Y$SK$Vz{#KnBWl@>2)B3h^|N!6)CN{ z7Akxf*RC=U6hInBK%jt;-I>MI6P2p^<@=M#WY9-wH=|8JL;%!2k;eA(h7x3XG`{ts z^&o~Q;Ss*9Md&3_~yf4>@UoMmEJnp_+XM@VGwvpyOzIX+4D6WTCyIEmh zsN>G)zDb7K|39H^{$N}Q{o_=jvi;xa!36)m?oKB!_M@3XLiPHY0Y@O+O)%Y!b5y&C z(I>&-SWz`K0`Rj-dHWBzS5%ovw<~~f$ZRvDua3PGVtQ!dl&YEs?#Tl#@Oc!1b;cx~ zb0nU#nw~}x)Dq|C4BSklzW0icE2)ogxQQ8qT+Ev=IF46kaUN6~SVT;XoXz|0^Rjw7 zR|%nrm3Ht^7yFX*`JoY{Fgr{qkHH`DZt-QzZ==`^i6CkN#1!r|+ga+W7WiedIF+sa zYMaOzR5+DUH2{1J2xusGCmlMs>&W+>e>_fM%srRk_T>N<%eJ(Rw~Vyi9^$UFp9j4>YM?e*-%S*9G5I_F~@>c{SC z0$zyw<(&@^MH91M9S!eQKd+V8L^iokzki}`G1ExfP^%nJGdp4NWShj}#ao(Rx+-q ziN6oY^vlLZR%H%;Ob9*ofN9%qcA8ofsKuQJ-xp#l!`)u zWo}ej$wm&y^A(>!D3fqFG5_w9uQ?T4Qqp|bN4=Jl4x)OuEy}W&O??O?^s+T!5-J}? zD_sBSDvs(cW*(7dRbE?<3Ka!U1q>B2TW2Ss<02;913~>OXP>3dAg!YAb1VvqMbu1y ziO?a}>VsHF#kl6;^2Bx1$lAF=zslHkGICm{p`i$QT{{yBt2fiHe1mXldCxk{BW$v3 zAMr)o#gVSWpzr54Q?wYR5mrxW_8E|PvvdmDr(*~e?v5$=w7k@66u1q-#w=cAAQZz> zL6Xp2dVt$$^@Y$aiYJ~sVbT0oia+DJuYOqBDEv8S*m;DJ7t38c7de&yzolm!Kbpfr z5R-q~L>-0)X2LYzF^nEFnj@1~>L92`_yT;JEO|LqA@eO`D5T7tZ-%w|ymxl6#uZ!j z;wMd9>PsZJW{i}lso`b!cTix7dpWR^b(=F)2#oq9vIi{mSP}O z6+*uDglB|eAjozb0`^Z$VttPzbxYr4Ba%dRb6$rgg?6}d=E9-4H#+!2Q0DK^ABq@6 z^k7DfbcdaI%7T~ikyw4ihmX-nMZzNNA&5ory?{m;VjA5+h{fx~)FUx1gYqeA33||x z{FoqL@#|+Lz0}VOckiugYQJ;s!7z!gl)xiA$*A{dm1KT)H&BK5K#UFSqv^$(B7HbYNSlzWpc0*`|@z$=0ghti)wQdV5EW;e5_HxMO5KwTVElZ8*RD6Fx`=4+os zZZnaIgnnZ!`aoVvOHoAq>?J?=`Ha#y2I52n8}XikSREiK?AoB>YDkkB?-nv1Pdvis zPzfQ603>WVq7(wox+luxX6^a=_R%BPR0Zmelx`A}m$Pjt-I_05%&p4f)SKVLOhLLG za&=wIUB}xZ^5_}vS>;zqzWbL>A$h!JbP>dzf21Xz;ZAGnDPjkwC21=ZAY--gq|i8u zcR6Z0+9p3VHtutAvQ$mIUgz?bkc55T(BVXTNG6W%3d989;!=O0(NaTX6Gf1@ztLsx zJf9{|QB@I=mW~^t;N^(!Wv3;vmaQ=D?KmW)O?^>W-4xTE@{CWQ992;l<)4Mu<25dq z7_uXk)i0bmm9=9fRW6g!xj8O|*V`j3CGG4mD*;eB;95+=M+W?@_tH(KWcNP}yv^QV ze85?u(;in&BZ$qku{Eajzw z^JUpW`=UkA%8mk-!(@r(7gN+-?uWVX?6xHhX5q^&`20@;tYhZs-eYaOmP%Uk-*=c1 zt!FQOF3o=Z`0u9ie~f5uQA}v_`uckP{5P+G4;hj!BFbt!;1q8Ftq~u<~=cr1AS~$Gqp@zKj^LvC* z_U)W^`BPov$;rvV_QLcuy^XD{8xSfg@Rzyn#}DF=S|jIw|2+C14=JYi7|RhSM)6NI zr-0+LdLLvNL1gl73=*=JKZ>SRn#Lt$U^{l}P^)@l$CHnQ7WX4wQv&bo07tU(zlwEe zd45ppPRA$1iT(XY%`?$O&1!L_$#`HyvJ6n2M$S0L@#PCeI7wtbazqNO?XcJRW9n>1 zV(B%MWD7#APH&gGJGWLnjA|xDF#a9}BvGXhtFYrHDFAf|qu~s#HO2=#J=Br?egWa`tGPQTcZ|6ecf9 z$855lh8H)2=)o?%M>;Cu4ASdSuN$8PlXD;vNGwC4P98!=^lfC%hT`acTZ#H2TQkEc zM3kq^Gddy3gxur6w4*s29Gf$bL%R%r1p8-W5k4u?5l4mTFV2FI6$uki&4~c@jxh+&m0?lY`7@xj-081)MVWUSW>`AIQ#4xN=9RMy-22cvX*0W37_ z&+$_yACJyJTg-wOmZxCRFELx2?jpd(5ezrhS6&v(`G8({RAB^2w4?&{{~F7En+%+$DnT-}jX>A2_;6`MEKW`pfEL z3p66U`7_2}W*bRxXMgji(;I7RYhl&-2SaO>7ve*j%J!?=x};5$k_W$yKxOebzVW6J zbWlT%O%F#qi#^wq?O=D5g$@(t)~SghT_`NT&%TlJ$`DDD3YfXdN8y_Krrct8sRc0n zfB)>AXUu)gS=*?T`|Ih2v!mwEt}ihC2~*ZX3Gir&yoXD_hl{*jT>bHbDls`}hr*+y zqf=7t)^k8@pwq|-t6pOBc8j3$rB1M#wJ>itY>I+5R~4Y842~Gy5!9n*E;Szcab&4r zF6SBd>65&tOYJs8Ns?yI4P^xbPqe>7qr8X{33;zfVG&s5a<+q#4IFfMUXC$A;@ilB`R1&pN(G-b~oGy_&GD;49Mqmuxvo z$UBmWFKB)DPj}6eKVX7siEVQq`~1X&gcg|zf^`TyVw(SW1o-8)z8MWqdXW^t?r!aL~5%TmUzaeB*JjZl4wi_fQ4 zf30UqdL`!H^IlE{j_aR|QVCmIS*g6M+IpO`kFk6DkalRoLgMC4G`R7_JN;|*Zu_UV zOEW=YvO)Z#`9|5G@ar1?dt@G%<)a1IZ)P?@#AXs!lzf;+`6;dN?lk`|KK5Uj@szZV zzuYZG#8|;-@WuUCz;fk2K<_|DY#@r2Oo+f!eVbl!BL;`oh3BTA@p_QOL|Kj-i2`?T zX^6c*ish{_gCJ-@nR?fY&Y_cPlAkw%FK~zzjoRSrG z;E2imXimL)z4;<`bozg^0JUzB+P%9w8kHIKiD-)6Fwz;9o_-8bri*K*xnf6{vA33} zXOMD1+2eYebzs{_9bqC5!&bh)h?kdN`V;?$~$Yzi)zJiF8R zCP-2Jn*4EUfHxaq=b8U}v{C67`*l9Wg|U@EfK}kj_^MvO4KdPpkKwysE;mjv>MxJ|AhVrxL%7I?zy7tA} z9rC$EoHs&-@S>>1cLroDn_eF}tIjJz!K1XR?*HSnZ(giM-<%#P&;p~u7>lqXMIgut zQEW~ATJPJ(f8E{foE*4M4*W|31NREvhysVEb;+BThMxwer!iT66TkBFjU2-4NtpBQ z8uBqFe0*5?(YW;EZ6vDrxtqM_?};Qut9r$_b$KtJ#>&QM*UDJ^;#iTluC(vb{EM+V zDV)#;2XmCM*M(nh@M?M5F^m)6j}t^4ggN@XvB{@|38mdr{Y=w-dk@$~0FqjAHCDih zU^YDdZo111{linj_p$ymGb?Ybq&>YhvQd1qV=nMA@&7Ub0q?9~oss+N`i zj%q0}0)Y8;LF+iM+jBbnvBVWf`VeQNSE~R10bJL@p>)Z=qVhGcNsL5_qcib4W5RdF zXIg{mDzQyy#(m=s(zX>&E)D6?@BQRDU=D}TW|%i(Ci#*4zt!P$t@_yVYV=ZbWZdbu z>FJqWBJtB~;+n}Np2~y3cj!iglcCAzu$g)am3=zZE?sZlqs&XbbDiQwvL5X0%b z1pkywSoO^xr~jTw`l%3~+E08>VB7gATIwyu&fhD_nh+2QERK?qkx}qnqA|==sw^FF zB3_kwM1Phf&B4V*GiRTvvXp$enEX2@a$Q$>T&S9bGm@nIrHseq&648Z{SlX2IGf%6 zBjdoip2&j>g)3aPbG?PfAE@hIDRmRldx=T+QytS3CIZ;BuCGtM1#k zwz2i8DJyJK@Sbpqb-iP&&M7(+0k*E#F7M=Ndu^olL!C)N{@<#V|89Z=`7v#)(3^It zNF8+BUMZDW*F?FoNjxzyM^&0=G(Mn)=>K3B%gZx*c8}k-74G6g3(*=@oyVyt%7C7f2^xdc z#IOqbm1)@M;)K8v-gAgaI`xtaHfkoNNs}zf%3(0%lqYUik;|`)93~q}>*Q{%&UV9( zNS&JDbdLs`KgYoC}D4HZdBh*B4hm^fIKbYC%O zzUgpcBb!vnwNto^p4MpNwKkYDia-Lfh(`)yLYmoyMnG}JmDMPuE;X~#Lti>aRa(iq zZt`C~Q}nS++))k*G!M@vB~~)aE~bidf#0>!7;-8C7iFIb_5AfrXw7 z@?;Q>5+D;|K)hN*QUbR~BxAb|j+5x&+Vp>uB@uUWTg>&yPWIEf zG(PJxg7^C0jX$fO^Rw)+DRu}EO*-UQ-B#d4e%q((cW#qhS0*L~8y%j!Y*c~aTg9#~NG=y`uwT~w^x%d`o+V!r_d_;js35Zh4C{b?HQW2rt3!XOj} zV6@%=@Jb~vS63_&n9Pa#0kCD$F4EY!77bdheHFnpnKFMrbF}oEwMn_lvtUEg1 zyZxy|6&)-ts9~d%+d$=%svK>?Vm7_F~4|` zJg&rJB&oH`%0uK5R(=q)Q678$lJ#1J;>yAZfyb7sih0G>m(^y`%?#&Tsn0R0|8O)W z^ubSaTdPO8-VTr7yNR4|KUM99?d=uf{qw3hYu!QNB-&H@9=@ z!Mr{{QEbXjyGT0H@Q05=>r?bQ2HwU$$<${+>eXx36e(@xc><)5sCI2|F#IDL&u7XG zXpXxP|hu3*VQ6Wuhge=Xb_HO%s zkA!1n(CjN+XYq^rzH48n$UM0Znhlk!Pbg|XS=2tOblbNbeiDjgX3zL6sFSUbGC9bs zFijS#lxpp~sLK#BtFCq$(FOhYPxpfnpQ=oZ7Mb1D(qo@5Ds6fW5FoalMptyQ1LYWg z)%q@z@UiwrLWuBf?HBX(k?h%*`}y?WR$iu_WL2v~tDl-R2Q40KRv=(mT5IRNr+Z(| zQf(qHSi(*gn!WQKC)8#_*JNkTjIK^R2saydotz)cC`25`2CR`4w~wn|WL!=iUvgW9 z{<(I(YigF+2=tJX+Bkf{=qX^dNdz*ULJTYq+V`p`R5k@(z#qT zx+LR0ZlQSbX@jED7>`_)I|Fii))#Wa2?+^d=Hu?H09euwtFqT4qhkBNCC1y^*Wg## znmSRRe{f2|`CDUXAWfG_mh_dbqZ0dqigZhlX_ywSF+||O+TWh#>hw_x=OR|#`%tm} z9)A2L3h$mw4%P*ITj9?DhIe&U9eQaEFJb8~+3`Cu9aM5A?8%lY0|HNJn3bG?kDk{f z;+GZHVGtZycS##i`9%k-*yGAbS@wZ8QM3h1F)YlXS?zq0aEp9$*y6h{gx?ZwIC#E6 zeTGNdLxPK0@#J$JC=3w69z5CjMf-P|pCAXv>71MLoK+8cW=$`LPtuaa833dwHXiq< z%azy|N1ong1mP1JJjqqsO6rnraEJ~rUSB&T=^m`BV|AaS@gCHx)v8%@od0phL0`!}f5n%%858R*|uWzi~SjpfFzq!AEAf-PxI+*|=#xypjg`B!jLtZeLDyVvV zsCqbXI$^-5{>RWPPURANoew@A9RYfLrAU0#`&ZJ-eJ$MB|GXx8dYk>)y)iq|KSMk} zzpK8vqs{O@&!4{;Gc*HqJnLawEXb+u9Gjvi6tPNlBcR3|-5fAXmH}7C`#1&JOD%}k zGfO+tAoc>ib)$fMeXzoZacv%*tX7_0vY(5~l;|4#aGjQ@28K*Js(qkQp#uzusICb? zB)`l9vw=2KsDF&#b+M8CkqVDa;~LuEc}J#}W31e{{FKDON#10=-de;M6>e=!hhT9N z_JjSVU=|GQmuP$$nXUp(T!q_MNs*rKe8TS%FsubjV*8#YvqIAb;=q%n7?7!H_h6Gu zd)`s>PL&?}XnLG}ujc!8C8OqB)z1^C*J~u3`wqUJ_>bU?h3WyS{jgPj-4OeSjFzqy z%2=SME3Nc%cqfR9=k^$#$qFf^X=GC~@lu5iw&+4CUN_9@xUc;BXP@aw;fu8Nl0CkP zry-U2XyZb{JJzz=FH!iUKiAyFn2|Lbq!{3A=&Hi_*ji@#3B3uO+*(8-eqe@Il zc5t^Ey$u2v0qs1~2B^4o)6;IV(uJY7>os8qP@uQRdgt+*pDb^FK8AF&>?bEWc-u2Y zFT7@l=AS}N<8%jK|G?JEJGkKys>xA!B`6t7h(9%?F4_so1w&_en_$RAut?f618eaD z0(G^RggDB>gT<`~e8bApTH7cPd{IktdiJ%hPZBxyHjzIw(RcdoNAU;-nCY?&?E`l1 zk!V_8*#28TQpoOl7RTH={fjEjW6Ru>&O8IvTZhV1=c2@Ya`52`&776%Y2My|iAE5} zbG7U0*^Y|R<1-A-P@<(kU3rZdJ=jO zxi++Zm~;Jlpzh;-&)0C$9HWBfvmYDrR5Y^a_kAF?!Xv@++3-K{$^r>@{|e2U6Mag`sPZqeEno=~%EGAa+D?w<~e_VLPtjTxcOC23;H% zM#ep#S^Ab2LMwP3q94*MhDfGu%!fPz&wHD+U-fLFToXaOO1g=2O2w z!i(vd)TFdZFx-yzn5Yy_EHzUVHnyrbC7Jtc_MCfk{tqVHt;*4Ide}&(r!*4vSCe`= zv1dV-0&MxR?IH-9%(>Pn1}QlDVgH=&GJkp8|A;@D;!9DoTs%!xMeoLJL{_u)RZzOY zpCdB3rgZpN{or0DO9eelRuZQ}bmBv*@%0;S5J3?~gO54&@pT6=SDfWcNXFx=%>;4a z^?g)(Rrik6%AX1j&Ad42yPHH1px?`YEWiPNf2!*vdi(~=NOl4ciqH!Cd#PD%F_1M0 zCiaj4rWnw)j6?|Q{Jt&uYT72-&%l)pB?a8W{LG@E$yqNHf(^KWc@H#V*$1Z2L5L5%=v1#9F$5B${!`!?jHlV7kU92S@{WzSUVnuYtDzbGJMRp1kACA4-5Lg%ML^6*cID1kRd@vesc6p%;Ee^mTRhgQLZ+B&V@Du~M?`KT|+p zmKVBunWt~;7X`^WJ6sDPqBo! zT_y^D&2qUKFl&;h;9JpLv2mcfBpaMv}6L!xFI_%Rm`hmHVL<8=$Xv_pa4z_vDo z#jIqe<*ggR=g$PKRc#2js2eBjo&K2UyD~Eo-)Z$*Xxy_KG)7tY?RH44aQ=Y0EM74` zG-R;YP3cooU0kf$G6Kmjm=Pu=Q|yBA!+bC~%;M&Y36AmrBd=wY)xn1X7eS7h1<4Vq}^*^gtUeHxjnpIP-X#g|R5D5k9fUAZxK7MP8rjkwS)wQ?nB(bL_ zx6k_G+SBVf+P76{56+rR?K<%x;|#WLD7l!tWoASdEt9^&Q=pFk0{fD-=^Z^{Nczs0 ze4QcTdQja#>esDhqD5RV^BdBa|9PsK9aynn01rC&I`W-G22eH6wzxAqti~poX z;aM+Ov!{3}$cEm7q!$7&ueDKZ)Vv6ftS3?-#tx^3lTu!6r!s7Es2ysi(EgiDB1&jL z96dd4ecrcqPNx~uuL3j;>+7!-)a%Vr0P?vV-N=32z(M?m*~NzKDaG-ft#IAWNxJLx zFT>WRDXQu(PeqT{nvaFnKKNw#&dp7wyW(0mRx;zMD+Z_AV)&z$QVn&9y zs4ijQ-5RA0`vOge&F4(e$_cbjOpbBJ)xd1azAvweckS-FEg~mv%e$K?n{j}k% z+V0EbIp*wRt^5z+LX(p-GqJ5;;F2OCjg5`TkLaEZ{Cn;W{N$Fl^eA-o)afXjZF_Hb zs?WvHwGJvTtER-pY3nz4u9@mzv;1JIay=PukAVt5qKHDc-Qo~VbOqqCBz=ep*qqhD zemjybdfbzS$s}#P6-J7&hQju7cpcdiI{?hwX6lBO)Q6*J_@7X%bbd>uw(n(-MhJjK zA8BgkJpCJAQxb2}7Zr=PnB1rwGSiG1v1z-v{?M*sP>alTgy2*#uy5ZK>yM{O@W(({*UiO7%56$ykX=aBIO)X* zU^ihb*9bCYH}?_Gz4q7;}3!JS4O> zHzdUS^gNix$f!*KBO`NIoHP1WY5!8?idWmB1)>Yjq7%OmFE#i zS7QII2_uURMRy|oyF1!{H#Q{=Ys|Vt3sO?bhq7V`g;t@Jg-^RE+vzZnFu)BWi`U~P zwqhiq`m7~L85fo&c{hs7-&D>sAFD#~bT}$|Y2chbV?{owGAmr3eug$CcU$orQ6<}s zYy7WuE8R7@l7+XgVyU`;`5lv?`QwHZB*HR-E(1MLVV|dDZr2 z7BIcmb7biQM0p+3d103R(i@r`RK0fmiO3kG3t6KZe`w%35Sl?g%GWx5uzjR@DD9@Hv9v#Fq-Pl<7sD_7| zvu$pG4@5yB1wR;IxMGs>msF+wWt>0UwGM6`dC30Knlx>VfRwxIe3&i4e3f27$kAZ; zzivVc3%@(OxD*|swppzMpC1zVl}ZUS^^b77Ly7_4$VIPjZ}WBN#!B5%dRlIL-{|gL zY@{901?fc{4_pOGOgXZ*=6q{Si0b!RC1*{*2B^eF{=h)2v;;j_Y%-btiD{AE!x(5Yp$NRRH(_JxsvTExDXzs zK{r8P+cZJj^z*OB&(L_5FC|}gOY4~a$y^0Ltj@n0_O}&I@?)Eiq4K*o{dSJPK?;Gs zdDRyhO>yJWPMcPxCmaeJJl3Lrbo}`+wQfG~kR6nd{(HlYkmEhtWq{0tA5q6rIPhP<)yRZaq559z<~SCd6rW&4*SC?3!GE(xWgQZOmO>eN)(zV3UEowiBtt3h}08y%`O1HCoYpDD!SzSAVVp9k1k2)`YKgPU`G8(g9zf@;pACwh4od> zO`(Y7@VE~fZhh}%+!|nq@(z#PvWnR@grl$?w5zRX`Xni4XQ!)MVw7W^(=9tS9&Rnj zNp^8}y{tHDI*=VcoB>d~Das#7eX~wtp0JYJ2V`xxeD;0P9|NnolHXTV4z|8{VQu4- z*O)x#@RLYt&;7$lR=p7|E%R5-oQb?nYb@YxO{kZ1|656f@oP49O7pfB#DHLljHW;p z4g7a=9=Vv!Yq?UH21wm6QqCrVOVLf5URwYrRTuVQWp!OsA0YTML$ThE9AnXl5^i8s z%4px!Fn35KPnA5EVwSE5&_m_@JRB}ff5?}y?K$(tV)F6(Ns>tY>Q9y~Ua3;}Coh!- z$=^J$@AC4(nRn$N$27{zx`!)W$(;)g??x-h^nwIXeD=V!J3gQ4dZMHu+gCtAVpXAv zSw3OU(wKv=E|Xv=r_4IAAy&apEUwFXt!?^{8-AmQKno--iUcf5qt?um*1*5tegtA< z{CyS^_CwdOL{|oQ<))C5-iL`d!_O+&aq0O=_Tt7XMrU>?QM&>xyiaoh1B%SeS#lwn zlQjgYZ!%VszMIZC9tKGZ=S5#j&f*4cq@F2`^w1?2i4I$`8juE?$&Te}umXnkyo=m* zex_EN+UJcXEEp4V43mMGHwr~+_O80d%6eu|DdR7~OE_WXi>;21?#&Th=h>DPqK|{D z*c7GwsoT4yGJ;J-ZaN$FP`*<*PWWKNN3nY+xQsn7_^xIw`VRtJ?G{ue%-2tZal1ne z^i|s@h6HvkKd=gac|&zW3>D@__Ikk~EdwhJY{*)HeH|D3S}P`P>hvuhK*}qR>~rmV zUz{4;_dGrr`U%~|knGlncCj6n-5YD0JHC;amDRB_LZf5U4~PyV<4w&{#a(hutiz6D zm~TAQ9;mB&LH4G~7oylQ16 zCG792K2|w%uI@T;%mFhx*Ax_(Rx*rsnj>gMqktp6AV2p({(XA>N%eU5Y}=zpXDPr6hr9m#FV}vjZyQ!GY&5Cep*Vlk zWY%qS`#%@p*ZGOJuEOXUEL57T$3fn!$LW#mmU-&xHyisRYs1;;xA@iaKswn-^%kLO zK_PEC_#tICufMSu*-PH5-^PSjf3E$jR5gX{1wY#4a|{MU-qYXj`~Jg+wqL(k0|EkS z7FWANKbg#RU$3;Fot&I(m|9vAE*xEx`pYr!+7PvRGOPTqN^?-*6|ivHbawTD=q74; z$zNPH^L@Gwp@o4ESSQyA{aLD#?AZ7uUz_)Rr{+ucS4Pdt$nn%$zsmeKM1ERwW*7x5 ziG9s?VtB#xn*syn(Ju`n?zO0O7>_t7M6&T-^=t)vX^e*I0|)LS>GRs(O-*Y1`}^4H z>eOXpoQq6yo{QpX&jFzRB0PEhpA+~0GaH;k8MP>gn%ah)JSKcm3(OzL zOvt^}`9E3!Isr_QIZ2Wp#6Sn^paMiv)7F^02XI_~)>u0-mZB|YKTQX$`H0<_@Ljxr zPu8A&TPIQO7}cSpCG~fbVpXR#%b5ngXf3wooG1D!jac*EH9Jj zZ)dVHB{uWd-r+nZB+>$@MY_E)+vL*ek?h@as{lnLFFWb-Fxyze=pvg_qEthDAuI)F zKhPS!M@b~K+{X8`&f{AHDOD9ojPN%iE%qpi(aVabn1C!FrTvJ>KkV%Lgg;jMDhW`F zyMu9bKP03i0h~mV@JHvNOhKhue>N<@v_iu|{ zYP1g`BJoSgTKG(MiIhJX~6O(SY8y`{JY05jxn>+YZDWrmmo1w=+cp-?Ccbgg|rS#)XYL@iL ziQ9f95}mvSxK+yA+jJ(&KwM+Id#%j3cwWQTUABK-xOW^meGl03wPL>=#H})1YuL+o z+gf}r#N5){F4x=Nc+_;)lC$6q z^lWA`w^$HG3K5A(IYb{~K8AAeGTYZHhnrph zP~oBNn-kw3c2-{D0WG!)C!RUnE%#i&cI=6+k6BN`i>);3)Dtf6F=Kg!nrEx^9NC|Z zSM#iUnwEx>9u$9i;kjU~etER>+bvajLRjvQvw4RTkc55RU^=}GhiPE*2KGi^v5mEDijX_68=UAo(b$VVq^>4TH|Gv3FEzC9hKSk5(18DaM zrIR6{zRZ}g83|=&pmE2lF-9|338JJO?36=e0AxVq!)s;R`vB23ypg^4z%I9xf|(4G z2jKhpH~26~&Rn#>R(dcjR|%3Jc(+oVj0I|ke8>wG5sK8Z6!;01vcsWidY`y*N7IV5 z4+QF14GfG4s>O~uD*75IU>z*w<9etlZY&z{S3eEkRH0@wnYo#Ut$dg`{P9sbA9&2Q zMgf>$RC}QSzu2c-mkyrFf4V^QKD1>S@8X*Gw zifYxjinW}@or3{ctqk0p;EdNkcM7#{J`b z8oz6%aHM~%6f)nY$Ba~A-tk8p0+(lpH<>2EfcO)okd*BReh}Ct!b%Xc5ruELlNIef z;WkB*ac0Z4^^;O+($(WIYGYj6`tG20Gi}4f^O7OOmA*f4Nz~W+59_9Z@`mbrG&=nm zXuuX7a#bRC^Y3X?^Zl;PJ0yX3fuO0ZBSg&=NTRC&o?YR5H4cilr%4Fr(-?CLg8g-u zLKI+uM)T&T`^O41c&$0y$1BxsEsEVc1zVwKPK;qhP{|<+c#;cV_$hpOe$t}PnE^CN zq1XJd%IW*%%0LKwkKC;hS!x=pyeR#CqXwy3_*r4uK;HO4 zIR4^X@)DssOD6>A^@%Z12^C7%VuqYFhl1@{KT6b4?`ZE6cp)a@#yk^%JZCNe8V*6> z{uDkW;IlK2)%C5;fiB4>isX=`CyFKESfr+ZQfvn$cLpHCSeh^<=yy?osYnUSspWU0 z>6FSI6S*(CMS)D9BO~3PiE|@=47@dvoEH(q>;@l8(`t<#XfR5k-2xY+(@acj^qlk<7LtfTXzu3C1&eR!ZM)985+wdyC+gi?V`K@ODr7(W$ly|EEE5`q?VKhp} z4v3>Az5V?QYokT~=v&#+0o_sF1^;|Vqi1S^+utu{ zN87fSvsd(-@@ymtfd`LwmUx3ChQi0&WPQJMw;N^Z`VHuwDAkrv3|$*xmGJ+1+bY`@ zXqjGGa(ldnhAu15K9dMvy%RX?S#C~-%FP>a`z{=QQiZhZ85ps&v_uoALkzG4s%Tad z4{&2ZhLZpDopxu_%gDtNqgS&3!36jJFdgWTbiQkOOR#CfCvjHw%r-nL2E^B!fBBjl zlcqO3O2XT;(2Rb~sBPH|%7Kfr00SDst~;-NG%88i4e6I93((Lw3z_GJJUfJw)dSVCSU_SO~_EgXPQtHRRVjvSI9G;7U<@DNT=e&db^qqfa(z z+FQ_MRy2>1$1T`ktA`4w<>AA{=5}{DiT90E^Co-=GQ&;pHCXkCwq+Qy{iP@7V#R+G z*4mMw&?#S!7kt>2zj5EVpLm23AvI$hZA6jIa~j`@Sw{wdhNiVT#f(n3Pc)tF zVhIx3Po=IRcq-mfo${Bvwc^=L6a#)dq83jKJ{e>A8f1wrGwswFY;%0MR!0t&2j&sX zrYllfdJ6m(5;`>eTAFYZqYs^wBJPdkOBGo3bBprdDb}MUzRHib$86@$V<#_pH;Li6 z;1cBJ^te0Gb)j6q&Gk~oNz7yn@RU)+UHgziW_TB9aAu|5+i~9N%1puML}2sf42B~b zACSJasC;hG_;a{^^21oK*A1+<+30r!&!|4*e@T9*MxRjOkgw4|>_o@JFzVzmYPA6y zk}^^>@RW#o2E4^go{J8p^>Oce;niO4`H^yHMsodDA#c$#M+S1*EHiZYhgl~uxGrQi z!ltdgL1x0XH25*v%G^co78kGKH~aCL%a}1=MFU1UNrm*vHWk`b{R^M<=xvO`L0T8^|tmO>X4A zx*hRiT&<*u)yoB&;*GbghL|WcS3E7|eoDn+SX2ADbKvuETAE_QGnp7j)*l3=Q22l( zqfvp<%ejjsy6`s5*K_JJdQ{Ha1`J5x$xbf2x49ikoRN}6Ve^Tm)IuAuXIQ^uW!s2L zdzWe!q{K>_Q=ia&1tH{K9X%eVVSchI1xJm=Q31^zn+5i3Bhd^Pwjs5Xc4MnmTykNg^i*5>c7CIU^P#t>|}e_0ucusz}P!EE~V0M}yNJH0tCN zU>E%GD=B>OQFE5+#8%Ri{ePQ*%w3pF`#H3vX1A%8U2!IWiP|LH`(}j*k@tW5|+#8u}?{ zYxHJz|HA(2@Yu}E=CN?|N#uoSMkaJCsD8>$A#t%bkS}A?{lP(!qN!JdpUPQS`~!)I zRkxZGfeVV3OG}aAguu&V+XvZFP1)5*_Gi9cy1DhGIibe0R`7#U`Tt5$clyu8)c}ja zpSLdu%tJIok+LEuDLLEEG`N)FCi5}55=+~ zPF=*w*nb-Q>(Bo0#s>NtCk<3T(09M-4DezTH+ z$DI_w$RLWefv2${iWCZuDEq^wv`_ZW0YOb)0O>Jm{nFXIA097`TyZbuh7|B=-s@`d zi9#$(eN_umOlOOt>J3j4gD&=eOw3b_Rx1qmio2HnC9$5741D2Fl7j2t2=5wrXxR4b zJfnP=&JR%jJ1Is33U~{{P=27$29~oJ>cQvjaWDJRzXivIXDXwBAZnfClp|Nf<@P-zkQ5?ZUk@PCMu+=Vq6fzxCie8e za<_ZlpItl=pG+m&bOS=_4B`0k!jTeM|2@1yTI8*CP@R=dgc~bf$Ud79f!j`+D8ue7E6%Sjl=^v*Lkv8t)N<=SZ-{a7>jhirXQY z-~&qP)N;~FuVn7Wq;txWMiK7S9}s-ZkK zKpORH&!PXVniHK0dyBwiD@G+*Eq*b>lJxc_DY&hRO?2$W8+OKN0ncBq5LY|75I&H~$t|dPM_&k0{Iw$7CWbpm1MJW}< zq#rV5kgQ7os#4x(K~oyww>yBYAo}9Qq6FUvvq}LPai1WEbOtHvBzj5ej}<(aA1x5h zEqnf$79#GFk)p|AooZrgo? zMirprlOd=2^`{O|XFY!osQ86)2j|YuGyI$i4pz8Cuc;mDl9NyktGa|_A4nh;$jsESmej$(6DUMm%QlY-2stNUMJZO$x9c&NpTRjl2_kt-0EmPxgJ@%u#pY|nwy%z^Cfq6tBh@%F>AeE(**T~$jf`pRHcU5 zFFhkecf)5f&+>WKyLM&_1aW$hXWwSg-b#V;y<`3yu?;7?t+vq6Xv>h(xCkbB)(3!p zw_1@N5a0J-y$+e2ntJqQ`}APl>I33vwe#2dfl^3F$o9xRqxtiby`aD4o$Ml+Sy@X@ zBwmiZPRIRC{a+MLez%DCF0Ot(C>^F(@9lSR@iZLW+cUSeYCr7I!Lm=bW5AY4B%~0F z1+6wmkhIVdyoe@k5I%2SLg5gu%JXZRox;I=wp zFRhInYC0W~CQ6B6R+#B}6*;)L8#RF7y|zD(@bEO>`(A0CxP$odT53LnnEKqsL)d#3 z?loaP-+AZ|4GsqoU+)8m@xMA{-w|AE$p#QZ8i{d?R_gJ`ij%{z>}GZ7g&R58a;_b) z4LQc4spJN9j{pp3P&xA9EVKm%=pxze_$L)AflFNDwsZjG&p((7x z2E{tpp~IRU_bT(3YXL7e?YQ({x1uw@dYr7Go`+TeLp8u;kl6fH_V7zIpaoJ9V|5*a ziKYR2r4yN%OxS-bF@gDsCH2{d0Zk02^GNZ={hrj2D6xi(E4k0wCqL^Xssq%X1*^&^ zx9dEwG8=n4I4q9r0w&`auaMVxDkmp$Sc8O<>8+3>*#|=L?q-lTD+fS*PP-Ps40D>C$u;h!akK~)WS zVGEzUkHS}~crqYs-Z>RWj(*U-n&Sh3C_GeURlcC{Vubfrtb=+Go&{hx@W>ZFr$2m{ zupUsYshox%8C2S2%vl=Qb>TMaVCIA@b@L5OK{WO4ALtOIP?_ge1LnDSs^v1JEkTSj z=XxSjo!v?+pR<+kuqOT&zawV)1YrU zz4QFH^sTDEFsyedMH^ssQi=QVkObT>WdbPgU6o&AH!4WM2Q4|;xutGz%52QwsA<8j z0bkG!D2>pYvImbd$~63opMLs&jX}T09jNEE@NhF}bcrdG^^HPi8i-?PJzSEXA0ul3 z=XZD`Zc@OA2W>?E8d;4_S0Ng7#=Un;L0*`mL15B;D{|JaEv2R8(Tuz6{MHL~p2ItN z9{&DE0@Kq8rh)WzLyaqIo5aMJ_dr?yHN5N5eeQ(e?N6#mn8JK{6RrNje@;AGNZ-R3 z`XgC-i?ZvE&YC93JS)!Q)*5FMDC`qP1Vb?gd9}P)~f2p+hQ^pz$^o zu)mdX6jQIWSQG@17%#-Ugc*qfhEpA9sJjuYEM@v-8Hk#@eW0hA8|j?E_{BTSnzZ7#^5e#A#@Y6s9az~R@)v!(F0UKG{o%mGQ zX#6HNAjkrDaHA?-pT^u7t(GLNl!kpu9v&pw4$Dq=GDZYWSQ9Wz8x_Q$gQ~xEP(a>^ z(;yAs9*mC&i!Cjn>ib!KHSQ%shmjko#f<#2 zn=%j7@ZuqREl$S%Up zhGz%WQ1a}ZFWUide)T&C5gb^gs%?e;kh?(Uk0?7tH3L#1WGNA#xrA%J`olZ%k1f^N zsF=$ffWe$_Bgdx){Ua!pKNRt$m6g?FRIPK2b;Y2A*AfeWsEK?_DG<}In8xSNLv_QH z0aYgZS7lQPG$%j$P(CUn#CPFixqP)u|L`g8044r#y_~<&BX-x1duI*#$#LCOD*4gp zs7JL?kkL;hcmtyid6sY*Vxa*)B6eXK`xvl*%DKwLgNOLe*Mpm;BC|7^IEg`NB_~^#8tGhin^beH#0<6uK-ytb(*b241m@%FEzSH1L=!a`=VfUP^?G|VKS*HuWU1) z-yWec?9HNn<6e@i-t#*C2GL7KEv1NIG(C+Pmlm_ZrT;zgEGM8c2(PrJ6$8?J{dwIfC6j;wchb5+H93G$SfN*<{L&C^98|z~Kp&Bf{X=6~kX2SJ z6UH)iJ}>ZgP3Nm{<1{y{i?%a~Fqa zQA<-7R7)1j=4`p!hV#RbuOzIoSG(`NY`z)2hzQ%?QM$T{p4v=lu_H}uI#R$*6y4BL z+s}XU{NINoK`^F*QP+R+#GSXLVdS%?zpB|+KbpWG$H#zBYzN?^Q2Yd_C4cBdkvy3V;j{HKv9niiBgcLSPgb#PaO%4Mz8zz~*7=M+)a{o@fz6e0!}LL0 z$rJbPV1N4Ts}V1rvCGA8M!%m0HeZY#&L_!o^(OJ(x;=h9xgzA7&HeFZ!i$O-W7f;L z*-Kc{`EOC(hksslN6a3D11T!~)%J^S)p%+EZfI5G-TL%P%r~YU{dklRQ>zS6(rp1c z(?vqt_3W@$>sI1Nd_0AJzx~^t{BOzDR1R5B;Q8kOM)7YaC+mdrriR4IPuK3hODzYA z?pqHYlr?>|cMDMBQvMFN5jW|AtokoHZ0))}|Xm_;= za&UC_X9G!&@u&P%Rm;&~trzYd{bI{K@-S3)dBKI-!;*Qa5hq$Yi_SEzM>(=k%JNl> zZpPnEa|n{lsY5Qm!NtOAFCR@>3hDHM$y;fBoSYkn5=4w5MlsWLflYlmC)@v{1#s#A z7<2iA7;x?vX=qckRaNB!i~#P5ETv9bBahS_#D#h8XTVGj8LF0hw=1VZCI1|JeM&6Y zT!j4}M7h$fZXQa$#25rv5tx+Or=);Y^P=z!crm^k1p{w>rAmC_BKN93yM=GmqMBA! zqCq;pTPEBAjuBUK@UROIA%7ELa=v{#TDfn1OOPs~2MCfX@0Ya?3rVRMSeF8Vs5X9j zd7%P3-+27py)bx_5DYomg|P)1i);!cf`AN6XmQnu}GI(uu7TZx*N-9}=G z!5m)qU^w*Dh$YLxoeRS{#){7y53tAsqk}M~^krp>QpAF3KEW>9_$k%;eXVslE#U8( zT&{k--n*k3A-`TYu9XEan5_to&1k%qFMK9L%?#S&d^(^_Jss@mV*C4W5YhO8G}hN# zNz`z>>$e48bu?(LffI`q44ZmZmV(ovvBV+5J!zUcGX-fOg~Vj}?&Q8UhM69|puf_a z!H#bLfIF(=|6=T|qoNGheNh@_=pKd+DFJDaW>7#ShETdix}=dFN@)=#1ZDtb1Sx5d zPNk)rk#3}$`?}9Q=d5+t_Ph6Aa;<@R-skz{vCqlRN#Ih^LUoLMcwY_S`QB9BE{!>^ zlGDYbAtNgk&ELc%Q$F`JdhU6D*$X(0+txEosio12kAqw`l!q0TMMr_;fas^CZjk{B z@?K5Xv!+ACN*@70r5DPRpHZ;p%HCWT=2#cu_$9(IJ*kaqpkHeV6c79lpPkuM^w^4# zgsJA~l-O`h>N((p*XSX909fpZLrnjHMx(Y0;T=yymLmh)=xf6FU(pqKWo>P#aj>X~ zQmS!eD{)}3BB4B$7qvPY$1U2J2=CENDDT&aVIq=1akyczJ@5d1Dj+Ci?ck5!`=pnI zR)`V~apXwVK*lDw;nnMcNS?n+>OYgV5=v3!VhUFVZ5TXrKq^;31JTMvSx;_b7gwRr zv?rnvLKzOY&_e83mY%alCyDVfgT`MA*Yx%*`)LDGq0bA6f9M18RbY_(oFb6V17ro= zFNvnm<{CR5Tjf@=BAmzi1p1#Z=|%R(NS#vT`OF|X=T!r%)J1;B7S@|nnn>}gl}P@dxgf!%J`2^dk(dL~h~?y1ezH_iX~SNtWW zcloiytD8=$N&&s)?|Zd@ONy3 z^H{I#yM50+bI!S%4vj7l<01<%s|SSKl*}2b(*9U%Q_d|ja}hO-F&7u#FMdsd>VkF_ zt`#SZn&jV~xhNiClYGpUW`DJ{O<=pAi29~|JR5w=d)dbQz4*@P#9D&H_^Zb+LB5v;Mw5ugbZUdBZx>)g|t)NlFv+=6+!oilCRwP^dMalzA3F8nj!tCM+rG z^Nak^$v;t$|9%MV>frbSnM11TY?!W1etHNgqjaAGrM?rB{MsDYK zMDZfBo)yd%QlkQzyY|2+ujt@aSCC`r_cF>QeUN~oB=4W}6AP4v!EgnQ&)jdD=IMC7 zY8+1Q)h-lMpzgD-o?UG%I8QJ&V3S`@GnJYdv?BA~NbGji?@`zi6B6s@z zEz{{!=EJwEZ4ospU=xcQvI^4~+x0qc#+3Z0{B_Lm9<4WFPk-o&mO2T+)A~84=KAlX z0!gBWpQXa!Z6btx-_`DIePejE_<$R*dcKwu{HB+s-cmHduxsttf4J!Hz3uF3>HCWM ze1~+~`OAj26=CX2$|`Uw6@MxR2a5aeC7W;D8UftCxfjT3T*;U$VoD&IkV3X?_C(p& zh2~GtH$Ow0sQSE;Q0dLZiwD`Ql>L&X`2enBUmTuRl2^sAyMjZNnk)F@vCfxYP;POF z90Xk&Jd3{B;48Xy1`(lPJ6S&)oIhkRDE`K-dNA5K!Nhmpp<7yUu2pJ;TThGvc?H=z zta?d5b-1S2t$q(6t|F;6cT=FuU$*B~NOadfI^mv(z z`CsSx1tH;(qLLB@3lMgm8wh&_1+8!8$wb?W699f)ZQ!6~X1Y3$d4a{pwXAi|&C$4d zesmJ`Y||l|0e-%wwDOIdH-9OAEsAAwSR7~`uc;O2*OQN)>n9!Mw;la?$3#(578j^K z@^c=CMdH!?Dh>N}nB)jk=F_Gi`KgYoC!mAy0=GvAh}Tp~2{SIKO|GeBbuc5K= zi;qAPGClA1<2wxeOg)tFG}RKR_>WOw2Hw#%AV{$k1$4lM^18$KoOr|4#oq|8eRd2; z5P8vqzGEhJ1pQ*pGqz>RjMpGqsa|R_T1Q3_MXHLU!%~Tcky+>4Y`rcA-#fE zO!WfjW_!xsWl2iSh~O)l-2o9@$eRL_ZwoNa=7bk{!P*I!d$)axT{*!kjLdW6gV(cz zm=7W6fQo4qF%1b)Jq2v>S5PM~D)K0O;ma4k|CQN&z3b}<_VoWs>o0IUAAv~+1T^)B+Y`_UvqpenQJYt? zfL4>&3kf5>y6>tAT!^&H`YsK;$Z5iAaZ}+x)k>(f_6R`k2}jSo7|Fv|SY8Q&z+2

{%;6qL8~J9+2LT)?lE56_FJ)+DC6Aj%EUXpgw~R&eP>yqGVf z=G9W!kR3E-e^@{92+&?o$0si}n??@VV}toFg>Zl!GhbX6AePdl8bLNtUh!kICez-{ zw=K9?f5TOeHd3+_dBXyVKVy{})0%6tThA~SO->ni53I@@%;=Y*Oa)qs42IDLPj{Xw z6G2+-P22GDBhL^z5j4MMxN#!`rm-SseQnSQGI^s}zm!m&$AS4tva5hji}Q_>;Q&_T zRmqmqQuW^E_woi|06qIy_DuEbCSdRGD!Ri}c&DStwuFI~%XwHCOp8`m%6e=xcet0? zEnxYAPmGn*Yq<3~4P~6Lb}0M3BKwVzOTC050U@az+KHH|9#)t9)4PA)@)a7+9u<&> zjU3vJu@HmXm%~Ds2{^JmT|I!Txmm!PSQ-(Cip#>g9U425le-Spn7e}R>l1nb(h zf0|NMPe2P?@E%R8=K-JBqM`YG9b(~k!a;6x%K`qo+yI$rO;c(91!>M#q+7gLKII_i zvHKMV%$nJmvi2gm|2f20pO+Uq(kg<3GJ?aAq6g6$g9D}qf**`+B0YfzCzVERd{r^? zS2tHNxU`5^?2q({G-7#dAC2T)oDdL}>1A3!|O}-%@N4Og_=9RaLn$q7= zF6{*>lyFK_B^v3TmQ|MZ2&1sUUp}`#zC%qy{aFv-^g$%60ZE)lVEJ|kcCk)Nf-9>M zfGoyvDRxPUuwQUN)x_!41WL9HVdNS#YtZ&|C)8PLfCu0 z&8+(+v$vtu*rxTj4IXb#ksH`g=vjB}L2{N4RW2nj{LF3_x*jczT-Jsp;;uI)&PZjF z^|KvJ+$@16DW~xNy?9>X0S5E^tHVLh^EUdX&?g^8Sv5i30RYwRDAMM3d*Y_XPkR-Y zwv48#^;ja{%_%M}PEb9lxZMefPYgQi2Buv5^yEpKsQE?WV4g1T0eja7-$(zgOyTqG z6!I;0->X`4zZI(a>xqz(yq#?MS9JFpkEq`MI8h9p8;+Mp#-~2MLxFeMc`rD**P!|0 z>CM$%M@K{_7$op9D=SDT5$HXT8 z%MQ#O2qora-%C+#JQK0w*$B=u1@mJ~7GVj?er!*}27Ah;Dv8?@m=sA?^$fJGS_7pc<=s84m(gI6mmYj`+h~_}yG8FmpzL&_p zd8Yre`{atff8$r;>vISOP{C$u2~;iCp>Dp%{b~3T1P{n@p7X- z9&gflZJ)1kHYR%N7iq zcP5s}Jv5G;3*gpV;o^!wbcrsl~ zEy(}<%AK(2|MC;+%41Dhl<6X4DlX_f? zLuj9hK5ir>f<~35Ue&D}Ku#t8(dViw_EvD z)^Vr@2{l?bO^BkICaaiB*ht$lkADavO|mOfhRU7ov*mdQ80`uO<81g|0k4n`d=ao! z&i)zd$yTH1J<@?GD1OYIkHQCI4C z03!nNE!VT=+1NnV_w4lDES1K0>c$Q?n7={3r6HEHvzaGrsI#n;^fzaIgN zPXF&8-2)t%8!J2f+et|ealo{X)m<3TKxUr~d!*^;QE}v*uP%;AchAfMLLM!V$M(II zIN%1|M_!+Ft3Q){1HS&RdkPvdI^kdZ84gng``33Z<`{Q(lQuq1*MZ^F7TTDbn!=^o zX-R2y*RtV2J_5j?Kjs#moY=yROc%cp1yW&YwE)?Y%pL)6z8#wjs}jdlrxMacZ>?7A z(kL&exV>ls&i4UGznV}hxofLR9{GKk&%= zn-!(z>Q3`FHpJ$y=uODHmqZwk_uZ-6zlNCo2c{aAMOpht@d8od5;y8s13RNI{me>g}#$CaGoaTJzBjP z<1+Y~vdr&+!V-drW6#g{c4m~a_mQO9zh)gv8eVlx4MQtOT+%e~w(DIe^_$x=?}pG- zx!tU~sn(eWTZ-O~W4^9Xyc8R7y-Fl(p0o|wMC^4lnyZ9_sWiEMz`E9WUNgR&^Qlk! z$N8&i2@jVyUaE(0IRj|MUax0}r;g~uRq`Uv;h~Gf0@&Oi7IJh(v7EcN7 zNFpVjxGVA^hM3yXx6@nAi{K|GhT>gSi-ju60}hK7i(?NDkW==;et|A1x5Pjkr6qS- zl~Bs(rb@!!U5RO?k82O5M`YAe>mG>YK*Q7x!C(?V#4U||B0nBn)>4R~443pOk zAq!1p<92b-3PEMM1WHi?HYj}9GAs`4nD3Ea-Z}J&j2}P{>2+tNiesrnL(y2OgROV>rm!r%Ihvb1Sig!0h{sdZL!W3IW=#@}(Dp}g7dWES! z0VeCvhv^Lx2K?+Z0a@}>3UiV9M z!ax}+VIphvmJzBPQWW{H3;k|IH2(+7kN(0#`^(a*J?8}7b6&4UamNftmIO2&WqtL7 z@Nj)C1As-KeNeWx%v?tr^IjS3q`t}x&(47PzkCZ6y2tXo7Zwvkq^CQW*-<3&h{Mr9 zh|X45Seu9G{&^UTm8CVJI$2vW%4Sn5E$sfem~sreRh-w2D&ny)X8NbZ*AmnAY44{d zRs2y1g(w7e6w8#EL zv5il*0$KOz9mPh(0Qj`WwxY+gf8TBd9~^xYnx`;Ut-~FWEec0_wB3ZZC=LQG6HHWG zlY5Ryz|SpFZeA5TGJ@)Ttba*Lr<_F!@Mjnt%7=}e|L%~; zyvt@|{66aNit>;k$Q9R2c85D)4k){qw27Q^ota8xj}j=kd*pump$&X~G(p`#{iuT) z1URI#vK7O=Og+S>84$7#a0PwwT7AEOmR_ylo|6C9n5~V)Q?)oVBgwg0^A+iq&wkfL zcrZ(ZW~OY}X4%^%HVQ1g-?r<0QQ&+o;|S8oI!fa2se9GCq>Ja?5v(S5;oTh3;}mj+ z&U*Y!{(q+RfsE)lYJgL(V8awBUONN=lcUP6Df0%vB zwE2_Hu3+DQ^<3~B_*})TL_mYeo%*cib}yt=G4Qte{wVxrO7YU}>^$cd`1C5GzU=X* zHbcys!s-3MgOr>O;KMmK0Rd329Z5?JXQJEzvWxRl@IQ$B*+5JUe+Sw#U*BN>!Tvg? zUp(RQr^uqSAK=OZj`JASNBf!;nk%?F9R^HVyiW?6ue1 zCaOIgA|n*Ic5pG56o?&nMArSJyq^LjG%w|x6>H(_q)tiNd48MM*Gnhu2tv&QY`IvH zjN{=09QUL~$eMSV8D`_%t!UJp=^bL+C=&7n_VDLUh5!cTwwcgN1gDTa_tE5fV^JFxk6z1fAtpdqG(AXV41d1P)&Chw?&cTH4x&2Pb$V-xjPy zRPbSB0OF*M3Lb2Uh@)||cmMRO{e_JsBW@%!Da}bV3GK5BFmI(astfEr%|6#HFjFj7 z+6DU3^&?{q+oTb8nWCM9v81tdhByHt;LQU zs&p>5w}Q2S#Lned0=5C0zxJljZ!BceKqR6hy?a-cQJ0o@^n2qae5=KIgIYu*HDk(c7r+Xta8)wFzxKG-}aSLeZ&;qiJdA5PR z1X$sWYW;$8n}M*^TX)sc!CpqdCCV15%1lh*87;QzqEll>+l{SmwSH2JtsQs-?2 z6Vpbkp<30lC>6OT39(Z1h{-c195b+Zm(Rsn9EM=oiQPbIeyR?0Hx{S(be*i0cKaI4 zeYXF;5}Vb#0p^10X?gJ(vncQvNfR?u!-e)w{ZJvdFFp=MQGVQs)^%~#U-BL6;;4DF zEL~mcDm*>YGC#@0J{JXzmwq`E1NKS>5B5>*z0BC_VSi0>pIIwtA)x}4#ZQ4ifjzQ5 z0B?lvNbB0o-YGS+O;~e;L1xRu%*g7UyZ1_AB)FlUK=_D~;XH;UI))_Z`zEd3pP$hK zOHawO^mb_1&Ilp>_e&K#+yN+o`j^TI0d|PfmZ621H}hSoiLyGq*415>YM+x@EuoJs zzrTnX$_2gOYTKwt%gTDlo}$sC0>WYw3&GdQR5s`DTaw-T?F;z z`D}KvsBTARzvKK5EmT2I7U%YYr4;tV$QP?hg9KHcxdn`5LaL~e_UDXG&?!j<vTKd2uDXMVekb!FH_ZX(g1j@{2^K zTp;GIMQi_EgIcrZ!1OTK1z0&kOPDbE!MFl8Oo`oyPyrd%hC|201K~Bz7Mf$>)!;<~ z(nK9xnl7w1q94xeIiWjrW}J?J``N+8@>F6$SoDq|9ZJ#DKjAkq2x>P3>P{JKFA0IS2gBo za2>y*dA_yJGf>NyNBQy-6+n$q*8@~Fs16K2d)aLE^Q5`wug)kL`{%YAPuQOXmm(dN z6ywIIXL$qdRda%sP})kyr{YeuEZn?;l!=@y21^;f#Pc(eYkmO=NDVPm?u_|HLBoKcF)f#j;rOTiU``a+z5jByq!lU)9T(P z>OLlHUz4Inu7#*>LQQlHq7Z<78wqnd9%h+dF!GAZ^1#;Z89&5st05rqXF)JT$^Lxt zea9a{GPwI{2t|&HQ00{BNiXKUT(oLoqTURivaPj88PyRG;{K)9H@^;Lkw19=v|O=< z$g`4~q$MGr#M4yE235+KQRq*)p8B0lRmq#qe#QKH@pJ;pu|Wc+en|7?p&5_+!SbWN zdjgihRxHGaho*X8XnX_pa}s4TfJoCdZFiQ9!vuGYATp2cWEss_Z2&2Sff@L@Lbchw z!q|$*FKRCaGQ9RlV@ z9PXVP>L*@L&bypYUe4eh?YFoXaUB*NCKW2RPqS&~t{BcD>p~L1Oj18uFXtQz>!Ot! zSN_|30?0a(2HpGDMAOpwMd5}*t0G_ST7Ahb7;hargrtkBvp)q3rVaoFu_Ev^EjwUH zCTo16)aHUvin|D}TMg}rX-w|<4(|F~k7 zF8BYMmC{-uh@CAHPkxZ|_!h90tXI$2*BAps(d~v{;+|PseD4Pa-u%y&5-ZwhDGJJ|f5`Wt11GYJTI6$-$Aii{zkf$W@#yPB+Ka~%W{CB( zMg9yg6JAuXgg=sO6`3T5)FUy$&xb-Iv2W}Ed6G4{RiPo6{w@=O80gOnMV6?%RPQSb zUa*X~Fa;x9%KeW6eQrcZgh@DME>%Vtu!a{A?@}4M>*{8nD|Lt+x5QRwV_8W{DiaUCy z+KG|Td^5>wRpRWAV1pt29BY&AF968Doye&eZQL7=1!iwzPc+YovfI|%^+VKf^DwrGbU>v?&;l{S~@zX4u zsb-^2v?cx1EHcG10a@HK#RT=m1i26nmPEi`0(f2q*JEAZ6o!2DXiKi=Bds$jcwpH? zYOE=x^oNLDoQ-9{v^x|Q1!fi=$eRCd$BF|JMj4fCmO!QB!<0!o(;xF%eVchuIAZ-V zX$Bd)JfzZgn#4qw1PKV_WTos|Ws4jqtn~E_l2stOJ1W3_YVWmX)G&V{;ro`8pg}AK zmG`ot%nGe0Lbl6*9%4f%+bt+y)vmMIt^JO=U~fgQyf7w&h(rA1Xh$nD;);)^vMO(v(O+g4ZUO3W-er^m_0C4I}9s`}fh#SYVC z%fC)PdI|~@pp7j|CBhXrkO+O~BUq`&GY?+)SS-^920B2JHbW0}(X5y-mZc!^*{w?9 zb+4hl>fwphzpJaOrA<)Q-4&f7@?ZK6w{`{GK>v)UG7!CWWFG|O&p(EsGK&-+h^FYM zqei}+14$f4b1zLn>`WvVv)>iQST6ARL`~8Au);al%)2{z&;`WW@y?B|R^`Ix$HYGp zsg45KEqzy@zCJ;#7s{B3(O>DN?waBu#f$56&z{HrYs*^vq_OC9%X)RM>GacV_?pG( z2~jQdYB(XU0d*SE8xT+Fa*9Zepm1he8jKHsL$#wE^E#v zZZS4MkeX&F)tn&iej|=b8 z-!o>4PZG!dlzyjfpWTRHwd(xGbD*Uyf4_&34ZUBjWJT8*$V~!}X>|N?9ZNACzhfxm zdYT@{MNoX>gDHd&(;0shrc;WHqg3@XSLHZ5`hLCZ-optprCNy@a>5lQIEndf8~4!W zttb(DqmL;qF4ckk&e!cCN0xw`A*tAv9bA(3!#xCve;syulEmM zZvt{ZpAnU+t-SF+I%DzfZPik+8CF!@-6i10R;AH}U=!d`{Ic^RilsXF&Q35-5oGZ+ z=CI3!S%DQO6sa1X$8vt#RY;$bOr#pan z^Y78~b0LL`fQSd0reA;{l21;p*^A=lWoh)wy=1(ESAZds1xV7y+Q|tUHmqijhtJKy zyxnB=P2%|p8p#Oe$R>hI5r)sj323?~<9~^c&JA1E&w4}n&~VZsh1Z)(vRRKvsa3tB z$E*=??hGx!!)3r}x0|4?I{iI4YCH^aKIURHM?MxG$i&0+Ff>0BM60WAXcaJ|r-bP- z@LoTB3DolxMfTR!`tBjqF3PYdzoszG_tTdLPL^~iB2~E6u~Z! zkS)BHgmaH2-F(gQhTLKDTi^J(&f@+2ScTmn*nYGd*8Z~6ZPhBJaIc7PFcZkm(C^2J z+$ZSCf7_E!RnN`98`wFeCmnK9SzM>VEfA_hup9Pkq${6;v}s7Z>AVmMm+=lAhne7Dc}KI-L2fNQxrISqvJsR%!&|>3zV#NXTq;j!u&6CW*xwyZT0N8)Sbr6m z-D&Mb8qcAsZYBO^suRd88=%(pgJDNbG1)|284Z&k6J9@!B3!5AZs7l)S4DLk8_-$E zS&-6gk(%s>B2G(_p_)Gk@dGu(Wm=7nzGz;53~v7Gb1Sc|lZ2#Z@a#W3H-mDUH~(%_rkNh71q zcDE~4jlQO`W;m?*>iAP)5P0qdabnO!W|E*L)8-%l`-0oAZ%-JmdhT8Is?04bo*@)l zOcwYVz9+?ZtRV#dSYty2S!LMj=up^-F9q8= zEb>wq7SF(MpR~#;2E&eau7yZ(F29E;c9p9Nczx~z(S{O$Q%`c$2)r`zch;E^;}G@1 zagWNRGrI6?vQQF+-la&R^`XvvSW6D>Wx0TLYoleIP@f&)iamz&KoaTaO4_|!pUEz8kG=ry*r$K0G8_DS8ryc#C7!;DVNciMOdpsG zxaeaL$T9BvvSM2T$Pjy0zF|CjoIULu__DFOm&v%~g*Unt4D9Sax(g3!l9I2pzom7) zkRz$n{rp`+X5v_w)1L%d__(yxqK*m%2Jy#P#lVD|OcXw}wyzB?&TiO#l&^4hlFVvL zLaHp-?PT)vokmcpEZ|~~Pbj~M0o4j^pT)(>g?wbBwJoSs_Gd-P%d~?i~ z$U7ym0N5E2@VM%WL&+CEQ+bME1d)Lv#dp#Jb(vZq+n<=p4>yW=BN`7xw)~m0RjVS* zwc(R-tfLqf7{oU!m=c#Kovh}-F<52TW5bO{!;elKw@$ zoXHv@aP@Y*3SwUEe(RS3WS_p=XW@P@#3UO|urcXvZz6j%K{36)KJBbO?C>RMqmmIU zuuY&2=pGQk7RqThYhAOyANBR+v~0J5Oh7=PhE80OGMIJwRoZsLzZNGv&_3(WvqrVh z+H~rUwPiVu z93Fffa!_-_I^Xx4J6@{kkdPvN?wWPXC}fqY>A>Rl-3QN2Z;S3p4C3s#;BObvg!WAv zYWL!D;Wqecd+=r(C8S69Z%Fh1WD1ojVqaW`*s_v1UvBDYi#+yQnX;=hZ*&hU5K+Z5 z7#tZ_T})5=B-Xvw@|?wpMk|lCTv67b%R1d1Huk>Y39s=-0Dd<*drhPInk&j<%wC#m zL>MCtM_Vbcn0APZN|Vt;W8A+(Q#v$C%_|sSKCuME3`RiHUGbpA1E#&m3SlJ_LXx2C z%};w)Q)x2tUoS~m(9utupqn#)$X!$Zk4ZA0p?ssvx(IL~Sz-9$diE13bD&Ob zimZ#ii`*;?{$qNS9bWXQBn<|t>WstihU-hkQUBVioKT~J@+`|N+s5bWkT9d+rJ>Tc z-cW~%A*wDqZZ4+bMolN)m#n(dZ85$gaa4{Lh6@|%Ze&^}2IS43luA5cxcC_fjj9&_ z=2<(uz6uGcj8lX)X>A`2@J-kC@i=pZ?Vlay7beW79+0A?loYSM<^#YPa(CGj(>cX zk*Enxue;ONch4#yVVXm9>N^h4u>}uuCv_ny7`{9CE$4qGl3SR$_WRT@x5tY02vA}R zmps<<=nc16rZ7Pns?4F{#4_K*-4M*7y7QIDOw12zmS5Dl)KO$kR4o(O>fhzae7299 z3BGSOSg_Lq<^1r7b@OH7 zL7T!QhDT>%8lxH5S<}Q~*Muo=m^Qtb8f!iq3VG0AymoGWdU~SS?a^D(+bg-&bli;bElTVr0#JTDch~B;&PRm2AE(G^=)M_|r0~TN0VZiH2rrib zM~N^@l$!(&loGP-Sv$+ca|Z4&7Jj5Z&OT9PLc9}oJhv18 zB(D@;!N^Z1IBKX##5Owe$9cNeT}ghN(e!8t7XCv1A}IR>iF74Vu9zl~@@QH%J|XFu z)TlzDDlzFOeK?K-l%B_{yagewTt&)R5x*O3xHvQwlJ&6TAwkv#jkNF31@rTLz-Er6 zTe*)!+=V6$Gf~sCkhrT<1p}q@N-E!y?He@jAd^H#OF@sAD7DbqpmFCTzR}&pp<~r` zGOy!xF^CoM>rmJTP=c%!iJRdg9bW1I3z3H9ZJ|`yFfDfbNsf(cB8HPJXZAW%Zsqd+!g9g@DjT_WQ>mP&oc+=2k#C2^M?GrH3=gunFe z2|ChcE?t6IKbEncE!QX~dmQV{=$LL2N76PgQdqNUMz=kfl`kBW)zyM>ZMT0HjTrl2<0MkN;ppc&vFrkNlOc;KEnD~;jJ1kzQ@Z%98jF@V3py@~Q*h}AR z59H9Bm3P-^mL-V}>Jq-)bH9U*m8{-*-9Z<5aug2g9Agz^BoaHl0+7Pi&UpUpk*axC zYe>8mDBE z?7Z}GCXez0Z-*g22_w(S5>(aPgk2Ynu=7qz`hqra{=+k<5U&b0+{6Z0On(3 z<|^a(yH#r?Ul%vyB}^O3-xNuGDPhn4VPpLgO074-yBS~&P%reWB2vwvb?5$lf&y%r zk452-70KT}jWRxqgmsINhSs2sqYt)TMg4#PTUPJZPM%A?_m&%&Y3cIacE-PU%~^k( z8)`*7uV(i(vG1dLzxH?(E_K~HhK+BBSgn@Afv^G$x=(SN9omo?@=XzJ5=34Tmg|S7 zw98VmR7Rf_NK(#v*4}PjDDa;wfQv-jN{YB=CpFE?f1`)Uy|-9osv;I{>2D#4A7#DK zz4C>`Gfm&Z?oAK0CF*;k+#%V-ghQBZK+v=Rc;7s>5;r02e%6Q_LozsTeN*)ad#iI%gH*x!hNLv{1-#r-V% z71`YnLQ~TYHL3JSlhTB?{YRF zZyc2t8W4^gWx$o`x$^%kzn=U#6Vuj5 zw<<-54?y?>3@=&a=1ELKhcs+fS#b%bYTe(jwM2s3J(Lv*1;o*qurw5c!g1eC{9x=G zbP?J2%^zrfn zFy`)&?;MPcN0zs(HAqL6jWnbU3IHQ=NWk-|(Zl{2h>?$_esSpk{a~w^rC=ZnkowNl zdDb+}|HOOS;_+9&xD@D>h-vzxc@&zEu|_JMFJVa&t5n=5*ao778_rF&%nuM;1yAHg z99{aAr?uj@OCxdS^_v}fl#H;bMCS|KFyhP$X>(osNEld?GcHNC#%AfP3YYdEeggY+ zc4O{TAZlG;(DF?+4hhv~8NvK|Z2dF_G6;``RmAfMB*#33!|#0`ylKulI2^!)Hu z933Z#Wm^Ma!qEe8iq^7SxoGtByhK89-Od@~^Q2bTwL&6s^Ru;h1u_CbeN^E<+F{ei z4c?OT#N9MkAS;ZVp6-Dc;LiRk#@0>lrV@9gGW#hWEaal^;h;CxoB4Fxn_!)sb3HM< z+Wj{k5XN2RXAJ^EPOU*BBO`wWgtneNEBf(Wxfio{G)>wA2C7=T&@{DnSXvUy7@#_- zeyqR+h!@Z)rhSZt-!J(C$%rQ)BF-Nl^}b#O?3`b1>^yO085vDu&q-E4bBoBzzn=Oc zy84;N<6;yf&^Ku1VFgHVt^{;JIN&kdy&*mSKmM#E(kN9blYZ`ucZUz6?*$=S+_TN zCyWf(I94-oAv?Is_#-~Mw#+Tz^oH9^%$c3+l!@H;rBbXTE}zD#W5 zw7h(YXKrz=%yu5&Ko!Fiop!(AhE%D^3#UM>WxH1(>A#Nf3?|rry1)s!urKa+{9XFa zRxEB-R2G&(Zn9asZ!fC%?jNnNbmA)gIoy0C*5W{@|OQ7u}mO z3urfCRdcY~<=y=)Kl3>;@b`${+P&)wl+Z2k6Ym8d8-yJHWpMsKnNz@w_zpdld<1ckm{6@Md=iCqLk#Qv(tvwIqP|C_&z~mLYN| z3_Qg!JmN0V?wpMFr}0uhQD1C{fWw>;*BvG)h97*qL`>}p=Y{Qlz@MjK|+tE z6%qs?fV8J1dCpZ~J`7>A&FBURQ)^iyD*VN-Y>(y^@qYUD?S*K21eIuXZZd3oVAm48 zs7y*hC09GMoj1>n9RE{0F7bD-B!T*Pk+R3w3@s|~4qe-?5B8&O9yA{siEmZ=r2GhT zf9e@1UiO%Q1~5lGUY7(8z&kWZ`0ls2p-l;KBzt_Xfutrm>{ESaH87}`gQBR^N}#a8 zqzDZN9bqo7m{aF8NeF{FY=)7PWa`4KbCbuU(G60oT|b!_0Y`^yY147Wz-Iz{6?GIY zS%#LwJK4&mBr7bsgR2M#RN@theB}~z3qa~S_WP+9*231%cO>9xFOX&Ug#aS<(LF-{ zd5r!8RAK~`F2OA1Ze=6s$ktGEhctNII$Je5FPi)T*a1omjrz1_0u;$l&DybU%`(~# zu@G{mW=7*6D0lbVzu2gcG>~HfDWTR8N4*sTD!6N<-45+WS(1+aj*v2-*1%*Z|Ug3dqYi~ z#;YL=?di^8rFdHKZqOol9e!cDgc=3_cjFaR>)?H*`8aSznMyaZ0+ zXGQw(PhCVgg_%x8XlFMoH#^4E9U$)0*{WVTEV9l)U_fh22BF9EL0DFnxiZ~>Ysn*? z8k#?}Hb@lq>L~qAOfrkW2Bvz>HE+am>Z0$HQ?1{Q>QIS!G^-|?UYZiO1-wc)Y(>nj z-0LMnERXg}GZ}xXa}9!WBJ4=cj*WY3;opuh=PG9^7h6~=EG!h$1z}3~G#9fBcl@)o zeXr}_mzpkW6E4nfE~sb4xzCDF&-A>%r2&0VK7|qK8&s>GdwJ;(dtT`F@{-L$vkDgRiE8<;KPVuBMRor%zf^o0nv?MoFl%&|qpQA;AdKh2yP!;va)! zdMcVYbtS9Pk#T9O%x27xtHe;=A2W&U&nRySUBh4TQ5EMn*5!D=U*mB`>I0g^-%?gn za{u!jTU59sTz~b5Hl(g%I35zxcv7eYT#jPuH={IWsU$b>iSAU9Ft z5v&}fkr-NWZ*b6lJ2RM2`gZ4~@PF#|VL{?4oRlYG{#Hny+i{W~Zp}1dbgnW(F2oEo zG8OtdS?X+$XPB>6q7~nm>#e9M`qrNn8Y~`3$-Qwdr{U>IlkZKY zMRdi&*|D1I!tM$|%2N(;n&1iCA( zWOd&yxost#>diURU!K|N1BATn7h4fYuvlh5A4rUU0se)twI6a@FR}_M!D}abpiYqJAHo{!M-j2U zU4ctgczqvBy7Kb3KrE4hLgJi@_kK8_z&v_jib)&}OL9vXapi?q&zog3=qw`+>Q++Q~jweDHMD3>)!vv*jt80`KayQbPOHRHIgFT z(hLZQ#L$S;h_sY+=KxA7jnn{=QX<_$H%Nzccel82*Sq)o?0xL@U;Ft2%om;E@V>m_ zJkQ^X-Nee*yN2Lj6BR`ZV++$d?4J#(@O3g&@yKoEeE!^fr>=P-1drtCuL=d5U-3H1 zpu(fbi_><6J{{ITge6X?Sl21wz|sy?9gsG%`tFhSO*yB>IXqx-;5$q+Gi0W*4m|{2XEqeB&`{!=+x$<73$W6pxedc z?XEv$F9HR=&y(xYb4AsquGoFiHJTSF4J&!A`%QPg@DqolAax4uw)8n%SdHAQ+Q;cw*cE^eR(TgthixShD{ zZ_RjOfb9PLclJ9qeQrMH^cO0a7yS&oy1JB>Y?V!7<#V5l*^@T;pS>a!4ps;^2_z$p zQVQ=z1Kg$iSKiwmjoWUGd>tC5O~F>>-RHfD>WjglgnF%~>g#dptZ^!Az}Nbp@*j}6xFDTG6ghFR%`a%1<&+$% zaf|vr&tBrd{DWWfn64Y9rO6`<6cIvwZaG39JRjE|5t90z1+@rg2M#zCL_2(Wpn<%c zbjJg5teF#o=9*u$ws(y0C#fM|HwfUfIE`qyeiL~ zw4QN~b3xka1*er}x*OuKy)A!^4hKB_Nq7t7z>yW^fX|^C9*ER@Y$JzM<^ue}sBpfz zY)srqu}j<^6747}Gp?7_7cZ<+yIFAGkxC3BLs3<%`GwaqX09(rtjU650?tIy^aZD@ zMciV&ysl9RGpJ685VGP|L}@(uXf(3#mrkk3@tH%Ia*;6}fe^vr=b#?5Hezz?nsN2= zOL$!!dVz~(i>ePKOLec(efJm!My{u zZ8^ha$Khh0ltNFKDd*uEO=~}$1R4l%#C&hCc>hfDe#YEDI)GtdYq-e!;XcD5MwK0x zZs2cPT2lDM^}z(t&SHHRw|AxED*0X&2MB{IOzy`Hc%%$`G2$i@0q)L_N$o`< zic_5iL8~Rk9>SqLzH2HZ4j=`e*pg+Q{>4r}xCo?i)Z512JV%AA8_=-n$Rd&^+^`2A zaFXRsb$U?`+v3Bi$_DL@XcfH1Qfc?sQipk(+^Xs@Z%Zs~LBW*FjE$A9ug_IA)L`DW zG}_}j1#znR5K0tv1N8JLd;zl}@efgCwlgtdJL&vR2#tQ>0ETl-AfiL+v))&|xUcQF z9`tz?4UHl0RYB@iMRp;7Ru7B5Vx}9WP1~d5omFvAutT^hqTd&!iT_}Z-ajFk^lrYP zBfRzV{4HIW=Du<&Ea`F4U=Q4Al;SZCJt4y0e9`O`uDke2NgA^?b-tjlZ&070sjl(s zl7W2Rl8;{139O49lN0yiWYe$_$1xzU5%MzR@9e)xh#`=ml0;C$FA;G-F*ngbKdAl) zJ=;kS6%O}h!zd;#D;|9T{0oQCSTV|;cVx)q9cUhn`?wm7r_zZcQH#D-JxeIqVRSBs=@pHnl@Z!u6TgDUvv zL?IP$i&T@qot}~iy9E>d*d=<^OXYtmNQZ2wfByIr5FafKaVgmu-u~_?A2an7GyHO) z^^U;rsmjD8@2Zs)+kDRE8s zhB4^0;>V_er_t@f_~%jPAuH2hYiE3Ep|ex}I;Pyl1;6=~wrERfN)I{$T^?^E9BQG6 zW$qwI^*cq3A?LCACL)2i16|9ZsLFMW94xd7_OX5Z>rd+E7&1l(%U*1Wpu+(mO92Py zaUi~G;mGiDeW6BaAm|Nw#1_98*fDmoEJKl~`Vytuozcx$-_Cen$Y;g|@W^N-=2q~; zpQi3_#=c^8Z**|GX@H-3HI^7xwER{Dj`_;v_J_BE%H{0+8^lm$=!$%-Gz}U^BU8eo zQSH+eYmoWh0H2=QV}`7ZMtbOsgRpGrxa}OUXoBQ6L|WR%+U3^ZX{DQ2QsKxj17QP@ z-F2;{h&V{_Z>qO0=$;7mf;A+t$lqtOJfrnmkR-;) z@WUhh*%25UX!V0B%Otr(D1BPuF=IV=_B@-WCEQwV@NXt)Qnw0v&AjuuM+8-IJZ$3)GQh+zCd3V1sMEO z8{n4BALVKz7G#J>2uAx#hx_t`(@pxu$mFWbPSL;H{qbca(VydO z$~d!-6G~Q_tYcdT2B}GlJ9={2ug zV_cMN|L7uUp@_Rh&92jNW0Y2|e`1h8OCTsxxFV;$vjU&e!2ZZ+$cIMHJgn|0LBOs} z&7y6SSC}to#BN0aO;1;}vBl~-#n(>@W1PF___%XdvRC0Pn-9Z;Tmn}zq)gVI_7@J-BH0k6V=|Aw9s zpp?HtD;rszV-vcX1ZXy1Ygi%<3vB@UVW!TDtdW+s@bECFrZ#+ckwM=)e^k=lAlDY_ z_I(iK@xnb227yTEfjo=V7TiD&Lc#>1H6=d)t)X40CL|b3Se+^t_MM1aymuH!_qYGC zxTj1?%ph@e(@7HzuaFtI@ev^ua1E~|iG@XUfu520FhOJy)FlYH3$6O6o%wtWy;PYD zj!ey!&J@(^eS?yUcR0DBAau>6R}s7z=VN!FB(~NgIf1rx_^Q1FC-feZNsrhO}P zM`wb@#Iqa8_(sRny>$8K@G{t@xMd&&JuC(tUJpU#fqI_qzX}5Z%!VqTSXDRQzYdpc zhI#X9t6Fr>>mhN&Xc}PT!TFP8SpF_ow>*2YFy~icj&AvzZJ&rP9LHP=IWAkk-u0bi z)beo2Fum&8Psu3vXJQCyrGXs6Qtty4xciKm>2A_6y+iZcy~Q1Wjw>5^&&+X{C_QF0 zDa~Zc)JrC?XfzpTb_Ebd;A5}b$i2P{ws%5?1R|{yDj`qZk3w)W9t4h}4oYW+Pps+K z@tX;kA@e~oRNqFQ6J)^9B^&6y3j`kph7fXY_pYP9Eo=}(H7OJ~1yM{yEK@I!W;SnbqAHN0fr?c3CxL8-f|J#$)rptxYo z1|D#<=-l6bH@ayFOgvP6FImVRC}MkVjI=qxZpY+K1x)JLk0+o7Ql#L7(R8BSrh^Ob zZ8x9d_j_-A{Lm%lG01mon&Bpm@J~mmEwN+JNkR5a=gsxU!|6s9ZrsiaYYXfsfhFe5dw@>~lE|nL zchXtckLB8md<7#C^zza%uK3|Uq;63aIzpSIZpE~9d(x-)AzYcV z0;!6UpA;T{T33;Ud3h6V?7T|I-{gBH2#^^Y6nE`1!@!egC0-3dm|*HKIu4G{0%kv) zh}+;F-u5FSaM4J|sZ9cagtP>dZqMMoYf;gdNi|h>#eeFd4mpBWlmhT`yR(+Yekl3p z*vXqkw$NUi1w=QIOl3vN%z&E|d}RK_gViFUrQ+*wfw(_DzN$Kh#X})_VmxO`EQ{AVPL%JEFf|-j*pMO20Vj)O*cc1(i0o~ z$bUcg{~u)XLrGNgDyVR4>(K+lAHeNbS>4cYAHO?CL!@6*TUpy2-9Jo7^t5hdhOS={ z7S*M5pnHwN?*L+#MBy;v+NzY)Mk+{CLO^)+_()k;FaoJ8M98P5JQY;Tqi9`;ObMYd zY=gqWnxf4M0hh}9)epf{G`OV^4yPo_6QS^q89oXs*VuS;5?fAr`Y*4+a8yNWzl_(b zq2^9791gmUd%Dn3G=B=#Je#5O!-^n0VH9f=8SEMD(Hxs$@q_E%h1rn1?gDxxOk6{u zxRvW$dh)nwvcZ7?DeGSp4z6bMc-+P2uxyAh-8u}RY~?fP-FwQ1gXjzTO=899HKQ&% zL6xWFKGBeV*7b~0ScSX}fJEeW(#ezTj(jzYdE<9JGSuiAD=qT~x_xgXb=0!RASGq* zQm}=Xz8a&wF23~pwqITO>Ikrq@hL3nmnu!t1{1LS{shkW@PzS9)3aqD1)Y5HW|Al6 zRJP>p{q5Iga!Pg~S@1LIRNqWz2#x>tm=ZOsk+erl2@0Hdy|7oZ=LssX3mhMl?7n+1 zkzJu#p7U&qW|H33(9QKVh$~M;77>i0YxT*&0dcarc(kl!6@1fJp339m%Zst$_V3Lz8S1Y@;Nt&6>F)CXsbDC0%okj zyj|5vTKb7JdmUQ)vT8-_D2PIlr`1z_n$dHC6G@6e8mXaf=%8ZI?dNv1tOx@zVE6Ht@pY+hA2d)rz=W= zkW$9Kgc~|yNAJ@7F`MxLFSj8a4MV{g4sWQDFiuqLNvS~d!Zksub-F1*{ z7j!>&p=;DwA7&@2SNT351P9Nr(U9EXGPSt2+inj zKB?N!Q~dT&yeKj)H7geyjwpUQv~l99yStDqqk zj!)AX1NnPT0F7+y3Ad5^KLGve)-djue8?j%4n1#~rNF=ME-+D|nVS=@eAl*p3|~_+ zA4|2<9C^(QgK|#5nJF%mrn5 zi@f7sfX#gC@A;I>OzCrr0kr>gfBBy!?~v=+H{Z_xr3FC!``E3BY1Mfj(75+W8JKQ0 zRMmeFrm3y}@T-)2AE1m^oT$w_hWS7LZT7vr8GpE2Y=sKy=};5e^&a)?D?m+_6xN1- z0U(HGuLS^fHbZJk?f~8ub!Ek1G*IcTv)@WBN#E{w?7K`PoJP)Z+?;X zjN?$#HqFxr3Sosy(P4ee1-yTPj^Z)+uup~tnw%Mv%V;{WR@yb8@Du#Ho+FY7fJ4`d z(DtH7jo4cCqq>c{i4McM5TpV`DPircqxVfiJXoH@M%#k{cpP!H?av8_AcV%yQPn*z z-Zl(+<>SB|uFUHuKp;+G%YEz>1c`o}W>2LA0@4R8+Q&cPy@4q~KuTcdQHa##{nQrQ z9mCpaEIR(&c;qtFZTMC8D5;G3)>|CziLIhYmR(`*5O6Q)3Gg(LlsSl2n(Uc1&vju< z8d8?Fgb$lV#B9yejLU-UrBUHJLpFQ9Dwn3Chp5nPw3188r~A%&d|&a%E%D%fvMYU6 ze=%HD^FT{C__w7?VO(DO?gO-Rdy`T^wmyZr?)LVRSEENiM)zv!w9foAJRZoqRYggV zv*g>4us}mYVZ{72Y_gI3+_ltZFZbp*rO?UJylyNR(9S15@zcvczs2-$xbnbyNIHdL*Zh@0+pI2FUF-&8<_BhodU8b7c z_Wamd#_{}E>6HYLH@@U)NJ$tdLjx~Bg!(A&l}>YIO%QF#UPIB!m%Z0BrNVUwJ84hV z1p4(eSyLR7!mTJNHUE`Y24Xc{JE_CE2HuM-nAK_+r2XZBChbCep~3wOt0VOF7aERr zMl3o3=@b=Kd*d{H0FOAB2M1~Q)$P`iMHN2n2<7@3E2LsA-a^AQ0R^j($--?7q;3@_ z7}-X*&L$Qf=WkK)3)jB2V@tM@<)esvrOdbO+n5#d+k*;SG;O$!bopYk4ZIMtSK_@~ zu$SWdPyV#Fkc>9#gD3bh7acuMLs0|EQL-Ih%CwmtfS|^)Qf;^ke~fv#uX~e@{&>eu z(Ci$wHqpr5E89;-&Qskk9@hS5$YF2Y>Ec$}O!^P8fnpT66MJq=fcmBDFU)133cx%H zcY$~L~8?+DWW(g2$eF?)z|;&KU3vOkfl%EE%+ z=QqZCQ5#B{dD*5{WxiGwjd?n^adIByY(BM;IpR(~>^vuv5zN%nLu=QuoWTVm=;Pow zLoB+x0Es4}nN{mwt>4BM&++&X@J%uC?k#I8XGq@S(|ytRbLbcl(5JKjJqZdO-kP!Q zgs8jb<+{_glyFjV(hA3He zsI}~5Wy6s;u33(eVvG|KA~)&FKqPfr7>p|n^<3Sb^`mtpo{?x(8A$ltHXsVLW~MDY zhe7kF&UvFa^W+Mp-$T4Dl7o=mZsB$%CUUW6NC#R!2&mCMtmS&Z{q!-_sai$_FQh2~ z^tK*qZiST)`ItgGLQlcmP)Ie9(%gaOedI3TH@R(m9py6v>(O9$TeTXp?hvdq0ebkS z;6~RTW3cB0qh4ToQ3B>ls2?^*9t;gc&A#u!rIj)*3tkJYB>CQSjT1Iz4FtN-`ijaT z*b)i!tbLdp$o3(jG;9;Ng(m7aPhEXPc0CO~&A5Iqe7)*^KeRWhXh(m`6a{4twx?49%5RzBe~YLu3^05U``!< zGBdoLBe)%>n2C$UiC8;`-?l;J^FnsErFH>ys zBGQ^B`g5k##Ma|N`H2!?MbKU~&2BYk>*B9Pg=Q_y(qdbYM@pYq@8~|>a80`uP&nH< z6AnbW6!Q#$llz>e(jyUP06te(tMIl9HGy(DboZ>XvKmOHnVfQKZfW^Y+Dub(Sv)f( z{Anl`nx=rz(W^G-7n*?2+D&i?ErjXKMY=&Q+R)Xp0&xruvo-*ma5Gu9l102q7s zkdU*nv4(9`bN#zbfkg$M1bnCHaXx!wN+zdsbdS035DnmN;Vg}0pvjKGHErBRgocOH zaO*@Mg7gV&se;chI=cQ!^{goQgd5x3-g`65-v3pjkbYoUT_7c7^7P4$%X)oLJ@~Gq zo-Spt?$%P__*FLS=JP*Pn;>k7pp_nfwA|f-SW_G~6iP@y*5>uWn*F8qc67zbg0mK| zPXVU~^vVkzK0L|GZ5;}4fS(_9>!bG4?`p+%A|JzB;oDdDrb=&Kzh(YUQ(%lD+Fydp z;zgOq%1hJRpHjb=ZoNi({#=T#o`|-fE__S{(Zx{7AyVxmE;Lv zru$QO1q&D(32IrRKWcNl?{@qfUURu>;r0KlZOUl8OsFE*-@^Go?V;UPv#>vAlo!oX zugj&b=>D$Xx`)0LFV-QrhzOFLS^voKE;zY$p&!+;=b4IA70x9S`o z!4Jwm`BJ<8CEaU_vm^avkUsrm+`|Y2yg#icqNJ-Vg&|~{ec_vMn1b+8Y0pXKAv$)?RNtMb^zdxC-+WF!fm8cy z)DJLntyF2-^i)xUFzT&u({jWIEq1xvH^6}+iqCKx1@3#8s<>5rXXqt#ScDL0!BpKo z%#rpRJeQkf&kG{FaNVWTQ;#g-KTX2nm;mxS?dH|qO*PCEy6cpgo|J<(XO$-JJ(q{LhC=^>H-R9 zz!bthoDM651|sF9jJ{VS!vO1W3qH|YNK+q=!^WnpEX>}KcCy)i;&Q^N$^=N*8{Nz4 zQHFg1^Nx>e5BwA*E>+epm|l2C4p3ZWY1pFT z_i@>T+3sD{M$%a1oXxXdV#`K7x-OQS2RGk|1Y8-IJjGpnyDePBd(OW1mgkzx z$`{NkG)^wh*@!^?lg;kJZ%jQ!~SlJ88=nrsBwxw58x=Nw0XNZvd-OT(j#1A{sc}YI3dX3~liVYAY5Q z$W|K20;!Bqqdvpe^-A(X)hn z0IMY!etIt1Kkm}2kw9gkn*URoZ9IZKem5Q0^ao+fqi89dk}WzvV_|))pQpmgy;<0R zTL}ARlkJY@pX6%C0aw+sIewDyGgPS4j7D5BElNMU_=6Gb0eP$b>C0o+#4hF8NA162 ztLeww(y7q|d(M6LtcdR_eeNPRmCg(ME&r)W{M%eDum!~i+ye(m)0;=W0Ijr<_Z5lO zb-tq~{?h$L>@qE*--h3-f^X|nxULOSzPm1_NXFk+Bde|VS0e~5$Hn-%Z7Z9< zqdFVAtOT~vqbCJsNayT|gdX1~NB^2Jl@XYrwHJ}VPC5>sGMem^ZzXiy90Lb@L>4_C zPQlq5hx)NlMB&QyO(aKpJ_hBpjZp z^IG9Uegv+{?KwS{c`pV*E&;1-)NX$3lO$QhEQ80hb`dNT6DqAd`{lD?Pfm(Hq4aP? z|LZe~YhK@vsZ<#-h98?bkyN|!qjWN9-JU6y{?gU27M&LuF!4Y0K=eqN|H>vDXy-4= z#=U3WxmHe7o0b3CGTgxrzIkkx9+!l8KfMroPQCp|$UQ4c1 z*Z8l~U~8mYd5Cl^PgqL<6w=~Tv^2bi*XqMOMz4(inc9;N1w>!SM`6JcC!Q@t@8&?w zvOazHWhXuC+;}S)gBm)aQniy#-8;}+c~&!~mN6#erhVweaEqSx2`NqBBmMc8$WK2s zklUUcG{Up@d3$sqr1(d3M+6Ws5ReNizNDnO5NSAM3|I0?b54mfZKOh=;R_d0xMmBh zQqee8La?6+NfCIMyB3&$LmI}9Tz7R_8g^DrfHYj>@i|rqep0Txr>}G*{q9A{;q;7r zxF0dD6XZ;G&4=L~?Px{pWHZ(jr`r6~Q|@om5{0gkI*sT$4GhH@sHCaif^l4)y>;VG z8t5fcHRxr|;$<@JWzOhh%2alG*-56HuhkH;o!;oWa8a*>!qD!1-Y|XeuWoP;v9#x0 zD%d$KTP1gFlJOJ~dhT`REu|<|G?WyXlEqUZa`C6igI)IX+bzGDKW^@B`X0JVQdgNq zPC=8XvgCy5q&8t6h}%$zI!NW@;uMG@_(FaZW^=2MR4B{?Kd3?SG5SDcEDESUUQoNn z;iHj@U|=w1209V6&LnTd?q)Z^jNo`d;x643kyiL_77$L zC&))eM)hHl10{8Jz66v>`eQEx-@DRYF{?iFqRNZ7FvN*n)dT0i)8)z-V5bdE~N zPm#`s(ZTVXl@sz{NNwN2J_p$S&D-8IJQI$Y-6XdxU^kY6&(5-$e~#BCLj$t;j_=X{ zVmt1mCvScE9?d>tC69n!(oQ>bT~SgO#)Z1+PHh|_o;3#U)IV1p(QFRgnZ;C98_5)cZvd5B$J{2|opk{_^fR+@<`hR~57YKTL zV@D-6{F({-sF4aZ>#Vijt6abKPf}|f3K6|l zI`q%YhJ9=FYL4HE&mVf_Vx`2f2ox8d0*7LL; zD$aYna&Ic3`eSSpEQq!Qz2t2UeaYLz zbP>rbt~2b#1#_X(D$HG_gDMlw$w!WhwadcjdkPAiED;~N-_m1{iLkSerW6C=g6|)N zs9?UR?=M5G=Vo}q5>dgj&14Qo)e)17VUl;_?EFa_s~{)lHC%sf*z^&W0{uli_j8m8 zx8;)9U#cy@cOD!gM4Ri?-U0TePUj27`z={q53g8?SdoR>?38E{n&=pNr!93=Vm)> z{>UztrsufmxbTTYQFBj5 z)mWu`>Qwmd%}3JDPjlAl?cXI}lsLy1cQ7BJKARdC=F6!ig2C4_xyoxRYJPRisgFz= z8_m5lcdHGzYcw{RKRBsChK15l&_g-qGmU>AWUO3f+VN(`Z8_+(b!i~T!35^GAo4hX6?UZLHwprV7W`eG_9fs6azv>p|!QDaS1 zw4HvXzdd#1{Fm*khNyfJsInQ&igAm^<_cGR=Jj|hHV8eU!T# zCK?wTbGhO)8FTm3JP0OLU<159H_D`~QOC$0KCp4`BMvT#u|1{jOH4MF?27qV&4YZ| z6@&5)C=8u{7lSMb=LwzJPgFQQ8AT-8qeTiR2QlJ@APQE7*cKSVJ8E-eu^cCVKoDI# zJ`BjhCB?QYA?(Rk*|N5HZrynuNBfpCJPLS9Ibjcq?FSsjiiEP~90*g5xF{`uBl)HJp8I3bR@#d& z-jXpv=r&ZPxZ^6NsXS1xr0Za_VG`+JN6DMs8s(C#=X7`q0#ZK>+Z_tR^JF;+=&p38 zX~)y&-&TF-3nND|jz_UNBGvDjGZXx?_DZ7xETjD!E0=LO_?PW!cap0+HSw}9#_AbsZS=|w6f8vazq?hQA~LfxN^ z?hIqhcMkuiBK@mOiS^>Lv|gE0ef!fB(u6DODB*Xy`UOQ?H0zvC{nL5Sh7|!v6XTux z&KT9#-QNb|(ndWPf%<1z$wU{qd}q^8-yRv6iFs>UtN&1noVIX%fA5+0giD`;eec&8 zw?>{V9`)$>(Uk{W)ULScFa(g7dv6OdNLGfP%+36E=npbwgoRp}Hbgi|Uy-(4M^|0{ z)E-$a(U1u+ttpv(w~EeqjqiQKC2Lfs3gLWa<6tFMJ!^l7jckNzP4=ISyD6t(7qxSBIc!? zx3MhJ)!SK`9PB#awANVyjqik6?tZvVi+8pBFfYoCz&sI1&^rF87D$t7Lk~lIe-arY)!p3m_2-B(a3zEK8+4P7de*&Gs^5R_qZ=U^zo#)karhTL_4rg zI|S_As0BepENP<2Ieb@f)4i`@#HxkWWrvnqGmYQnYKUK&WwDycu1L>=r_$$Nn)$g= z+m+~7P)syRye8xT;_g4XV(IMg9K(%SmntZ(W5r8AWSc>da57FPm09TAiz~{f4Nh9@ zo)`uklzTc07672c2m*$Z|pwc6-|k;qkN4 ztBSoM&5qmDPl0}d?3h_e^dJV3y|_hx1qMN;U8c$)5frwyGiu51?ADo@vWObyp+Je7 z`WQbf?sY6qe~O6p_Btuxp1L(jTQN2e-xhpfIkz*vmrzPD5i z&sZ<^UCGQRi+Lw)6OT^r35wA#&apHAcSx~S_UO(fL*3b^F{<-~(nP^e`OXe0$-AG= zB?BG=GG#nc_qK*jkr&b2uHL`1&!JyZ6#R;VaDSE-Ws+|@`})#VpWv1iK}CK!VE-aS zEi^6@ot~!RSjZow(-Dif;|fj2dkok1v$dgMr>L@cQyc^N`uPbyAt@&no>^Z9XVJJ+ zP~(#^XN*T-X5i)va*GX{g?jjyy!13a-)wrjjtfQf&a<*2)sbQIH{VxkvUb^2Hcl5? z-xIqp{9=64$*>U5+tf_fJY?}t)h1jd=sLF?y}vQs{q8=j8ddVS42jpZsBkO%+;M+k zgq%;Au%7M;bTs$oS^Ll0u~wfZB!L&Jo+;Kb4T{oA)GybcG`oH@{ zY`&nx#7Dl@9gN+rxB7oTc6N5<*A$~f#xkDoafvb{yD!jtD4jPuN!Q?3F}y9S2aQVv z)*cNrGmGuFe?x9-onc;&cukDDUnc7x-@^wyPksuNnJm>U4I$BqBm=YV`?5O!=548} zj+S@Kbo@7}8x!j?ccM}5d@02|jv?UdZMoRsUAv#H61&>35>vXEty&aT{!JEMQom7I ztjWQol`=ZX(m)LLeCPey8NyjWnHEJVQm||7qy}BVc!LI_SM61XJr9Bae7g6{*z)$c zLAX1@-xbk9U$ z$%dLK)xNwq&hGel55@w~cfR7R_uHxYlq}!z1S;k&_hS?dtMc#;g_9*WG)W%o13E~F z-uB7D4$3fu1cR*DytCu$V-fd;8T+fs@k5v9`IzH{YI>l#TRXMvncm+b2d!?CgE$^_ zx`AR2rPOnoTTo5}TF6%Va*rd)7qgu&frzVvT4J+|%r6~ptNO~KredW}FxEn0V_|m( zn&tiP5<^^Ve$*^lqG6FQS~`i$Kd3C zfXe9CT*c7IRZLmAZ*3?$)&G|kApKVCBZ>FBxcq_CZt_<5%^wo{r0n>cc;e`2)0*g7 zg?t#;k9eEL#5Tdd6fN=x07z<6=<-VG@KX;xbp;JaGY&gUP*D|6e#6A;M*DXMu62tG ziMI>Y_P{T7<)c9Xao9j_5#S9NpVI=I) z3>AxEa?9FtNltQJIn_;XZ3;fqF@4p(JIA)IZ{aaVZ%X;pyl|?!UdKpETC>N%9^c$t z*mPXL$JJ!#!syp?JF72MJ3rhmGW&u>m2MypC~GaMK&oeDquaC*jo4YEad9~$!9}L zL9=4;xd|NH?wwD|pD?Qp$bp2xMA!7KSx+VfoC~iA3h_bSSn}^ZBoP155x6xt$P4W! zK~R9GVbZEEDpv|B=`ZowurZq-^tECR){FPzHeRB11!h@jv0kHmcSciNNjpg;HEM+q zADofz!EZMld(QIN#9+cFhvA3ltRTUx<11O%7+_i8eWW_!c7#dpP2|#M9UG2A z&74Om4|qmHckAoSb!Zii7^OG0DZ^zUoa@9-^y(&e5tAQAchARcwdq%0p@I5ZXIapd zb{}Uw3Y{5SSuSjCuRosxPBR$-c-nv7=DiTYCkLTCv9R>dgdw}nf1tpJ*ckkV?3`BH zu>mYX+DB={m0ad|*TOQo8h#}yV8D&NC2?x2HPu+K(=T7X-L)_>1%#2fxSR{W7T;v^ zee{kfJiOA%2HqbAX@597IW74$Zx`+(wzs20E1^2$o|xE=*7{LG7mmm=zlawYkp*ve zf4cmV6&qBE!k!1)S$-P@{I^B@L=}NFArF!6%#j5XP*QX33#c3HypW2fA^^gXai?_( zwX^vUkKs?GJ@J5!Zp8SkN*1xlb8OeZJqK5Bwo0|)Mb3hwVOhbBCR%3DY^CX&leH(J zOK@i>lkSe|4&3BYQ_*PMYn&D6BpXMoKk%lwwaFEihH?utGx zNFY>D;8`chA5&+bsKp89NsLR-%8sklVoxBGEEJG_vGF31v_&#Ij<17?>;j98jW&u{ zOU=U40ats78d&kY|CN&Yyk2X=16ery{_uSL#BEQ3@fh!$@Y26;j_NdUS^lg9w3%Ia z9FEUj_Sy2Vv?bwX8SVi(}sDG$`yQ-5M+m!LA^erN~v& zJGguWYYpx{_kjCP{H|yzw_Wl~&sAKW3va(aj%^VXCUs7zjCV6V z$4^^NpJpFa^^B=6OSG&>94}tAP|DnQ$V8>4rsmRz**E{2h2;PF7l%s%S^KjuoW3p{ zt)-Ux!ePj>5h-@r6_=49uzXtnwE1XUSf4UN=_9#i5O4v`X-6R%76s~8w=#^rVC&06 zc!Z>m*t3{At&VK1=UPbuX4w^kmzb0S#&lY}vWN~WOja&j!@{Z1$6@zr;^aFObL5W; zc6@D<3Uv`RAM5xeOC2g#qn@29m=Ik*lPMI+Xpsc5y=?=BQ)~ty=~PmJK-$35>K;YG zxlWlA++HWiRY$3nf_i^xQ1&K}ftOAZW3s2W?Sp?t`z1m2021y`>xje5M(qS$=^zH{ zOmB9}!WtZm%X{!_2oQIOh};2xjcn*awiYhO*KA9K&f9kaAevmqr zEYoutb?LUL{!LjwxYc@YvrpHlB3a}uDzK`P3_2gBU#9u1%{Rev9BhA34uaSaC7O^Ureo_5wT5J2w2A(d7HFV5W1jJdQ7*zdAr;(d zAYwJesm%akV)iKfY}CWEHm>p>nTaMhu%K<`3Jnj5srLEhQH0l!AwGmt$hVXQ1cHT` z!T8dHuwBk9;^gP_sq;p6HmT#FJpkUs?DpQfZXi#T-rGF);C*%`&B>dSK7yC!^GdZ! zU&9@0V@=9q6NikyIaF6R{A%A7nG|T6JcKol_fRCdGioA2A@y8s49NnVll-IQX?mQG z5}rN^yHrG^f)-dYmPm9`SiUV*1&#>Ae5DewaQoq?oX@i%Zm22t6a3>TuFu18S<=5a zTnkA2lC^&~$XMmQuY&ddn`e)}AUAofl)`pHzIqjrBhH0iLa-lhS-GQ`y&u`M@vHfn z&csP!qotYdIkrS@qooDK5?U}#AOcdf!qg?8VuALkNJs387{yN39*5S-xJPcSF#JBJthm7pkgiInuh=w!(`Znw1g$m7N`~=<1?u@8y|< zvuGE*mudG=b zWA7;(o#7P0xBd%L=KNdFr<@{S)K3Sign(su2_ZAoMZdO5^Ct*52>`tQi0MD*(=v>9zU) zY3t%Oq(1-*_)qg{S@Ax=PA>N7CiAHM%KzwUHQLd4Eog8H56I5*2cihV2Si&=qS5OK zrnZxlQRo~0a_O$?ez!B;%Sm3&nDaWKid6qU3s+KGkQ&p+UPWSd@CWnJGpm+cT_Q3Q z&j&9o(0pU#wmT3pA#P+X1|*l_JwNX=rotySZ<7v2gTGWm1MM*fA$N+z)8fe@038%A zHODs&Xi82f1E)^Z=q%~GhC;zl@}Mps5+^=7y&rKs*p_f?!bt*>>yBB*;U7*;U#>tl zM~%+!net>|yJT3_h>p$EaZ4sSV|6Sh9Wy>Sju!*=s?AW~BFC}DSR1r~HW)*`lMfHg zd%+P$a!{?no+g+OlTkGYS#bc)@np{9!z2nvZKYe>o;sSQe0Nof4)3s^CF5`v%MB;A zk>SHnaR6-Oh*%7uUt;>Xma;q8^1er>JHfSwj1lyal5qPe#_(fjVsL5JCtzpg-O%$Q z=oz3Xfkf}oYa0YUSlDqX_;jZvBh z0aCu~V~bFW_`@s=0ZP+AIi%e{G9Y&l#{}I)Fw0FA=HL8`RQia;nV{%>#E~5*p2FJD z`_y(j8{)^40WI)rTp?y)$%a;nBQd?C0oMBpv7R|pRU*unK)q6C$z+<)(31CY0`Osq z8-L@#9cV4EKt5Vm4Jl6)fZQp*c2~_nq(D%=D?c}}x&a0lj@I%E4N7$>ydW%t397!5 zH9#=Pi0{`#kjT&0kefFNXY*mApeyq+3)zJ)^=%MhH&LsIk<#d}5TQZ)x#7iIyJA~` z9m^?k!;#8+zD+>KZJ#UAwjRdw@pm-6lb;%a5|9fNPIsl9|c~ff<(8V@5NFd*kB1%Z&EKX z5sJO84bUO-=$V=t{>%TUY?ikO-+TFV+R4qHOdlv-ZuaQ<(;^iu)SW9N7#N@NKiAi37N4)*h zws;V<|KJSu_bZo?fN2hjvV?A^RL@+XSvm4G7kSQfo{HM?Vb{DfO61+%A zg`P}TP0x$HV_g(s9Xe7(xZ$m`e%Y+;UVdhcUs>8SGqLI6N1K+BH1wdpu6OEK`vb23 z9mHOv+uF374IVgjb|0cAGJWYdNh9*Vk)r=U1u;+h@zW>qarHy1B&m>N>-M0~3;dJH zG5N&(fu@+!t6hfOpEGBc7nx0$emejGN(p2t5=*put@O|Ng&q6V1Rs6PUJ~P-Sa9bV ze0+PS5-?;LzyxpIx)p8T`b_BG6u@7pSt}E~MastpV&MpE90i{|&`c*^Usr+htxM4R zz?(nakAwPMjA`buz1!OcJX->BR?ep8BpWu2Tcv}Fe6i1moj~(lVxhwF9%vWVPNFKm z=Li(zO4NoxdMSiiolTx6&P2$S*908~fey z+{3QA%Xzx&11UuK^M`o^)-c%s<`GYG5a__nD;?y+%rI(hA?i8p>y*G2`<=qp4}3MX z;q60-fUT$o6afMey8b+So2zm0+fI*|1fKQx*~B8SKu5vn;X;q!eN3W3xTq-F#*e*8 zy1@uq;IzWouFswFri-H!>%O9jW;9riYf>n?$r%}PpE8VjZ}L@t z(qz!Uo60MoDCR=UL9LJQM$J<4S-Ts;VV0@hI*v?uoIMvjsIQe9vdrX(gga^8Qr|x` z^$WSYS~_5Yyo#&kLPfeV=ZA_JIe|j@z_g+SU_(n$dgW@M1DQgw4+qs4wkg|X+bL6V zdcp9VIF`bbr({|_5l=^MG_)3Dl$ppJ4vr#jFzwKoMR23BRICTBE#6G8;123ZC#THM zlNS!sx`^i7VDfgF!%OZkV)`$Fy2_ZR`pJpIEjWh!7H-?VWk1$!;xx?<1mUHwq&?$AGhP9AHuwb5~=CG+2ZY*g2KvZj_; zwdTLxc+l9G_$||=ck!b1Nxj0~@5t&&QXc&)ZgP{*4Og+FSz$MZW}`RkI`YykP2W;F8gNar zYq$n>t-%pFGln)!B&^f zXQ+h8)A64_TOKLyGX<|3WzTy8^0vqtPL1*(WMpLif3oDO8V^5NG#yoxE)DekzhG0% zG+q4eM^CM3F@tkR25Wy@^No$io4?(EPXAgq`$V^Au$rUH89=|wVT(ccys05dc}vR% zSgppIy>k@y<*$;bQt>%3 zcSNG>g8jP&>o{clLqz4;e&9Xrc%-SfStcaV3(&@-hTbs7&`m8lYn2|2c%6vm!+sMB5&3qQq?Xhm(q-@-;BWG zH{vJR?GN`Wzb7aawZ>Km;ektP1O0-u-LViDi^23tHOanxdHj{o`zdWmj&hh9F}-K! zZ3IC;AThb16@wK)Kt}7J@%hp0O=}*)yI@`OiDY6>h0zP?;54}yXb54<@y&BQaRfpF5*ukbLKeVmETmwf{l;Jnbb3}{<(o~QfIomNvXbB1 zG$}&Q8)49{8jq`gtl3sgx4IT?th41JKTNt`1+O+pe82AMtNlD!AO{h)Z#}pZVc+AkV3PZp?F}dY2Q&`Kz zpyd_=hu@9c$AAl@r0|quBeuf2IS4>P_CRza6F0_vEw7XG5kulFL(PQ-a>ezIQ;1dk zv-H1>F|&!ZF-k|0IAYVgo)iaoB@~F%L<&KTFxvV(kt?#*@Lw+HrMskwN_yAL4cBJA z17-&#h?=0vgUHXbEljVjMqd)=ej3&KJHk!@$4eEp#J;)p==*@w^2F8H%yrc+?e!x2 z4VNLe>$+>=>vN?uCZ#Mzx6?l!`IDd7mk;{}2G}tK>QaYmh1pI;JHy#Pm-b1!n4OEu zX0zL{?F0@MI&;z?HUG^m^j0J?N#SVRc0zuV{_ij1zfbP)ptNQ(pf9pltYNYD_5RA| z#@g7;gd5M?T+d|7>DnGZxU>lP;)xv0;JV6l;FOy_!Ze2CMGGUr_`^m%eNa7V0iWE& zJTuxfC;>>D*ViWRRhu+NIWQ(L0VQSx+-@MSY%sjQXCcxS_fA{#Jq0=+ht>T}f%o%; zIa9Y>HOsg&WW*y_fk1DnII>_m$`I*0qIZvB@q;;jY&dsgmSmFnEK=~Vc00gH*rt{jHjM_w%SxIXryw7AzGc@2I8{g~{mzSvo}! zyq}>KwGO7dzDXmg5LNI^XCY<5f-8(%HWCsXM+RT5=0t&*AYeJ<*d60xSM+WKZcQ|f zGOk{l;+Sf51GAq$jV2==YM#l_1E`V7e)zNFw8AoqbbuCKy^Mez=@3BjxD;PLkleba ze)N^v8dRmv3u!zG~=kCD9suwgvhF)~y#Z8IR zA$2)aRDM?Dzh|h&VHzRBG;suuz-8ZXIzu*mdkx5wWvkhAh0pq<9(Tu9qsTv799D!p z#6WHTbk;ORf)-p;ZDE6zM$_B3;L_&t;;Q-R9rxGgJtLAT^cr)>t=Q}%)uU!o0sbvN zh~nx7rgOf-V@0@){7LrS(^Hxw-zn;MFwep66&Ls&gCB=B8Dr5;l$Hu|#o7d=nM75O zJZe8P#SivweX&;kEDq%4YuuZhPrPASf<=xH-ApN6p|Bv!-#R#3^*y)ntECiA-9;;z|^d{5FP!#80*6&9k} z>QfI>54FM!D`h@L58!WY#aoj^O?wD2^@x9zLcJdwJ{JWTN&}W|cd%`UmwC^qq-6E4 zmWgHhO25hRCl#L?CR=O`R4G>l>L+bpqoQ>Z!6A^Q>e z#yR<^ap&L%<3S_ke{_b506aiV6M`5c;1Y*eQ)Q$Z2hrN)?;#z6t%A_g8#EJA3&?d%tv9ui=cW%MsetMyHaT0bZ^*PYd z@p0DL+LMcmfopjH8$a%5_(jpZFy9_`0tC5Xmp)iu3XZMxXUg%IS2ao5J2?@#`L{AN z@&pg3|7vSZP`3}0nlSq(`PqNTH+EwA%NUA$wthbpwys9d2{MRz*7z=gB!Ld6<%ye; zrPiGt6F1XA2bvpPXB3clVh|k&UOk@HY?qsu-$9_)e}|Db!-E1vzJkEUDx(M~!64(+ za2PR70o0aWz_=M+hHcK`kmGw~Km|^ocLbc%PMWLXCm!WXIRTt?FF9+HgCv`A4t>P> z3C}Eu@VvXSHAq!80jPtngIoNrNhr4t+RAU=so=*_P359Wi#&lel73yntJx00S+ME7 zxxYEMA_EyJz4gEs+ArqzxK=oEHCcs|M0HKbX+KQgJ5*YOXvM8G^w;VuquLkaPqt>fCqiLFp-X26VG}VA|B?!IbcftEyy51`hWv?M}}1CGgzIa*ZFw% zWtUhUud^xWd{Z@3Z4x_wbu5DaO1#p{^0#+j$wAF8Z)MvL%;Lt?lW~J<8mnqRxq^jX zE`+KuQ$CaYqya8U&qSN2$Nk!@BElJ^#bp0lV(eQtsg7Q}y=Mdhdy`VCaJ?ugDOb@z zHN=kPaQEi>AI^xdo*-?xviFb9Bl)OGFQpr}U;3G!@$E1=)Kbdtt(FJ2C>#CElAR!( z3!z|S;UTT`Gaq-U_)I&JK3Nw}yHzPS{>10R2g^Yy#j}V;ccyv30tZqVoca#;a%q_6t1>)JxaLF892qotB&y1oy|Qn5dp=uCtaX)B9qX``4jL zk9M}n;9}Agcd2#Xv2U`UhiFFx=hLJWHvFyl`VIF(nKN1^r0gv`Q z1Qzyr@i&Qwt@4)2XP(KqIjr}CWsm9{FMa|MojDjK_`S%j9syEL8B*8P*=kOBmysN` zXd5nFWA0ns7?!R=OlGMO0@`-mRahgVS44t&8b?f>X`efQ?=AMVk68u9HBX9@mYpbq z5oUo54+Y}GcOB#HSGNn`?^+2gkJ#83FR9AC^fAy(y)XT9$okB+YI4O@n{FyYV`832 zCZcF+cKQ~N*Nw(I$!Dz)91=oRa%I@eGkyxt88_v_droO@vIn~t`6)Pf{bE?TaY1Vh zv}*Xcpl;dcjwfrcUDQbjN;kC{e%V!{2k% z0WA&OAJ`0q7=|XMVK1m4^Z`Zia4gq{F+6H|?R;__NQ{|#xEH9zYRPzI>B(az(H7%P zK-gNVsm*@JvcOvGn>J&{hbIkz;$(7yr~Qhb62%rn70on;@SQ<)G$xFPwVG!kZI>kX zMl`-B;bEZIX9gJTO*vOWm9fV^DFW_zfH17UpIIaqF;=w61G#&g@y6>rFq}Ze>n}pZqPiU|i?@-U7DOV{DBpl!X`Q4`ZiY$?-5?)(h=F^+WUIh&ysvs3 zqezQI>3SbXhl0Gc`JqjktZNHt*0SLf{?k5o!$1QyM%WN==G48Jq2 z=gjw$C+*7!XrHvb@fU9pFh{d=BmGx%qN|aulu8%S^}{o2tyak&e?1ca&m1>v#fJ%tESyla4X5#4x(N9A=_83| z^_PeZ)6)69I5Ch(b$#Dq#ge0k=Bm*wC@cr@7^-}m3O^hT3j`wSu_Mw8NOEw$Bnz;R z%<(wA_2GGaljyY|O*HLys4Z}led(RwRf(pN%_xII#C0I6nEK^8STsFIxeFaD#gFy) zbwv`6HIR(C$(Vzp{w=)xSbej;4+ughsv;g|co)tco!S15gyWMN4~wQ4gt3b@p#wh} zt@K6|A7}4&{Qbqzr4H11HdkbgWB|)xPAHqi!SIqzhrEAUdVgyew{2T_<}V(QSI-=> z+@rRhD&&>NqEB90PU3!-4MHZ$#crncAxG^e0XQQj>h0z#H-9AQ`=V|`?*3aZKbZfJR{{!Uk`nMX$6bnI2LtC zuiu%HKu-w5PlHnC^-Qj8;iK62$I0o!^?rf&xUV9L@1cjR65^qha@CAkbAX_W7Pc2>}RPB5G|13}>VL^e?38^U&^% z$i|-nW!YeZuW`HqTf4Am(mm9SpR}jK-Q(N$1Bb`%_oQ6qFYHh4o#HJ=k-9DT!aw0v zZL~R1tJl;SYj;R-GKI2PTHl z0g6}zP0mvoRZxaIkD3X>(#8=<6-XX{c2Qa^Ymj1d3f3{ z#9om4O!Sp{wCtIo?55~Mrl>4yamB$|i1&F2e0t+Bfa$eiGFeNau|Ad71Ho#p)}trNer2*C?hv$wKIar;7f8?zzw#ITx9l1`$Z~*5FN8*|>QW#l;vUQLX zRvoaeL}Wy2wZ9n2r&&(Q#9n;AC(xP4hk*~g^foF*Z;1S+NdLQ`B|Mpw=hJ<1#od(T z>qLJC?nxZ?qsPxKmnF*TOk{5|2PIW`dMc{h@3NITC<$j>$?MGgbp0LO@%yFZpi*i5 z2BiT@_6+}xFHZk?!u?NyySp^)$zZf1GHi$P3O7vj*o6JM(=8ZWdM@@$`u6Gh%&w?K zgFBPH;wg{S`NY_-^?4wGfj|=A<+j@^o{mu*`Yuatoi}cu?+hh{g1`@X265;Y!`>esqfZ^j@z(DFQYY|dRZNk~@CsYs-Mpi9SZVnxx-J4YSw|$MNIK)Woj~QyYZcHr1x!BZU7z*F0XA zo*Rw>zoxzG4KR7(uw%hOeQ4fU_y&5 z9*9;d1M`i<77$`C*ADaN!PoVamfvDv^o7Jc`mGzoOP)-xJxR?>1s!!pI;!Kx{tP~L z_3D~)(jCAM#LTSviSJH}Z*VVmQ?$pUb+*!5l(6mPw0b}R`q7!yt{a*v^Hrw&Ufeo2 zZ$Osm%!d(*hR?5#>zk6E3l~DMdA7NJv8;J zz(GZj3l8?g&*icw|Fj3W-U?SbeW}zUH*?x=u6_`@dwoS0 z+-W|O@sK&hX+z&Z#?aH$u+!#>OV>Lm zBgIB1ht}6V^-CgdHRf^UOaDGw{x{F}f4$u|1mNL!$+tg-YQ+S9DlM@KvTsezOt?{h zaxh%&xy4eFp(J)#<28S0)sv-n=urk$e~G5D(y{jo1R&!v3<-9k`I>OAPZCg9^z87w zSZ7>7lHvlau`mSwz>~@rK^0*G^WQ^sZt^-$a z-TEX+qA>f3Ui6nUwYNbyWD@GDSwg=G7yN@MXuPlD;df#IVQfCw3VPc5t*?Z?JI z$#!p*A4qJF+!_ps?hJ^B4kuC%quvl|a%%Ix)g=-d zxfli%KbE2yb*h9+$*-QMatzgJ;9eEYT7qa~#o8R_to_dAr-^ga!NFEprW;vxbDV`Q zs(|Tw+G}8cF@<3sC7U5v{zW;bo&n5vaA<=lOa**QpHTZ7brwczFYUd|mFK9s+oHEb z?2)7%!#wGt1Dm>^&pt~3$}f#Z@7$G!7i{1Q z!5yCIxMRVBzmEuj1RarBJ3zyHGm>N&MWWmGnBGo@yTd`;R>$Qd6g_|TQvhBB&-yx# zUS=#WIyQRJdZXjhW47_SV@w{AeLtaMJbufS0ut59R|AW_{W+N0#_ z9Xu(W%tI~eiABDl zN`;|HzS*2@pprj)h;{PHN`2c%V!Xg;DSD@cikn=vPKMl#M{o7KU(~27TR=ZFY43b& z+I{bv!=RC`!fp%)3`TP6PD7I2-Ou$&B-g?=2*VtNA#RB%CYHdFr-+=WXmE!^rFf=Y zIUL)ljG6>CaZ7NF|t-CPP&M&e^0376q$i9z6}j=WhM})6~*3 z>Qr7`(-~1oqX~h|2?z^!@A!wj7H8&j9vw5AP4D#tyE1>BxczSRrn1jSk^|W+<*SCv^{d> zh(vO9bXV+pB`oTC=lZHL`#Bmr5O%fizxmWU=)*Ue+rvXi_h?TvH5UxR1|NwlUF{|< zM2D_MCkBEmFaLJ9{>SKb3<;+a7`cx$X&yb31xhZ)^S@NIw+@8tr&sTN*RDR8kE{Oe zOgR~_D5|np9~+Z{4aY$Zzf60Cac9|oi3je#L(2E@mQGi`in48jEjG`>7Qj;#;9V3E zc#*QgCdg)_?C)U4ZB6jhZ3+m0SKBa%(@c5t;#UZacu{XhTvACKz zs>S~qjfrMh@?^}82*q_zokz(iLlH+3y$3k9h%FQ&M`Q>EWTdis?8m#E!P&uJTzN*0 z@Wp3&`^(DhRQ%+&E%NpL!(%VJSpx>e@i}YPU}?~)#^$13MjO`&Dt==mw2k@nkcV2V zbNkje4mrhgwBfaCNYZVgJ!OKT_SUfQP@7;++irvS2*F+#rj*>%MLjd*Y^4C37J<|jU@!>RWPfj@r% z=>RhaYL6aXK71;Sqo;5IZAFst>zxFy9X#n16}E{&V1b}fVd3g3tBI}#VCV9_S=DZa zSNizR&h>70vd2vbm(t_jqj1shACNRaNwnr%4{y0|Rr1|r)pM>6&|1%Z@62!IzN~7L zKMcYQWs4#hv~;Bz62wvn_<5QWtc~ucix_avTe2vOdXV;#d7yCM~Wdj@IBflqZenP9oHF!tC~Pi z$6MlbTi;S?Q|YO`vbTD`iARf9)SGEkN}<s+8U$;~vA*UWjQAB(;b9 z=J#`ib7|*BxN@iNVAY|7AJL@FvGwwY{Gh3fwbS_m=^VD0Hy5ys!Rgzv1MC@5M4pW? zBxlCsud+1WdGAXLsF9y+Hn%t2(YhCJN0W26lwVxy9x_6el$6i72px{KYX{6hU|$wJ zMmkVD*pSl!Z2)U*>EbRV(5B!+i;AF$DixY4f(j{Q^S^RmBN*G)=4XLR zqYQs;?i-?_xASg=nXiPVcmE9zp|Hg3-o9ic+PK~wbQxoHgA>d$;{^KE=Y=#NJ_$AV z%Ug${`)YIulKVre?QvASK7fJpO~m!_@vuh?%HH@4}?rva{Yw`SRG69aJE{aIkEgZ42k-YP`%gZWn90ByDag}^?K zU1+?sH6Phwl7pPXwNQB)A;W0{EiCD$D*yB}8$TF{u6Qdi*|jey$@or*Q)e~NHi74B z29AbzQO=Hv3P&}>Z)1`p`$D0NIk)Ed*j(uP-l;Xvho$+qHb z1!uf0Ta&?mbC& zG0+~|6oND6uAs4jG`2M2sRBF}Qb6iKEa^H5cb)J(tySYZlGCtg*?e}7P&#MBedSDK zN~s$Mg+~i&V}bh4m1&Tx=0PdxiJ*1`FSE6l*l5Ua0!2sB9IXdLoJq`1wyI;{y@bhc zG=_SUhZo&+zDovSpvFJe_&Qnj-!P|5`RNm~fUD7RzTVs#okUOeU0J&KM_gXqt|&Mc zlvyTR0q1@FZPRa94CxGo-iRC9>d=+bY23d#6@0HXQtv}Fv#Nkqa`&A1XZTC@v-d9Q zk}Tq-z6JNOW9ixrPx>knenrQh6QX|UA7pqanGPq=GHAhnY!yYrz_h%?o2j@zJTALj9d_=;r{5bIq5+7#9o+f}G_2+Sr zMBU8|C$oir;MluJKOWLt{A#zXUzroQX8u*Hz#wYTOnEg+DIw}#m^9X~<#X~UZ^}0K z0($*f-*5tuE>8YBlDznHTx53mLRPNdWS=r!EQDPoQ7%>fRDbxt4`Tm0z5JdbAmRJ% z^T)ce>MIM>g!-QeS=+wax%fITkM$bxu;oYHNM($awjDqrV0%I^%uZW5K8XJ*IUQSe z|2&d_&hXy*JLH1*d62ZSd1Y{#6{uGI) zdFBfa z^ir(Oj|sAQF`GHL8|3*O1X;FSvYi2W-l0j89kSA@wuZgJZ$30^FYc+yL)Omn>~%74 zwvY~g-vsz+BrO)*tN-6xfR5F6!z6xcJ}P@VG)C`^T_4y^hmJ;v&hEB_rRN`4^}U>~ z2RQ_*CC9~u{2??V6P#TOJtM;`5oDJ9!sD*t|Dlk=7jo>!H9lRs zuj%<)RwkqHa-(C}C4#F~jyqM=6n`5pxz$I>nH5v9rtRjQ+2@{RaZhlcI>tqFM6#TO z8qxUOxv}DwM?iLfy3$wbD?|<~_lY1{Ib=y=WyB8W#W=j|+f-ya_qL3bO*D z_0;g8#K7I)5-aL&{V2Q8{nWNAQs4^#KXp=uBzxC2p0RxZeUv<5(Ui7r{}HU}O8IvI z-4--_(eKKfyi(CCT3aUXYbgbSfpS~P@OyTBNpNpS^#Xbe8&vlYSZNd)Pq+7veH)HF z){=138k08Uls^de1yjaupD+*?wT~MA{UQ`rcwv>lT2ser{m;8eg@3G$-Tso~S{28B zmcx#9yFPN8+3RT7R|vzOInHVd*I)~yn}>9`U0JiYH=RCeirK!t+V136+$FsxOfk2b z#eIw3JL})R(7Ra6zFNa|KD=~W?^HYzzu2L?-nq2?{AuWKAb`cQLpy z5&g@R>v*pL`ADxv181`9$uD8U2S6;A1UWe_sx#fEPj?h*TIvzh`cf^=|!*f0jt+3vM-fFP9?=tKt9q&$Zua7J8 zcUMB1ABjj`YXp9ZVU$NJ^)M+#S}RNIngpXQg~~yU#b|+nH?)oC_-3JdPHFT}x-0QR zm6k>axh~s;+As}V6sPNIOWhEWW6Z%H+gZh6HRqr^iNLD`sZ2e6A6E;C+XrU)ICSa+ zF97Dloa5C_gb>5n;? z6*4p_wQ#_o4_vlJUer%-i|af9zyrY)xS**+wJ}W@+Da(4Ko^H0q3}u3D<JFd-QbE4Hwab6to9)cbyR}I5Tht;ceJ^h(8k4n62?-3nqa^4`DU#Y{#f*#qx z8cygQ9;ft+S7hf%1EEYNn##5_fL-6Hn1XABh}2oLnoT4ANfap||1*F*Wqi$rL8M1d zY2s13)RaF;#s}@&9Pv+)V4(`Bg^@J(TVp?U$xVz>&bd6F*A#>f#W#kvA- z0ke&$Yi)(LPPxnK`Q6^U%$U%$mxsS;q)*(}oTJjytg|Th3400CtY|9cl-2*-M46Q>lSPC&%!K znk9SAX5r`o_qo&xOYY0x6c&q;bkm4Ig~!@wh2pq`M{_>R+l<>G+>d;D3+u2wrzTT1_)% z#)}T-@Sd!u2oFC~|G|_aJG_ry4YYmglA$g9565q?5kor`$b{s@zw|%_tZx{FjP)O;`9*b7ESI{mD3>fd8{rsFvJG_D*1?I>dr=;y` z^X(4(%PA$Mx4$FVPquez^h0kdT@EWHWS`e$*Zs7k_`R_mc74A4r`@%=@;dZRhT_+& znt>3CKbF$1F+mrFf4cU6{Y^t2=u>?uy$riPQc>@{Wqk?~l|N=l-5*elBLjY1>k_OA zfd)q*pQ?>;Y|LWks_Fy@3vb~Bl(ezzIr}jKFZ5ckaP`G1!bI+pHEeUnm=SRsOCdcfX1{)8n&2WP zl<1g4z&ehmHaKfL7eQ-+>vjYkvHKh+Wqpz1oQg&B85(;LYnR@!@1HQUOr>g&ReWm+ z#^aD(;Qd-cMd;l-pu_M{=-Kt)-bh;)XQb@3$T#L^Ne~D{GTFYjOmx{CJaH}fOA}3% z8}>4_o#e81{0!}~vmXVY8{DX!nLgSOhjwvC5PTa{;UG@OL2;feSPeh9FeUR=JQQ)v z5{5IzlLchsHfUsg$;EImK|CTL_ozB4*y3q38fw2u=Ww80O1Ra?e=2E(!+bQ6@Y=&| zlBvfN%nf|LM$UIU3<-P2YScrmZ|n?yuufQb)IWQIPdPh|Pr0+xH_vqwXl2gGS`Ks$ zoN!F*FHODC?O<=AYt$(&2ef^{-U9>)#|_v`nl0I^@Y4Fhni;MO0rmBD(ElT{`21Q0H1d`VB&w1#&$uKuS%uB9(uk!Y#NRj%r zYj_`_WDMWmO))(7!q~=AIs1t=K)kE0?sY8AfU+9y_?P>*(r!YllRAY}biF7zgn!i!&V#*NJf z22jQr58D*n9Z16hrrNKJWqpf&XokF+nfb^1eOW)B@4PWUq_aRITMht=9QoIeC{V$x zEroCC6y?Wparw0>fFO&^X$s*m>QN>V@0GjjA2-}%m?SKKR6G=@m6;~6R6vgrhC1xH z-TDboK#gkM$-F*D?zs@#j%qG>^!M8nj@O-f%}O+L@^Cf#r|@eI+_SH{MmT2Cw_aN@ zS0CA(`4zO*ygqjNu*@EBpzu-AlD65tg_3Y z+g+JvmAARmdTM#JKY?zy-{?@mT+ezWXhm-3xqisHk#y@I0x?>SIM`#f|M_3}Ja4qj!)vfzet!f(fs0yX`)Q<=5 z|4}^r4JjU|lM!O!Jo;H#+SZGP444&-6iA6cLic%=-j|XA*@o_LEfBrn-L4lAL6)Ck zQN&ClJnZebD0xwL84?NOkAvy7t{Jz)V6Cm`JZEpeI!K%&;lz)mNmf(skP(#2yVwJn zWPx9%-RmB>I+{<7kOMbXhG5sh2OD-O@sBkMLShBq59JICv8^KO$R!x(qjS+M4bV0m z1!G9!q-U;U^_avYSBHA7%a_Yg3xv{HNXv7|DsAgWkD+&YZ#6s6Lv)Tyy+Xl~U_oAlc3=#lvW@$L)dMz&L#O%_-IrJr z_IOgkMIdto4TlkdqyQ@Cr|dh}RWf;8c5a(~)M@?N+NK@?79tjnupt5W98v7-Jhh7a zLs&0K{{X`9mM`RUkuSd$h)D#{LvCm66x0p!N%N2b)nl5ziw0BiJ8dB@r(7kUEIKOa zU?9ipc4f{Mpt$ORXl_sSXqrald;5hVlTJ9(2M^s7lM2axMur=-dYY}gg89I-Uo5Jc ztW4M#+x`x}sCYQp%EGsD^m9WE#e2UhN&o7x4QN(Zgg?vkm_E&H3;2_VQ)0gUH!ag1 zr#TCZyh*8j00z{Su(kR@)LR4=(oFiKS~|vnjU3L#15tIx1##bIG1de^b!`!b)R5i4 zVtSjzGj`;ZfT48Hve%b)EGep*IUC(OCpP1U?nG>C#%<8mS(IkZ_P&2Ye~Z7K2<2j1 z`7pIIl9P{7uh(T%Jt=~W)tmt*`e7L~V@zNqNLY!IaXs*>l*o6Y#(O=p;SX6QlIYI* z4V@Yr02?yfbb`r59j%B})sH2vgUpR23eHFYf;BJl<6m#wI%MrAc9?w5*j$MRos z#+IJf`85igNBn~trTGS}zb!v@#bhG%t)G(g^P6F4--Fy~*M+*qjk+C_Sg`HDljS6l z`4z=I+xqnZB~I(mT&2sp)V| z{>;`T2J5!bvzul>O8fLLSWr;(Qwf@RTzTU(FzCTsmQI}z@>>fLU_rPhgcBEKID-dY zErWHCuqtcb3E-cr2J-?Ev`QIZa~I=xmphqxiuLejB*1Vg>9&ARk6BF!@0Xw#)NK{o zk33_ti4Q!{Hbjstu~o zKV*Zk14ngM{Hwg{HPj}pg(y=am);;o0!UElt6#3-^K=9(>~TIc2ZMATgUA+LyA}^Sio?`yC>XE$eh}@=-ciRzZIwNG82!r` zg3)j_0J3*ya8Uz-@aiEzZ6_(}T8^|cz@WdPOJj?8y=WDkR^@{Wl{vo+=#D>akHlpQ z01{|}S2;6+Pi(_M?13Zz;xfXVP_Cm}Q>89AHRyX2?(ZO$so~lRfVQ4>7w#@)zT^aa z^=-;?KE!l1a7ML|yO&uX&MCN97R+q1yJtL+$chPqF?$O>IO~TT!%y#Y{Bv4CYxqh? zPdZY;5xtMJVW&OoB}Wq~nih}jo?hOR94oQ)3ZXOK82elkns9$d`niN=&VlZ<+62&_ z!0XL^`{oTWeYFT1y()V!?AbueAGy25A2ti9k=PrQbN;HK7GDd^xpM>YkJ`D0+g$iK?%+jAvSN zm-{Y7WIDG}gB;tf$ybvd@88b?!`!8V;vZ33Q$BsF$hB?puwR6vE zxwr3<0waNFjP=hPQh4t!$uw7Ee;q)0#`!U54s5x)SR3hiJlK^E4Cq=uaKLRD)UHAU z0{2C@s^h_{nEX3)zQP`Ju7_a zcD-$Ik$B90qWk%uG`<`>K+h>B>db$`?naW{eb@1W1VCyscf+mu>wscV>lz>5hX28j znMCQ>-4WBPzK}|>%q4~}AphbeAdw;FEHHOoFrPUyxtL?WniJ7|O6y4Lzt_#bJ3Vtb zO&|9UhuZ(qzqsquz_~dpNHUC|jI;TL*&TbQ`-T8y+;h7Bpec}Ul9_qj%DS_EnXgi6 zR09tc_wr7kPP{GfbOWXsenvhEo-}uLfGK?=(Dp-|yyrg8Yrz+PssQLvcBCl7f`U$MuPz4_oU_Yss!G@NDjR;&XxY=CbEK zPcs5y&lYd32XXCBbsXl-_F*uQjVf=W-|Ya;C;yl}=GRgjB5}9Jr+@so|D(de(PV3K z+Abjb`Z}!tIjx7AiPL>YA4jK4G1iP~5B&`3-MDHgJ%{Ow0#yP>%Vmm93I6VY&GS;a zNzYC5fPU2*WIms6B_9^z5PSOdNw7=Qx78<|$vlIa&NL^qvpm!DtwCLS9>#|3e(^aZ zCt6)W(ut;wKkFQag5uTnv6$B_;o>DUaIDj!1;2y1o0b8tcFKzG+5gOA0%BKo<=iVmE0 zA}${PI5f7S*}dd|Q?#QR`vn6KS8v0gHpMYfHa@|5!(*c(d=DDrfp^P@Hm$)weC3IA zi70m>_nUX3sUvKjh~m(ORVMRbriSObw+-_`F{-Ih z!%p$ISw_ahX?Dpz%tYP0bTV*RojaPrNs5+&p&al3W9%)%qI~;xVH#%WX6TZV1_`NQ z2oZ@PR6uGd0qG9u0YpFsL}?h5FepiB7*bk7x}+QFX5X&8_geei>-j(L{xk=lhI@{= zuKAtk?~H(4b4vbXu~>X!ky-k}68cl#@jXQ}JGSSErQg%waM{6%8|$yRDe;VI;3Qp{ubsk^7No!!jLp85bG+eYWxA?;44 z3eV70hn7K^+(t1iqKhL}ivE7(LQ*e$zPuziSSOe(98|CIecO@}5O)JQfV}c}s2l8b z9NV6{z^q>ALW|>JR>yvkq%X_v>ImD=R0aVX=TQjMvPL=QF`W_1bj3VJWE}0TLg=EI zbsUwQK^u0YTBfMn__2OI$Q~y>ln4FaUs_gpQ7?BQG}tcgpkX18^w?_gc=Q+gXs^C* z(ttF z{^z@H@$NUheYDPF+&CTG<2TpTS$7vGB_SB}>y#*KXlQVmtr8e@y!RjJh_k-)K^@Lc z?`Y>Q=T3iz5wcDQ%uf1Tf8VK|-tXp0KLL-mLWjAMaSltHsJQLIpVc9;h*iIM#yY!V4H3IVI{n-HqC&Az7`9EIB#H=YP# z80BFRUP_<|Q}8+Kb}YL=CTz&!slTbgC0ubJprIR$!x8Py5W(^{4peMU!G0U}AazF! zmGX979wkc1vYM#2kz@SCNo1TYf^rq5;yQV!nxn;HPpVu#Nb8%CNCY8%FN!%3s$S1l zS!~)^bt;rVbn^N63~SGX%V0KPt@q_v1sWkt@2S2oOv8>L6*?4y#WIvM7tK4UJ$vpQ zIj17YhG*~1>Gc6Gi*Gdvg;_Fq1knMKyA3R1ibQYr`V_bNN-_I^cM02z{Aie((cXVhmO3o1A}i z50v^l0f-OoM+zI`$+^I@D;OZglDQWK!NDrz88S6iPiiN*olJ5AE(vz7NN*sNB!Yo~ zQMq_-!QWg_w8a+4?fh&~oNHRlNz#kcC&tcjpS|pR4J9H%Uajm9k9t5`*DBa9skb(b zzw*gb6!)k!tm{*bn8mz!W*JhSUzFhkHn^XCLZD6lQ?*sihDMZSAqmyRU+_Va35k>y z+uRqmBH_Y$$0g5Z4FE9m;TgjZTk>2kl90C<%E}PKQ^pXVGG}#m7eQ5ePd2;vYvQj@ z2nOkFS8cC(GMdy#`=PxNSK>5fZ0jjPOSyUo!UJhi0{Wv(nYpzZjRUtB1htWO5Z+n%J}6 z5*@nIpXxU!B78os?v~6v{O!s+pQjK=qPEd!L^DwQG>xUA=^VZ6Vt>}zIfu3ELS9$hqe>NBC#0cL)R11_Z+=>97W?`@Y7qz%q8;`K(7lh; zb4j;P%1}I4GPiSavxV;|4Z4U5!8K$SC(JQmaw|U@l6OG7tO@SeedWkTD2Hq5Ap7;_ zX3llGd)V4g>LEPI|NF(Vo);yk&3@heHEej)XZ~IP-t9;Jva$*kM)IN9A0q5Xxfjh+ zw+qjOSij5tR`RI)$Qnq!Ak_g1OxVCqHa}S-iaOc()K)C_@gEO$%M%^TFSJXg1I4R# zM*P@He$)F=b=SG%K}4kiV_&5VeTy|uXMNceZ_fvT1Y3*8a^s#We6QV(*7Yc+J|*1M zU2t{{WD33jiqdc2CKHwYj0E-T-bEzsbc34u3rf+! zkjAqHBJ5RoJ;_QhZq%jZ$0XAV%xBkxtIbhuH+w}+f`H}4D_xgGb3>s>5+uf06m zk(C){RwAaj{|e=kA4+quE1TTa7I0ySEXh{D7n-qFBx=|xBBdF==5nnsn@&5tb*v%+O>fcB0A`IWHyUp?DAvMu z2dMLwh&MAUTeVQTuEe)heG`tkXrYKe3XVxd!HdV>#Z+~74DwA>j7rd^pV6iz?1Cwz zni}*>t-oK3q7_K8e268z<%GPZoq@WaK588qxybX$SfkXePRzDiWo?KgAQQvqh@7$e z+#W7^?ZM$34LH-1?K9L@c^C%!fz2*|`3!yrP&EeT5}@t`LsWq}bRcaC%4kg?P6|(> zf~Q)xX!8%IsHsarG@A7>xI#l+p@=74o@e5hgKrp|%z|CQls(hd3M48~gl@nTK}HCs z@?%RLj3&Ia3T+6|sU+OqD+24983|WfI*w=6z_bdOYu}!%=`_S7%cGXuqbO@TjRtB$QE=&zyvmAu>p$(_al5$YdAc`=I3aE3DEy^Pi65 zf+Mwt(zP8o{SEBqu>o5WR*>})6PAhGT~w_EcKV|Lw6ureR)m<(e0gH}^e3`w<<`Q$ zkac?|@9T8$dj#PbAz1lqx4-XABc=Y`q%fouCemO1XRKCdFjhoSKD6=P`P@uEf;51# z{PtT3$G=8(wqVWek0^!JH*c_($Vn?iDBUFNwR~RU-H&ZPi#=PhxLNU@p7=sLcbI*; z5FK(BUE_FKt=&wd3rdwBu%*?Hs56+1_G=CEu2zg>}sa#?1 zo&zzer1&t)MsDtf_0hjy@pOl4{?^UEf_Rva-IQEt`B>ah<7MJ@a<_Y^i~nl<5iaKh9~G zwZnkyhf32?amiHL1Pb{FyMcSQ=>2XMUs1Tmp^e_-EpO?u&EuJ0J8NqJLEpoK`Rl&Q z$z$V#eb2C)^n6@{?OwaXLSEOg=#_0?)aVJZ;#(1;gal%u05wa&7@_iMj42NAF>5d& zYV~bVsGCiDenBq5ANZXD-*EhN+XbY&>yQMS?ALtI<}1Ai;dN1^>#R}nZlCrAsES1RK8Xy3_*^FUR{oiAgkvmC0Pgx# zw}y@{`BpDSnA3*8Uk>?Ut#w-TRbJCH<+x8sUYUhLsZ#A>#{*D*SflDHoQvT4Q7OYCa3XfpHw%I&in$H z)%{S+pA0fl&F_J(PsrlqKA5=fujNBaNP(}(%F&;}4W<==yvxu3G(L8?+tW+U42Rq-Q;5Q5Mt zgZfFK#wr(<)Mv}Jk4^1=mJY74&C8p&DSR9w-8t#$ z0q@qXu|};3AD?yjHjvI=m(#C(=^Gqa^uFB;>D)X3-|X()kj) zy;+Rm&7RPlovIG<-wT|NWxHx+o2kAYfAiuW|AGI6c}|UZzi`28!sfsikoo=EnwkG* z;sdwEBL}T)*@zfk-6hOhU9e`iVz?0qk8T%Igm{`2iUFqtJ|HL?Y(XmOr#{(&=ooz# zd%}Rs^}Aaw7>PqJ4ztIG;nIk!+Ec>9z<_MQB{mG4MZ07^NTD*H=wAIwLcLv)epQfWEs3W}J~?2c1uKKcr<(tG&q8l?1&0)WsQcw<1NG@_*f<9 zCh6%rC&9Z(chrKD{q(IKQo{WXzggqZu*w0k`Ncn>`A<};o-CE?&rUQ`x;E+t>?HD( z$pRqgyR59^-EmoL9Prw65i7(h=j!u9Q6*1GyzrkLE#PW|QD@7LC|4wIM4SE4RSQKM zQopdzmI6uC%b{6hk&^i`BhhKqO4Zz4c{+dXZf~rSkd~)}fst`$*D!|pJ)K=`+=n;D z^P$lsR0ItQ*!B3aotdL?o8_0*=t?PHXG8Ur73p#Pf;W-S(b# z+1*z_DIJj&Nya(j@m(yKfj&8ikNfIP7r(p=mOep8KM{K2cMOk9r|gsJ!%i5)Xo8S$ zIjG`gh3>3B7dzp#ab-y;*+pp}7DB{ad!)T_kK-(+-f%)J_fL{HRHx~6SH z63y)F&fPZ^<6ZIW$QQ-W@A!o<)fOGN9<;$qu7+CcFS!9lUXPFDL8zOb@+(--62f@Lv-gEd!6x z6>(==rG)p%>vP7o8?qYu6wpFpiqn}6KN?c`zViNAiI;ClZ$Qq)XyHDFzG=VC zDUjDCxvaM|`1q@w`?y!me?xTt-|6J->v&+V$uK!g+lkY7d4IK)W+#N=l zjQXjJZM^JD?2!)ucJY$lVUs9YlZ0@DO0~fDixVgVd1Q_q+ANCZqB<&pz$AL8Lic-2 zRgT2$stS@!yb_JTR`c4iEtOjssDWFYo?(fA=CBhH60Ke-!?AANgF}XC)A)G8(-xo1Y0J`ciPE10?L7iI6BGoow52F z`%Y>RN%>?-ECtxw3rdN7n@IA=wcU)xaBbN^5r2wNK}Y9%wO52d-d7|6&(X8898V{X z#A3tZa1>0A($McT=u|cp@T*vN!M~1EJ`pf&HvPPxN3Ok~t^4?_*1T9?V`jC@grhN(BxLdXk=7p*f*I&7$`wALurcSxf&+tA{_bxc zZI1U0<2-p!UshMyedtalcZH&a#d0trf#OC2i$gI?w!hT@L$3s1&Ljs;|8JQ^XZMHD zbjZY|1_H&ym(Q<@Z4*pFsUAV}@s*fek2(s?+@g&~D=tHir(r*rOvmBXl!d?SG5QVC zd?v$jnzQ^s;#0*EQ#937>zoNZ7Xp<{oMFzunOPgUFSp>)HAD!yGr~+~e?Utz9E~rn zKZpxbI1|~5b>5>o_P7@Fv#>}OCBJKTi8$o9j4p}a=INP*|7h)2iW42s$jvx);Vgpg zk~Y<1OLWuGz|$*d^7;a&#icz@3WTF2rpDL#81mMMg(OhVxWR4dpTw||;@Av5fP7ixBsvsZTV>{g_g3q!XGgWv) zDd1SG#>XM#S(-K;o1;A=vbV$ehw0U~(@@6LpFjiecGpDqHAC~mo<*~I2yYRfQ_qDi zs*5n2=>99N}Kr?`L7XJ&1Pk!C0S24w>lX?dx}y zD>7K0o?Iv_KgvmuHdt67!_bwk#xvj(?fOe-4hFZ4ResoV{5O+;6Ab58bhdMx{=R~c zf~y5?4Y~7`T-Rs|`SlR_qVCPI?yv1J>5$8s-)q5Z*91P z1_)U`r;;M{tYfZqflo!ugQlYdzk_c}GM-mr^8Qyc*)O-nu)+SEc|btkEbcv$aXdce zlBZ?QKZRY_;{zFDs4`eUHg;q<^#>D`7N9_hojc-=Bo;^iTWk&>_L#~EnraI%v~0_oWf_TK0jk9y==0>bdmZ;Kg1v5hS;ZI1Lh0(H$}c>eUY{ZM z#Gej6mAg20Ew;rE{mJpNqzM~8P_zvwnGSprmqqct^h zT*Q1xdvAiCC*UvXwFBSV_K$0Ht+{D7aN|dp%|EyK7W@+i~3inrdgJx*i19wBo&|U*i~IenLn8J&)M1R*S0G zlwrLMs5%9>mCbl;NrEW&3leq`k-c8n3y#Q_8)P_weLB|e+30qNU>`76UXi?CeO^}H zfLsM@_u7{T|FMkFyGKmTXc%7xJNe0AA+e8ECdN1UNGwj8Nvhs0iV%TocspR_7@>zO zBk?kgv9!?=;@H#_QV(#gghyz&UWvvra4E-8w#zFqaDT$%{~{muh*gy-6W*uzky@_V zwQ+5gz5c?Erh_lneI!-6k}ntHPh&5~8gG<36t6%cK0(jdM_%UvU&yzF9j24h^t6iZ zOpf=Ln|GImC~P!nO`^LZ4rZE+ZFC0`SzAP+x6+mtMJl?woeKx0mTguQdI*YrP|ox{ zd3V4yQYE z0n*-U|2!;A2!kqr)3615MBeU~1;veP`u$l{zKP82_1DtU3JqFN@yfJS==wK zv2+O_D14K%{`nkmC({45GXAue6n=gEHV=vZA> zHS?jVGfPhp{q;#)3vlhhi^Ch+a3`9d0lyI72Za8L#rIH8zQ$1(ykmqHa}xF9P&1<8 zEii0Q$1^b_fO+p1FSvgd(CPY(BKKL!)@d5}oR}|l5gG1i^g}2@Mzz~$?{b#)J7N*G zswX(}1hrYT+8HEzW|m{?-#)aJGqSMlb3G33eSNtMVJ>%2!hPX2VLPLI;t;Uk!mO3r z`bNQTVP*6Xsut5pNLU>Gn~gUk%R=`g?Cq)MT0T&}-o|(M$%>LkP`-vNhLF}nsrcb< zM9^-7dvwkst0xbzH?}hi=;aO|zn8am&8||K{jAH0^9~x0#c-R@epN^!}{*1Z0)LRav9D%I2x;ZX||Noj1cqr^q0%L;XxPa%6zd)uZr(YbCClb5Ku zz3s}fk2VAE*rCPd5B>xGc&^M221~t}@#n<_=0yF6inRU$KKkhWgM^rpZxvK z-DDrF(7dNx9daz$A9X4DP*sP)r30{Bswgz3WPqgjr$Gd505rGd1*Dy4Kb^25#-+QL zh|8KG9xBe2a44>xC-DhIpd-0nVaY^lLpZ_^@k$KnccjW@16z$o81itNMj>hH{?vs1 zCJFCaN{NR>+HK>8gJ!?;CeR+R>#864v|F!U2iVz;M=cv5ukgscnC|hRJ8qqrPQKbe ze)Bs22q0rS(v!Qr7Y#|@Nwu~mgJW}VkLNfw&fJ&98XzRNzIlGVtxRGT(jRPeg*x1y z+#q4^Gjx%IOBcpM;vir2UB240JMJq#HQ9$VXnEq{Qk?Os+)q!rk-;0vHrhR#T(a$o zIx!tQX}gH6&g1GnO^S1P{Yxmy?sd|nc+RbP>CD3y^P_QC>A`7ChP9w>Ngr(RGx>ZZ z^{_m4Bqeqvh% zE*61BUztXoQcPm|w>C=u>`PcLXK>b{>TXITvBNWvdY7wJswgn@AZ)y(9r0+y^(UZ> zVnA%$im6Ox$-mk$|J}+udEoF51NkdS=eImc`?ZN|W>^-uWw^nEZ-ecCNC}+Cl>1qy z^R&6DxBAVqY~EP9h0Wqsv%bp$mpNGZf9To$e#%MhcjSGYd0$fUPaF?0X1E!H`h2P7 z<;RtIAG*7t8~KwYe!Ul|^P!OgB|5HJBjvg@n4tuyZaEdz*;`6E@p6p`;GawTyHqCNq4o zg%gQK{<@Khp=juRc^m>3V1!2X(GfE;cI6ZDuefCV^gvst|?#@THsQ)n+EdJw43@moKU~-R>~i@2x;_7NlXd3?Sk(1 z={>9L+fBd*#|G`ARkkKyK!tM@!$rV7z)Cn~taXY5(JvY|tn7F)s+YaetECJslGShVHB z&uo$8{St71(~F?h5vlq%v~ATi*@|&YSsNTEwQ|glkL|TH**L-na(P(sMs2{&1z}O1!)t#C%*DjH%gURRJ32`Hr;goTjq8#tGl{ZwVzlTx7 z(fb67XL1hX)5SdZcX+1yd8SND4tBq3_Oe~%jl9?U{6z1w(ZVB5)>i@KbZ!a|-{Q;} zwO3ouDur6MJBU+r(w0430;noR-U7@D;qkXeK}${5z~p%SkvPdRl7OjFBiCP8F@u~s zfIU}j|IE8>HSGc~OBOxU@;scbXW=zeGJTNLzAyB9c4s&RCfOl;k-Za&vJ7p32}I!8 z<{=a0&Qn9A%Wa~5(%JJM2V?^X26`7GS#9jQ=IA&DEhGK&%0yA8UE8d1zJ-tE=MQzV zk*OR}KDG5}`2A9pOH6mU zC30#}f%CFCgE?eDSis!JCDpT&Ow_+y_fvMQZBjY+U_^_c2!|LJf-#vyoi88%HkA~3 zuBR8hvlsCw@kY67KNFK`Xz=A`NS6{DrXI*JF)P=@k#RV?;1vk&p0?>e9}9~Lk&tm-hI$))AJYi7`MSR z8r_bKilJ23_@NlCH=O%4BNj}kbC<$?+xCz!bgw1HJ39WnaiY7-vqI2ZCDJ`cm8|M% zhGl(V1?Yu87WDI9AH!-_oF7~vF-IX+g7BD{Jsl_YL3(WY&1yjdS z31G9r62+B_Vlp0vX5$+Lt7l5<(ba?KklFcPf$qmyd+#YJY~j_BKn=$ zA5MLHq$?A0z&)Voj!^q4`Y(+qw=^n|i9)>SZ#f*wA2i;f*JBfIi z+k;`i90R$lS{znsf-iui`4#F&t8AoB5e4N}Z9&CgAxOyR7L=>4`oziNHX-#LrGq!o z_+(jfzwbKye)4KmoxBw{o?^VPk4*Qr)FHvZt*s91yxi%$12jR|Lxi@ zRd7)9(Dnl|ep6f_NpEpx)MldK?JMp^7}SQeJ@f{YlzUJ_s$UCnh3E^DuIZ* zgajw)Ua>6;muGa`l3nArQx$`(;_F;dbo7EPNQegC*5sPLo%6~fEYQjbiORkNe!+-{ z`8f7Gls*kvG>h)((C=w^!x5GjnWuMukO<&oUwL?Zi>w_ZTYYk0zr@EqI5#G3jI7}4 zRI2&LzWg;0Q7NHuPMOL;M|RYUm*vK~8;*)+Mbx&_HO zwv7idi_@;pGf_MkumrBAARj)sbhUfEPLAX6wg{s)8U!8P5v?5CflneLGgym$9zZLQ z`fymSKH~HH_bHl4y5X(K$RmA>Z*%Gn`$J6#_kfnRrH>=dh{0NI-rCn*;<$*(n2Sd# za9<8_dhR9-S*?T_saERQj!Hs-qxV;4@@dKS=iO%M;Y4t>uGe5f3GV>oOJ&U?u=;sf9dNb(3$Xqd*_I)iMiG zqtQq@UQ-f*b^QW5-bz`|htcfy5C{WvE5NA}5CA{#m9f2LrW@yezXw%sV(|=pph{Tm z?eA9QST0`Rvz#|b=481$LEApXn$@3Lon{9yur$XM&IX=X`+3#0AaQ{lc=05S{jcOz zb<^yJX?2wAk3}s0`NWz!<81VhBqcO3woHC?b_^^H;lR(H+4W!9Cr7c6ABUiy6e^c(5ru@ml)F}G;h z8>B|$d9xpta)$?1H*0d=e@2aFS)=W9h?3EV8x-1#3k0XE$zjr6$DUgOS@rSf` z=>;@W4P7ScVr4ELO?#n{D=pv=5$I~TT)okXB*45)7BpB#1pD}t@k<_qKcQI+8T`dw zxJqnJw&t*qh>fO@RtV~(DMw3Lgjn>&CsWSuXuo^=GYdt={w9>0z$zS+ujL>v9akMk z8487^^}YNYCqo3elb5vi$d>z#v0ZN^w>`&kn}}^T3dZ;eQdCA5Qz;EQDNr6TkhA2K zN?d*95J#0$0prs*erTPX=w}f3y7gYK;d>jm?OnE6=Ee%=`M!Ss`OV{Vr+rHpF^xJN z4G(|a!_6Li49F9TNDIRVJ`Y#J=cS?0SA2FB8(7~d*6>2wC;p+VxYQegSuc84B)QL& zP&Scze)*W`V)?A&hmpi_d&L9@75qD)H32U-8nyaBy^94bS6wSAD_grOd^Ic=LMU?9M1MSbxs!8^ z|ME&#sk=8iDr!Ibw1KeHujB47=e^HG`yC>|l!HOLo;f|*bMWc9y{60kAr$*dTSbZy0=cyO6XiC@2$^PUtw76{cTZ6*Voj zYFR)U?y_>C-6#ls$;Ax253N;*HgTb9mM}v{{S$2P-&&k@lAjCyM?3rqcF~d}MAH~RN@Qy7c z_4->-^*;KCd^Aa&Ek47~tqK)_%iZV+HUJw~jQ8rrQN&VcvRxKuJOHg|p=M8VS%{C} z5_29kTL<^kWECOSI`Fl}c7?Iy*?|Ux?16l~0niy*V(Olkp%tEAzkeU?>{yXX8R>P_ z5~>lK-vq$Zibw7{Lhw!<9pSQpeSCt%3qG?yg;}mbX{Vp>f3na$=s&SeWTiPuTt4OZStd`qYHrT%)0oame`;wrh$`*(;io z!iiyYXY`Hzg6AKMY$vFYcX07{J486+ZZD9mX>-R^a|7^U?1aA0eiSkbbSvQnWx{DJ z>vN)}E4CO!rr$ibhpX%qe{Jk71I2 z=O0LB_Y!-sOCn5S-7a>33}f4n?0J30ZW3d%x}%Xn=zlfyJVT*-h;fa(2!D75GffQ7SnSIJGJw#9)}%ga4Gjjs#UPPf7FVfCfglP8ZFr*$0fqj% zb+z2*`=y~Y_v3!9*pYn+X-lb}M1=*RI!Wj+3{pE${9^go#JF?R$%&kK{+<;oVLixn|a%qzRzYAIj$#RQZ$VPfiI}Pdvv(j_dx7{@>so z)MJ$m6}%dPFHl_Q`1aWgIkC`E+=(k3v+3PXhtfK-7{TIdIBJG!5U!Kel3ipCo3(Y_zT%iH}Cb z5miH9;v(0C1|IM;3W^e**!E`mFWS=#YP(By2Rvw7r!hTK&ET!}FSXr`PcSyJAF9@y zASA!zWo4$9?RmLVyw#AXh~n@RR@@$srPh3Zy(K0-fy)%ot99#f5>sperIk<>J+wWr z%w10E0%P7kv~wruMS& z4m933a@tKyt1GQL%Q1Z({BA+Th44dZ;(!OmH31sFFFCn?%r*?Gv5t;!IfK?-3R#3U8P^Sq1Mj=fdmgW4b%%3*EaDH9)3I- zz3N~GX}pHyx0vk|rc66&zL(i@Vb2Jfah?KEwtO6qV<_3N!;q3cUOJVva`SN|P=ZzM z#W2Y(Cq#!IZR4o*=kfR|H5<|Iss{@MKfK337*`a;ViAynnjf`{_*mWCB*G?S~-5RcT{joQup&)XTPK zicqPCf0c$8OMfpKV+;y|`Er$kq_G3iM*cO01FVu3UQ@kFf2DK~fIc46K9BCXyV-VZ z`Wdvqgl5}zo__E`tYh2wqR=5|0?&u-4Vq+m5{Cd;NYNY0$IIr2kJi`e7Tbz^H1dW ze}O0f!f8`|r|I+tM0kBV+Ey+eV2QW%qSCT46}-BL3k+TXudt<~70w8~u;r&Gh|Dr` z(9cg#M5TfSBV&v`e^aLTGa)|XlIbY>aj%Z*3F}_6`<6T9M z#f4am;>!EL9onC;=+iXQtMOV=M~kY8bOc;|fWk$^Yb%BnbM1X>Z?W?y*&3DWf6^d1 z7hh$|z`IP{Um<8~-p%6b&$c|2WC!O?JCaOn)klf1a zB{SF362oi-VSQ(p`|^OC`^aKj?T<#4Sy|pkQorHO1FQ~r(_4ON0>YYNZ~02;r-`AZ z(2?g2FUv+Eb%k5Te@A3viDE#Yiw{Zr%?>zVk<7NEQU5+IDt$lJ{xMqRF8$ay9d-+M zaU-b61GL!`G!kUx%}~C% z?Z+QYDZZAu*#CKdU{0eu8Z30{L~tghGC~Nsx&V7ovPw$x(4AMXEHaTz>&fMnZ%qxX zV7D=w^JV6q#{Rr^Z<}+rk=w<65BXwmzE*Oqd~>#7XXS4>pvJnuNRlwnpNhbQ>S0o$ z7)dA%QbW_W-H?F83IJ;ex|RrL?1xD9+!e7D#ISOzDjzQ;CNEYr9*(FKRmKPPP?W(l zAH*uAA5P4wOfq4M>M(f8K?@^7(HNJm1tkA{{vmB#VF({dkC6Zbjf!b+IZE06nKyXg zkC^+q8gw7vb^btMxV6j$9yG^c%A-uUpU6qvA700Qe5Gf2VOnm)@vc(-O?^YrtdqFb zgu|nUmWW2N?sbHtSz*j(DNw)5DO)$8%UHaG#6 zHf(B*rn3hc%{Ow;+WmwJX&Buh7VC7ATzWgdFo4E#L-79R2J3z^3)|>UJyx7k*MNm> zPW>Ic(`zct`J<_?P8v_g|M41xQcv)Mu4V?WnS(EDLN3#{2LLN%ymZr_c)x`(LQ!!K z=MZ+^lWpUd`w?vIm-Dy5f2DonHX$XpAOAX__A3h&glb~`)`{CoZIog;?{qy-y3lJ_ zz9TX@l{Gr||FAT7n#L2xry0=FvPAe05RZ%)rKWZK-X_sexM1KJ%x;a8crNCDA}E}x z5F6$QiFbrlX}$``kNXyut_+G+_~b^R9E7Eu4-NtNwaM&0D#z?y69&6!5FcK6m2*8^h4?M-D~=Tgoh$@ICBjn69U{mY!WM z_zT6{7PD~)O*iKJ&6%nP9X~rw_h$H92>>k4z5r(Aag907Iks_A$oOxSY_tdl^wH%h z3d4u#Abt+HlbJ1_koMU#HBCO@iRU{)29L!gePJg%b|}5kUH1&-FD*!%sc)-09I>_I zMHzOYD#rcnejjLod~l|3k)Y2uM}qel(n(l@uE%pD?I@TEKugY&f8_N^xPHf=F&qYD zNN7F{nvtL&PfU??IcziH@5D97V61HGP3VW%Lt?FS&$dUsfbo_D)T#=J6e*pp*MWj7JvYoMy@Y*E@Z`?S2 zwg}`*M-+y0$pDCAWOrluK~t(R957)}Ke6U3&ByKe;16Y(JK7IxJ4F$UPs)eqmc@X0 zydaKnDs8Ws{Jad=yCa7U(%qid11sjJ z4FlKfce0EQ3om87lUz;Wp=#O@tJ-Va4#tFM19{+~K+H=C^zVWkn!$qurrZa%<&W~k z-$#JyC=Xt?N8I9hN%W#QSxRK=iKm zlnBs>|HIa2#va67q04?fu@!nS6JNd6l7^RB7D8$lxTAQ@u>U&-OYeE$Nl3+r@P8n) z+k&!5Atkk)*>oIf=kWmxHT6HNcp{frn)PI6YFu?+{^?zS!K6j!(ZUbjoc7|Hxq!#@ z-utUSlX8|Jw3yICxju{=(wYWG3YHnr}qpz-%R=>FasE zNDwIJtetoNTS5@Y?ODRD-#a1m`7tG+#&^k9B+$5o`toF_ts|Dc)U`{h42aY^w&;2` zckrHcbX4H~3BIk|fghQ?R`0z!l6*o(S5^)bJ4LDqvxWQz1r1))&Bn+I=w?x6mN5fj z&XsjbpvyqTT19`C?vv;zX}}SzukzUhSD^C?Q7=?h6?*3x?QeSxhuPOu{PqW#rlijX zCxou>op8rNmA_(-j6?f_jO7R^0-g}L&iO+5o?QlTJpc0k5JZ}+KBoG)_X(eT;f;p0 z(AC=Mq{a9&Tl665o9g^WLRtw(P3=#*u#u)+CNLy2HOTka zx#Z;NFbt*)x}%~<&0@IT0NL}tQCCzNEKN}=7Id?p7W#EMFs4|TiVyB^`Ee0!6#!XV z%aCav^0KX<{>XA?T@{qFlj=n0ulv0mi)O0Wwfc715_Sn$Y(-xANDeJyV~7B;!&w-v z_|AO7we)=4?Bz*q%sx!ESYiQXAelp&G3&ecYQ2c3%ofyO(lkY@`omUWLiY5hb4|R>o4QPS`&35~IO67VXx~7lmpXaVGF+ zIZ&#HFb_y$`RAbm7XT)u=>QIs+br)bPkc86H_9AZc%{%&!PK0=L^Xo#8?i9Uw@lH- z%;4vcC(7j3Emkh)wc%`YEDp%%>dXU4s7&&P922}|l2Y9j1#rVR8Mb3R4Wx;Fk_xO7 z*m^xG5R|5P_d>p7<^G2FaPRbNQnic1E<;%dUt~1yST*7l^;%O1`hH4F353?$$#lZ`DtQl#c5M zFEs}I+{?OMaUq7&RC@Gb8Jt6hZ(2cee7WzyhTka+%b1fPyr#lGUZ#+!UYMc@fGA4& zWH%TwF<5GXfdOXimKuiXT%h=fv<^H0L^zqbn8ly&adEqL8f9l?(%Qq%e-w|ID%aNv zz;pRGDhdEQto~dujRa($+p4kN)R7;hAC>&4$H|tA?EtS4h;3y8jUQ zPu7QF`8%Mts@1T`!^L=yO5xfZYeH*D^%@77K>dZ29e*-&_un9R-9`sd)0%I|cMw1` z{Xe+@0u~Q1ue2@GgE!2rX%f$+@{6swN&{!N z_W!ohrB@cm|2s+b|3Y&!6QDEpr%G{OYa8NzZ3^5k=1JMHqfU6a@kr)+!uqeI+3(=Y zJ-hTN%&?b30MW8iqD~v_L;i;wun}5E-od4z(qb?KROcanpH1y*fF!&D;Yb-qpb9FI zj8`x`vbqE-a`}T1?;T|VT01|Mkm*SXODE~KCxnN*tZ(df6CWXLIX@g{YN=r*-95Aa zpr>)LknKOTV>Xc&(fssUEv*@igx-)PhQDGTDmRT7JPis60vJ! zvn5WoK6Ric^P-35y?@Zag7RQatzjVRs(nA{+O{a!fVN0cN=w_ukH7>T*$p@z= zi|#q5MWi8X@2=8oCqOeiSR6a_DUsMPN21V6^MB%wi66K>j*5+)im4}mP z_BKM#UMJpJbobZir@bR|v+*(8Rm@_FyORxX8Lp+AgDeb1N?uHNzw^N4xpRK!Mg$vn zijnU!!yHyYym6Y5pYfsvDCv&Bl5VB?lzj(j6a=oN1eG|P4TI* z2yZzsRprGx3Q+f_B>oS^-a07Chi&_(VV7=@TpH;{nnhAT#6r5eyK|S24ncZR6jYFu z4q1?p4(aah&fmp7?|nb_`X!b+Lgm74j9&-1bMK4z|}MyAlCl(bVD^2hp-l850`1YD5 zes0@syehLhGmP1tjZQ3+rlOk*Q~Sim?6oHZK?e&JH_X@`u90eZ=J2bA;8&lgaQ6kj?Yh`g>zglq+|*&YpN1bSJ45HCoc}+}0h{pH9x|YDeqAr}y4P4L1^j z_`VHyIxuY?8m1t0lpL&iC091dml*w51}SkecMq~alK?#PuY2mBnyT~Y4Cg5KtV+Yd z-RpC{Ywo5y<)9j?nX|jJySH<9KdK!IH7;dVzZ=w-`kguEtV@Oggde$@%F0ShniqSS zcYmrE)`xeIT^(^t3lU8*E5CkhITZ@g0KU_+W`+{~6|QGrUWjV>nZ1-bEsI|O4rwmm z5Azfv_yq*Y%CJViocJ}L`2oJJCT9)Cmc8q~y*4Y^s|k&b0?~ikuK(v1orB0w8<~)- z;wI6t|QdQH|5 zxA<=@z?gdO+xa{fSzyKeUbsBQOXajnbJnd);V>YDOiDVwIMGl`;9J^Bv5}ulu=UXo zs=RMb^719Jp(Jn5Os;nMDz+xaYBlCo4m`8_ljyS&QqwESPzYBwpWXe?m!@%9IKvm0 zsVIsh@-2Avd8U(AgDw=?EWHj!*bdTE=Uck=Ctag7XF??OK0qg(EMI3dz}Ko7EpTfV z4R{_kmku2C0;^_R&t~qYxbdK)UTykEp+JvhV(m`WYGx)F8}~c!Fa#-+nrtX7974kh z=z^T`KNes4DuT|#))y5cvHP&jHmfWGeGh4uU=@_?`V)>~D`@RY*}`4|ANjV&%L?%1 zh4K@4Ls=ygL>jHK24Y`27_{OXVa8$gd{!moaFfeZ1o>PqLEx6N9bk)TGl5nqEOxW6 zWMQh<3O1Aqs@P%ro&vO=srcm}?}XoVqmjAZ`7mwt;??kksn2{Cf2#v==xGJuaDu#ns9 zTVuhJ&%(H7E$iuUfdK%!77*x4p&I{j_VkO`sX`XI7mEa6Uks%v#gJV-H+F%Ru%r-` z0S;xYadSlJaxfB(h#^M<1DrVP3;0-2GdA*k4zx+q;a`}1b51#TUa+JCKTZmt@yIrH>K)-!K9Cjr0(b3V# ztMR;&v~QqO`cFa6$1NpQI2MFZN2=a5mwc}OBuR9;C5vv``g-{7_kX&eJEOAO;_3{V))o)3-9A2><5PV6|i!&??04L9h7i{Jfn7GCA; z+_rHAqQu`0x6(MQmTyV#|3vpbtDozgyO>r-?DO3n@*Mzmhkq=WSvhWgy4EdirX!H+ zUq?HdxpSokpu_6u0629THp8N>a{*@yc@gxJBceY9{wgT0^)3VTuhoXHUrxJY@_llDYo_~^%HQR2pW&-JG6*$jg(fZBHH;eTCp&bk)} zU_#}?DtV%}YuhZ^6FIRsxJ~^Ztv?O^g(t|(7(AT=$NW`u5Yj|T_5NEXHqJ!DIuN}z zmE=%B;aIkF;0voA57><-b=?Dt=N*g3ld5qk7M2-F<5HhuQw22eD_~ambL*~OS{Os4 zNhVi*dGM%@<5F7^pVu#=(1qdrwqasR%p$yTZrMJWy*w2@9M${Av**v;7^!K-*%gNR zYD!@8S&XNc(l@RZz~!aRUFB?&*Tt$~PRcr3A#j_ZD488v(ar}g!lZOuPCnOO&x3;> zX<%olIjvtfJPnc{n0Cb_nD(S0nD*uBkB^Brb2euYBbO!IsF~a`)R+@157Jd89S6)u zS~cYHZ%=KWusVN1b+7+JhDdPVtLo`j&lclf!0gc=ec>IFW`#a%e>M&8z;k}nmCQxo zkMrt%EHu4W2w_49<#_#=-v7~V+^0SD^UphvI(ro=sEdyfVpmz%=mCrhy4GogZFG1n zo|}i)D(_8!PoOs1JJ(2U4)HvmFHTatzcplZtqm*W@~jSOPy8DO=EL0yAr6Ns9Ie

X5_TM7_USf1`adgDB;OfwpAJn05LFLK4lcOF+6)OflmgS1@O6d5}iCb3>UjVD({ zTvkazaTtu#nrui)8z3pj2ze;{6hpI}v+k21Q|N&jIq!y#{&yjD6&w>&eq^OS`fqYZ z78^t0xCnv(7D6o~i5VOiLyz2x6tF}w*(>=?h&d`RE8!v)LwPByF{e%mMXNb2Flud% zD;iua6)lqtM$!A@SPWUaN}U7C>gQBP`{cqfwGpL9pT96$j41K!d`N09WP*|l^kcK> zVM+I1VJ8ALOjcOSgbOvp22?B;3`K$|!vtGl-8j_j`92c()NJ|8w!zR#DBVsjA=#_1 zA}_vrVBuIag##%UruKU>F?-U%WztvtRaUa-;S>Rtxzx9eJEGiR&a0YgM zmkFul!Pykt{5M4E)v^2ZHnl%x|3xY-9MY}Ac(K5 zTXRYY8@YQ-D@pYc5)|y@Cmn}69(U7}lw!tMv(%w=nR`3)uBdqHzwcQ8={Np84k17d z!0?2*;P?8l=1eaaJrsOD*5!B~i_6@y2i>occ5F6pUpHXPU2VSJKVxO^JI_5BmoyA^4=TH#yRBccxizIAbTT7AArd5AJgc(WY8s62c9TzV_;yhZj`(4}n9 zikhd{jK{r2Y^fvO%-r03I0X6Bf06V&XYV3Mp8+RU%5Al$?q*U26Ac^$xLIj|@>_E@ z>*sQLyb)Vel_#MbPrssnocGs0@V}x2rT%~bPKaZeZ1%nG(GQ3Mmi0->gFdYP#uYim z^H}&tMqxg27%aj@5J(J8+rm%z`VtmH_R6P;lA{QvUAD6Y=9w-Jo{;G@2{tVhPf2Jc ziR5j?F5XE>=cahbJ=!(F*F?x%*!qAF_jV$!m?|vJ!?*l1W7uWKe%8cF5L zVG@p`T|u#R6x>WJwH_8u?fKq;xs|}r1-ik29w4~15J?}i?o`5`i%qs7ZqZ%7lsX|h z5qNA+P3YZO6@yNI|JHi*1QGfVZ+kZq&?Ok;Y!7yXA*?La+o!s@k5b z*Q9Sr{fwKX7OK#;4VUEHv|d}*D-$hK(r{yd?1U2C%lk?aaJUnvecQn)SbHT9NGI&O z``$Rc@yMn4>q$R@r=;UK_hm{tKJ)aFQ~f74*axv15^`@ZvQ`5YO;R2F3)9E>>AZ+3 z8@G7HmDIi~@jUou&%hI5N6GUKxJL4Wo4~tA$LS_d=S!phvSjv#sc@i=CO9dT*nEtTjfH<0EnX>WH}OO7Bd1x;TCe(`;e_ny z2}BOoMGT2Jdvu(ZH~Vv^~V(;1M`-LnhniFJ2Rp{VMoz`+c2!o26Su3)QmI*kYpq!wi)&fF z+UDCiB}NXi-)tu3?~_(0AUrySEm%emd%``mm^nj*I67 z{3f5>*q?s*Q4@UnTX2$#%bJHTkL-tY8xpqE3apF9Hi3Q7*jJ832Ozn$7A>V)D$xZs z^l_1k@slNpIl>sksMXiHSD1T9blz_gEMu#3w4D*lNrxH*%9b}JeKD)DGgedr1@sJ< z!Z3RVLSWN;6>-0)edxL-s!1xIYiU7cthQ|uT0wwSK{^*rR@BMBFT=0dYhEr7S>BVo z{OE{D>RkpYN7Xxm0F=>V;cLtF^ z5?W&YDI733@;%!`nMgY%#93+mMk}1x*Ps0>#8i}Z0ro?wRpXDZ^cenJR$WG*llfd_ z99!wj`(^hxdg`6AR22k35nJiU24{Y=7I{7Bs5)W$-PrO_YSjvZJu5eTv3zQWikmO2 zd78j?r`E#KdDQ7Dkm35}l|g6LdM0`YH`1y_n%bL0^gU6PupLSa~h-g(HYpY{lfC>-*{pVI;GdQ?(YiQ zH{!8XuD!=5&1g!Oq$d2pjU_jSd>hOGCGx0!JfcWj5IKZG%nV1ZvkCUB4e_)HE{Nk? z+GN!Fg8nXpYlAH=?xo|yG-47tl`st?TWm{Q91U?Ht59JlxM|ocO`WAibwV{DA{1_LlgU_J`re12&NLjPl_&x#>2!Ntfr$ zJB%^R=%irHPO$<_&jBN7eZLd`0 z9u8xsT$CF$QbNTuW?k-Cwxmrfyn zg7yOwYRDx#@h^$c&#h3tjUjgIMp$m%+i!XYi~qhuZi!4zr`lD$&@A24yiA`@-I|=F zo5Y+S&zNH#SCTB(d`*fFKBU7jyu6aLISS2R{jq0cK6ft?2Ex!CoIv^P16Ygp<#$ z*Zi)NFWa49e}T+7@wF_&<+#O}12b1lT-+isuvrT~&=05pTA`rJVeb}P!-TEhgFw*c z;c{23yVHz>46tsNyG+${T)3HtQ7(R^P~j7HeekIlM}6u)1?caC?sy7Dr_A~qS(fiI zvAWC!3!7%{>LGH2z0BDK%yo36lat%}8!0ukNtvfMj#jdrRRe=W zdj=eU_41(}iNVNGiC;oiCnwH$4t zV1K4=b__^TI||2%}Ii^B<6dH}r%JVenVVK|CU+zwpPv4MCE5?N+=RN=L-qA;=c`a7HYsLClc2?xZ~;)T-4V`iI=Iqo(2oH6w{ zNsk$!N+41Um_mjRr>Nm@Pm0`FiKm<;I(N0Tz*6da z=a4`!ejKS*&E9>kB(s63p9-1YA9R0Ts*GwfUL58!-TW>=Bfp{~@v_!+ zKp`A$HiN(Ou+X@O=-WB(Gs%M^Uv$+IWf8pt50!G?g`2oQ(MeW&2tA=v*Kzm+hisizol=Bf7pvc)!gKCS zwv&Mo0i}&r*V;cZ#&O>sFZHHI!7zgY>lmGv_b5?5D1eaGT|-x{e?(}CwBdUsxv%+j z21gz?bDe}t>U#%1Y)lEB_(00mtz_)$M*;ChRVVh%VxgqJZ=*$q2nDt)igexV285)zPKRzz1b4Y-9R^@koaLTi`rcN~bi%Wag5Ph_dx`*qt}5x1M;_jzqRJKKOaP5*n% z;zx`OgiR=sH8Giod^u<$!9tEO36)sXI8t=~HeipBn4j@|FpmxiWW2wWd+S*_ODLP- zGhz8*jAC{zfBk1qTx-X+X#m5zzPASKXmY;q-?+WI!GZ`5*%_3kAWm>MJh>7R|v>}|DHw0i2sOqt+T4$G)C zdBN`%k8l*%$tz2VYcp5TQxwBLbUq_NDs}tJ=CmE^k3Vb^tS#%{rpn{Q;uL`02<2bAzmJBd3q~=kVNOQxA z28D*7R~T7cG&zF;8ILyGAb0Ipp&IhMu=65sMM@ zzD_hDlI)lXIfRovB&r2ptw@o+7)OGrIg7MXFx4Jnn!qi61m)j7)mp3S8|aEyC(_c1 z8?=DAh35AVrDA^gDyQ=<@^`2_K>vC+G)@BWdZ0*9?MQh8O&b-lW~9m{~jGeQW-!Q zRqcBa=hc8Nd)`90>RK}_xA26o5yqb5ZOZHLoH;WyQ{3lpd3tg<{O`Tk|Fml%V^8LR zx4KXBoDAdLGaY;>%@2ly*B) zp~6)&7#cUNXX_bK>Uazn!p)1>vutWW^Drv`(J$(Ke1}=C0`H_Rm z00$m7+5B7rk7Wy|#*N%ooSD<4XZ6y_WeT9)gVGM`U`5?ucQ4kMLuH`rs6nx{;xrGJ z{V~Yw!xgUTv7tM{TWHwKq9vXtUY#=n;ad39;}1*&;+>IrcKT0CAN7=qan@{SWEexM z6a;8EDy=4v5hZ8zZq@O6LcdA^Xnt2I+}*0;*cQyC)s3|#1Grc&03GmM>HvdR_5g#A zRBhc)q6`i0<@eCNzFG?nOx6U~tfJp+Waqzu2;ayn-XojP$ahVIsf^7|*s4Zf1BAd$ z07~7vR{tUfP4LZl#pbJo}i| zzTPGvp$gL^Vb;LW5hgu*BMxL#wGxT+`s01J-f6xO>DSjChpgF$K%=KHMK*_!F#H!B zawb9`A<2|?GV0b(-vuGpfGC+tO!roJ=UmquOxJi4I zWpa#gSb9DXq)BZXlbmU>(~YQ(jnIv_P|5>cE-M}>mP?OF>?0)s9xZDVb!<{m6^Kc? zuq9?+@}yxYNZooj6PH(>uCZrkpm8|OaYs?rZICfIm%MFddh+0*3Qz;!s5G3+s9>&W zlGIOfaElP8Ohk_m@5>ywY@p)A)aHNs5)E4XDyP31$@LI3^n>%7e4lDmo;>Ib??ugP z<#BurHd;VAp1R?oNLi;r>^=Aa5u>5&A%#OMpshv?#N~puy@A+<##^*zWt|b>x0pf! zjhO-c&pY=|l7>3|wpyC6Vbi)ZYL2yCDOt9P?KnAofbvjzUP)G|`6dkIrAyY+&RbIA zcJkCY!|L0cd9B><9bEI*0y4_1MEbWF$h&Y4VMpJl{CMd(G#O$t6V96vwP~d z?k=fP&zU5=Vv!HPo;2U1xr9n+)}-~xI?KT0=Lac%D3^5!PJxzFE1eZwRWaD63CS{U z&I|Ll^{4UH%+3wFQ(_mSNTSiy=1ymHgH6n=J3VC{TJJt}nnR*%Y_ZeJd;MDruQUN6JcVcE~q zgIWi1N?eYNHNeM3k9+k$^|L#ZdD_I1i1@xFIeFaB#(!@n9?)h`}$mAEL zP;_z<)6Vnv*?#XY*38v|KQO*cP8=Z*@f4i0et7GAETK?p#9CL;lZL0QqVizLNzmkB zx0*BXoCa|ScQ@8Oe)}LBk@`U7(k8|cDwj7hlM*MA#CJKQ9+rqik!OLkouF!*GUJjx z=!vsp_cV2iYb{C^-Il1g-6%D}mNZLVy&`KM{mVaj&c zt~v2@L5PARx&7!<<|x-iv=&e_2?y>QOKU=o&7UbmisZ($X9>?#^Xb{6{pBfG=r9cF zSUI6se88q)x{n*+uaa`+=yds1kaC6KWOh+JUB%N}XY*V&{RRXFkK1Ydp42Jea}ndS zavL;ZYgZabc~s+t%D7f7FJePGT(edNNZ|k?=@?KC48JMneB^NbazyJQ`dBw6VcG1p zSF}LVLj~Pcm2N!Mcg#S>NS}hxcPt!vjs^NZ2ni%FQw+YAI01m?;?e3fS}5ki7y%Bi zP2wM3R@(Dru`VUZccQGNGMHrTZ)4(;87h@Dch+!b3k8<&pKlaZw@co9TJm{%y0$-B zr13u;%YR*${`JNEpQMF`=XxV|XF-_xtAzbh`_eMYbOVGLO-ATbUY80G!rG@^<1@x| z<4tsQIRw(MoGktCrOux1d>^D>0dq&ZeXoBmbx^VQNZ`mE%0e10Z~fwwa{t~j-T(Y) z2ejrBy=M5_RpVU!RB!x=XS~cMhhgBw%BVme4afE5SX20Y)N64-4=GU=CfV(((=8i7 zNWL}ZUDFIf*0vSK{{030=SNQ~pkV_v5IsGrogI@JuHE0xUW9zoX9m;W0HMtn_~U<| zXm1z1i4+Ygm zW!OF9nU%}4n0r?lzavKLV=t*4k-G7vxN19k@&KOm)=WS?P{c*DReeA{6kN;uP=Air z)Z%q8^3M$D)meLkOo6sG@j!w!^_EvglaxAQ;4F9ivFn;rxeQ>xp?I3pw)o|_K3|qp zl-*O=*ywoa@aWeiu8r5@X7(GMc1@gzfo{T0PHu!wOLbq^_QUV9(eRZEo=WY4rpdL$ z$A2aK&KVIlnbmLnCBD$NS~sG%)mZ`bZ8I0Ra2GNmsES-x@vtMI&7?`;Kx*Z%h>A%l z3#5jh9N}#HN_u>zeYTIHW_D(AlP(Y4m_Z(p zZKm@~-pWH|?Xk!$Feu+p#q@aSeIC*w+}g!GOQ>rpAC=C0Lfy|#$qti|#7dQVIxM`gefDS}T{XJ*4@a{I!5watFiy!caHrjOSXnlv|ujzR@d zo9)Z{;Y11xgDoFw7P`sZpGXc*(ciQdl*@`GT4VlFLG1gB$bkMxaQ6#AcLTmr>N|80 zh_zB2@&|)7t})}hC79R0R+zHYKepwJhH_4s(S|!quL*hIFRrQ2=;@dufJ@}}kMH{j zk9Rc1^O}n_bK4c5BZ_YQxKa?TsfY|Q`ak@FU|6A!}q zqKo92FGjc_!_WHlcp|!xrdd16jfje_c*x~S5I&1L!uOv#kpT>PeY9iAS^#p>9N8aq zdqn8SuAIMefBtGQy7^=D!&ed*X|{~+BxLqO2rEwycD?uo-x=lt9w< z@sh$PHDiwG2O3Ue)?OM${^b2AzA~cLS5iOvI&L$X-n-53%MY)G<=Evx3F%W7))_KU zv3l#U2<(AFmqPu{_EKRlm5EO~G{Q3*&Rfgp5R-7$)*e?K$W}P+K0}WtTg`&dGKPN7 zbo5m*nGg3qIxq5I>V;vUvz)Nu^>`MKl^-~m?>`XfFb&nv=%0CDNu>_n)&PIUP9`a_ z$8+}c0(QJ9QS)zi78Xy}6+$Z7&zr_N<@e`e#yWbc3#s2D2+4H452rsp5qCM|R)AME zU5-2#zw2bKky{y5uB{TAdv8lOEZy*Q^K-^e7Y)D!yX0$mQnM==QjZFb@RPhxxlKqF z8*?=CQ!s`;tkfr~Rd=0vOokUue5{ng+u~HIP6XuMW3)e0C6Nc+oM4a)NuaIbFhnzb zC2e0=R(Osg|FC|FW_)ILx!fu>T1rT}L`>ddzy1O%uacFN?>0UU8al^)3$$U`uA!O& z^3_aPTBTK$4~b|H{7SKf-v^?BeX5^o46g}13I%RL#snO>6C~n-BX?;U_`%dco{SLO zWnnu(+uF6$KbrcL#wJ2|-XE;XfPck>waNWrolSz5;;GPCnVetVJfi|G==X9D6TFw@ zODvfv$_GW9-lJ`JRK&%O0=PiysE$L6lC_4-xrBq7ttT|!U`TP z0t6u;U)U0IX5$L%%7eVvVos5Z=Ac*ZC;!!o!nai(?Gt75E0i{r9MpkF z%QybaGhApGPw&QX?i%kFW2N+R{|@iF?>8FqFv_`G`){}V7rTva4{3aFU3`YvWiM3y zSA}wI==vH$kWT(fG~Rbtb90j&0N^-!FEH)4y#Hp-5}-~n1p>oQ-Ca8>$N##RJYq@E zVBEjL*rZ4QN| zH3Dk3NoCw2=fAi;Akng0v@om$ZX^{xQL|M-K?STMEO%{f%R~xDcPe>djha?s+0L!Q zK*oU!p<(8}`n`)si$qcVo%LS^(ZJN4w3IbJgxcHrTQ3<~+l`Uk8bO&KJ^dEOezjCf z`mlQWZZnd-lV({OuUX47Z}jz@>Dy^r0^3%U!!10#xPOzoNzcHj+}JDGfTv zIkH-@Lq%Qe?>mn199`+sD~PU9fzbrX+0;{9*@ z`Is>e6Ks=R>y!vZ17QM$uZ+|H*s#9-!GcIfjg##b!xfG9;4bOHqX$AQ)p8~VVS$f& zk+4vbA#sZFK?wY1R2E+#CVPH{nlv@)1(m!gR=Gf^f2d(qgrR^^HLxFS>wcCF;ourP z?FP%gG%biL#Yck7!oeU;T1F_)v~_w=z+xT>s&~F7EZ=U%9$d0aAjK0&<6u ztf*khr!{VB`z(!CrPDzXQ^GF_`4?!Y5-!R9_EZ;71-+=grQ77YUXz1|7Itoj~#F>QvM@iwNm5{^%w`!Y+^H;{lICX4`(6Jz=!xQzDgX^esNhMi~9?+0|) z1m{2Zl~7Y6Pr7u75T!~6Np5DT($5DUrC_7LHPS596E0e(@ygh+Xj2l0{Mlird(1FT zadL~;a@(|Glek9JxR!jN6!|TM$|JL%#buZAC?07p;9D_pGz{x?g`t2~67W?vn+foI zRRij;tEv~KSGvWOi6DQ{06)e%t{C!3*UM|4F%RH<*$+Wz&`trDZmFt*SA^Svq&0eo z1Y_XRg8g|`rxAca#G|FYg#65GnX^vkhdxv-*h-b0X)1amx$eF!fW!qcE<+=;zI>9F zCj@b^6vmw@ka}WmeI?1Xt1fFSds`eU^1OtppH0w3*qK(NoL0x$!W5fo=taY`d`uu) zen7K`isRTB_-V=IayqZ|aX9cmf-z|BI2g~wFxYXOwXMY7vF;ZxpWcB0H1G!UwKGzi7gj;MX^;H$yS)&^kwpa1 zaTaiH{5w7LiL^M*0l8FV1AqkBPJrstV-UMybb{FPC2)SxyUUrlxpZ`xu(kOg{2VJw zl2oP&$6{>=b?Z)ZS-ob>GH42g|6(N+Wt|reG(hYJ&Iz4d{7s;P0MTw?e5GBm0( z*EmvEiW?kk(n0+eL376?G=EC?(Twb}C8GS=Jc>hlIpR_UUq7e&sTW~?$SXPT%T}Dg z-80>0gp0RCq4YnkLA}4R?7vb5->t{r;xwPyHIGWn+!qTVTXlgw=#X9S%KM(R=M;1` za0 zzNR-?12Pi$xVlmUe*O&FD)AS=xwU%`nB&~=KI4U;`nSr#4y@oT&(~5YWpIe^TKPYy9i>SD9+LKboo-YVmHc^_ zxH~pZf7Zxckm>a{uhg zx5G2nDeuxSt zfFdV{_~qD{QutFOz=Il0ECTO{qI|d`nZro2=wEQCK%Q=Yq?XG|ZxhlI$0XHVuRR^3 zK@h#uU*~@z{z<2sWef@nJxJ%m7IG1!q}>H0Bi9{=MtfM!An@6?zKyZlU)Vd{s+*se z@Mg;%<4}OUktl-5$lu9r3jXBSHA^}c_?(gECpW3AGGGBD^yEiM7{IU^0e3`48n)38 zRfQZRb_{FGJ^?E68H+>svL2l&U?RY_e4RR}*X}Z~sZ(MJ*@Ffr;LPv(0l@XNbinGW zTVKB8Z>pBi4PM}Ds^~13#|Q#98^JF}pt@w~a1b@Su!puft-6Yt4EGaz`CueZNerVL zM5Fa6*cKHWOYjf%CAN>d=W>^;Xj_s zP$&DhzCgSQ^x|&6qwwz52u;<;pCIX0;EDkik8lq%*x%tO&4Bn$AeQfa2YOX=EPSJI zc~gD-Q&$``Pe)jEB37`#t+XF9Cx70T8{l?Y;`h(U-p?cm*{bV%^>6M)kJYT}#5I7J zcocZM7S!Z14HT2RdzdE%$)y+??j0ce3*`0bM+1LZzy*@M92|C<&HzGKfN~-J|7L}% zjD|0Tt>Xo=$I$(JxdMzYy<>*0#lW8(8nIH(8}j4wkaIl4fJ+z({6#87o&MOfw}LTR z%0MiQ7L`jTG%;$sz1dddIszRN(-N0SPzQ&RCAsZA9lciP*3J?SVnBz9p4;jGI*|@v zGoL6H^2+oe*$ipMoejmZc@l#Sx8O=TPjr=Ct->ZO;x&VJ3WscPsqG|Z2)e=gKN56m!6YCU7OJ_%V380jLBQKb6M z%YfyG>%c#p3*0F0U2jB``i^SqNmChJb)aISM@h z{DD8qHa=*x;m#5NWnr=b$-HX_S$TkZHhyXI4wIwdvYYMceXiIM&!O!(tntZM-4}baOPx~snphJ!&%Rj2c^C@f0{Zpg zCuocyg>aC=jE1TEqKX=m2USE2(P#{@Xm>~mXyZdLeHy4y6*&UDtW3>v2hA&LjRpE4?@Kv|$l#1{ z#gGQPgg=l&iN~)L8>H0I_%$i@Sk?Sgk}zyY+-7$2LkR@c*VEpks$+pQc*H-)NVb42 zXaU^@uj`NH*uDtyiHzH(@5x=gk1Tw0M*?0Kwej7j)hVr&{6^EZ&P1Cq50&apusFU< zsuP zah=VK=Ghpd%|AlDtKR^x#swd`RZI1pF#+O(>=mioy@cnS6K zqFjOlgP=GZH=~LUW#c0s!STn~9`s=qM%iUqO9GR2PvCdZso#NXN2jQNI+0$pHPEq{ zLPNO!$4`XR7n}aj;Nh)?9fP}5`DhvY^?Rls1!*N?o_jw^7@#YcxbyDJwE-TY`i5ed zWV#aVHDUy;EbI}|6x3lJ&p_Hh9I1P*U_KlEtIkm4s{yTd7`Pd04-n2-?>Z0P=0Wvv z5u9d!d|5CkQ}M_~Ik-^4UP_Oc;eiw82>y=QeCLybzqJvSpVbJd6&{%j>?U(D}7{%695mrLRFcSvkCtlu!2^#InuDomX87 z!%Xs^OdZ(a%NyS5s^SbNJR#~R3;X5GWFJ!g>cawTqkSVIDT-=3lsk9t`20@WqU(L} zF7K;~ru`~37pu$uGTJ0J=+ZScN~6M1?$r*~P4MZYtn%@~-D#lS(Aqx*m|CL|3ZtU! z1m27V(FGle1s%zqx#>K(8v@vN@&3!q#k)Pg@%FU3Io2vSpz25bS@Ye^`Dk^MNE#I& z`N;J@RJ~qTmaW?h0Dg1lh;7mT>DBz7@0}$EqaQbj`m1b@7VC}c78Z zC#%%!uZ$HKq=m1X1ciO7E^YYb`WY6QsPx(wVNDv)EnO7|wJ;tgAWg~?8!a!xN>CJ{ z=138EnYK8_Z)BDSJ+#LYU$;e`O97{l=nrTLVHWVA5xQ&jHyBqW6v=*BYEK6H4>Op%2MJHNde=k@ z8vpE09z@ytCI+lY!n1ot>>Y{(a^A0{N5{+9ZM3ElOXO_BLjS-aOjx~4kBP~{#xYSN zl|J4iGODxYY(pOGK_2X=NzW?km=|`F$iHMlq-(!q`udA3CiEv6B8?@6ghad3gBJ3( z*IWin)oylw^+f)W%GU};at0@i9@uyAU5$y5%5G*77g2u$T;nL=f-dEhAPOe?-TLe| zh5fyiQVFHCANIwiz1|J#=a0utC7bpqVgAO29;q>#KQ}N9#aLqe#iC-M!C{hq`iVvQ zJM)7lus;G?RAFqd-E%gse_zBAX=$uYguo8Pvx6QG2D*?m1T_@z^-L}u8GZ50V5gbg z;Hm<2nSogY&7H1~P0VBki+Jnm8i^39Vhb=Cz}ODaSO@V6YvsW~-=K%QPq4s8S9UuSkmtaF zxAt7bwld)BhKI{3@?Xo63QFG0m2SKou=@LbyL4MjFvBQ&#IEw+PB^n_pcj0B_$RMr zK`g!f2Jy!Sb44Mq3E2~rWe@8wq&KHrh#>{aYVapdhx<_oH*kDuf-52_oq({2qWJlO zJE8^Vy~Z)C&C`FZ1V1xVe*!r)Z>~?B?nJAqtLG|Zq+;lRt8i||y;N=V`zk(J;F{!I zOZ?4v{EyRv5JGl)ZIS)bIZGOT!E( zF++C`NQZO{-61O7DbkHJ4Bd@%2&gD6-Q6J|-Q6H1h@6l6cb>D(dDi~!XCKz^hpeTu zSkC>q-|@Pxi!M9ikC?fqHKxeo;+(FrY-?k%Px67)FKx~~ICGa7nRyktc@?ApOdkgN z%w>^XX(d=W>cZ70Ck`fMAR;bK{eC#&w`-0^VofXH^EG4}M*-N`>^tAJJF8~@+*pHu zud9va3+R_tfYNXj{^24Vt*Ke0Q=W#q8+M}R(K!+X$X z@zoTPPu8CYcM@^UVPNQEVJu=rfi%)^AbR;+Wp;lS%AC6!PZ+C0fL1Jn0pC$Z5Kuo6 zF)=Jp=;soA(AO!*sk{#?XdhF#JKBmrjJi|S$yp0EP)9~sAu@$^xu#Gecx-DHb%NDr ze;poA+r81pg{KUDW{&y34CfNVxB`Eam%`kv4AGqH6+AM7x@(kRmnBmrcxaXy((LnS zz|55@0i6XAbMb-{0+2r+JuxCVuVx9|%*1AocX6~z_58hYge<^d(pxgDk)AWbfKAzTuW8)z_dLeUWw42ot-^-M-7Iqc!n7P2XA!h}xt;>cu;ix#_(m0sXIQ7pHDwg3@{@A?>_9^7`n&rz~k!ped6lS!biReyu z%H9})SD_$47gmBoA3AHmeFC1RzvlLU0=|~r;CXA>vV@o2B^p^zIG8Iblxe;%YO1xU zT`#&k$64ADOtdofXnHCMd1fvFvX{F_8J=832V2&gfTMk@P-oxKC%BeAzgFM zx1#4UY)~q2l}*$sSCY0)wtvb8G0mU$FX}Z>d3E~7$`ywJ5aZ7B-JT466A3A3vfqFp$KpaRWmo%glk3c2#M_yHI)uM>EIpA)@r-*q8M! z_08xg&}cZC#k(R)Y=W>*Is!Ek#uz51$ji}L7Ab`3fClZ1BD8R2S*ETz$i2zB9km|k#9sK!u6AMOuD$}LRHi7(Xk3KU~U=(s95`j`I&Rg z-f_6lg~s@isbz}<=7>w`0yiQii3{Z+2e^rn9_no=EUcHQYUYn}@!vugWvRizN8h7U>jtZq4V(JWm(5lA%0nwS32If-fIH_GV!8nqgw;Cnw=T1xmnp z$;)@2ju2sFm&vGQ)=;_DKisefIhijE&B845lP1L zzJBegZ3KE?02-IL@53`D{#Qm3xInitQS?(Cs?ZdU7iNHR_VnkE@ExaYM?Hvl#M*p7 z&>6G;vFc&)iOxTjNB`Q(WV}WRU3wTh{r%J*U~H*HL_~hRxz?!t*$-I^McG}_z37Tc2QicvDZJ@J?=`4WpcNt=@pZC4 zgQNCL`f*t))a8e4yg7s5h%2-cFJoXIZ{8;YE>lsK8`(sMbtDayh=$Qi)0R_WuLiQj z=vYp{O%W{ih*9}Jdp+J~`MA_8_-t=C!!By~J>K6hNnj#TA<^r7HwQmWDX`3Qy#B7Y zt4J3Ex$_f3;=T-$^$+{ehW^yMj)aF@GEqj-l??@V%J3D6wMnSa;@j^D66W>1$7c<1 z`tl`8%B8!@N`kN&o5eK-M)@^pe~E@}ZFbn_()wQa>@IYXosF~{rME?MB$ z=E!z#b1s_seRtgae42$VSCr-I;|s6LkENBqSF9YOcQB5O_brtE>RhK!EWXWU0sk?- zB_~Sa3;{I`Rr8Ban0(`CzZK8QaS5rJ8SoNLa50+)YCt@!o7@*~V?HEXJ6((jWsCYf zWggGo-Bv5LLlXRj1j%r^F0Fa&v`H_Okf|5=A!%vtN~ur&d5(LyXq`$f#89C%yl`OI zUqbSCikLZtD)nAqd+HL zvUJxz4&WBkv{Nk5J@j#BH11k)*Vk|Tc*0GNN%xvUd6Zb7txzrgbt-h~gQ_YGZEDwa zZ3{8GSq7U~0-yWbKCL1FON=ssFw5D8z>q?r=mC2mn3FeZaQ6UbWkxzRg2TjO_BYOo(v_S-rBLa}{0Ku(~a6!?jeg2D$W5b2zS zP7NQ-lvdJ|)}4=vCKu;}g_h?}?kOICq6ZSdokR`*D{jGckGbA_44$Ok&qLFEuAKOI zYC8BDFn3kx-L$F(TqxdS9tH7tdfT`?3@mu=qA|#04M&Lu|09#?l@CBAdD0IEW7LgS z%%(idm0PS1*UR`dTwnE@TGYF$)zQ;Qb&~kS@g`OSpC<9WQ^MsJa<0o6Yy+OC3Ofr2 z-Hzpg(QCVp0-@Ga?{4pB#s0I?FD_TmxmV2JoV(j2Yl-N;=AF1s>bMpA;mFWG-z6R( zxjqLUXQljZyQ%wrv|ZOVQj`H7#s5x&BM1l8z9s6L>^Y~oDIkgGYWVf$^U<;wp`XQG zzT^+6-q$C0On=c}+TZ~*CnAa^APn&-GPp6f5A;RV%pUi&P13awg*(26xQh!TlgSeW z>_^{6MyI_BqDblY`b-43QTMuUT>3Ped^!-uJIjBpS`=ZwrjgM0ZE&)ddH3snUiibZ zb32vrUfeO&?EI$CTI1IM4Ca)tB!UyD1f*2i!Gy=cj}4~|l%zs0Yk*{zTuP;^JcEgy_bahswOpk9@nCHl0G#V=5LS{qO zR@Ou|vkiswXZ0uX>A%AZ>8f-$HrIbot+69!&7q3YKh@zD}O29?5mA zWwT(lmrjayALSKsn-!@;+>qauPyvgkYPja4H+v#v0T?_l4f@-;=3T22KaYVCTqqI~ zugJ1*%hQ-*v$S~02Ev8oonyG5#TvaQMRzR453~QCZRHE1PnUd||L3!M;lka)q{rnf za*NaL)M^_#&htI$v-olQb+^dm^r!RZ6Y&nK$ZI{chd)}~N>RFMsHM-&S6kDq_rLwG z8~xul6dj2wYuo9@ed%qNi~zd2x^@vl{d=u|qW|K8XXWwFO~^$1ZeGBjwwqsEfnBEo zkM~{|gUtGV4i)fe4 zHj~^l0WO2a&f$IK6LTF^NF>2WG3b}c_L{w;-J2X7~PzTx@o8qD}KJbi5uQRdF}~}T;=d}=>Yf;zpjzDbBv~+Bb9cB)M%N))DpN2PtZO$LyWA*oWZcA za7a^mmbPuAl=M$u41&@=pxLb01$~<#IgO2WwORGO*;30*ewX%x*?%+(F5SUl474WM zjb8ZHY3YWoKsBLe*bw+#J1xEOTYdAwDZbC+%-1(4xWd46mD;Uq;ZK!9hkt_#s`2JJ z(q>}HqzUfkB%b$kQ-yLiY}CBezw=aMosB7doh@NCts7JMTXJ=uQTfA5|B|a^Kd^_P z`8(Gq`hfSq3N+iv&OG%#Wfu}e@H0CdlpSpjKg8lx_5y}0Fg5&A${xjFU?6+!cFWxb z0uH4jlx_ngH!4X>8kAf|PO@0dF+Af1c%qWCl*{S@Zj>`bLMn8fPm{SM1>zEV@Hb$R zO2fsO{X~q#3&w!ht!6VC13$qs&5_^2QQVP(WFc8Imx!liyQ24R)<=JD^a`dS6kvq?or4Ly?*c3ZW_}q_9>?`j+Tvx;FdBi?APSSM@j-Ds?3m7UOB3MU zXx)GXPE$rBZ8fJl{*30Z`ip^GZxA&2RbNRXx{sOSV_luNVqps8>9(zV%A z>Xi7KMjp6Nl~kDB#WSx1-Zod+Vf7@N7w}RBj{_P&XGK(XtuK=6RkwmuA^(8I1CNdr z$*ZNu$UFT<6on!BX@G5M(?))FsXi9In-*_Z{s$&5O8H(|eM~}=W?${``#l9&TOQNb z*^XZ6+0u7HxolEr)W12p>I1fnaz8Bo=PIM0DJVAfi7#L-=i)@O9;EHC(&9bduh4Gi z^XtOWpw%aJxX$j!*-_0nkBY*u+kL9>FT&qI9_FA}z1TzO-%8{xT%re}E;_jY1h!n@ z14W8)i_T3gTA%4f2pf)hLgl7e5S*JgThVMW6G0%HpP&|4 zC8H-2v6DyLcg-hWdoV z#%@p{AAUBs#cc!T6p0#-iM7q2@aRQBEU8vIpfOp{Owb@|TfXu4%^HOrw~ovReCyX) z9j<&n&|pNp6-<_dxSw)?9aMH%I*WX74hzukO(>XRTsQw*q z0R)#b7Zr1)dSRKQdm zGHsljjVY(}93pn-v|?o3JKG2D4qYVw97Cw}h^1WohwHsgDhdIQOa`5zNcGY_jiPYG zi!Lm5R)FOaPeA8|Zp8uCo!b{m2TfgGSHgXtD@+@FDCt?B{m@h;0|u!3LTGlpv;RAbcYY5~UC)ImJbKZxfr*+I}$JkFj)=8Q8dfDO+u{MP} zNwm$rG7q9e6~~Jn7b*C_ro`!l5`BYyuSS}mY@p=~cIU4u8)9il^38k?Y2A=~x9 z3h**`ADY(mBsINbYATe`YTv$z2JtZH%-~>$L4Z6(tOlxcmw1z|d^ueHAKC{Wcn65o z=#2#tzM5&#TyR~ir~$u^tWep$LoE7Ye?r;L3S$&?5YY$WtQb+9DaN@A?6$* zTw?g2P}d2xr}8MtgJ=K{()UHb-avKuH)hHxyCQ+;#Q=Q_u9o-Zr2Ma8G0!GS#{4sY zN=XbMJm!A5RDb0Ao2W9r&A{?s3vTF>0@1-BM~G_SXhv!>sQQchi`gZYhMF*whFFuz z*n~H%`0Js4gtX+{k_dxjb~qOKLsqYN{zN_^lsrkQldB+u;VH`{7d#lJ*QX$=qRZz0 zVF75u3hTTNpJ(#H=9H;%uG z>?S;RzKf*{Y1p*0INO=Wj4p$odoa%}jaF9icG~Mv?R+5^1#tIip{XiIK7_gfiAsEQ!0ca=$*YeaJwG>kf zsn&#}rBxjvqv1PJ3Izj0uXNIUT>W|hgYNGSbmKS?)=ZsP32wrBZtr3kU2;4UJ>JC- z>eWtM&#b#+2-3H3nhaE+eG0~}Uq4RgZg>EP0pxP+1#4Knu`E9okl-X}N|QiK!pMgR ztczNMV+_bzJpYg-vnNBl8EOazL!>TAXt&;TFrfqn!avy-_DRyRFM`@eXL=4f&@AM% z>s2JNq2HVVY5m7lQN}B#!X3C&v8(I)+E`H|68Cpmk%t9bVqxh8m5-%u-fx_`-X8{6 zb(!XvK;5`-v|*9oUo8K5ymRKrLx8II!5#)gnmMaR5Hrbxb`;aRNJ$aT(HKZ(J_ zVx~ufd<3x^6~HBMCZbU;i;%>G$C7t7az(@Mw!F2O%d(|Ok__$V-Q*gPvL7x$~s?L%u4wYpxw#FlIO3VvQO5qU~}Rbpjyl-WBmLe$#Ws z*+<+Nf$S^ke{LFr>R+L3zy3pbcF>NoXB-f}z>ax#iUbWW-4qcBY8@>i+4+M*toBOK z+p>={u|?PU23a(gUicL%nz|psdi$eso9_O;|3A5){7Xz2RR0!59t88<|B&u8Ruxk>#}5teE|y9*%92_&?D}x78qAJh6LE zo?qmsLYwu=1RM%i=8-&pXr7iAtr%M0#+pi1%MJ{h9QGgu6A`*e7KGz2xYL3lR${>3 zf@SM@jtJdxqB`B7Z@JT(%rWG9l2MPb0`s*50h?imTv~H=TF2*>77YV>`9$oC2vBm`9*lrqC%vs)`GwEFVN&8el2k2&$s*K9^T_boPBkIw~OHlzom>0ps+X4 zEGIz4UD(BA+au@x&Gn00+`?XDguCpYmwr_O$j2SofR1KW$Kj4mH4tJWWr`M-iryW9(?)8WJ|TcH ze~YRiF1)B!Au*ZM{}c{hhEBa1DJj97{BlM38jHF3o=-8#Y%&0(Slk5AYYvV<%8sV^ z%SO~W4#s%BeD3^Li}JT+#xHXVSY0^ye~@|@-{WJ{8+EPC4$twr^RMu((WnMvec*)% zheKb*5MBf|(GgRGLavjrS_NI)2S^ISAya7V4y)G1PT(*%-Nija`|XP`r>`KR@-pL4 z&Q~#93yLKw;^@!Z>isUNWmz6vmy+{tyB@JBvAjS~{qNNkYYr5Mr;> z_fZl&@QV$yDt5C z*Bzq^vs>P|>ZqGH+8MvptE4Gli`I7FNG@SY{}Ro7x-^kthm6R7p?7Y4W0{d~NFb{S zqwNgAV6pJ}z7~r1_EoU`!+FmTKD>z<0wY&k5N=|Nb%-#`Ws5J*2 zAAcd5yY5+=SN2Orrg^kvwq&!)uH|L>7>su$PdvEEv|7U_ojT5J(o`G9faaUSz#= z+daB`3aQbIts~yIo|Av{_;XG$X!@((;qEw;8g)V_xKWJ=-}iTboY#3K{har4^?zJ< zI9);nl_b}nRkQ@lQ+XE?-T^cS_g@F2?BPhjMftye3Iq{p*a)ktp$p+}m8PH{Od@SN zr60a&2!B%>{(DCm_S~UpsR7}#`8+CC9|!|sr*rR73$7z*_?AIq55Y5ojbTa1El&M8 z@@xKr{H#8L6i#a~?loJt>4?_1uG+TS+9{@@fLkBddkck2| zHX=2#bPZk9H|Kb!Z~ivcVPGnU( z>+>a}9~TAuV1NAl+Sl#QPY#@{7OuRozjpk1l((J;1b3#^w;LWX=UH@A+5-uoKMpm$ z17`f+poG%^#~rs*ae{+l84ZiUVlAeC7X_>=*NNp-6e&Y8S&f8nsR3$sPw!w}Rg#ut zuR~Pvzz0z51K09AfM(1J187Fa@FKbBdBFoj1?JvGW4dr$uz2jeynmVC(Nu`q&utcl z1JUehY*%d`eoLod%Hf`=4h*sBFzSs>^AipiIg6-pZKzHY;BO+Ct#F{PXtrbTc9^WM z{hzpXAuS8aFvm^A(iz2>!Kpt?^rHO$SUMcYdp!=4;&vBq6HX)?89{6qUsk;nAStp1 zrW-jbtbhT}YpH9uA>FGp#qU9Kk^;M}LO0?THf1&fnS7GQnn>P}O*TVD6NDLD2d=7! zz%H6&8jIq}5Ssl9p%|Mbd==|AWYM9Jq=PX73z!$JbZCsNl4@Cj-_v;WsU|VR`#Fg_ zQ-MOUT(5WB_|K=cpE<}Z^uqO#l{>Z zH?$x1OUt74kK_NZ*6n}$Q&4wGhvxq%Y=_f1>o@NI3UoPr*RfqAwf}41Ac|D9k{~$X zz#~l)Zz-C-VfOY}TRBpdI1+fbrugj6tLa32`|*i;T?l)@p#K8xix$>k22| z$w%ZltLR>(tv&^wb&Fua1PvpRNNxM==x0BJ?gchpwf9_ij{Pf)svkd6 zeV18z^jwjmRvo<<oCPvJ(0hRqh`&7H`AUSS+tjxge6gYHgQ*}x|{y5ah(=lVvS9Uz5EwPW34 zo3zVGfOA~8Zu|j^puT`w82^g$*}WI8@^@2_LM%hmCqw7hzGG5$I=tF=YCB)({bDb_ z7k#^X%3nc%dZPjx`aY7fju)`|ajJ|4*e}j_jOHcgXh`)Dc`qF@GbKZ4sk36DPRhhv z=>bahvB-j=NM4i^!^~LCT}VnYi1WpK^A!QmCUiPo3I7O5eH}PBpknq0lMt*Sh=2%} zRa!~LA$2q0Ohm%nx)Kig!1xfYf&5$(1P-nF+k>=g|c~!J1NslsP7J ziGNa~1`_CH6ine7rbD|KOmbRBh*ZfC9B4HPCy6OJbMaeCt=@(LmTAXxi2ZVlk8iQY z?9h30gq82dw7Y2vg+*Q*QU$PN{%gOYxOX}FZ~Pav zBlRV6n+ED(xb*i$fA$WOdz;54yLAgh^6RIABn>EmLz+#Nw843|=~w~3CB&6lXWRY{ zV@#f)BH$C<;EYPlY4kQHJnz0SuX|VG@mFIu`F}04cS<3!p+(Fta}mhs=I6#}#D|yl zNgI_2x1ZBP?F2|zQtmK1`&@l~h zR*?!G^)TBXh2!A98Aio0ynhi<(2cq+`2G)d3}on>Y9jsz@P@2jKLiVcaM;cE%)>^7 ztK=dmoHYu#J-0-MZ!5h@Bwl58JCT6Ng=6zH?w` z>T$FL2b5Hzz~-!tY$ENwbcpXnB@!h1#^~OHlC-TQ8Q!(|yVLh%mYkDgm1$PxA~h{B z5bH6M{SnfS>Un*sz^y+INUP(9Qh~YCc9gaF;5`zlaCKJqxnD-n<@HxT07HgNa|0G{ z@+fGj+&XVn?X&@gCDXU6jsKN$bRS+07OJB*Q zKLsZp8S( zB6kqgle`e@5DyNk_LrK4tgN}B0FO8PM6H2F(sWvWd7qxifajQ|P*@RPu2^swJuzhe zHdtYdGaRCo3xqUz=^#giDO<#GId6qRugXCKR+^0+m1p3U^ zB-p(&T3xp3q4PZ1WgU{$$(o*K^~Q0d^!$}}DBdd+f;2`KAjyD`3@v;T`-Q5l-@IJga z`>+;Cq+EQ1f1sXg4Qtpk*p&?%wCSlhX3L@>S8lEJLQ!TO2ZV(zY&oK%h%?P-f*~)# z1>@d zsS5WulN~L&J_H>v{ut+FzV61Y%-Ns|Hoh|tW#$m;$g%nUe`w>K>OmC1>=4j~G&rrp z4<`V>V}Im;U+Dqf_2KXOkD;(^<(U5pn$lG2F!eMlWk-9JTE=XyjRr{yNs1+98L4>0 z`*mOkdu1#=sIYFgfzT+tj=6&)uq;L~1PAn6c-^F)`c z`MgTnadpL?f^P40@%r5T+hRLsK)b*3bx8O{8SXQmVVr`2P4VZjeru}D(cT8{ACoTFciO??zDndvdmGz7oZo0f*^ z@T^dXL!5ysm+7ll1H%h5zo*vxL2WlpzjGJYoRARLj9cvl*@l}-6#LJ<7z65dYYwBH zzur4O-kIr~q8C^I6fu6NrYRJ#l1;sNGI#S7(1J{rMqOXwF#>A_T4B13a0cw`ck2SWk3FWVr!{8(t%8rdhOS1ed!3 zK3OliVe4KIW}Wnz6VsDG)!LO77ljr)=jJ#c!S`~bD*$( ztek1I?;r(hQ(+XaZQnX#(->zUri64r4(^x$r!QTMCV0Pa?*AsA53rm94JW18y%$w# z9fqx=TyLPiraz`t)atPv8elL*gqjP_EN?_0t2#WTab5N(Yt2Yl z&wfA7zayp@tgdZ44WQ0^Ib%2ze;|Kl-ehfMonh(OnSmvyqLUW$CBXus$8e^Uk4Y1X zjE{xPl+a~5Zz_UEfK>~pZRsO9p9b5rVaZ^LFz71iBoX@P5G`2hdYG0pmr~CFUsogj zeu8bMBD+hjj#lokJzu}{bbsXC8S%W>Y2D4fZ)UD(OV0TLjullH+KC`cCQim=!pB^#MlHR(0_PQ@S{}ua$Yz@Jd-L8C5hFD%$`V{ zyc*AMc!%11@g&AjTOvwWBb#1onRaJiBOv&vN$r-Ck@g;gMIF__1AW_FSozGts9j z{hQ0EXRlshs*8sAvfqcLAUni$Jwtk+D#3dj4Ix~iU1)2RE*G;;A(s2$)Ob0e&gKasS$iD$XlMQ>W83IS7<#;JfyAsMc$#0!}p)K@O$lKHHBkq0IM# zua!1=l1noNYcymuGOl?fWuoYqQuYqs|L!k@1A~i?V>vAxc-f6(60QTEZH4o`V-5<7Jk(qb40ugqCj;iv}uTe%g}Hc@3Ovdn)I5zV&`O|MNHOee)G#OGKGId8rMr@D9NA~Nc z(PKq2LlTO`&lS%k322c2-75%$GE?f0d?oQ%BUvFx<~EDudpD1J_2&vrggpMd?WTs> zg`{%{j`;q%l z2&>edms2eP0`BH2?O8QHNuz1Rp-ShAz-onQRz&?Q$&i>Flh@`K{^E1GR5-K(ONcKeoLRr1exKrl{ZHDLWGmJ-{$vN`^t z$((Nur3zN*M|oUV1=V9k>sNjUb9QVCtN`Du(_Z_r0$lZ#ftlw0T&x|zoQD4677^(cO*$nsv$Ei~N76~@nvx|f;1#`|2U^u$xy z2sx%+R;?lO{5ouw@#!lElLjCC-cTY(dX}&|`4wz1W0cnfK`l6vK{Is#B-`<00JPmU zfFxU$K3f;Lb3pdM)~k{R?+K-2J&IPTNdNfD01fd*(z6i0yn~Ktn%IlLav$Xaelbw7 zGLx7*C*xZN#E0{j3YuM_?6^^}lYhv(SWGFflOeNabZjbGFgZK?%R#1SIv7;QlpeNp zFiO8aN_Tpduq#IFI&Gu@gUM>cx?_t2^@xQEz+hKqRzAgobb|NOQ&F|FiWS6ILtd9e zJDT*gZ%fqPy43f75gV*|F_3+!8H!*RD!8`XJ?%w^@4qrFDD+gUsmalI99<%0DN!QI zY1J!f8>#u^23JXiDrp&&WmG~n3qBwIp8jU>M|WfhMc0sySjyCpjvad>?%O1_y}4CO zNN%(rJ^`@#`#tTw#XMfZh2zG3fyLwUBgxifoT8cwT1+mUcAwK7@bbS+ z5VvxCWXE{dpL^b5ToLFhFL?$#9kxD|zBYbP%@5Fi8#R8rQ2p-TJrVx(m=Lr;kyq4c zXljajQL0vlORdy4t=W>w!%@1#f`yvi4K zQ{;!X8m#J=hZo;4$&&p%e`vkMZ349M#vKx6+*6EDbD3OFr+%?7EApuu-s74W!*z7X z5V^y_XRS^QUUn~G+uvyX1hW4;d#VMQZ9!u_Dt~W+QyKHVgzO|#PKSqT-})~)J@i+Yrn18! z7(UD@Y|mptLzsx%f;+#ylV`}R%GNlX{N_~tGxPRbStX}gdN&mUJ@B>NO0O1+0OdqX zD1&;_2@d#2LI?&ymT%rV#&c5;k>G%F!amFf{5&CYsCZJl&)ngcdc)h;?eYgTXrjyCWu}opONKK@dMc)oAZU769n?+Rk`(|D$G|zywqN0 z`q=NKN<*DPaMu_27#R((8;r1<)3OYwS`Ya{Meg8;-HQ}Jdx(@f2c zlu3sA2o?0@4uTI?*s5E?wPixQ)uUlo+P9@H@Wcn%V7O`%PclK&7PkO!dI?LadZySD zYM*ez+^W2XYIk4WeBAmFT6LzegSdo5gI~(PP&#RtFWr;%KJ|(mETScykRf!J%Qs~- zU_Uw1pzrZ&5}`@~o0C|Uz>$0TDnpx&7OH54P+`m@y!fOcWU7va__{)Uns1CVNsgNk zkGU5czLzH){`-=k`i1fUF{h0fq15^kN|HIY!p;u6Rcm!Ng%+U=-nXIe6Z?JVmF|uExBvM6 zJS?bG*I*#&`!;6>K z&1aR-(8=e(cK5=0so_Q0du&H0t6_s8_A|CDOXk2xVXrrYQp%K#e!2;aMXqZ~&v?10 zU1FNI9Tyv6WI|FLI##-Vf~l@7D92H70Lt}0978n(%vLsvBgk)+mpep=PPZsW?!q72TM5EJPA z;&SCGL9ATS7WLutYiUuyOFJ%4G}4?|{Y}b-BNW;u8t}%e1XCWkOGC_u(-hYmOm}7HB%GXM^R@_x~_-ol%)GQvT-+9Yyhw4$8)c!&$`r?lBYNgl4UN?FG#K<%= zhlXHf8L8!{mLi0_qNCD2%mr-*=WAH_)J0;4;At=f5&E!9sH>{j6m~(qIf7fEwz;43 zRn3yDCDY*O2r|abtQ7qB4U>$&#t3adu0I1*^YmT1wE7E)j$S?A=TVj=XUEbj6G`fF zVkd}5&Gh9G)3Ln4;4_Y&PlO=pfXd?1>;+AOq%f# zO@HDT6=roy>>H&*it!R1m|hw}k??~y(RS0e?szVykNrDV>*@5MaM4}dZS<&|f9eiJ z@q-Tf+Tjm3?N9fPMJLb0Jv5NlR#8bP_2MCv%k8-))|*%n*M*7WRGd|}2Q}tl=1422 z;xlJB;pYQi!2Hgpg0JGJbT7a*kQ~PDkj7`U>Qik;NRNhwF!Gc%_AK zLd6W&*N-B$5yX%;e74!Yo26lfUnt@KUb}L6H#CsT#YZI_(nlm=_w3eDkFL{p zb6H-ZT|-a4)IwUwCs?%Q;Z zjYCQkPh#QpwgM;frL($WQb8mPdUzNG$(V66?|#*D|@_i{Cm1Vlu{ z&c82hV}F*ahlc?jspg-AGtpeYz!;pz=y$D(a<7ojPJF}^V=wRiTJJe6Gc?oWwNn}c ziG8^#gG*N|e=0OifZ9YJ4S{=N_IvGX-}-~4g?j;ka3VwxU#ei?dMUW0FdgD^p3|d+ zj!2FAq0RLA)c^z^%EZK?t!WyS3xWD*7BCP*X5i#x;5B{@?+qEQ)P6$+0{i81{>p8q z;s{AZkIwk}OMR2^`A1-kUad^|vhpt#>_*O}l z*dL=`OoavMY=56l5=$m%+G9Xuil&u3H)=d#mnvxI+%@fjE4RhgZ|_(k(ho_M!7qc$ z6idWPcDH*%T~saAB8w|2GV?3h3`pZupX;RV&7fcC6^An3U6Jq_Y3LB|4PH+T;(R8^ zOhcL9V6?C{mh!mfafz*<5vjtu+)Wr6q1_*+%@M;W*0oFg;YW%!zRi*(MOvQ|4PlQu zdm*Hsh^QT)o|#h@S{HBYs%H83AABziv?%1E_Mb01#@hY%;>Sfp66>0mP|iMIOQ()+ zJYOnzKGoZmm&)yxq$(QceXTrF+kSsXA}_K1HTVD($tt%xCq&f1-)na(AZ#l{Vo$uq zIU%RY{@=gXzfRZ#&EEt1H-XPX#eeWal~2#HwF3s>z+5F zL17~2(=3Qu_ypdx%hM7vRR;1AXO4qhjzYsM@d(s_hc2uj4jrP#H`TSKe@54>qg8e< zLLE{|D-f_6`D{dy?%vG;;b0sP^YM90?u0V-BJo#ems&9{dtw7NvXo7c3kgnaoaT>C z=4KK<58U}3$0B(w9~)xx!w-+Fzx3L_e>?7=x@4%f*MD#m;44%>xqlza7^)_`NA0R5 zNuEax8FG5LHYHQv9tmDa-p28P*N3o7*0|bnoeVT z2xeB1#;kyR!!MJ-cUko14zYYIi)6G2Z|$A}I{JjNQUX;W;{Z190*OCJXowX<3-z4v z7}k-GI~FY>a^Jj+M?c)OU|Mq+cKuyh?QFHz-wMT#>aAIC;Iof+q@^z)l%MZN>^BGzH;Z1_J zEIqR}zEPO=LNX#SZD?f2sBo9Tmu~Y}l-6(qOhc)aEpes}41Pz)q9UV}kz;~&7bOi0`&#A7ydgf@dWaym^hE%S)0(-1GsPfzPRGr~ZI&0Y* zt@giX5*ouE<@;5Uhh5;trHhgomLfQ0t@*+Gb4n`C4>S*g4-qYzQ3jZitw!S~{Yh|q zQDIu63|>45^Y3YnHG}L$$=NP#RS8@`l|slUoS7TnW=QyAC}Wr>J0$YBT*lm6e%oBs7fFDfhwMyd7d>*rS1*7|dQZmAzPZvj2r zM?WdW|Ej&jLw42~C@m!)q~P-DM?>`H9C8>*i&4GLt|YOQ;pUObzGd*$*3G_^V$)kD zW@f?JfLAsCUyQwVSkn#n2P}%n00lNu5Tr+gAX3sba+H8HNJ)1$Q@Yy`BLqb0PMvg@ zbVws9-SB?BpZ9lN?;nr%`~1mv?V@&e&iT|)vODbbAVh&4QP3DSHOCS2J(FzaZ5edP zKo&ZLhuEg4^y@jOx6lp6-KKT4?U3$>(qJC>G#++zU)VU;W_-MTUrUNhBork6WZrHj zr~LiRjMH}q8+sX=n&&$gwvL7d!W)?ERMlROb_r&?bmeO zEWyjYOl%t!8fRW8pIr4L<}ias*M1ooW_=W){upT~PyLNz`91;`bf{IjbTpz?jPyU& zL^e2x*TRIWtB7P|#TN}YiB9PP=Rk0H*Od5OPK>~kydkH#4SY8(#SN-c6Nyo;d%4G& zcoSNh%KUn*?-zqL@%9#E%FDy|J6OV_yvb~5)jf67^Vwl25DqK7vYMsaVUN@2h8pc3 zEc8e55{42F?gG_pQD%WuiT39xDm65HF!V{%u0r3%9HL#NX(?kUT9O+HG<(1Hk)P!3OhlIQ&BzVPe0Ua)uPk_ibbHo)F zmW@g2t1Mg_Le8AE;@F$ts!AiYVkt!t^m}x_j(KUf(g^1k1Yu510Y`(wzlD832E`uX ze_OgnK^n!affwty*s%-#euUTN_h+N#F9qA;N?PvW)kMF`b2#w`YuCajRG$68`rN^4 zkeZYKN<3LB)^Ed}PN2r)%(qhZU)ov$?Vq+5{O!)QU#n}&pW{tYS!ZEc9xPZlMmRX9 z_vt8T{$8;_WAq5bZVQqiCLVt-Ga(XXa{i8Wg1g1}-mxVGSqwbp0V6AbhV?9}MJ*K) z1cuDJ2Ys!v89J9%lnSJGyj0MzGN$9>r7;eAJ@@WFbkEq)l}QB8F8Qf=BJT1@d2kNv zYHjU{db;$eeHwA4k)%5pa~WqGUH5Z$Uc25r)QTxkazF`7DNbTvn8=3PS5~qgaVxn@ z+TdQxteb*A(4a>oag936$ruGP&=DeXv-U@VbUYYgr;T(TN zXvQZ9k$GG;my&Tqf5&Oz;kP&JJ0o$B9__ZQgfV63+|xjJtr|yr-+-hClC$1Fm&UQT zg70nxmq#EH!0D#aS1h!wcv9cbW;iL|U+e?|^NeqFyJY1wsr zhrs0rEMRM-q|Z6nI83@h0EPlxJwo7iUHZOR8t-;q0hHN#pQYWb&yx+ZCv-A#K$Jwl ze2O2=Hp39O9{N?o+KpEv>+2S^T1wxg=)t$`hel^&c_F7rQTEr6J=7%jxk)(_p2wH%0Qi#Hl@Heh3%xw7vVGJrUDWxf&Kn++Ip5cDx zPH2PVU%tdd;l8i`;Ib~R&&ST&gTisxi`>&B>7YmTt-8XP+^Eofjd%;fXKoh#;|*{8 zMZEELlok9NtkmujgRumR^H1ByEqA={33K(CbN8)r^{_uW6dL$vGcAEwW<-MAB415{kSIMBUeY+GX~LZEBIBeq@SBrqkUMMBc>lJz7UQLk}gY|VDO67E<$p-A1l%$3XykINl;NcdTk+|1Vjd!s>WVd z^s%e2N17~-OUCwTY8-k%S-GTYj}ZS2ZVkZ96ac7JPCubfjsAo4P~Ukw;sBMqlOk7v z4_{c%HjZBf?=Qv}?Y+#bS2HqSgx{tuV7Y_8dY_66NX}K>iPyRz8(Fws$FJ6PlleR9 zQs(~;x5@kXmxa~x%!E(>#Lqw3G^h8m{}*PnNe+{?Kw`(mEO#qQ+))b?v6oY4olj+h zqI#&IVdkeTdDv`3(PbM}sgmiT=$vAv_rH7vnDWzavn0_G9+*smM`BgCU-g$s` zbZ`qRm#=A7#r@z0zqocaB*T=cW0*?UVYzB0q$H|~C#Tu6_J9HF@CWeqg$EmBG)Mn|D8eD=dzBdM%}tg zqku;1d$Yb=V;9o{WV+wMAHLuHkPFt8gb;l)l>W%gro7L#Ih6VB1xb$QcwZ)n+-Rb9 zBrR=UvEMW$QVwU7hzVE^x7)&A5sELum>4z07e~P6>+8c=AHMg^B4wCI?GlMwe`Fe>V925G^SH4>2Q8yseVEB=a)+1qy<-Q8 zVBE}Zu>jEqD@+1N`f*Vkiu#7m(H8gd)@G!-aznz8F2bW80a120F2A6@u1SukMZ zE!WIAN!V&B=dF7GzMO2grg~eW;uk-wBN+7AG+eQa!Q*H*el9nY?$xcUoqC&;y@jGpssD8aIE2zgNfAw5ioul@KK%4;FZg+p?3D#)0Q${%<-Ap_{n&Q zqe97f_B-i8YisM=&f8?>F;7OJOW*PV2Bhe4T{j+$XqWC|XRDHWr@tOAN-C&(^2{0t zM^gmqG39*oa2EEI@#oFZ109qC(o}Wv=gqTs*6!SOoMz;~)n<{@v(nX-C=-dWd~BSA z$DWx*say&?Um~E&42~?JJi{NtKokNfJn=RcX;r=;^d||OP_cn{)}(Pw;P7!!T3=fT zPsy8RN~_HDJh+MZFeU=ba9(*og#8)qaHh{d7w6;IyKV8R&!L|vRT6{=XYN~}5=c8F z(8<|c%3&rHo|XD}A({k4;e|ufHrAi46Rq$OtgiVkuOGGpBkbi)o1y|r0*cPB7daV1ahl6#!4m_Yx^^2!dCrYLk-SYJ43`@D zVTMZiq+<}j2NOrhF`J5`!f1A0hsvl%{Ztl#hUGCPh8dVZh$Ju(w8T|V=RtX6)w~ol zl=NDj4XLW}ZjAaqs>&>0@|Vh`YYg2MP4|V^{QJ^%QUgi1ft8T+bFH|n*6=qcbsT^z z*8lRJt;!)S5mT$8N7g&~7>55^DuHxzMsah0dYNLxLT6I4D>Q2Bf(KGkZd%s*5_V!P1CEpm{EQ@hqNzeZaq!JBiis_+a z)cw1&ExTJSk3h0ixeEdhUVd(^y_kqJ6O zNka`wcdY}i6574krn{IylMp09NZz4_E-4$Le^*`w=N8)kP&~Qb9PBSejW=WCe<|j% zJnIl86_eIHRK?h`%WAAULq7>VdY-Zns^}E19SpL zRqQ07Y4iP9mzg8G_^w7U2xQu8NXK-venI9W!O4L~`?V*^tRayL`=O9vszdemQlqs^ zkOai^HC_DlDliAJ)lI#UhB z!d+6w9(rIdU1>H5)hT^{2oN2kq#T8LzsE-6lSfH8CFl{a2av;)zJ7&0*Ma zs8kH;5R3i>OhK3`Lt66dH$kwEPUyQuI5;0t3z0)0Aa7P9B*bKOfbG2B%Xoh^!6%z8+tnt#37FjTz;3Y`S`O{yuNc_-6)nsQ>x!jzuO9<1%LV`n678>#>Tk#l2;R ze<`#~z_UY2^e0sQrQg|m|1+3Mt1IRIGI~bZHtl#&bVsuM=ax;@i_FW7jOJ(>4*(2wR{A*c2YBObkg8#c+KwQtG7iX zSgODV-4+l>`RL0;2;qxr^=oM?&;BSDS1fcw=QFT1pQOv|pHTc{ynyPcbjr7574+vT$DhXRPfOBKa@eU)P@AC%$3KBtuYT47}4 z^Z2}-?W=7WwZd-u_y$@hiQ*L4JAf2nmTK4P;Y)%rM!kWjgSm}qgCrE(XyPT5LMRlP zwC6S98%`pc7~x!M5Y0HOp5wAM*M@8dCv-trH}*_>ktjrZ}z%2 zl{1f~iR1UCgx&I2F@@#u`U#FW>RtJIgEVgT1^u#ysTd`UQ1L zk7qKej4nlNME5kpPOa(fzL-ES$Rbn!*t^@7$?V zrLQ+94bT7|YTM=YGv2VzwAJ3pW)pnKj$~$sBtUc-~;<+m~t#yM+aA_ecoj(_Z__D0I~bGb8)%;&{9< zpSm-??6h!t%`(;MyHR22W_4Hu@~ih&FGXcqs_gMel3 z_r;cWlM4tSC)5N`W0k_P>1JQRF);(l%>&rGrxk?R*}u&imxK+$leidJ*e+~cLaenK z%5{}aJZ(-}BAdgHHiv7%aklm!9biTQ@Mm#0mn)b*4*UsV&FHqOQh>WNxCx_a$RTff5(Q$mPkCH5p2d-63BNxGPB*sh;CKw{>qxYRynY+SVs{i)sp6yg6l#K|@4}Im zhti6(hZPqihgO1;dwV?7L*WsXPBXq1+itD}QZ6}moC3Vu#;f%4YA?i%&kU^z$y7KA ziF$&R5dHuXq)O_ox*sG#&A?NF{KIZ4zlP#s=+}nH4|AtjbEYVBIlk&}H1_4ny3aY^)>-EUY%+Ht!E^(1OM2&d8_ z{z{0cF zVRZ*{Ib&V1Yh77%PmBLl=86BuHKT8AcRWhHmye9Rr**d&Vv*;Zaem#WqN2_&_H^q8 ze*<2D$Gx9AXka1^mbY?;Eteu&etl>GQJ;6oo;ZArnMVYnWqFpU{^ixcQ=I&P+6HSY zrd}Q8*$%6WJr7RdB@2>8PH4@RooD@->UAAwQnqB4?9IE_Xh*wH*QU9^> zn~hmQzeZ8zX@Ug6ky&)0)b27|bJimIfa(2VG`KMx04uS{bGO(`Cyy)Ki5(K+(J<;+ zJ6g$^8@V`R{wAw}xEAS8{93jl!B4ugkIgMex*yCzXUQ+Q+jlxT<+fB*&e^;S~<4{&$6w_f<%(#l*wwWL`?`H5g`V#mNTx?#fO;ihf&3QHZj~}L}ZU} zlZfSgEX;7-sqh>wzuj>k$9jGGiQKufu4YdA!>?nHAoSe&!gs@%Obxi@>vXr$&2NtF zNdD^im<;B%D%eo)d59AebL4%*{#F<^D2AQQXtxbSDdc$985g(itb>AuiHLh~2{<3^ zeE#gh*%_EYkIc;_!UmD^$)s0%V3oZvfVeno+wgb_YVyI%PsM&j+c-g+j!bSCz z`F$baMP4T#>U9Rvl?^g!AgbAN%Nk%UT0)Gh@N4>pwqDS0DKSe4;W#20Kx{3g`U)Bj zHe*&3ARH24)@ScnetjP?l9lL}{8mF8l>lM%#CfBvv4OX4Ud6NJsSDp=@Y#1Q(UdDx zlZeXyVfvy{0Z;!O**GC2NTQS#c*Un;B>;kH3=w`4nfx{!$(5H4)DIv%Cutbd$Yo4T zmTPeNk&(qyuW;9fm6nOaX4-bdQ)vF3N%tqId&)tQKwY2njBc3$O(G=?yP8%q)= zS$V0@xHLVgLp2Fli*Guci9AK~p;8Au+D}<*qwcMcok)kPJ@o{)HU5FwqEu@-93@6e4()p@4XpubOFE9!vSK#LDrEcME z|1I{>if`}w&zgHbA-je#_{RLf$B&C&tYl?nwa)xfU|3UrGE#^6ZT;Q_=fU82+eyg- zA(6Z5!Be_h zMA#bAT8iSpM1qNsms}>6SWw`d^Oxs#V4<;$gsI8L)VgfuxCJ{iSx$~jcp*{Xp_6E- zpaJ0#JF|34E|CG;J4`d-?Lnx1s|xWwb*rcX_QyaJ#pDdQ-9cvBjh@~IEP$HA{=&J( z0@`V?^`mz0fqW-rHjb2BPw~`NRNKg%S$o31$+2HT$;7N78!qJT?zP0TFG5s}9{aks zMlch67f_>ZZ$~~iXswM}Jw~zKixR8|;I!I|n>HIni<6(`EjkUBa>dX=x1*W)&2mPW z=d={%nV5iz>Q`?E0Y^{?VL^S}IM><77j^5q+xyF@0&aNMQ#wZs1N~{)zYoli%|1GS zl1thEdI{U_R16kVUCb=Na`%B@1BDf@XPLQ=ol$4g&Htw_+s@XbxJtZ5_hS3DqFagb+u|O2oPxO5~uw{rHp{r&1qRPZ3fEh7QYg<^@lg5Bhl z^}SYks7H0Y8BmQx9Jz_~JaYKfNkrjw!|9QbrAfRUL{LAUg2Hunb849Ys5jzz=@ZO& zhs)j2SXZjH#YOj1{VYL8<}xW=_W! z71699H0~aIY!7D%<&jc@2WJ4}!Q3#_^u(#ug47AB^?siS{=Mn%sr9)3y&?W#?Y}|@ z`B{R{Q&T!N_Vy~s?Kv_{qWlOB)X zOQf(a)T87yl=Qsi=y}fE`&e4)RfOJ9FQ}3?^q!K&#w;;QqIFwvSl4P(2p0)K&B?yg zHyPlQ{?Yo{@4FJ~H2Wvwdn09+w#>$GkFNVZsd)|)xReo~nYVQ{6=j~E&l4LGR-~VO zm%)TS!HRhI<8^;$j<_iQuW~}r^!Udp2OI;uLVWMmo)y3G)tH zWW9CI#xAD3{yBQBCXw6j^DdCK652?iCZjzC03Rlun@2Sl8md1H44{u-aDEylm%%^} zMmc=|j9?nukY^d}OF7-^jbU`*f%&_piqOfc;6-VpTMN4u@Rv(1*=FT+BGwA%t}Gr0TeC0No$_*UquKe4O*hV2u+mHIi5Fk zI9+0lyp7Cb(WA^wDd;)?Pz_p8-!r+TmDLcD^hi!{7woQT@nwmI#37)aA*f0&VG#?%aF*zn4j$<;0M>&+%$G+{#TvBxza8Y`8ytW!XOqt42YnN~R z#gszb)Pj&`8hOw@-7J6R115{&jC?uI$Dn&6*zR$h3j>H3%xU9q1ngg$`h7?_Dj0`8 z=;f-d>5%7OV~-}RE6Hq_)Oe^O5L0L?QqsVt^>OV{EPrf6nfdqYFF*Wu^AQG^KOJ-P z_D~2uciwoi%r!+|Jk>6{3~Mp zXLBcaz>5~bslBV3&c`C|jQa#%KK$ZVR zY$BWXl?oHFdcpE(=b?t~7D??3u9j=Q@FZR6EBjo%=BTB4Ti0NES_Yf2WyPn3L1;PX z2EvS%^zis8yNihgC-s=Gph(;q_oypxOI(?~yY3|W$~H)XlO^$D?o!C3OrR||3f10EXeUDUbAAo`wB|D)?uugo<+(?dV5am)zCozB z!hVwlpf{|~D8k2sx^6+^)b$yQoY?z;XYG!NbuGSfRzWw2-Sv6%A|3<3&9MJO#lCp1y}2N~)8o(e#+)lm@y!r5sF$LYms=2e9m8_K7@)xR86 z&jX4nB#b~D)dkXor&2LrCSY;A;}JN%&nPPxMS~e3_Jd^K z`q)h*tY&n}eN7&7-eD`>-Nb|tKXp3}F;FApy!SZQee^BwWKH}W*1|MNLCDSG$E=e> zv-G;M#`N&KSehR$mvXx#FUX9`G&E9RcACWOd^zpv1cfiQ2v>`7`TEbV_v7M(MOvz? zb4Z+-+WM3^X@p!ciaHoVkz7KqRKNBUz6AAwaH{u|Sq9!5nfY3+dj9vR?kkG0P~LLyg-_Xezh@fd~0qX)`mBF%ULucpu@Z6w|2ojGz`FmQy z&@ly7t*y9nq3hJEG@dqGobM-(IBhvDkiNDx@#Y_1G6GQ9d{Oq$kLK8Cd`*QWAAyff zE(&p!r&mDLr?|0_P`Zy;$y&9J{-%~@zS)kRrXkfC+~YN!fVykEffOKz1}A2;Ob_mx zh_=AEG~s6i zX3JN_eVB}24SResYf(ZGmhVqwlLA(U!0#XLF#GcyEeN-7dj zov~jP#J+wAYz|SmA=?X0{5|m8W}(?`f8e=M6{3nG4h3jclRW@#4ufZ<9)c-QZ)_F zOL-9_!NhVD5jT#`W?+wu_pE-UkTac39R8I<4#$`F%lr1^X8w$~Okbl4%M&ma8snq} znSR;cqCmL7FXy8|g-j%TSJg#@WMSO?m|Y%PcAct4$2aw=5}uwut^B6eZlAPN@+xqS zO(Sl+rY<6CN5s)R;!*3@v{<4Vj$nqwqkLDv8vPEG=^MWn>a3p;~; zbvw(guf+|pKTXpe5_|@8&;3Bnx*Edytr)5j_ut35Ovv3_r9|pm`RuEs$|pl)UrX!! zl|;VAjY&u8X6-g{x(8hEojf9O0|(>?O0j`0skYBcX6eE!GxEY_)~$q-GGh8rWcgU-F6_c zcW<9o!s>gYbdIg~t4ZJ8sy@GtDT>##m^@k$eXN{}E=vs?w0siZK>{>JE#wrfF-v2x zHhtP0i7?kF-P3=RSwD_3cE8|%X?c#m$o|@qjjfs&!m^nF1tgb`MlymkHvIh5jbDf_ z+W9JeNZa2o%z$(#;4lEcW2r*o;h_n-BpcrHgjR$m?BI&HC>utf5inLCrlGbW6$;Sw z+InqQzeXSDvo+rc{^?e~A^-uGK|=`JV4V39T?Ldu*!mYjVACJLf8$FF2$n?x-|*1? z&LNByZDPS?lP1%);$>bG0>-{~8LL|?Y@grjcEvx|d95q;<=I!gwtxd!{z|V+V3A@P zYwLc4NY}2v1hd*RjQ1x86h*>2=m5_WJ))u?fVT}dlNc!vo~Xd8e1eAe0A=NRI5y6x zHayjWg|$lhz3x*FXRoqUSsCYEtPJFkY#c|rs?LByuFCprl5gE(EMyJ7jU4YnM!Cz_ zc;(lG!h93@+S9+v=#cd`&HOS$V#ypsRdLumBC;6s!^edn;b_JrTeA-tW0edt+rkMS zLqY7~d{pL0JIr7XT|8WHYoR4Oy`IG0T%19ym721yj2vEf>kQ+Gv+gYYKcMMpQh8zOzicFd1vK#MLu#?>U(}ctMYnIRc$N09CtdHfj-U6T z=VRtaGY?4S4@#M!5o;-1CoVrRb`t-Lfs%eUs{w+s=Bu_{r}t8YzKT%&ID$$aAZ}ld za9;Ju?sRwlizm)N><{Sfu{p;4xuir{FL3W_V6V;arq|!oumtaaq`BBp8A53rl0SYJ z;T}5#c=3uoui$UF*&gWaXQCb#}0Vb(sgWrm=+F)4Ck?`GO9(ry^)YuQa zVW;=0DpJ(=IpVeKQMGB)-%p0AW^yEI*@dZAB0kkcM2B%?ACVgl?ppNf4n%Dn-k=1f zrO2jdlne?#^3`h1t|eY;E^wO}*0RfC3SDX+mLtn%-ZfI6d|+g9QEUCFx4(QcfN%ZX zTfC2R>56p;d!K`3FEH(-N!264%KG)TWkeOO*n^Srx^KV*Kd zJMx;0{GAULN1ahntFEvT8mMiU8qSKxiOSta&=R=hsQQ0tT9&shrN)(*@ffYi|WKD-J8{Q5*c!`#;Ahx3iLj}Ux9G=b~UK-(IeV^|E3 z`+5Epp2YB}LQFrYFdR@8G0oOHKNNB=wI)(Eem4KA)G+g|XR>9V6Cvbd*P-4PE%sPx zP%=aOYkEHucfO)5#wt}_aTJ4Ya&THUye5T~i%lA-ZM+R^V2o@UaH#FXbc|2yI7OVr z0iG{&^H@%#G?1+^)Yq<|G#>wS^KBdFGhP`dbS(3^Mi*GO8oG6!s5Hkk3>>K@!A=PC zf3f&zL?Y$Qi?9lXO8H3{bu4g!+L4%E$q0lky<`tCb1bT$c_S8Ldig6j zTBFoMFhfP)o|nKV*!kY>C|-QsF>Og_*tbeODJ0~^R27x+_L42gnSpQZd^FB;xiqVz zLntASkwxP{)L~-PY@{Eac;d!I^l6fBI8HS-jxQUlUSlI-wff1d&dj%i;J|SpH$h#4 zEK&ilgG8OFI5d^++aUP)9vkQWwo^LEGUqe2HoWcwl51XTB^anA zKzt=VRv1$couEGk1a|UX9K+5sYwg>!lm}_#M;7K1O$Rrp%U9N=#=oj39CkM~qps`! zC=(gDc7^ees{>wuJwSipbFhI+<}=VXB0wG#!QEr>Srup``FKEjhWGiC?ZRw_0E0~0 zUht8W48R`&(ikO0sA@QWl=M?*5L(K1C|)j!ktq`BSd2$*wkh_>vSc;o>{0zjVP;&)!-BNTXbDfD7D(IPo)wHqwj4W3Zvh$LJAt69AK`9{FU8tfMb5TyE^Fq zq-=jMbM8_r3!}^n&V;QY9W|4c?ElX1r1qb=@7~pWOUJt+tei#|^y?y_M+z;cQ^9N4 ze=ADh@l58xTv{kns66y`ZTZ$O=*R8x_XULi$*8}tA(`LS?UmMVV*djQho+RJZM}E- zrYFII1}(V+Y=XJPl2 zcX9x)A^PY1#x_NrU~30&xsF2fqNp7Q1=C8%$TZb`#AtL>6N9mydd>5+ttWL0tBYNH7yCuo}v16I?NR(B~|Mj7)op9Q#21D1xL)%1Ye^JbGg9$E=V zWflON%&`+-L@ykI;jG4ZCFiG~S>}`;eC_EQEzceb!%=Emcalw^PstAjDJer^yv2=a zV98w|g$PZn3Q5kC1pWku4GUUEMeYQ%joz~ha&R1Yja-e?6@#{2_j5Bh5GpZL@oq!H zGONYDC`GA)!m3RF)0D_~MR{R4e{K}mQt2Z_MEz9r+!xk$>xU(DGiMHfQ5r^rB>Ap)T%cT zt+&l&cJ{)q)I>xg&n5W&h4})W`b|kdzzyWkv=6E#KbGC|!D)X&NYoO%|AcvBR^)!X z{0Sk-IBX1r6UQj`gU}>iqTW;0n2lzvv#~;A9UNBs-c;IVF+XIwP~gQCaJ6yez4*-H z+~bCBdwVMUcTsi>H7)HE`DQU6KE_+)VgwILO{aR7WFLQ{Va1P6AY zVW8em?}z|q$oS)B!`Be34)eWTGZ|H54a%r8Oo;LqwdN(2Dc{EP<8us(?kM6j$d?D5i3VpYrc@NE!46 zd-1b)2tZ%Ts3rqiKu=U^c7EaW*HZ8DLH8I=MlqXtHMxyNm4O&wI| zYET~B3{gI(2Zp3%yFz^8rtXWQ(k86!jkP+=P3#%;Xa*Ay-HB4OBeSnQZjoZTO-X#5 zFH&*La*r=MsWlF^b9i=+{HTY&mBp{R!}w+XYJ1T71w4 zv`cbj3jNA1A<+=r0Ly8;XfjaVXb0|2QTO5;lG8Vne{IiuQEY;~n$`T{&fdEs1|ry= zX6QNuDG(BcGrY9MeT=^X>y!EG()6<%q(M;&zul(3JF?1TzXFSy#rO@o^I%1Gwgvz5 zTs+VK-D(pQh@-R8Zt#y(UVZ`+{hV)cZpWft%G^8fv%bK1Lw&)V?Z3_aXr<&|Iu+-$ zz-us(-<1C;VbiU?7D4{<$Y|FGd$?^A!v(|)J;aUrZX9OKs;lkC1s7O6xDeZ-W%&Ho@mJ_$>CL#)3ZAHG zp4DzZdlC1AoJShYFTiSpZ0rU#n|0#iT>F{Nm+QXRWr0RA0RB;pCNX7spbc^|Mup@6 zI;B08Cpn5M|1oVFcBCn!I9vdxZB76OfkZ@T^E)#V`EDw6OQ)!_vxkAwBJ}i&V`GS2yt)|4x4=G&aG5q$ZS9S=yEVN1Mx_FO+CL07)RZlwD$ExjTjHOzQf z!u^X{(ruUJz!``^a3((o6d75~k$|MWGWP?+Tu%bikq_Ztt-Jp0> zZ|WxdcYoRMCdk=K!vpcFV8bg!0o7W{_4zIF-fc!}>yH6H9Gvw*wng3B@mnG80skx0 zg$To&?$(2`J&b^@nBlBM5OqSearDHdb?CU%hx+ zVZ3ECA^xWjE*ocBK)!o)nJ(Pl`zW}O%VtZCp!w7HMuw}-PJnRYP?!+nmewoO;vDzx zx&{dt`9#f_$6KyH#jI+kAlA!0*$|W$Y^Zw*v7uf_CTJ+7pXA0%~75}Ttl zUvc*J)P>1M9Jr9s6ARiuNVuz}PF^TA)d*fQ5! zVw%ZbU)r?jcggDgcYBDD{Qgu-gBiGHe^$P?R-gh-J!}TQmJTXLpaYICkVU)Q0>X|u zf3_(wh?4m(5Cn<{czY+Bt)<<8qCK0LmUULAC3>;>1KDh27%CKJZ%*n}%<$GK!IWX^ z0*{U{r))YS3v*(dK~BRpZ+U!P59k_)Cw&WzItoI=A}<~+gn^p#xI#g!2}I#l4#FJp zP-GcYHIX4aN8;V<{1Aa`ZiN7HLdY7U`u1v?3^5S^y2cE|m*76?r=$2@{~|+IWcSNV zyqudEf{|qmtTA1V$JK);9r(q&eQK$yVTMz=N0M<|q(Qnef!&Q55hdB!_|>Wxcc$M( zeJHtQs39x%$Tj*;P6}so)h;F?&@-{=Qd3-RLx|Dkxjx@ScS!s|#qNZ%(*i?E7=&ot zEX>6XqkC3(q#h!-MiSdMkPB!LE7}zRz;Y}#t3JfVd?2Iv1CznD9BeVp%XY=+zqi4k zW(;usOMjp+?eBO6f0uS>#Yfo-&|<<67DW| ztrtDGAYM3zcpTPVuYYknQHR$#oY3C%$=)8f@!xi<_)y<;$M`R(#~41IRDz!^mYzx2 z%r_p6`TG1gy=5!$yRo;g=9y3_=So?;@`;{M?*DmhVZp`OdN4rocXa0;v6yfvX4_8o zpMz6HGvf#y6So*6g)ZOS;yBgLiIXYveEgnUTiR7@Zh0fAKXusDKypd2O`|Ru3 zI?&3!C}c%`^VnW~3=#FlNp!C=jJndc|G9rEl8bWBETAMthMz2|RT;3RrMs^n3*MOc zPrZl$M51 zcR=)P7%7y4_Nk^yEO8OApbhoR#xGF6@k(R0^k+>Rwpez?C8{YuhV%!3iq2@`K9W*8A9l)!82}XRu zz*)z59)%%#AS0L&Gf5{xcKPmdj!jbDr`R82;jeS)>1mO1^0X||3P;bf%c zOP(+V5n5D0fsV}h^}$DX8k5ph&@RLpD0_AJ>CkCstF

80euSSlC;bW%?jesA{)YUJ7%F6#&u^7~`JqC`y`P^GpfFxi*_`Lj^q=O#^ zGyF-S=wWBTVyWW!oi>b&fToO-;^$@cr~Z@+TXHqK3?2^avC@8a4ooR5l%j`cd!r%; zvjdu6x88dwYev1fai_VyM~$(fMeF(3Mhp<#R@k3o-d=S~W53{&sjC<1Xzsr&M0fsH z^|GeYk9y&Bm3oqt!|-r1tCN-bjQvXuP?jD`S7tuFD%ZVX5DU(-_5}C6!DyoI;TdYPk)U038uQ57;W!G7(kc?4$+g{reCn>J=NZqLv9hA)=U=sHO8E&y9kggP z2&XaTzD4ebY8J4IWJN)~UdjOZkLMS_Zpjqs>7j}uC2%vm*Hkvv=_D+}9FNW^(@sv+ z)&9C&Zkig_vt{`E{vQeQYmT~&OYA{!(OpST$2Uy$zpwsC{?8j3DG5Q8{DCh)IJM*4 z^YcS$HugqU)&KO)`PQi6>1Q3MDbGK;2Ku#<(j%_ZGJ8Pnf;RDJsr!g@uDUlp7A~%; zsflni2W7QMC3>H`5Fj7)%0S+77!zTjtj!NYNm=RQjTZTYjT|owswIKnb~j~n$&U2K zuBdjmCEInlu>NYho2zpqW$w0LRl5>G+mKMjl1%U}a+amM&|%5DuA**po71=I**c@n zDa@QF?j!k~0;Nj1y2*;Soio0V=U)0XM3z-+Rt}pp5PzBrFJYJ)Fo@@PuxT;<_?Hpe zQ#{=JbAt@iafM|ZtO{zmD+|=6xOW8%HQlvBPm{KiIgrwBOL&0vvHFb~37|spCK{-64fSY^Lv z3<^^e&5wLwcy^k+*pl&s`_A6`E84-u*>~je3nz1OqPGh81)?Ya!gT*u1byC4JR^PK z{yPoGpO|D;CGz%;IZJ3gaz0DjyHLM;c4B|FXo#-YT6kMs4d^Zt6tsR9_#YT5MjmJR z9hELpUw66h^$GwP=U2m`hvKtmE3ICeA|*Eu3`0sUT4F?1g_`mBJ)7Rw4h;OPHBA5h zE6w7N>H_jG^TFTT=>Mrrz_egOEh($U-*U_s{$mEZJ6BWZh5L_q%#}UA3#aylhH@e8 zGuQOc#32w)KF13tW<{{KB7@ZdJQlpm$hs|uE3LZz=KVz}qk&lAb-jpdBV^iBAV+k- zuzcLp?dnN+Vs7z?#|Ci>eF)?r`fR~Na7oOT$IEBB-0nk~&{c+;(2ltvTKv{17PrH8 zvPC?!kXB^fS~Wv%#m=$j8<$y=Km9>6?=lym!Ok3waa~x3cpa8ooRnOlbEou|OVcN( z`u7n}R8b8sV`gerV`>xHEv-@2{|{ep853t0u8ji4p}4zCp+JjUfx(>u#VJxK&?3d% znc{B6y+CpI!QI^lcXx;L^!u`tlXG_8gfKryCJ&QYYu)S8aOz_l^taX+wwn8kUy7|F zS&zf~fwc2mI=2tuzd0sG0%V`#*ipvq-$5EHOjO*M(!$2jP`A!3CORyv`WkNYbM=2p zsSt&txwmy9fX`EBcPd=Hfndwrh+~rd?|$yZtf=ugArI0B;7{PB27bT-FqV-dX5*xB zQ0Do(v(e!W&9Uo1D{C#iYF?2}*pa!=`vQ#}Ka+xEX9s{E1L*frPVZopL*R=7NPXy;KYrNkiE*_Pp{r_PCdY~C?hV}l<*eRIc|OgXIFa+1 zDfw(Hmcl$X@1a4snm!atW+tx5%DcS zi2Y@u-;;XvKDU9LKV}I96%c{~DH=f(y2Jj1>`IVt*lZ!c#_r3up4I7{=_n6b$r!*t zk0jfy{Xn`H3c4H;vCkhfh|#|6g&-~7P&%<+5)hZP7?fXK9z=BaA3IjPWPB~J{ldyn zT?1ytW<{A62B6ndTVs$g8^8u%qABEu|oH?wwkJZ-Ov}DR`|22|9!^wfscUk{kBzA@N!HE>-Ig6bKxyaM(X`W zgz{2rv!e6E?51aH=llD+ERFhGh?}=}9UamsEDWb|*hU3Lu{2?{=k6C7f)U{v+oh!c zT|)Dh2wC05iW=4PI)@^^0MTEiE0kZ{nGERN9v6FG^2>V5p3m8Zo_*CKZbvd6xbAzm zbtBbHeOpf-N{{6qpUKh@5bzXZJzswKJAP1yp z{Ra1U`Ny=wb{>np&*a+#O2Ur17xu)Tbq_bt5+l~^l`aN9DZh?;{ohBdP-+{k5cX%R`$a19m1C19ybXb-Tr`;LNY+Ju7eDI&oQ#fX-6>L|Lo zoStUtI#s)~AJxj6Q3(A>G6rPT_FD3bg9la|S4!tES~vYkX^&q=3^A>2$ILkGeZmvx zQ3_;2Mlat7;dE6ynPad{9)bdXGLTkR+?%hrNP*^-G5~(x3wu@q`74~D91edOGi=$x(wr4FZ^+K(TNK2bA&U(#9b+3$fV zIuKua0Lnpd>jz^RArH<8?inQyu8j|Srj7ZDd-H~KCR#Ky$i{f%REAUv3?w|b@YvbG z*+hPFG`6m`^kNBAw;e^9em*@xXx2fs`@o-QG88QqzKMH@*Q80nU{N% z8~D2Q=TGa^Q-y_AVYU&o80!%a4fQ1g_zi9K_X7a3s+@{`fWCL#9d4{o!NntBQx+@( zyuQd(H`AIXu1vIbpI>Kp7-s;sfhZLO@Ovj_v!oD2ddV0GA);Qs`vU2;w%5F?K7A;W zl(Qa&E?Qe)CF3~?GCfMm85-ZBI6!Eixz}N*Lsw4X>xhE7^LsogMM}9Vz+P>4NGZqp zj4Y->79WqnUQH*$pRA-d8ixP?rXIoPn`}M1FwyvU1ktHzM1YKxMj3||6HnI28$L{E zwAp16UP=3_Kg_$g^8BMEsbfJXYWR30v9{@QtA-}NF}+5Hbl_ymc~sOKzW0?Kd>|}o z-~oZGF*|!-V|FT`B3Fa9j0YeO8=BOX7l*e{S>{mM)mFp7zDtNfAazQ^RfK|GBSKiv z#Mv>UEw8ehAsWw;2pJ?2!7EO6dg4AJIGM`}D0h=w)h%;W#x8C;TXdD>Fei^Zu2u!6 zqs>H9M*8Z*t?CIw)x(X_Lw(!1FH-Ip)KGim@mp$%CQKTVRwy!Bpc4%lg(P3~tM)M^ z_==l$))%4QsZrih|Jq0>D&g%mDP0jN_ab2vJI|ayARX%-arcG$r>4Mck4Tf+)Po5G zZ}R6FoYBa+xIOuN#;uO43Y*}OF_wK#iEZl9kv6FRgEnPjggwLb@-imAQDEH|QoyW| zPr=2x|8mSWvO)MV{UypFu}&ynA1QJT$@>D=^NDptVVyAJW%un3JNeROSN_HbFQl%l z%>DT~@=Q=@sGV;3HH`Ee&~ z(aBpC!|xMuK#o4U3`LNt27|IC0|AkNr{m18d zv4ek=Gcd7wzW;h0ah#kFj_h^6ovr9A9V(T7$GtcsiyEre{GrD4iid^S^73qJ`SP8` z3kEO-ZyJARrUQ%l5M|$DpA}%^{weqYFeTPEx!}r~{g|_uRHAjXH~Vtl9|LIXmVZ9c zFbO#+Ts-wg7@1h2zoMmm^M;I!cwD`;ur8P_iRDm@*}>?4v;ZhSI~&viF?qAP$viuX zUOW5@;G!B*%Hre<9y!e2UT$D^$OqDCGo;>&ggY4N4B8@h*#gPQmyl7c2EtM~WW$P82EH1EAj2BJV+b^b ze(N^Z=Fb|EJ|^@7DFbf8ebduD6EOEd10z!aOOg3FLdx%AUISIFk0K8rHD17NA%38v z&M9`k+i!9DIz22qd*5a{t^x|5O$H(!bV^qhE^~~-|F$~PeU8@C;mT^ze*1x7$y-D~=_9xhLCA_OVMQ5>FI~$Ouu5zr>2gR~XM1`W^6&Mq+D=DBS@_ri#`s|Ggq{DpY4=Lw zp?J4Px-o5{WikDpRp{i(=76*d{BYc^`|>o&`=S0PL8NOBxyyCrv+7FvL+d5xZMdfJ zcio7y0JB!2QIBcThkF6f+5EFoO1JA;vM&xR)Xn?C84>_vwO@N_EnraxG~zDzY+$_J z__*v;c8rb*xb7ZuRo;clZ2yiLQKaSfM(yvukYWEU`t73Q zVJ*OPoy+z!D0JtS8DS!MA_d%rI^;!2D9WXS)5TEB!;2Ts9|`D6B_Z2)Y*~| zvtk5uMOZDGaAqrD%aidd^E7jMK{s0j7JC{kLGGcNm{CE4wkDvndC>S=(4cdh>fKeX zNf}Ha#2y^?iCAp_?LwlNKj~`ML^Nh?staxe!1o`!O;a%3O|8yZuSfk&@p#I^f&+KCCvMkI)7jlL)It{)WVYR=JkLEUwSP&(Y zc2)7jrYG?^oh%e?^1uvHc+BbW^hqe{mh&syY?cbT>;ra$B-SIwQ=A{azZ!H~g@A-# z{$w%n)-3;)mWBQRPvYGX5XQXjEm4@|3~dU1Y|5qzKB}^S>EitJKF%-ChV@aDGQ=g?+GcI|Ft%w+CIrq8T^vCZWn|}ZLH>u zOAIC(0J$#Gw}Wt=@}vvS{LG5Yua|9=h4ZHOpS zfNi^(fmy+fG5YNYwf?VZI_p?yl6$m5`q{)Qu$B@TrWBW13*|%}5j2%(SPmtvS>Z;z zE)W-sVDXWMo8O3EiPMbnJ?o~)2-|LMkWT;eh7C*YY%Z9G9xiv&DW}1S`D{cAM?3~HOa?nYZbFw(pHqL8%gPi)P&-q& zZzue&Qise__s{F+vYvd9wF4$nJgJW+n*l&fFGB2neVtMch{J-i%Gy1)oMX=+>e5X{ zUk*pl`=Dgbc@z0sPQXL!VtH0SKc*wi{Ti=fL)RtQ@L3H?lnsU@k)i|8- zggVSw@3I>ncArjA9J|4)We(FgEYJA za3EAYOfng%Hffph=BX4_EDL)%3ri9(s0(7uGy!Sc7kqT&q^x2LUPV2BR+X z7^v)qqCY*Ade7#2yAec^k5X<>P$+&$uyMML0WsHk@*81^!h^H$@$P|yZ3V5k?+03m zg!|$g=s;y4muy((*!F`>y%;!v?TFt+MH2kw;pWS%@2j~Q@79Fj&W0B;S^hQdmmw!b z7y!vm*7~Xx1AmXgC5!sR2+1p~(n=Qc-|DZ5s@&{fq8rnffNI0Ih4u-cnM=YNvoPR^ z8R7K?(SE0LYFEz49E)I-?|xIjERREbsRj%JS-RRPOw{?dmyV#mCmIuj~vRzqlQx>PUjL!g3fG z96HB{hMGhR^knclYqHxzGRLf{W;KpGy5vyNa@?qyxWrs+VK@AqrqaLIwQ~DpatCAz z2bBvK1H0-Cg%p<;(HW#O=!Qa!qqW z28h)OY|CNnaJT2z4jB&isV{$;B|Z0S9&F^WFu6QQO#FKjoaZS~49)$IcvL-IdHqhF ztpYZ9Epzb?{`KYn1f?eiKf?O^c5xEzcHqvG)oCd*z~CM>z41WJ1ZqnJ4_7St#S4!>+~}>ADcsy8^a%rBuyD1dp#9$Y%&u z6-IA9PU*SV@`~pkWA8nfH!ha@m6o=Pt9}fdGV$A*|A>!~d<1bAn=YQ^gc6F+vMgG4 zL)IZxbOf~$i?;eIyPN*q!Ru*#PFGh^khtwDYkAr5la9&*S)v=mtUG3HM8CkMA*8;YO;bQ1_XGY^J~ju&R$RpJ;oRq zS>iIfLi}N(`%q?Snbc!*f*6K3T3qxNdkv_$9U^V9@tm4u+=Q=4`DNGA#REA6K17VS zxK~VW&ytH^%pXtOW{EGfK0K@~Da7G^78`v_Hb74g9Ln_FgCnx51N17`csw4Ob{6Zf ztct1a6PvHJntzBSFj9y%a=gAw@HHX!16di zf>6^k$0WaLP-xAS>obzb$NLrn-4}pwlhwhlgNr)`*lMDGOW`pQe2X1M-7u;5A(Cdn6YC6ssoXJWNJIjD7;3SL zUc#x`v;1kcqdX@g{-?6Rk{$S5_K;rQmOswR-)xZIyo##sPHDDu*|zqab_*3QnHK77 zU))T5H~Xh9;)xfQ$UwSfSY6f^$dIP>xMok8<@rz6SO$A{tF2d=eVvk0t82=`ZoU`7 z;R$QweOG7Xwf|U4{^0Jt=KQ1cOUA_9ilEnO65m7osMVd;Q5Lz&aG>V1X{v~K@93({ z%8f{^2ie~0%G0Pwk7J!sV?~+sQ=r+#C80>m+ump;0GWC+^Oz(rTLM<|WOOs@hYt%K z8%454w|ciiWkM8`cg9oFfAAYj3;6zOR|^hN-nB{ zQuqw%$UBF9VF60kN?O|iU?sAGbI_fPD>J9Pqvu&>ECxXbr{IXHwH7{ZvYXTIT0OZF zIp3_ko-*AWHSFeK^a{wzvVpit!L-MP%qJ73d~gQTJDyPkwh8c zg5CGz3@XaO_i|mtIh7r%DojeGw9E>&D_wpeD5Kh9YYj=J4r;WFQ*lYnQ&U8+zXwi* z#InZo)isApX5`*?Om7Bg`v<6uCjZ<>uCmzDt@w7%XOHQx^V#Mt@cn0Cg$Jjns?-7; zPxS#qJ8#jQkFbDqctQL7NF4kd_(#zZOoe5&)%Cl{Hw=2sKS<;WmBf$D zLOGEqFru8Wzv{!w658SsMQ6x7>b$K6`U~MiTr2COe)N;JMknO~B~!S+pZq}1)R{CO z!cv#^+ShJrZ*}t~X4sB)WWNOjFw9rR<271oCl^XK;D^X+(}7{vIV76!bMh`isLv&n z%vOOc)d0P13sW$Jd|ATd30sZL9)~)65I~*TT|zPitHg?rL@PUfeOAK*Aa3RDS;B=Y zd31T(Y(aXGeRVeeWVScotXO7Acy>$EZKn&W>?92jm#+nShuT(7^!3yaca>Bv06~t% zZYWxshl)KeHj6<^8Ek7hAIUA+h@lJmS|3}0pc5_0JlN0#eH=;~EP?MKXRGhyg$PntQOi-YEW^ZBqP^kQ0~_c_I?^`dX34(1 z^I`>W_wKXR?u#fQS(C(i+ZIu~8Z_Xv*37z7bROZKyF*ml79EuV$Nhm?=xtXp0;|)+ zpzH3s77e|}N#sf}+6}bDs<-tj1wzh#ySHL~$iC-vYQN&hX?ItAMqtA$e70AA5q_dy zk;v}7p5yXzV9?y1^J{zbu|1<5H~k`}2QuPuS9NPp_84KsAK^g5p7%1C;etkSce!J! zo1wdBZo<(K8yUWuK|ul86d|pSx2=5p??(mB<+gZfsuRa3#uggtBcnS=)3~5BT(Au4 zXqxEFA3LO6P?WeM&4@{R^4>x_PV1EtSe$fyXq)myxg&#GiXgA+${5I4Q{v&GE9E>> z7>NtfkhhTW1B39N3!g?`mwmWy%p8NxetS-pcL8UP-J}t9=8j`F+Tp=!Xa#bBsM3Ei z42SG+oFRZAof7#`TFzlXr~qj~y~iL^@=Jvdtoi|%aEXDw2fD4#$+V7kAZ*g#Qc@ON z+}BH|622p?G!4y~yP-&61=AFLq`Ki1O`C)Jvr#;3yz2+^`xhmyMot#L;h&R>2fs}L zqWK6TQ9_0ZN|`9%AgiKs?H;mg{;*U^VTDw|~#LTsnX~iFV2EFQyB$R!y_iT6^c)%UNj&7r;{(Jhi4=4erFQbawOY@KR*p9d9 zg2CPP`Q@q9t1nHMea2{bML@tocC_T7QV!nU^FPHVqHu{ZJ{~dG>`JWbCrTq<8V)>5uT?3kvrZmP zp3%J?9N9Z2fQCx)2H|Ghm6uwP&N<)Ca8Kw1rg!+w^Bno2U9;I!FYj8#itSE_XPzvk z$V;kjw3DFj2WV%;J^Sh7Y^!It*N0P1_Flr5^-kRnO5rDm^Uxm*1MwcNU>gFa5 z1-oJ+kJ@-C$xFRj?Lwa_`Tu*-eo~;4AC4p_OzCuy5x9YZkLTUT1??%_fO_Vep%yG# zqjUz*1iXfNMbwkPTam7(tO?Mar4utX7XzR2SM#AC8Zuhjc_w3JHgiDlk>;!=Yh44q z-9#;-P0;nn);*q4x?N`JKc8CMpb;RU-J6g0?&gEWDQ0`$=VsJLEsGju!`jOBk!`J5 zpxDYfa~!Ts%%^0o>HQT%f7qE=g=xE^hWy-V22@%&+`NKPRV2V4O^9xlaFXH$tc4j0@}#gZ|!e5Ph{$nL102I2Ke;$W{SM!J(>h#VU6IUJPK zLmB2v`y-_D0-K}TxIsWZ{CATqenp%D+yX?~r0AMpumCQs9wIFh5IV`snl6}C+0o1y zh(%1J$ec~3Mr=gZ8?+&F!4z>RYoNG(Za`EluA(Ie>`%KAp4uAlwUVoANN+~6-^Rvf z%5I2!nc%4~WM;y1HKJxxP*7ov0S!;$i6slMw~cR<9#l0i+QTwPiQ*dV#_l zrZ~|l{mfsX1Pv!_3UcF_L8s^jv

!&2rY_4PSko8^ZSIib&hTiJO|anVax6I$w2I zUc7LVej`Lu!q7U%;_!OtIe(5Fu`Ddnbv0z6WG$6!4#jCo|LrbPz-!8>pf-a>WB*>g zj?h!!;no0A|T*MRA4B(e>$MXnDI6F>yO#ZPm*4?t4NCe^7JPvpLLptk0tB z0c?8(A?;(rthHI$Vd%f1pj8~)dR1W08)ko;M><_IxTQ8ZH*K|Q?3Wg4z1dlb+^Bn* z#CLy;HF&Y`065vkD_TTqugzD^Mn8Jz{&;$>ntk?Vf9?*f^$@~lf8IrE4Wf!uroUNf zlt**v#q<#Tt>o=F*n2MMGQ@e@R`=4T{Ke43LHA)<&aCqlb-J4eBV_NMIs~;2F2?FV zP&JuV5~|hQfF5#cNHjV?MSyM&W$AX5v)!-Z-FB2|PS~hV9DQ;_i<`oP_Nd}!H`6@I zPrZp?0|!u9RK*y{xe!HZ$*SLr$CEA`^?=Mj&>rub2YyXyWcNVHnwdns_GW>pI9g`o zELf+ks^spK+0%-4R!>&9xx&rfCmeV0k;**tfiJGv2WazVpa9b zO(VdqUH6I}KoXt=xWyXoZ%Xml_KhOzpVZSs1tc^2G8EL%!@K@e>g53h7Q&_f>t6$|yx#KNzYcsAP#pm~X{ zM21BZ%PP%bPp^(#1QC5ZZmIy=7MmV*)+)yS6-ZVp*zZjyV#qC%+O(1fS~nmBT0^ui z{CQWxX4+uXzs`NLU<>BOsn-Ck3@#Nlai0fl_aNf)! z{3j_6&GAE5RnSHuXLbiGw=oFlan$P$C`37o;hNM7aKd3qf*+>$v5=%*NDj^AnBxCQ z^X5|3Vvyin7R>vO4q&%C)}1$?zR;CboiibI-WERT$PO#}-XWGGx>Xzf@IjMsPE&E~ z$xoaGF7C^Hj~xJ7v%|`vGzv=%`+Tl{%`$UghYkrSFaMKL(5S6au<=f;j%u7|Myqs@ z&SaWJ{JQ`qO#m12Qvh7= z=7qfj9&Nng&8=1v)vrVe?N*^z9_7Yc;3VN!L(06~#12J+{FQ>;bM0?0Y1G__e2$(+ zcF#HdPFx5(4qA=S{J*IERQiR*Mdhq_x@bC56Y*9^iLWrzV}Z_zkU06MAoj#07hix)92Y%^I^Ax!qX03 zPZ(*+i(LF7C6OPLUK`UZ;O?aUD~Gyc0otaUHC=;iH=%vq^rmbo+vPN?FWuzg)R3oy zcOIP(U#r7-{r`nCduP>U_di;I|ADanLT=pfsovuHSPcTA5=7(tSEiS2sZw@pUck$Q zkZ$THS)&%8oFB=Ndz;%t_Thc0iBMZ_s8|M7a5s2^ zVQ>wIXsC@CR8==8=DRbDbt#|Q2}WP8Z1C*%1oGEL6M8rYaq$w0KJLF;Ce|XvyG~0= z&m2R+cxpE74ya&%Qw_wN;R1~S@p1z1jHpvDB?6u|>X2j`0I2vocEjS4-@#KcCl(iB*hP7FJ6gmmLc8E-=5#w)6h|2ln!J# z&;Vyoy&N)^a5W2rPf*#_ZZL+zuI8~(sC|7NS4+sID?oln=^OE~WY@>cm<|~=p9Dbn zC`T09HoEx@Y35cd{q_|%?kv75!&oc~tD3!QMkWV$Wfw@LV0;kO;De)>B{f6!gn*1a zBV!yImKqP>@wG?U2Xa04&P>R*L6WNS+k`)ZaAxK{O8S4C=+Cj-j&y^*bMnjZwU}FvMDGE0@U8>^DGF`giDTZH6d> zFZ|Ho8Rjnd=;#rOUcdVJ`?AYyOCA~FaeQ4x-AnCB4}3}cTV%Y*?KVeUbAyZ+4;7%ix461K7F9Gwk|DIWaYtIk25A8_D>Fr&XCoz|;P^FWH-pGxY<~zqUdftm0vxh9BoR5)&!U%+;mQPnl zj6yr~F58&TLw|akU9Rb%Pn|2_4Z)n>Bdl`pfA;o#g1J*}-4WR}Yb=XfgpLz@KJC-5 zxBivU-J&`Zu<`$8um9hywl7k=PnqyUWqkX*-HmSoC^A z$IapWG^!ly?s(|}sa83?eXyDj*+Z4hO2yPrFsF;D{5&JR{-ClC#W~Q$hBX2*X)8NE ztM;K>NSJ_?fUEJRjBwj|4^KO6%poT#JCLlZhL}+@schEoVl177s!#bA4sYCk;`e89 zcJe&DS-c-5QtNGWd%U-vW+|e&QpeJPCJ>k+y>B6M-3mVn&-1V6jXnjR6obVb*XG z5Mwt4Qa9hJib+*jD99|Ik#Y@j?%RGp$R!(Bg^XsJ5ohBvmGfiu)>d&gIP>(@_Ak_B zXd)pAjzRnxh$iFMic1g1+~-8a^g{W2SfgZC%Hz0{qj0%Nry0$mkuAmQ%pB0jQA)=Q&RGk}`u1EW?rUy9UO`0^-XE9I<0?WWNxU87 z?R)1>2eah(MGdAC&UW{NbdKRh0G(jaroI>t5o09QQ&iav`1$#T+c&FcADlUk&|3@P z440=zSw(ZrS~LjFF6mS87rRp}eNEu+0wXr{bMqWQzdy%Q8v8^r%>2;}K>Y);Bf{Wl z-i6W2w$y6t{#W0X27T1i7HRa#gSBB(st>VGlbxZ3J&lN~#o5sE@zK$dUNbt9&4pLP zj~xAze%};c-uxc%w}HqMFKWm(tzU9zjXeM3=tc@|Q%&A*K86I9r5^~%&@y4V@1uD~ z-aO8c%QP*DB+RUGq(5xf^mL}54{`6@Nw;3(-VOz>)O>BJc|+zo6noae`vldQ4ta9+ zJQTlOB{irxzGwMliFwrK{g^gNO+lnrQ+8Kq@A9JTMM-fxA!2#=OObr(Ic>$?`>eC| zhwMGnGG8oe0~;yuY)QA5LsWU~>$^(we{Vj(iA@fVS{A@Q!)baK;0WMabdx(#3Tcw> z9WnYZwdnw@yKa4urB}};8s{7KK}i_`u$0MfJ5K+;VAL&0Y%;#-f#i7O8ybg(1>_Q; zk%Uh_c51aBol^K!UcBsuG-to-a(Bjm*S4$^0$?xKi&9lRGXed6=Jh42<1vaCRVriW z`(x~b+p$vNt+XOlvPqrmH)a-!9J@uQsPmbC?zgj09%YdFQ=5*j5mxXG{rdw-DJczY zZ5Y}(E)v4{cs|n1w1|_p{eXW`!EOUQZU@{Jed+uG;#8KI;cp}P*V|;EhV_@qq#ofi zNaYtkKp`avL}o@PLj=||MrBc7+r8MTc9bj_>qSEO_e=bX4$=~?FS!8-Sofp4d5h%n zI~{G~lja{QOHufzv8brR{8@21d~5-JKnhc&Q9OEMZl!FItwUu$h+;4&TZcHt z|1gqO2bQ>15BI1tXrb|xuukY#)pPTveD{1HabTprqyul=Wrq*H0A)mo9?q~T=u@JT zZa+(~NpwCM2?b>ehh+)}jBSrUlVY8S?B~%UBLaV0N}U`D84(fx54`F-ZXd-h>$!** z%9xH;)4AKXz8j+xxTxZMJMXl4&bG(PYp+FH6V}eTD@B{VvM*uhEmP8PbHAy#1|I+& znJ2OnrA}|V_CIQB|Buf?j_NdWC-Dr)AX%)gu78%e&K8GI$%FXhvHe8C#?_Y8om-#0 z`HJ+kdA9X+69$=f6;RE{{5X&nDZX$}s_k5Y%KjW5xq3=V(W+5n?454ra?tv+^Y^50 zTr5^UTm1a2cH6VlVma(}&f1;vQpUTE+j^mK;ks@G5#AcnT-#&!JmR@&ygFVeff;qX zC8B8Zb`0K_iR_~I4_!C#r&1X>{G9+DmEy(rfoGUYwxvjiZMc{ulw3~SH;f7llw)=R zg4&xjzd2O}SQycZRK=27V=?cuLGgs8RE+9rhB@Wsmo;$|QI(XYN5SZ5J0a|EF6nII zKfD2njdHHD8~TfP$=oDEzyE2FL=`l+3S(My^CSw*DAAHz9{N2YGk5sL_Gwm~6$+@UNB6Z)Vsy_z^7&{hQ^xSs-=u9ARt<^CMJ^A238M9wltkya_XQHO9me6wCUshJ-JhrN*t< zy8{oFB4PYehz9tf>LaKMwMYs37G5XC&k_@6!+SPF1D@=xP3?rN#j5ttG1P!PW|T zx(b?w8p!gOM33uGs$bkZPTh9Z|DQwGsBOte=h6OOx!*<8IfC6!?V~eB&pi>1==tM3wlV)d1SaZJt^OtK}9XR zx#pNQ+48P|PuMU&dB$s@bg+Icqhh!0Ue@kJHl0y(|HVt~!*Aw9o;0~58h9A)g@|1N zkeQLkan8x&%o%!Q_=YazgzXHZMYnAo^(|`Pp0rJjV6_O zV4U3gnrT1`Yu1!t&`%aZHCuLK&0iOvfLDXn47y*Q^JZ5!cV4VH19dADv7`V>`dIAy z4^H;4HU}ysg6^AH)JwLDb9o|Ik^dcf^}`e!h=!DB&bo;0GmPD{modwa8d3h9KJ-^e zjZ+weYMQZ0I11}~mRgXj@`we=R1r|^4m|V3n`l=tF>L@)+!px1PLNvOd z6_5lu-4$M%7FWBDKhQjZ51UW11EE&0V`sMIMkqRC3yU*#KOUuN57A&|69uK_sy?!3 zsihJTNE(bde<5e$&JVUvEC}ELF4h=Y04l%*qdM0Jf)L6L<4oWGR(}OMQLJzDP zQQR>ox&TSY9PQq!*rBiok>Jg7_Z(0YBz1FKqgE41Ah-j1+(nZqD{gHhdyQiG3otd2 zO7$Sp{V>Hf=g~0|8evt4yIR!JW+sy@F{j1)F^PxQG8a1((C z8xgndBZR)TyE5)ZDEj*R=X5Zvd<^(y_8{ zarA5tRJ`4IPvlHU`H4R(=}#RnB&88AH(^=44U81(Yq-kI`?f}rV-fL=-#UhjvSgRbXHhS`9NoHLC2d_sHJt59xvJ6n zM(eUrM%{dsQkTW)B=%2Eo9+ic^3aW4^9CiuJMIjP0xt0Xme8bw<2G94^9hLymx*t z+=r*z?G&9|CO+T(yyy2mS*}0$LfNtGeTA*C?0xccf#(x}*cxW*OBE5tV$~Le?GqAG zk8$(mwJo|NsrS)~YIyL|KWV-HgQ@8u!a@AA+jjs@6OHaK$wm%;2QS3EbyhdCV@Ux= zf|f!gU{s&3tjYrt?1K?%EQPSwd(>`%+1aL^X0o!3Ia$aYR69b##$xda-d9&ba0y9l zq-(6H`KQ{oenSq&j6V1CKgq3L`*h&$DEy*l zs?|N8t@S|9^jqJbth?yIgG$n%R8e2GKwsNAbUO=QMGCw-f^zbv6en<^&%C&^+r=O( zZmiy-I1|woxOz&en#WpKF-Kb!${|e-<a9}eT8>661;Dr5s$Kf)cpqt?6ytyD7)Utj5?csQE*|DLC<7kw&dr}+5{LZ3itKB z@!tGsc{x>!EJm_JmK3Bqi=6oM|jF%FW7; zS55}b`t%JJf{_uMczB$17KRPsgW|8L?Sx>{v)eB@ibJzp0RBYD( z3jJ3i+YCrveCT%SEmF5nbfrRidr+5dj>RPl4&icT5HZ@Zw8gnDD>8$$Gf(l}QiLFVAQ`=EesXEl6!cJxxgs zB5fT*U&H*KdP#@}Ih;I?u4b;#_uOD&YV9Ux#cjk~dTpqQmX-)D>+D==|Mv>f|AR=) zk@*&G;n_S~;t>e$Z41-~lpH_wwzj)_A1ZCmZ};$cZGK(44ai@^R(9u!5L!opMjDrS zj{&O9oz+YI3>|O(o@Ch1x4&09$9sh=CZBR!*r3;y-VuM{bO*`_jxgiq$24!SaG>>^ zy2wuJv!urvyNDmV$Kef7h!y<$hPuqqd#C6{km5z3ec{LA;Ig3uU&kOtD`Ae694b4n zJH>y>wJEsZxEVttIxqNhF9@UG0$1&1X-Kn=g9YsJL#v<3x|d=LTK;&7i|7+R1hJzJ zQQlXZ8buv5q}j*DZW`rJb+L|Sw;9BI43{W*!v&|K1CbFUT2*z1ouS&w^5R~P<@iHc z#2(b^Ys(q^eo%*MFmaV*JQ9qD$kNp4;~c^lM)47#LBb zaR(q0s$aW+@m%(T%phG)oj)rUFTE1zDO;?v16io0{^@4c)jq>1tJ5edG<{uKq%Pp0jU_)B|O+M%aEF`+M6+XGk|5h@C7?``<^bXK>{$;t&4$69=oq^nmo7U64QS&;^BEsh0 z2CjxUbBJ(wc-F5ZfRh3f(f2oF!IhBgmB8S3e??W;=Q{t~#rCC5c!ICL%YWFCpb;uY zbTdmVyGktcr;O&1ivz@(F(b2O;V)&A09!m_{tV9vLi>6lWm4`{+xKD{5BB4Sx;msWw%on!6*9 zP*aD`hIP-nQxl3iSkvrNkkqk^p;@MEXueMvBhE;8c~B@?frqJ~xOpw4B5RZhh-Bi1 zu$2(IA_49L}M-Fx8hQuv!SKjzoceOsF7;vIJ>AYXsT;D`_wU%U`_X8760@XzbZ{~6~KQ+(L_ zi|%+U^AJpy={J{6O~_-NmAp_|E*PUzMS`JsYC1d}sIgtWG=D^CsU1deS*XxU=*G6e zK!f%Y{9w*8 zp#cIoD|>x$+YlMH?Y{lM(eO zkffC6tUn4jRK*7>7Kc8T5C`Zf_U?YgMe^po65`Y*&rNzLLl8 z^r(cPS;@4Np;c}`rG8gHa;U9e5uam#==W#m-But$(8*`fNF-9=iDbV0HEK=2QCj*OUPl zJos0SSB|DODW#^iHS5lX!~0Hh3kEHo?OmVy>)&vryP+#eofi1ECXY(u5EuPWIX8cK z*M-zv{X*0c{jN&Hdfu%>@yYFk-es;z{vXpgz#Hx(n;1M0ows^$j~!ckSzY+V`s%${12%bKjJgvZ?OB{?(OG72(eP4 zCgICuf$*~9>E<_iU+)zmF!ttGsN+Pde8w)r{_yK$Z8@Vdr&s&k z$`ZNqICW4MB$z-scgr9Rh|cSB?^Nb0f%M!Q0u0wkEtQh;vD(H**j8F4s+Rn5y%_)Y zeml_p_Q#mmV)CleZ2LObF&0Q#se~!)Sumg%pW)@%5Kkrn2qT{PQ~%(s|Iz~7Hz)9Q z4ozH<-`GPd0k(=(tbSX+I6z9J8a4ToiveDGEt(39;cK*bQ{`i?#Bg#Y5r+T9(iK zA;u<*#IKDg-rQ~-hGRAy7s(~bdp^LpkNa8_Q2rcgp@ zn7IQEt#Sw^piRH04L0eesTrB{BRN!ymt z>XhD+J~ycg1HGKEC$_$l{u!3>&R@?1-_*fnRagGHsPi#Ad@85&w)#+g-mUZ8ziC+lHkJT3Oc!e^P)y1%Lt9#|I%F>nr=E^N|99nZc z+Rr$Mm53;ZG*W;;!HNgQ{_v3XOkzUEuG1 zr+MfQ@&n4KU~bGcOL9w5FXpdPOFuj31nRkakLb#~jm>i7DU9Pj^n>H(VBRZm6n^~7oPaucBYxMbZ z{@5lK0O&?D_t-~mEFwH`S3{ETf4?v^->qW1bYSBlmRm2+uE*;=Mf0ZL(?;lh{clCb zKmX*{1{vzZ(q~F2fwYhW&znw;JeQ+?gk@>>OPHo-l9Co0s6n(m3A%cW%%XK05~psO z#lhCoUx?_+QYL1&rq5ZE*PLuNGv<&v`ZYzrqB3ZfGiw4aGpQ`V6S00vL#nD~U zN_8D<@%Gfk5C(~p{))CtEd$1`bo6)!xK#1M#J7a|DstShv(DXX*WBl>XV(WP|}aU5E=P-ANEQJBqE|%RvMlqQ1ed3e7AIQ{}mLuTX;Zk z9wejpVB99Wv^h6~Ee$KtFQ|zj2#c263bd#W{<%iI;Y?oXhbUDE@Y4`s$Mey&s!K$H<$?J&X{hR`OCM7Zx(Rkk2Is(6 zUm|d$2^}MtDH{cWb~~QY$IF<%+ZmW+wHG(IUk18UD$s^xOJ4@!^BE~4V=i00rO+gPL5l;T^CelY{I#}GpgMSgo&s8L%wnB@ zAdM2m%o2fMWbzW8rtp=;FA0V0lLKG(8y|#hBJ7CD<$#=W-y7Hyjf7V$~2j-f7 z2js85InmM_T8Q7VdSbbRe?sPHbr8D zYQW4dKK3CDkp}JMsvpqG{-?+$TZ}fpLS#cn?#-(N9H;anLP}zSewXh?Gk^Ry*FM{R zf+5kzk)f_zVsYSk`G+_5fk_ z-IU^=8t1xiss_Dx`cJfPGaqjk5;aOsD_5W5U8l~wd=$KNSt9X{E!ICYMH{e8Zwvn= z`v=-pX&42ep!Jw=7pZn~Cc)y)h!wc1EFdPkx@V#%ERJO#r8{P&*=I-W_+Xm(fdx{J zi)WV;ve}!u)@MZ=_JNLr-oz|Bo*J!S?{{DxP46G!c+v*~UNdqtU zkBrXX0ml!Bx6WXx?udB3H#3AM?=>9+dt_66DWV)`Qq4;7#?jIOE>&h{x@TEL1Pfjp zU6TnPhD^IcxPdt!M@C~WyKN5!5-hIu(o|ffvK&rTrrhj|oSJ7u!>?mCh@!1qm@gh2 ziW>zlZ~Gt6N^_f3`n4t*=_)0p9O1)DaYqbR26ePmM2t# zYuM=>qZj4%?yl{l-#F2PW=y<}&BN$Wdh_s>RzlHTgze35tgwbGd7OL<{$=krc}zTQ zz$BXMl z!2R{+MA!7w5&2D4{N@KYCWZtnTFBdq1WrW-2_uHVq{dW5wX8OiSoNiL zBBNs&;@&T|;I!`N^%B_PbACFpLhvBL&Jv!)o3avVV&6s$?5MGG3h!(e1(J5Rp%!J` zlp(nfoqH@sF3k@7J+aFeE(uHv4wOBV9?v3=xb9q{=*)4c6$7DbBA9j}SkGUtfhj>6fWKRY()FS7P5*Y{fwM|?Na zV+e;WvorVcJJ!4~WUi|BVHHw;;lDrNUk}ahoRr=XS5-*pN#x&re{s+7xToRmIZa0< zWJ@~!aLT_ql>hjx=uXq7cjb)sagD?W1rVWJ%`O&HudKfj1%%++cvh_s4L?sp`6Jfa ztQXuW71PiE!p#8^vS&!Y)1F03q1kyg|DzP60hD4ZeGh2`8DsD02<6t#kfsV>vxW0R z#g6rcFp=NOmQ+h^`~B3*-Byv>OO70`WMFe7fR3l;8AH$CF;UikNTmM6Pqdh`yu;QI z(QRE}Ce1-96BZn~cvfLK8C@%i!JSoyZq;m9%1~39x z@u>ZKRJlh4Ue{scgXLS&pGz41mIJ|IG>i#f81F2FDL-{)CTirtq&@-GXXeXR_lTO! zUI4pgJxd?-WnKh^G;Y~V|8+{To_GQ&WMJheh|YdQ-%I_hRhMy0af`VhLUc@l2Z3V_@WUW0I*71#uoqdr9wT)0Z3XbeKwWefc^nLFSPw5Z{m=7o6;ueXV zFJ&SkWFH+jvKZT2!>n4*S z!cK-*!i6s=!UQF4j4u2aVXl){HF}(xjG;I`BMM;Kfn(L z9ifwA!w1Xo!7+^S!DMtzAP^u@LxrnH?D|tiem>N!ycwTccED!{^J{CbDI3E6U|{E9 zEJl*5@h-1udZhO34r0=?&@ZQ_rg@EFIe57hC{wQqq8mlciwt_8US&;X6t4FwgD!o; zJe2lDbF){*FQw;BcA9T^u|6lNI63F-;lt6BtBlesgdZ6}OG<{Cm-GOwjhE66xRrdY z1~LA7UltD^Nz4C2auxl%Pu?wJ#3gnY`r0`{+@%^?krJ9_)@mTnv#)EiVIqS+T_~`T zT>~Fxz6z$BH&8vJXh&Y>Uag5$uTc04eo^rSc$3#CeZ-WXEcRH;1MwbVk0;3n0Z(_q z$Mb#SNWzcv96e1P2bUq}X#A7j=Zc;8q0t*YPs7nh-e*e#LYUC@qv&^5_q->OFMgGo z(`4^?ZO303J=J(RMgF_D%72ELE&au%9nEyf$LeUr)K*12Uc{Bw$rg>z-?PSrlfEhLo|MVscH_Gv0`JfvJu=9M1l8n-bR}wM*gHT_S>SuGT?-? zNp6}cTV%-p{_f>er}-xQfQ3HLNh~xA3P&kqhScwB{H(K1?~?_^nhj;t!vX`DIl#LS zrz_?Jy9!CA37mj_B9YJx!*;ySUmi2EU;+c=Q3_<+O#EYHmI7ScyeNPgNrh0mb$Wu` z?=CtkYD7o3`=wbq*iz}Y`(I{=IS>=>j+>nI zpvGr+`ToKpsS)50?w_BhC85a$q4xhWJMYN1ia0hm@+|&#bzp8Z8^srdrcFu~!o_2L zral4!0k$XL-|_CG^4aV*`YG~jY>%&bWzq{?L5aXgQ_!YSUEvw*_4g##VITe96KZc} zmL`R6jSZjava3K7@#PV6(SBoh(8Faxyzdm*XORfD;258Au^WxC`{HPIqMkKr1KXSA zjLaQM3IJ0-MXdls5>iV}R>Zh;B{v#s2CGCcz}j!gtnAt2|9LHu+qM?xop!jVxU0dG zpu3zcV>Z{bc7SnuVyyK{^Z8MhCYPW6Y#v|nN5 zo$f0xSf1JLTBB4-pjgFEkcm?ty8g{>ege}p`-yTZ`%y0EWfx7e-y*B8M;S*z)l4gQ zw#nJnj(4H;y{x}qCW{w!uTY-jlPm%-zIW9L{Tl3j^yB(I`|+q1@f)M8&CP&Ke^ci{m*MfG&d+7*HWr4=51#i;s}b+K z*AD;j1S+|E@zm>q-^730a~gze_x`L~kRkqxE;UtLkYy3D#eAy?bZNk4b7L(!_p8ks+`8`t_JkpY zffMmy?fjf`2e4D7#l)YNbu3qI4s1o6Uc?(P3LLva|h60w%q3rq1{BTZE@B4-a7Bp@Bv?w7^=WXf6*V<_->Q=bRS8J zUxFP9P40W0ze3JJr&g?>4+3E|DVPBRO%NU?JftNDBSc1Ed+?+z=%te-;KRdTHUQAP zfLUd}ZiP(mw{;H_b*%;&Oym5ZFTh&T-^?t=%G}yFg8ga!P%YKd6IZ~-6_Yrc=1w!N zrL-M3yMTf9V86;YEumb!w`zqYNyk`UMU{LdNJ+oUKBbQrT3}7WFZOuK%-|@80!Qxc zWoG(}gPSKJP_liim?2W$l6NgEj)aE_AX5~q9%|CQg8_I4d3{l?PAB@Z-JphZ+F3V!&k8Vb;vPsD#TZH!32$PV7DFH#H`gKO65c+~$h%-2mDeBo^ zZ5U?%8f(i!;(ImHPtREGp&cc$_IfINdVyQ)+S7>PF%#n>UobP4z3~y$M^7GTyhSo> zV$kF{q#M%efzw;%i6nA6uFFx62VUt{1tg5>vAmiQQu<_GIX|YZ-;_1tg^%-z3}vB+ zBJu^aejg!kd6s789>ITId6}efL44`w7k$qYnZJZI>1RVbW7JP;SiUFs0|O3x=t@;= zcnPzxaZd{e|DFImg=bQhFOc!jIa~eKKZ-vSBU?ZBAp|5|IW&K*RxMCOJ#qt9@0KnS z`6^hfg$=Ij@Yb$;{-DoN%nluSxo%BohyODfG3T%s#Jg`$1?m_h5WSkb+C2C9gO~w$ z16e*U-DdUjZ=ic`+OW@_RHbd+FAhd-CM{Q%n~7#%{40U>AI^yx<+o^TT1X|o6Y=~K z&(w`Yfy1<{S@DFB55c#72U(7+H#Q4f_JGEZ5Fw~22ECBy?Y2=>lv93)MLys_{O7ln zYF)^$@$-Tde)Nc~ni#U*463tfLc@)Xt(C?SbAPrwyy5ck&&T#HFCB)%(3dqIh7+xn zV4BCSyvusM)HA3XICD&rzmE&RW6ywzitS7 z4~5$&PgZRM)u0N-S5SaulCA>;sh_R-rXI|LjldEvruL7ID=im((O zOi<*961&$d#o~u{lP>N8G{v*3)6A{Q`@~@FD6`P^^AD8%&`I1z+Rf$A-ia+IKvGH6 z+L;*xlZsO3X^ktWQPwmcERA~cP7|^l*BltJ$-uv#u?uu#avA-5tToOHrn^rEMTyaE zS}|!E{$ZE2sTNvPfkLq%IEdMDM_761WdHgIGaC#T|Ni6DL)6Mi@$-kGAzN?d6FuEK zL*~J{cc0>1l9G9y^nN>Xig5?PTV4bDZ@@y9TU9kBJF|D`;Bzd;__%~s$H+*G!O;O5 z$FF$PJ&|7{go}Hyh|FFtnP8IxidQ#PlvW3%pN&i*15vre&e;9tO~zh59Q5!;)_1>+ zNcZB~V!>&=m)YFmj4%#J%-UNdez^gGOd1ld+<3wT-TjMYzOz7GG?TI;77EuQR?C>s z7HtPQ#)LcCmv3LLDb&#>`%cUKsn2Ge6_-_E`O=cG*-YyYA*}-Jo>|XFjQ=LB2Jx$v z1u5Eoj#xGx;v=D7flG7QawEpl6Zdq{=2T)~ADUwQGI%aUUZ4*vv2A0ER&oVbL3iM}?@<=6?rlcg+e>^lL*Zo^mbu}j#61z1S8hh-#(fWG1(wT;= zYT0Eb?1r?*nqn}kb8KZx-=Af&U*ZKA*;a}KMWAyyqZ5D6=KB z?)u=(YH)n^oe(R>ccWXsyBZ#$_t>9~YjsYmy?x8g^-B4&q~^E zQM~#)po=iBztp8Iv81L-H42n7CDwC>ugw4Bg(+bJj64;MQKt%GDGwmZh?}(zz1P$P zVN~iW_Q93JONcT@dcyjJPYn&F1Bnd6_&8AEh3ym95*M!auJ2kk-j>?dx@HSi`=E4e zuvdg(-+8|-J>J}Zf2d1TDCEi|(55Dso~K*2svQTdjy#?nQEV%-A15Jj7b=Wvl?3et zRSIZj_()Uo#SYei7XWepE{w?(?u_!sJ4?Gbaz`DO0z^bW=!pU97gEIlovVB*j*~o{ z6nLG>$lSi$gQPUZ`ko%%!7536M8@%LZ@Zkpc>qJ>8Mum`x}sVi(7o+zUh45>7>}}4 z1&!KoBXw1vmr?arv$UBYoLS){S2IC?`AJ5?i)eh(;7K!JMX}7{&jlf$D4zrE5Pf+@G0-4sNcWDGEU-VuV9{%E+`vcY_ zV&dBN`oMlT>gapf6#7rUN8_^?FkSD{g=-H8$_ zA6qA0U}FTlM?F5V@EHTPp7Qe|T2%~?8QU?y&D5wF*u5^d7BqJmi%HVf||0 zfbjSQfp?zK`N=C{m zsEMxiIo(BaCZ|rXP35kEv~4O-9}lRK4vUzy=<<|o>z-+jtVijk`LA;Y(wXc$?&$*t zw-?^ji}X0yGhjd{~kap)sutU+mC9HT{Nb?;&@Out@%=*@SayQT#JHkl0#_{*v z6k#SCm+B`zZ~E@9W4!vYRLCbamsg>MdKg)EIv7`{3Z>*Z17-hqF1@WZp=+-}mSmh- z*C!`wylV+6-Re41xc9U<-tpJ&^cQ*$A1=_{Jkv$)&+b_n-uVy-4dNL)u6B<)JB&72 zHXz+6`#7(q?TRD(>nHrD0vB)O40m*+x;dl$GDZvNDIAZUK<#3dVi>iKNe^*HI}f|O2)^C-drY3IjJ&2vG8-}%tS@l>HrVV1MxBO>)2fNs%y~5 zm6Yd`;c2Xs0c3-6Bomv(yS~Hwnb5$n+>EREKDULScs9ehdKpfSJf>4I5@+kshB`j! zLS+>&n9yIkAqDpf+3v%lHQ|kWok&XdJ8_=$edsQ|-IWu?m{lAd$#Wwbn(qj9g9W8K z{mypZG-spbLDDi1C5nAft|Ow&PjXJ)e)vSc)6lP{;P9vH4v**t){*0Y$HnUN_NKDd za*tb)Y3}78A3SPAvT8RCbu`Zc>4%YNjOS^UP3gr!1Q?hS^pE2Ah=?c>)G@SF1c-ro z^cd)VY;;BetO`^Nq10=AS-a_n$*ywrN-fWxRe`DW1a*7Zw~l^Y+d1eQyay8Mmr&1c{7(m6vcJmJId4XR68 zN8p^A79Jh(+z~(_pq~R<&XmHJsC7wsHM9Tz&j*pNqm{N|3AkSMxo|M~Fkj`j*N%hc zZm(b|)wA^t1LEsCVrO(0EgYT_i;Ff2T|Sr0ksJm^lZ6VME#X{&c;q&}+L;MDhw1#n z6A^rS!kt7p(>@COy=n1Fh``il3G;6rjfyhoOPx5lPT7eMt9LGl&y6WDcDj|&qx zWrybU36xl1bK-FAIDs74U3{9eX2eb2_wGQWacP}$PPaLoT3UA+74wGd#0DZsA8cH* z>%k8HK#6gfQLb%)FTCBe)WiXvz3*WG?V!*7?h8FaW#UPhK!hs~Ph=s3Bx^YHw0A5g zSs%uN!7LRO5Ho4LsBw~J^}XTqXKY*B2fR&Dm#sLM!&hYU?6PyhPB}Sjn{8{;eidc2 z8Nq~yYD4e$2F7PgDUhNgjc$uz$-C{kaViO>z`ozIh@F$N6@qNhe6VQF|u75UB;X*tw-6ibo2dOI^_Y`S?i1qe8X#IGby+vGQM9 z0H&MbCx;tkR@eXg^#As`C!gt0fc0EYXX#hjkpbPx)P7iTU%Sq@Ii^P>JvkoyGjOqy z|DW&o-%mhR$T3T>Z7UL7l`U^1UjV#!hmXCb7TaDZdtaISD*a9bVa58jU-k@LdM%z% z)@T_az|#~d<$1XANK-BsdI9g8p(W+K(xxR(pS&-UyCi2IlBCOcj%0G9q|WEX1yr#` zDsA>JW9%w>QAWF4@WpzoOw-*lOv2V$QhCzf5mC(Z^DZQ6Lt+}o*w4`R`!tjjV19Dw zV6>yqd?jd3jEiZC;bzgEVB$3|Heu2fi@dqHQU5ce%JZ@~W^Zc&nsk8`d%MMtxYD-J zA0Do)QKXOzEZFtrsk|*z2J`|Aa&Q|7&vaw+oiL!~!_V4R#qT9Pbv155fJ7i=53X$9HaHzJe8EwWL!Mc)lwE$fg+rlCz8`U$lbH?nobiBMWzT)=z3-cE z^2PZH+eZitZwbn@T$0?>1={pyj)%f5(yfvG(Fi`7X@mC>Q3acH2C$a3Z_l{g`?v%c z+sutrsSmxMoiNt%F4{ti$!?)taAMH2=Lf5b3N|lLrl_Q~vhzNRC;W0en@R_FoiG<_ z7{rUZoxTrylWf&O6FsK89Wr>|SnS^xr}E-yUjTlq_4MAN-HpWnB-mAyZ?= zua1u%QbJb5JjAcM-qh=59+QxHY=%{NXZc)jC0LyqRNTD6#~H`(5iHz$@e~btJj)gw z?9oK|8+81Nnfz8CUFEtift{LUuLi%z z5zHw%NtqF;_QWOKM#q$zarnz46H!Nwt|5_QKQn%F=hW%x^sE07yI7%y>!B&^w$ixo z$xv%%`VdfT9sL->1jNUYq8R7PhO5iX<#SiCj<=`JsFQoK(mGulT1O{*WDhL05fDJSZ<9TRfAjO5?zpEXE! z{&B0o(IJ&wrT=o)Qr8_(eta}i=qo6Dv3gbDr1%vg0{q>!MQ={_016b$k%4P7@j)5( zK1t1<1!Q5_sb$6IKE3dHYoMQ##w2iw0Uf>5;CoSh##qQ*zoU$F=Q2~l)(#tlMwyIB zX^72;W&tKttjQ=R6-o_{*pW_n1%q&|v#SVD6eJXtmi4u>z8ew5T~aOJbdJ%ttvm8Z zdY7ToIWL&Oc|fU7LhLW0?6Ghh6tsBNU|Bwd87Y-i?&1gaIia3f|nODSL3*nGrD^XrJslR z`o4A3>9&MdbA0losc_9j3Rele+wUEpCL7{=-cAKp=w=L}+=5+wBr5-q|AI3^Z4WfF z6M)dgFi6bfO?CN3s)ME<`7r-6W`m^~W`FZizN-E0X<;&?^Zu1|0GBj2VG)yZoN|I$ zYh@1U$~PSG_37&m#3nNTQ_TC%W9=G6P;%7y?y~wxIxhmX2VGdSD%3jjQE&6IqNq3e z#^zk)+U~N_|Mu>qC;BZVZR@S?;mMWsc@xu3VVA_@iUQsWQ_}w!QU41xYo!WnJ`aX6 zKF7WNs{Gd2q?o!}DBh3DUbnX-10-%Cq~!l!(EUQ`D}gYT5yh*D<>y_#S;m8m2%pDCfEzmtpugk;Ng8$|u!H0JPoN+PN&W9JP`rj; zt!=VK0c5{Bx1MedFz`WUW{5#M@bt#ZrQf4H-F%nkj&!5`jlSe>Y9b8WgENI%I>o9h zx1A@te~!-!Wx$dwx{AmvB&8;k@MB=MP(JmFx~KZor11@C`e3=tZ1`SxEB>NsoUrD+=&0F? zQ&Z{mJc5jv*q)`B9&|+bx`ku$x}QL7~)1qt2tu$@Lkp z3pN$VMF#CU)Mn1P4bNIGuqI!$G_4kq=)1wI+HaZl+_s6_*MDgI6Cv|hvhOJLyl+0N zj=Us6f+Nb$p^A(C;z*toCAj7xK!9Ca6ogn&`#CV!lBw*efAr=27#OqYu6X0D5I!HF z9*$a>tgy;0-<+akA(}d|uhY0x%dn{kO_ny8P_TkCuMcxseafzduo#3T zBWKEgoKkhr;MbEN6Ao#;NZ$SYT2K3OnJSwNX^=`D$47 zHHSJtBrNC-j57$n90cQBL8u~_I`0YkH(9`uK<0Xd)bF7Ieg!^>4Ofu?X~~MALOfF} zcQcN?ScCpGTLrN7HC{HS=+@^ZxkT_$?9!m(oySR&aMydn(N-ggNAIIUn{2%Qq^w*% z`5pVG^3oUZIy9)1PTpRnPt=Cb*{UajpJDp{kO==5YyqQ;7?j6Bv6QLfWnKN4NkdZE zwRzdK;uWgtY_E?`^8%z4sQ+K!dLpglac0!;737tfJDejk!t?Zb=F$-1k->wYa6~vRrZ5hJBXz2qJC!XK4B9B(-ZaSM zx|#PpAaGiHaFpAOlk@4bhn46N3Jl`$bLkAO3N&T(j2_C z*_S9^c_7Lon(&Kiey9ARa_p{O@J2^Gp9)0WNUl#N!YE1j&<|SsS-wocMX@%(7?wNt z>i3@mBzRjgd$g`06u8J4)pBf=UcCExF{k|>dW zbrmWWB6VlvGe52+tUmqIdeZZiWkIe#X&Di;uN?!mb4L0H-U1`0S?D0uhX>r#&;t=# z8>SXwvbKAdA@VBMxkKZpb?b=R=x&`j}0+fOnGw`IcaeXiu1O2BDBG!e_zYGDG|=!{Xz zG01TX0q4fkM*#DN@q2t|OWUX(6hyZH5_G+lCv=d$Ecz8!+(O2BEA zghrPxkF?LeTr+jim%YHbzz2(atDmHygRGRl(jAXD z2&p-#6-T~A`U}VK^2g`Xoy)x2e%<0E8aVB0i$YTLs9uhcJk_Dg7VAOO@L=Wch+iT)?=%Hal-pw10C1M0j@YmkaL|3Hw5&+$Z0<9wmI3cs*Smzk4u3h)eR9 zlu|emQ*HH@x2)?lIrPlQKje-=i${TP{SN~<*Kw8RZjC(s z)+?S96kCT0y$b^$eeSVYe9q*YVw_d~ogk}UNl^=*i*HC&QA_!Kje#n!0N_RU7P2^@ zznoy!sT53Cdu}66v#VN{UNQyh@UfA2p-A=*n0S%l9~2k4eS4bENr*2<$0G@YX0-;B z1ID<=?s)I|4K&t?X5b{wk-S4g$UueVo)eQv84Nz-L55qjB7@yObABaWM4%CKXPMDx~4tU>tKF({84_fAapztUfm(X(fKH- z=k?%}>Kwhi-#51WeE0JCLs(?5yUah4F(N~jVqiMimqP|AHkAg7h`~tsi=^&3<#Gqh z`0n5!&xeW${l&B|BO?50m?K{b%2-RjW2NGrifB+^1b&17*UMRk&&Rl8N)bp3ib;OaR;y|4h!Ul@c?F z1ui4D2h)880=U$@DaWP%GPFD&`Q_G!g*9h70{&{yTS!(GQ9LjHW&k!mAGMJ*DoKGq z__rq-*8Xsf=nDS+g0481{LpT140Hgb<>3qikSaXd9}%A{qBdk(Fcin&jRpCJk?jX( z5I%RiAZt$U4ZtJo{MmTwCJq~f%tj5JqG6rck(v(e<+0SD(q72Ypl^a~2A-2EF0tje zHuqxE>CEQB|Im!Wq*hFX4MS|-o*KS8?e3=Pztdn^X?uIRLKHTrfx-x`s1eJ7?5oa9 zXT_6oLAF?2PlR`buUm5{+_XvQ+2%jhK!q3^D^=!C=G6muJ#5;~gSLA@v($&~eGyEWI$V zG6|QZHfJtk(5LSL1u=5+=-@Afd1eu;gl0y&i@AXrEw~(cHmm8=gYmpvZ?9{`;g*P? z=Zs5evNQ&M8%Ajlgf6cF@j?j~Zp8M#H;$+2mUrJ(I2Af>!mS*uw{I|DnzQzvpULeA z-@J*))bwx;h30T7qOv&7*6Sm?C*E4{kHflcy=UFS!WwgW5EvbYXck4OAWNT77)_^t zosDozUk?+J>2*i+r8ROE(-mpMnhmp(!>*UXAQInL3^}wIp zusuU4e-(SGTk%jsEtctS3^bUa@hdb4)b>;4Sh01#J(KU<9FYm_Yb*Pm4r_bFG5AZ}(7Cb0 zzvZl*yXMTSs3Une)*zy8Z8g!C(wrx+8=v@{hqLk!i~ET`3$wVWEK(e$5w8?taR(eYerC_URi$v82Q8RW5$L$HOnuj(O4yenb!|qguhqfT?16 zJ2=CZP#lg60rSZKMdSA8kFT4}l??rJ%jfMnTiaiJTm0f#aOmX99zl3k?Rqh0BNTadr80Tyw&9|4;bP;qmq#PQ$ypLWK8imY6>VYfC|j3rBG~GYY!;6>8XavR z`Z~$Y6M6GcEdr2-fa5Kh^*Nr<`l(mz>q#f=gMFgo?pUKBkvA=WEGU$<2#Nf`1Vjx; z@Z^E#dOLjb4KIr8#ok&>D456eFI*A){!oF>T{bHYcUO(t8aT-5nYJ9uNmxf3@P*>7 zV5(@E$1)N$5c{g1@xS~f+2hc;W=SNnevdY~v!UYh9<%wQK&~Q|yykN$bCL0K|C{%v zyKlp#Q0ZF63w*;GTt<=M?2>TMLogMKYr$Njh4NP%_5?h#qZXp|jg)=(2DbxhGl#vW zUDZ?*Mg7d7wxNQ==xi3-ZkRj4!=j;FHwJPajKz~9VFXkPexM^$q|JE6dz zKqg-S9L=uGv!qdQGW9-8CYfo)qy|R*K_u+6kmr57oekt#L zYNLG7lfFyJODyrP#1TOHtZ6B%iQRM^O-}YaB3#uu+X_(8pFC%@brLJ|xr}bVcz#UO zCi%Am5HNw}NBmdhijYs=IM@BlM&rtU=-wVO`pDiqyenyb!USlPl`c88BL zoCK4`U8gPIT5T)bCf$*J*hLNEWJYfDrn_r$HRA2eeM}+P7t_aZ+0U-hEtf6dC>ewK|?-$8F|Du;r$PbCrk0yPYFd%D`getzx&Qp@}adW8VjX=Sp>)VIPkj|5Bj_KVM?{t041^A77 zs2{c8J1zi{*~TezGv|rE0ZU_aKZqmn0cKSK)y=&@bHcqLZ}d~)gS}jQuVgm{Nqp#W z@tZgGY2y|RDbx0R$reF4z%4)mS@^7Z&yzk6-EG$FO#vDLRo^5W$&Zx*gP8 ze+HNFb4*W%vV5}4^TTNFRvvi^pMr-PlIaqX>6+vrM`SRWnz^PC1~^Ro0INF6l94s0 z@T4wp)SbBkq2g_ElCYx?V;G6pX2q8x{i(Rs`>%Wm3-&LYF%PMAWqxMmLYnKKYBl#Y0 zyhQYS=?;&NQ7os~WY=!*^!b~k0j9xX)W&w*_pi+Ghy_bvIWiqGBCOB7*Rb}kA>Ts8 zcxLYXXugHSCFE6bM3OSU^#B)&gbgM`$PSc+mx|3fi_Dwf02yj(RIrCPl})~LzbR~P z-&g136%GE#?tVE%6!G6G$9_yO3$xE92Z7aN*G~ht`mXQicf6CIt~>_HP4e>7@2_r@ zMD<-e3f2>U-ji77xd?S0>pqj_xVH#dpBhS<+15_^p{JQkPmw_C3+-^ z=;8n7U92<;F@&b-a}=lX2t)#>h4vI-Z3alwu)iCH zCZ7p1j>Q?YV=*5Hm>eBswdj-R?;RweLqs#hjmQT3*o;yp zZtGXCICBF)E;ZfHUSiuq^=0n!ruD@RA57mIh)WG%QmPL<61FM%gSE#YMmC%|pE)PI z1qzycF5dk5!QmaN|1g!jM+6j@(xZe?qi&sPCaJ}cf8yulw;mXW64IgZg9Lx@p_$~8 z=3rv_xS1rt-e`X}TPRZfRU8~#pWvq2jnQH-x>K)LUC3oNBtjQl$i2xr(HjkUz01YANW+xKdBnWhe3*pJ& zwDZdQnw9G=yi9c-%6(wns#N3LA^E&z@4Wv7i7%r?cl_c3vvpfF8{PFq|1-w=jTy;h zD#jV(IP%>=0VAS|2!dBCL+4<~%!dX;t9olCnP<>hZVC%&d=kw#x_r1q5)v^;dx%*e z+kowB;o2ue_-!{sA7(lpp$e!eobrigv~*S1KquC!`evU~K*RICY@nQVY<#+jeBzrCs_$_ zK?b#ipTog)e+y^X5=cK*@2X25-#l?CFWf$!28BH48o~LZT1$TYytCLX(f6v(5y0u3 z1*U{J&J8jw*gA(Hw~UXfVbxEy<7<00SQ~>kH~*yt_$#>B?UB;s_Qb9;h18OEuWx>a zdr|{!kZVN7m_q!A?Q9SD?Xr)_9=fpL0o7k_5NbsFlWWWjRNSlvr+0w@v& zUtFTjK@o^wDQS}E^rN4%{rI4S4cns0uyfeZQD!wim;F zY=z3kfr4E;wiDk;>{OV0(Qhig$y`2d6p_9)&kD;4L?b)` znht}c(ifQ?4*i&nmbZPrJ#a)gizKLJ*XMC7eW$U(MOrerj9V*iuW^~xNN0RuF85}qfz@s< zrEqsaJlSeCO19&8os6OcPL-4o^?H>v!3&?k%s_$3@cnbdtDpMOo!6UU>;mhS$L*Wx z<3x?4sLc|F7}@_6lwy_iwVAsNh%WK#-n(XA1EWSAx**nO{mPI+-J|H^)#7jKG>Xwt z1s`i-{Ca*EOYHaitB1d4+jSV8B`*uh2oo-T#R1f@dy4xSlmQX_)>+otVX2LelnYac zw#y1&h6J~uva(ms>Xk~)3?M4eRnhdOGuGXTB$64w4#flC@NdTDG9t07MHNC6^Str`yz)0OnIKNL( zo?!}1j49~sW4g&Et0(WB4++p11a*5=Vy@gFXLFUNx*P zqVpoLdSZXJYI6U$Q=z)!uIggfsU+*LjV$U&O9AMK)H%!83BTjO z`#{N`tQqmWfeM>Yu%ITn@0A|cTTLz%O%@f+A2a*KJfvnk6sA0+e^6LGjWVXZ#isZs zI#;L3nN8eqR8lbBlJfaX+kE@JD;Rp8n*#@*1*5VZRM_K$&t``0Ad=?cP~XFL24^Jr z6xMSS3#(7Tj7wJAxH2W2M#@QQ35jd)s_J3!`Nl)p$^PFCFvAaWZ%ZZMz{?_T=(2k@ zXkV{;8^ru*NWbYsRMi$#xi=NYe@{KNH*TL&`f4O)iLaLf@S)lyMD~A5McP*eMH#;R(y^p0 zv2-Y~fTT$05+dbNB1j`8-LW(*T`B^SyR?XebeDu6jdThKNO#M5JmPt%g%G%*LD5sW=%U}x`)JD;mF;Ly_4#0J8+glRIWt*|CD+EtBy)>E{eSFe(x_9 zT>f4g-j|t-s1%~`(WIys(%dw?&f}Nmd=lHG_^(}a*;lQdo}=kY#@CSu{JEL%Z3#^$ z{9ZpM6wF!Gr@OpTD9RRbt-zhQ2j5HT?2^=FS}Jf0d;mwEJ;9>jPUn~MQG>@` z{;5BgARG{y~a4#5^QdYCB#EpzIp32MR0&2)#HUg*#+@4{Usi#=I-^!@*j%MxOd-Mr}H+4Z_X*( z4%cmj_!%hwN%<${v=1uD-~ABXA4shI83GU+m*8b){Xc55w?Zuvv$tKajU}v~UV8@y8r2n{b{4)9nR^H;$4^>GBShLL^fdAtN1b zf5fndyW$1Eaw%ALu}BBCON{nc__kiF(&*W2@88g;xvN7QbPq;Pu_*kAg+x#S3}KA1 zK7u{?5}6}2tY7#M3$%}{s}5v)^pxZu02%_%C@`Hmrx@*y<}jx?N=;E*-lG_5-;bY*qk<6fENvM3Re4gb z0p6J5PUvwH$y?(6>{}hzE>easEzdj?G-YPx(Dh;~l}DA{m`DSTsmOX&SM@ls-_5Xj z_@9=^<#19i%I_n_3tkIVxa&!;|qUuad+=rP=j9c!aK_Yp(ui1;u^$s*jlI*w~)~pvORkWpq6sNg4Zl~9V zKG)STeteN{%agoQQUA~)Ss>mrgwWG)ib7?$rlhbsM{9DpdV}6x_CAp5lFA4ddsQq@ zXrAcW`J$rv!H-3Gs`;oS3v0i?d2#^E zQ*x?+#SgZT&J8E(P)8`^A@0Zc1;l*8RBFLgOADp$3A?A|Eaz%^9d({jsC)e4ZF1C` zF3kdIu^MwUQRYFWGv7b8J=wcBzt`{S1#P58{Z2fQo8i8Ryx|l&o$L2*18W&<%BuAK zoocJv0)0P3Emj2;@I~7mR3+au+=W7SrRPFcHvduo7!*D`IIXVkPumXh$9oq^MF3`` z2q*Bc*966kXtbS!jA`P75o_cWIPcJ)ro+$qQ zB9=7L!3%4FXm6U()P>P_vZPCy4}@8f|Ju$ptlLIxs=m$;c8Zv@Lv?$wBKazGk~$+M zZFk6@jQU!BWkxMce;a4%`DQ0zj*xz=E-bt^!KqcDk)i%F)+gKQSC?0YFy)A8EGvcW zS%O^1PGxea3^s$OAr?3Ao0FnMibX6EQAHg3g3jo>M~2g7uuv1&1W^`gqnQ<=aR1_sZ38(K}91KI(rzXZs_&97974XIKTJPWc`f0mO9`@C@jqF z&U=}4shNcRB!Gf2xOd>7)+iQ1%PzmVp|8wk%+xar;bstm1Ja+zm>|o3?H&*nherz~ zSta$T3AfDfdOHsR93mo3Ch?3&B?Kg`u#@aK*P(DnHEWF=(hK{bQJa}XIGx#oiFA`G zu(dMPa)q@7R&V(Fm#sJO#4O|t)*N^A{s=}sSI|-5& zvZD1Yxw6a7YZSbRQ3=k6>bV2uy27o7;7a+6#F@5;a*CM1-%AA-Ja@zv|DpM1N`@?5 z>}9qdwp=!(_J*n~`)V%Rv{gR|`WcHDcE=I(`sYW=9>Zx2ZaeM_+~~j@#-_{m4l@6` zG2?WdEY%;FePKr;08A>MPD4w6g#I4XzS_+q`zY3|&^^k?o#-^+VeIgck0@7Ysja+AK# z3vj^^DN)!s-pd`N;c#JP=}B<;tpD!BV>=g^!kPtg7Kc-~LL&)QSE?}}G8+}^?mFKf zT&L6_*WohJVeStnhduV|>k2RU{%*titXMWLFd z@BF_5lCXz;sloW5=uHGZQKR$%f%fL?Xd{YtHGEF{%D{ws+C~n&-K8?`K|?(483^Qx zFaf3#Kj%o_3g7z}c{MH)yXRcHSRfLvlNac=Nn*ftU~EY}Q{6?JW%V_nb%A0v%t2B- zyaLd>;!*W)TXALUT8W{72PfUVbpnA2znDDTKKXUJ?e_Wj#d^AE=|ObRU>9jpJ6ID~ zGr^>gjG$UDfiw5sFCjolur>OocGWVSk#Tgi1tBaelL9!v{DsiFZd{@d8)y#)KbmR1 zJauoCuR0izrjajL31?C~QOSz_e$#yabwhb$=n%-DgDfDR5DB*c{wZ2+hZr25k$S0q z$h&dnNmE)LxKB4LvMXHqRz<5b9N}n?PN$xx{rZJDHl;*yMV_r^FV$~fsbLRuvU@_y zHZRsljiw2Z)Dd&N1~7B6gz?nl^32SUpW{@iOo(bW{h=I99@S!i0$#*GlJW|-k34Yd zAYyFY%y;H#EMRf(Y^1U|G6EUkQyVUNX{a>VZ@<`GFXvFkw8X=v1t)LCeOUqUi)z{Y zd|6brEV)XBwU~fLv_%&I33Vx>OkaSrT(W=|FerD1g;MlK67>)_j95@s+BF;dGI8d9 zvv;*+Ry{Eu^Ut?4MI|tM?aUkSyPX=! zyUMa%nX}RT&~-M+1EO>}l=Mvy-=!@*|6M`7IDd+qpKaZ>RQfZKG4{sc3}v-HYioHD zbj7$FzClV%#P+XK!Nr`y_I}XKJZs=H&@s{Zp_qJqWe)z+o!Q}c60MOkg&5FM5jD0R z{5txQBiBL~4Q1UeW^Y&;}C`}+3MD_C%?vguvB{EVigpii2 zS$h1B%X?ti9P`jo8CEf;fp5Bdy}^O6*W0qs&q$!ccM)~H zy*y&(#)t}m?Q<@|kj{sB4>WMES5sc>FGl{^jt>d{Inw88Uq-qbOv+b%e&p3s*qH>| zYdC#!Ulk$!^VX(?NiZ2Y^zKqAj`GNpW%~n3_Y`eg;I6%Cp6C*A`-SGkBw}CdJJY)^ zLSJHs&E*iB?4!R%u60iGt{@@8O#KHN8BgA9=uT^d7ws>#Y5=&;UiEdI6QM3dM49lN z*rHua@?mT8*n?3Sjnkg)Iqw#`#$54hi=OsIYGOyL5A&v%&*{eezqIo5D`_&=#ipAK zTDPL@O*y&r>^R~_#rJ5T%|fc1{m{w4w2`FS&hVG7q-o*By21Bei7g02AL)=VZ~wwMg?}0eqHr6y5*Zvgwfg&UlgX$z0*kF%)lk@6Qr`YA$p1M7 zz9Xcx=f2x8Wi`B&Rb-yjU5eit@;;ILT5j}->q=Y6gS~Lm9nhJ}p6Z9nBBBNUiID=i zGdcf-u?AgGRpL?jkWyTH;NKg~xuJ0B+*sCKCI818v_DFf+f4S^c~+XxGb&bBbVyqS z{u$m=p9PVhnx^m0H@LwMjEm>^$B6nhMUqnG`_{5Pi!rm}%`=Ir)4mnH z^kPftkA*u<3Z@&roDZo?rUt7`UL{US%>h#IRl$0#Jr#7si3`2CA-hcihDd1Kn64RY zOXnBlP!8*vH$Z{p>>Wpr21O87m<)X36~)Ip8nmf08TYC}558uXUJW1bQjm}lLp>cy zsiOT1tdW{UU&oEU3(J|ajekG~2<12@xt3n@sBt?i#j#$|&yd1xyERZE+a!kOjRy*9 zQQ)KM`F2{+tM(yQMR~60CQBk)NSvgj+tVDESiBNIUV=H+gDy~vhC8A65@^Uj#8Zo1ut6@gUiJrm7W;v`< z@Xgc>9>v7cHv{&VIJ7kR`CIQsWeU0kyTd9^zL~9Ic0t!Iq7OTg0hW?2gpi7paxDpw z-7CO)Zjku)!D%-mjpw{(@ehr(km{jT&zvtix}N@WSHx}VX8QjCTrmixx(O%l&P|Js zm~SE}gNpJmpIl)-SY_spHlfu%AqtBB=^eDkB_l!1_|JNdO>TD%tj=G$?6SM|4&fUk z7o>i0@PUI|>GAF1w*IEg5+tzjx_juKi=`Y^jy2#oFyFMQ-zq6np=-J)Vm!(I{{7<* zlT#D;f~l~Z#A(q}#p&O+gWEo3S5X$xLu~ib_}Y6rx5nMX0o*&JE8MAFviCkDvf=*h zMqS&p%N57Jc&w|7TH&Y5dwK)3dY@?DPi6?#TP7&Rl^@)1AHA3tOLoD3AiUB2213d^ zA3~teH{v{n(M8TxJ(VsiCzubZ9?-$gv`^ zBzmx_wbn)ef%B?yYqurR&vC#KADj4>FAB~}?P>M8)j4bm_(lRW`w3ySdIvwRj$aP)y9!FpWSCkSH3-@X)cn${5okhZo+{Bx_qq6fXhXE zRXPREgtNdRDe?ZYBqqI%`2s#e)<9P?ZZqcVMsgE#QleZ0P}Ng&cBDHVrsFu!>Qe@I z-<zr_?9g4slc|8zSW#pU;B~JNL?&oSc0e>Zpq*r;DeNb z2_X47NamA)Ud{&&q2V{Fjn^ef52*Y@RguHETBcsDpO&^tOm%=Zd>DtiE3zy4F0 zRi-wTd%0uKe4g5v&@8i!huuV;>vJvHvf`YHvGaPX=w3qlVgbRlBrS>Ckvxdci@tR7 zMVWE)5IdwbHkeMk*e&PNQDdn*&mYHCUf+sOx?WvLN|MwERhhwzP7)8r7W~O5MY>;i zi=o@Y3{~9s=c|a;at-{l{8yd zL^I<;uj0V}JOwtOm^R*-=Sjn1H&lA^WBs8Jj#Fcd$z3WohxCN^9g=58 z$-<+lB(%i0M3DqB`y0U>E>{l%i+k5^s1_n4H9H-BPF=ix#s9c4&KT3HimN@hJKKF^ z?J)wE$x(8^0MPao;Y9IT|4@703gb0H+XjI_sQ?WR24KpqeZ|dI`(k?f8xdPJXZhB4 zD8-c{T_9wJH0!hNhU&1f+-TGt8%<1@?^@>XI}e!1XNrOoFDov;n$WNi9`VDdbI>GIh7IWO?vbopPV<+UaXJAuFbZ^ISzj>gc zLyCZNr}6E)fj*@k{}Y+T`pHZN-M+mz1p;a9J{OkZx}I@2B>q$d;@NL2r!oo~z_2Z* zJUNYU8$n%YWoTf7@vhB-qC+$eQz4UaY=^n?62uG=sDt?P#k{&QQ%*ck13NM0Y^~9q zis_3=3W|C;LJVlL94EHIr32WOrj(3ZTl5pvXIh9+IWFzm*4F4KsiIhprd^#^N0P$YTAIYeYZ?=)5NY6NK;DFz#mu zvrD-OWzlF5TPBmb*ZmamHoT~jaZ2jc8P*`;nt*+o2pt9W8V?%D3S8tC>Z#=LLA*>e zKKqpo@XT|Gc=}$q^)^z)B?HzUpg1bWBTzZ@{?E|8^~}zuThlacVh7#m-Y)Ag${fJC(VmykaimUHH0-Re10r2 z=gW!;k#GbdBB0;Lq>Ufocp#5VmSTjba_aGJ@X@F=SzJ#4X|kJ~U46D4l7CiHY?|CH zJy6d(+}%Mt{Kj8b%+>$h$rT81>e{>&ms*;9BN7NALve<3+SgrSZAYv8V`>V29t%tT zhyF`*KZH+iU!LPBV$FutKf~fa#N6apvc9-uY$o*_?&qV&pO2_M6YWhMN#uoxLg=ig zpIs++e~?4Y`^}G;2=G(2!&AWD1r7%!CX&oyXPP)rO4I#^`Fm^PzSHXq)I(vSwdZFl z*Pw?atT=;uD~>epu620}b@@2P^ar@s&t=eVemVW$0s!C2fbe_VAA0DBX!TUAEb+AN z4g>>B;+u7H(dVbz#FUf`@Oui0Z^O$EQBoq)EJz6|jF2Jo%Jq!Y1&Ng-kLWI`V?BBwMaLgalc>=dZNG133mpiGN`k583lg>c&P|msc>ZmQsrD zV!-wWlRpw|40tSu|Jt*~!F!A+$I2WdIWeoG^%zyqyjgZX2y;6;jL_3=kOQ4QeUCz| zuh3az%A;ahwW)1dCCI+EAWTmaf57NkZxIW0Uv({4+PM8v-4!Oj+`eU3R?KKwwEf!6 zb(?(-0~tpF(uBZ}aaWaX$%T|J`Kd{UZSs05I>CUsnut-;cGy>vl*2;>jSs4OIa@Xb zqKrv=7vq~}opAb;ZPi6%(zyKAulY|+d7vH~$USLj@!h2>2nz{~5wXth!6ua21xnX{ zc9^B-PKB^Ow}vD&OD~NNfO2`Mu}B-ee9rkaNXhK{e4w{$%fTt*T!PlnBVNmhPgFl} zX|kx4jQj;M^{0}1j4FEl!m8~Wt|QWge(0_>uppHTK)~|Zx=9L>?D$>$ykf|2)JKWe zIi3IQtA;`ryB}YYd){Cmxh#?Mz4zX0DYAF#!WEIHc`dAh+G5tlBd2yxyXsNq$uCXe z{Az0vnXmjUt-tb7WxjER$@~$E&QHzFEeMeXCYw)kA)SSn8=7^spg6VbLN_uF@2?$M zB@NdSL=Sd`#^zYV19y|4)t%!pW522&DQ+fp;z3@eB@H)W1AMn|!^>Z^@Xa?0=SBz4 z)UAw)#d9MisZ&Jc3W>CS>q#P>u$TR|^j5Pvs#ea4B@XrDZx`FKlR;Y66WcuMeM+Wp zPxJT5o{SyakCEX`cn>3_EiwhRPxEcx*|Ua&m{%5<0_6ho$+8nGo|T6F%VqulN*n;m z`u~9dg+xAG&ZwI@p$~F-D2o@1PvOHvp<lu*WB;p!>$$_jf$Oz)8$Q#R2aG6<@bo(q0CwBO}ezx50iMoR4=kn1%12Z5pN ziv`F@N8^bg5jbOTvLX&+n6W4w+WgDKbm^~V0369A9fj3Ok|)D=a@s$r{S(g*LExse~9t+ zIqMc87gDi7z8N1ekul;FgfDCbfUyA&`^%I20<5AvAcQo+bmn@SG85jevY2h0=ZF$m za_SDVpo!6f1sb!!=&|#VSodSz6ha{4u*w&Iv zlbPd9yUqt7&#UOD+I%GOot%EhEOerXMjO!b9ytoV*=iDX8tKTA^zhk8IGGoT#RX_( zDjMNQn};z~X&DbDs_n&z+mg(ZAj2m)o%zrF?y#?lMp%)sHGZ_j7gS>FvWe z^yO?wKWt4MK4ON6z899*lwR^kGKu}SYD8Sg8)XC@E*oG2?O>lO^MWgNX+RzhY@`j}n{ZQm1KIyJ*zJdJH)sBeC--cN)YlR8_p0t7>|qpQBwAXcz#j{u$ne__fj*hz7y--C2l+$jRw;-osBf? zFZ*{a_J@|Rah9msc^ZtI^>{5CP|~xP5f)WcFF}g7$3})i8>w}Y^S`o~ z192sQ5<((vWSzn+C^R2uKP=0gxjmdu8JFPlMl8P~mwWglA2H`c2#0Qd5`>=;5a`R# z=%h7t5nkpzm~V*ATb7=QQ?6a@b+kO=pHt3@y4a8ZI0wc;*3?Ima=l_*UvyCD{lv>y z?q>H+Pm@_=(!-{;peeTSZwiPpiu6_0ZT1!ni;7{v(_JEU+Xvgrm{w@G)|*;$`gYOL zi=vE&1>HsXndX6j!_K2h>2aH?o>?=LY1k=IWwxfbV{HXYB!0T|a88}VkUtef>?9Hj z2EqHL%Bmc{*R9-IM-cV5BBTM5wAz3#V6N=>$&h}VYI)mr89s=XdnZwO(1%3N;R$1I z-Ls$eytUDPjT9J^fwR#T2pXA?GkmNfBLrna6etqQ&3PO^xY9K^s?9P-fJd3ox7Ge# z7( zXn~m`dpQjYvY@a34fGo^Sk<||`dtR2E+j>%Y)A!2#gJA2M8MuPY{>cg=iB@7I9$Z^ z*vM6}B3Gjkm8(oVg9oaWx#6=26dcDA4^B2I!e zlu4PqczVmZ4KdqnS7`9ElHu1G{}P46mE0O-)a*`;l`LY$e`PwbZ@3cr2vPa!4h4aM06oaT=34`7)EF$wk<>@y6=Qxw*Hs1CmOTFK?R7I2Ert+hiQqma-EqktpYxbL+J@crU3+o>Z5%4&O2xdwPus=_uxwGFUOgwHrFA7621$5fqZi5o#yC$axCCvzI3GdiO!0m z9{GiHZ&A}2(D`!(>+^)xwcN4-eVa?RUSoZfv7gLYrzK6hCR?Y)K)c2SUSoK%Dv0-t z^nT2m*>2Kra4+u*G|TJ8sEl8NTdyPTwqlt~tBTNa)1~{kigTY$hif;moE*#FfV&=z zv~wxD^v8m3xxQ}7Dbwb3gp=A+R=i1Fv0|LJoeGXT0u0)6&tHkq3y^XNGr&VSRWYBQ zSxnDyff6Z1g3(t{yyDGjY(j6pfhA1j;z89TJDMmUqN&+Mr%Ty`nfZ;Te$9~W_v|PN z!9ZeYX#=Ok3kTcAA-hIC+s2&_S_yDQ{Uv&lGSZOwcdBL^*qD~Vll21T)$z_a_N}a?&)JrbgztyPZY5jy z_Kpp6_6j+RXNR^_LfyF$) z1jUBFlA%!-DIbl=I4Yye*X%7HubEdbxQL>KPGo#4Cp4;D1vOywt+D}u7OoG*?HFci z8@$E?1fEaRC+2@6@p@Loe;VEMR37nk;1QHDWM=)K&T@S2DGn|E1LtzNy30kQx-6}qcEl)56hf&Mt;S({D47oM?BHRAB? zf{A0|(uo#dkK3%z^`IuNoJZRhKvBc7=#I9Jj67Yow$p#jrBlDm^<^6MR}*R}eKZSK z&QL+{dEcKr1RR9hbC}6)f%IlTQwss%@_&a83jG#*4%m;{_?4(>?8b<>)Dba|qc60> ztEcnLCeN~o%phhFyI3PFOUzda8}F{L!gv44!2V0I@xQj`WlX}Gg*p4H!F_eRmfefh zc@;83DJ8h6Vxk#fvazU;uM zn@<&Y9N;~a+Vf=!3zHhWST1=%q+UNSq+S0Yk(!#An%giefFv$5>Z zFjo$8bQnG(tk0J9+4-2dA3o%a7<%%;JTn^k_DdvN0Qa2R_|vZm;X@mCva&CpJfuPl zqLG>J)iX%k3=7E`hnRjff2%bVGe=x|8bIWFYw_kDPyt4t;!Fl@acPvBn;h=>ICcp& zxP)4#Bvpr-MhEv8^7z$K-dMIHxe`9DnohN5PCCA2`G?ufcsYCw5f#=%-MUe^&?Yl; zdI8}8`TIQ~IOkA(R1*ms405e|MMFwNVzT?DT!!#y{ z>JChoHMbn%PwQYO(JjCPwCQ6VeT~j=!YtZ_Ot#;A-^0-WhL&&wGE-; zf!|m6lIe*7wGbXHcwiHkrkFHd$H-{|J5KsuGF;J9X|ul(bjE0ZkL{|S$BpjYOQ#gf z7XXtHNk~Phi-Myi@s|%hB$%{B=yW8QbVQh*0(N8*!`B1cTli0v?7q&itL7OZ@OB}o zmH@XHOyx!tjj2t#d{87r)i=mWG;ZpK|_a{m0>AZoHX+!4J*%uD0 zE}bZ!aRUwWZIGksTHgR3WVSjq+<*F}qh}(ZLHXo{@HwPC+)c9qN*2;tk~>paIMbNB zm0omu*{ot~%vsHCIXgl4`IBOdpfVP~INN8VVblc;#Z{eU@;Il>$NqcQ5%=8oDYrUo z^N+6swi*uT;(CR6)ATmIz8g>NGDT3KPm|k=&0j>BRmmkDPYb%iI0t(f5g<6 z#u64{_tpL z?;M&qF%TF$L;j` z{>WW$2>kn#H#Y;?j1VB7mzga*^d2{G4aWDBPXiGI4w{b#f>S=&hV1#{?0a}K;!H(z z+J3jt3hwP`cGvVoxDnJwSz1{r!%3iV#L&#L+UjY_>iLveXVBH&%3G%)V1QrDEVGgr zt=foZi@fs;2>8wBG!#adUC%IoIUImqRNNQHtom6c5Q>4Y^{gF_8$2#5*qzY4K_J> z6n-duhy&RAzCdwslDEF(uU?-=%Xfb32&rBLi11eLXNoWJDFINge~PDssGFpr{bLNw z>7q18`X84OPkRSD?GkGkI2y*~x4Hu+%^TOWMbUiyjBb=E+chlYsNI+@Ks}=54nfozq z*M}Pad}}*|zW4guy!0QlvptHC`UNCq`Who!gx^QV3tKaGn%{nV3@>a)9?+-)c0_0L zLY}_u7>L>mC{92pg3zS!7#bxulWgyi~j7g1tclXlHKsJ~dlTzl8KVus6zl8w+X%z=A zmrTb*WwCTGXnPYDq+wWLChD@cm(oBysd8e4$n$?$hJh18DiF(&ATg(|qv;9WfrAh6 zJ*R=sHAZqBTYky|{!e2EVP-HqqN0os>cgV$vd*>H18xJm{a5UcxZ)41-y>0x7KkK2 z^0BF!8P+BO7D>{17z79wRluH+GM92mBOzf2wD4dLmn72sjSXT_Aw#j>BvvM}TlP6; zUMcU^txV{pzT;>BAT#~cYR;&;6B?USxKcrc<)K-9oB_wWn<~|{U+0gRB7c1N zxv}xdGgk~e9iWZ)&H^cD`m!Z?IECFC ze|fHiOD>}`8&y!U9fa8V0)s+_(E!nzoq-6y_LMV^qI3dCCD+c(5ZH0ybi4&327w2< z-dTuK{<#qTH}#Q}>t;;973OTzR2xPK#0m#$22*Aqf1;sQz@iZW>=QI+6J}8cBag^NEeJbW(ap^?)_Kaj1z(DwDeErh9Ew#MxGsNTFbNj}L z`yZHk#H~E#?Tq2dlQx9k24x3uIFqwopLFa(pc5uU@&85p+2Lf>C5R6q%MJYmA; zdgTuU5_(pmJu3_KS_{Lv0rCF$pzhWC=4hP%(gJ+*Q^k)}NH695BR-trXk9(eXZN8z z-=;l@dBx`$aLyw%$1!+4d{&_JV=VMI2WB}vEpL{?!qh8afdOr8oN25I$a(jB7{6?L>j-?!*|8_U_y~_l=aS0EBH1AKD_Xm=*&oV&(D3D?B8R{`k+*KP z;_Tr9iJ3~g@GH?vmUrqwEpdLM8Q*Ow9aWyL5f_fi=~*lH{9I`oXst48TcQ2%9s?B5 zz5Hr8%e|{>YFN6nJnu^&RY&-fsZ|2L_Zu>y%fZJ$ZdxurPmOj}pu$49 zYhZ0+r@`T{kKptN@A8KArfEV9u+$-C;B1DK7(sY(K;_FT#voi@x0flc;%Iu7GHP=$h%udFOogpRD)`iZ z&p}tprT)qO^|s;b@exqM;LMEH+|q)ex{m{vzb)Wv7a}Y zzXsT`k;Uzx+g|X+2-pR6pdcI8dbh-bi4i>VT47s4Vom&gWY%z*UEcS;nm<@K4A;apu1#2A#NQhaoGeI*$bUZ};^ zgkE#y-J_NHs$%YctaJxBIZMe!-FCocurgxym{ML#tcC_`;cC0wLLxYU?GOs4JDKjA zJTV#`OnIhw%sy)I^wy%_mMSa9%A-&kSrDkhJ<$cr%U79$}TLEOR4Pqua> z2C*QC*b2pQhKzJhtOsNCl!#f}cH(6s?z1+m0L^FZ-~${f%>UNQVgS~imGOAcMG@oe zxMz18(T;3(`|ul#z}_2Ylkb~B*K_VyzYWgZcS4=V|I=R!K@eubK!W2C&hQ$R$%u6N z37v5foa4N_1fIIUP4C$yJ%q$WWH30lO=kYya;I^0?fvgnGNqTNtwUCHSM4O2^pn9P zl?iVXSJ1bASc}pY5~4+Rv1u~dAK87>RnOS=D6>7!p37~R|H!9Ov%O53h&UQd;OG-xaIy3IQA_MV_Y{2n1~2f5>s%m}R%mPLn# zV}a;iK$)WCkq$K6W@0CoQIvwZsrP@1?_7~gxt22a9I%)Q+_Q#|D}HdYWm5}`*~4VV z*~CjCS7Ch?nHuKnBe4vtaI2)rg_~pC62qboWN-Zs`Dz$Xf44$(r$ysY;lv7FASG^1 zTa@{yG_*X=?^J>DT&DO=8B9(}F>MsAiSPRiVNOkCFf3cXob@4@BWEaxM1a_dO!ISS zB)E0wRZs}Uq{b6Z%bL8qBRn~C`MX?|m9}}R@GdZsvMs}jxSay`GarT3{qRwPQ69Np ze)P&>*&7p$4v4dCMILI3^ZUU9i=x<|)z<=O-rhcQ9Ll50E_W<-f-q$**a_wxy0dsC z#Y+A*u5HPD2m-gQ;Rb~egivy;Kt^C0CVJJ4&97`nk~%MEXcax1B( zLh80EtSOkPVmg^4A)U-_=SNnHbvO)A|D~a*{o!*>7Gk_-p{~83KIv9{F5!mOHZ@81 zdrS7S{>a_)st&wO7YINg)S6DyMe6GO-EG4nUTPaBvmJ4?-{JhQ#36^9zdZ=189zv` ztPSps_W2GmpCVK&RxzK$g6|Ogu2S!k^B}LVN|Ua)v* z3@PB9q1KM_2A>{dAlnIbRL207ah2LyN2r$4a+JhKir?!C_p7l=`OK{u$i(@L+6(#p z@s72*KtZ+I%Sm2Yp|JF%rE9u6cPi>QuOCAN*<+R{cyCj#Q!f^9$xQ(((&##&T-wMR zTYGwRur~L!HFwUqTaNX&gfq1KscUD#*?S{d{}y!)4-l02=ZWou=vz_sB>aer=6rxs zbti88<=+3xohkS;ixM`gZUy;qrm+cLCh!ziz~qopX5;HVj8(KB3<+@$uFVr}ZGT~wNchTVR=EcJNlF!Ajw-FoLmA66t->jt61!a3~ zIly>?RSzuh<5I;JX^i4?L&vGNLeZU&M>wicml*W@H?+J|{F&?@SR#Xo-d$GkWy|Kh z!ir>H2c6@P3~>HNVF)u6Zb{{xI~XX?SQZ~_Ho}_|9(`$*V0rs-ty8*6EqJxE#=IsE z54!RzqH!U8cK7qdAW6+-N~6ERg1OpmphAE#g(r!Yj!xp_B=*B1F;?d%S)G^@VEG<@ zn#H9zMHFGcG}88Y7$+HHX3NW?(LprbdfO%#UPjnOfJuoL?jmHB1hji4Vq9*24Bcq7 zY>!Md26_568_JYl4vh{gJ@gEP3Bd_6$@j@NFp{Fb^9L7a>NH)2WWFdf%$te>&sKiQ z1Dk>)h$HM3HK-dLavkj=&O=~=MhACk;4hYOSM7vzwRwe%zk^y9!SK#{JznCp?DWb9G#4v>pS4rA?kPjzZvVSqc)D-s#sJng4ypHDxo52WRsu>T;~*+`u~65N?f z9;C`x(pa*&^IO#+{I@CENPLEZgqE7Gm}CE&UGnbBF|iw`2$Y0_MMz;n@q-?s?6g6C%zfd z)xQ7jRV}*J?xVe8YmY|MJs3t{sVk9+EfkH3kRts$_!b|2^gs8l+V$6P)?fG3oq|s+ z(gc`<34A!ap!cQ(m*uEXi9hM|< z6@4}n*XW^atUJs%gu^9eIyTe&_L_&=ZgNf7o>Y4-^UJGF0W`|>>886=^m4ck667dg z=JIo{j0C~lSX~^eV5x-=*1)SxEIpOsllci+YCX8e0deMj_`0jq)_6sJ10674^PBC6 zb|j>f&qCXam zv;8jK2Pp-gND--v>&=hnzh)xmh7z#Sg()Zy9cE)9F*&6q^Nv4#vaBX|S(%|F6WEBM zvLHXFL>*lJc@k4(k}8w)-#S44_xZCg5n?<(es?&GxO%ok?a}1Cc=|gapzR1JJE!1; zERKTD?tSZNPQcy!qV>rA|8Q*WvxP(^wbsqB9oDXT#-(D*O?!|$cI=WfAFrt{KhvGS zrPBCVgJQri_eAB=7CH|wu6t_w7C_K!;Sr=*5{k?|tQeg>jgF!YZT8IPe2di9Cpiwg zJ_i0#Nw5=IEMdTjD|e`ZLr@J$ODf{zdZzGbI?0qrq`r?DCv#*3Hi3(RA;}M!epRkl z*&1VzSW@2GWF`MkJcd3Qv%hrluoJ|b(NtWTa0X-fYB%;^wp zw$tcR7(@QLO!^@h8}#{iH3m%rhJuJ^<24?Uvk9f_%rL~h3*G6w(-|1Jwr=Q&&;>er;5PrpU{o0(TGHkcmO zXB)0(+(k&m^C}aL|ByrOSVJE_LAiBiglkC7tP=v=c|ip1U&Lc5cyun&r=y4egSYbx zYVzIIenKaPCdB~K1f_`r(rW+#>7gS%A{v@>0cin35fBj&5D*eNDn;o%gsO;CsnUA~ z>HU5FXWsL5?=y4uoSA&!OD4l4&$`!rt>1Mmv{f*M;Rj5EyulpIAZk#^cyUjoNXK9; zAy#mF?QiI>d%d;AHJOya>S)x|M$NA^`JM!8cLJFIr!U&JZywF?7p+X*sB|xgaL{`~ z`q7-sOKGGETukNBdYT>b6egmvT->a=*99%;7<5Pqcaog*_9*0ERhMB%CIv?yxH zQ=UM%%QC`U(xOXVo4QTG_s7Jm{4?s~NJZ%>h=E98gV&>Y{(7r8IaXM1qfNQyaNa3Z z@&38xgTf&>M4J;jYh1EF&4##A+tA#~Qdpq|BliY8HO6e!=-cv$iv`r`DMsK)Y zW@B0Pv>tqHdK%xCKQEtdn*9ERY4sKn?E|8xQGwFq992f_m#_QPh==2rsF$Li4igLB z_3R)6)4a?BVS0M9iYEUc>KorjS2gD}jT)M6)K*1Wq5C&j7CrG&xX@Qp8p6_}IU>aO ziO7KLgu12K;xeWjR3;moWSKdFPxlQWvWqN=+Y}ydn9cJZGH54Y#&yJ;_U;6_Ae&$U z6BB8@&(o(0HJuFK*9F&~aII5pVJW(OG>^c=yNqZmy;S98}Q3>l#~ z2%Bj^PA>}Yd)`13WEm#+iqgT5?%%&K|n*k8W;9tudy{USw({>;#?&c1K zYgA}LJ~EXS&UI>^`9KqRJ8}4q!_e0dWLVJG=?71vPGACefkjM;SAVrm_har8A-c{Y zF9w$2vu9$Tb^=dulv0RemBhWppkb0HsjjdGt7RQzdc22jp8i_HRqNtM&1WgWfK#d2 zzL54{seZfg&vsay^QlKN4~g9UZmdtiAIYS6R}Zq4F;1NVB#5j(^<7QjU#jjGmS{+N z#IoPYvmU-I_C%;C>Bo5`7{0ZHTL}^w9Y~}Z)zWOt>J|ZYfAAJ8%NqX|Jt)B^{!#fM z;4@}^&I4?tcdV!^6w7PhdtRaJ&-D8ezUN3^DVwGTX1Ej0kbNh~p1;*y0}jD4U-Ru; zVN)Dkf%|&J=_#N-!f3~4j2S%Xs<&xEbKa|Sm%=9f_f_21j$C!op}ez7+?CU_1wU<- z!}!C#QN_o%DC053CdM@raW8z{SGasPMibQBfwe{Ny@sz#24tc~4H?jhhd##&tKd0PZ9s6u*VAGWQ`$YPql_dtUvV+Sq zyh(y(^%*dp0r%mm#W6?-7n^wZTov;%ytoQ%GzJaNS39qzs3+E zxqWN0^1{@v=z}jd!AIiaKBYxg&A68rX)G?2K`NUsbS5w3(lgXD^uV{qqTbygVsung znBMiiiEcT@-iq2;F`HlA7~u0l4Hmq9f-&ILxCQ4Dn9|A}Q(!X=KZE|YD_x@SWH{l5 zV?+!_B_MQa2;dRX8gi_PxRFUj-AQ{Z#z#t`xQhp>FNjE5@U*2U+$v|Y zkm|@$B@l?{=Ne}l-YBVc!x74(%F$tm@;de!X|$&gueQ?xs$^Q&z^qR)Jz?ud#5-jt zzsawwttD?w_VpfXwSv3vWu4OF4b8apSpDR9mt~Psv*sT&iAcFC`pT5f=^c-mpa|T! zhFw=YS4ZKj_wnnd8(=cg%WBIAh%B(fSK0sOY^}S?EJk8-e5QCFf+X}dodfo+p%ahqa3q{zdC%WQr=V=o=kj7vaGzuf zNkq-i)G8ck99&DHE3ZwmYU+yiHvVcp0WU6g+HwUh6aGHx7Vz+O@y%f;HAHvol0m-&sNSdB2($#9ZH5PQo9%rlazkdT(yXQZ^$l6S@S-=dZ4 zmDXf7mVOZD!x&=GgsN>4fwt4LxO_3(-46y5I(#2Bso=2(=_AoHAY+ z`UJ|COIevnNkhSs);BliX|CX}7W2HfCc8MFu_s-=C!I~GX3IgBDvxs5$tBwsNsv6} zdEekii-mJJwTlGZXnCX8L}Zt=!-d^>6fuHKdXumA$rkoh{=lblE@Z>P=an{0;U34h zN@u@;+{<>jNx%EPEEWTy7eI`zaW*+I@@<&cjU`n~jdzX@+p=j;(eQ z3(t4o+g|%QbXk`ErzdhJ%)`Bwa>H-wbS;ojrYCL@Rc6J?jRCu%_cG;yn}uLeZ)X4R zm>XbTOMZi>MFTd={Kp-ELD*>aCAY@0-nS<-^se$f3Ss~9G5sGZ8NneWJKF|Vosy&% z%aWvlo~DCK=xNYlmW>k;i1L04|HaZ+?B&*C#${*9u~ts=u-JKx{J)l2X96{6xDsb5 zJi?ayy@{e)rVudNCJHxrm0X2HpbFdT_Wnbm>IMab{tY8BQbut!Az?UDcKki?@-I1) zDL6o~S#Jw`v|c2c*+JZ}jLBaJq?^Dgju#l##$AMpm>&lQgynp>^`OVCWI0?JeAtkE%O&j+#}W`fzHf(+q8<^^H@q2hS%$w`m(7HRz@VJ4XPXSp80oIPL>o+S{kOf zQas|S4p2#~;(8KQii~#+RVa1B@9s=5BT+lKuxZ0l;dtKDOng{fbdE#xAyWDn_H1fp zS0^7$QK0^a3w*n+0enAc(U&+{P!z>K6RC7Nc7qfvT%{8K{*{d7E<2P>d;1o)tbHA5 z2Lj$?qOFLwD8An#P(E&@FWl++GiXeLjW?AggKWY$K#<=Ybk7iOZ8=Z|skW~KZeVP1 zmy3_9UNv_6U|+AA{Pd;8fDfuZp=r{@%VMaa$b3Axc<9sZbNx5C!1z$N8g=p;Y=!;z z?A|PW9kIjiFzE6{`ZqB7+jkgP+Svk{1BhP0Xtd~q*c1>XAJx0rudo^<43f&?sPvM^ z;puMU|MqD_s>IWJ#7mQmA?<|ZXtiwe`i_zl2x1Dvj{ZV5nfL!a&k)RS{TizNJL&dO z@R944P~hQjQ!pIa( zGT0Gyqb_Z3b+f;cjU6fCgNTS+a^FZu3LVr+U!I0SO(WyDtelwU_H=$=BzPhc=hgZ zyYaTozn$BJaHQZz??jC}%U7SERPK6nfrB5D;s|?f7A*SVZ?xcMs+l==- zr;C#~Ui~h+eyJPxuf$bRFtWn(*q7l^<^0GW^!2|lhy*uYUsmw1ys~lk&pGh69;|bA zu&SuI$66<7A@a7u-DWcE<)RCgd{1xryzee*;RsAmm*!D z*TLJ58Lb=!SV%a~Adi7&SE zck(Haq9OqLJW&t8wsRTMGzn03NzBzVsyolR{!_UY#*}1|3 z^$xhux{xXGaH*6s`iyw{Z&yGg3xl zVy`=@1~<9_qbsbN&5s;`5=vqofK3ls0?{ha{-RSV@&7_Ri>6Cce&OmAZtXGx5p#la zGP4@_(yq)n*X9Bp)XK(OXumQjybyk^H-ypJ(yjF@HOqK|2boJ7Xz71B|DPxwrME+Z z8;Vys0A{ej;+(W7Vr^-&dH2-m`;v;R?s@C}^BDd{v%LK=KQ$Ya+*!yfZ%`E ziQGs4O%N-ROvh-4?*7%8KulDV6vkUj4=79<>N7$anQogaoxRjkH66eS6|P*{a*Y9W z&tJ!!Gc64=J5J~@l&Y1%ST+-wiy^k`NqpH0C&xOq&#+tbS;9KC;RV~0g;a|w0!e9uWlr0<_LPBs)<$+%R>kp<$asXe?fbi8Iu(W(!Xl1bu zDtaXoj9r3XSFhn(V$`NXaXB-EP`f`o{@TshIRfVVLOsMN z?QPuh{*YCTvcA>YjnJA|cfod`T4FYvd1hq#(8Y5|2JM?ji6e5iBhlk(7iGYl*BvQ-JPDPk_)mWxv%f`W>7!B2+l)HkRVqR(ts z;ShS`uLrUY8~Haln*2#nD;X81SSsQjf$~#Gc)-mx3x@H2_5Owg7(aCIRz*B>%j``z z>e;g&Q?1_#?rhH)&_Gn{u0jq5at*RFGU#+H6qz=RLQ~R+U-;P!diVGB;PTS5aqB8t z^c{DBvFTQ!8FEx!9(kRvM7P%KIHO}aC5LAJ-RTpG=VHC`U5K|=3{RxgAXLn;PO95mK$c4DqCgW^BjVgq$TbLDAv(~6 zi_CY*GnmC7z3!N6U`UEqiLeuzp6E`6_sqdIfOe=+DjH|dUW`3ynW&cV#O`!X{R%ia z;sldJro$3v>UZYpg+C;}AFsOohXhSmQd+vYwG?qyxIR)!DhOZ<2`+(BJ2QFYuu(C~ z$90*Yxv(Lj6<{}q=!*|-t#3ZKzMwPe3gcpS30Jyt$jccnu=-cRyGd9$hQW!I0Rx7M z@d;ky!T7-vKKE|f5Z^0g!{c4yeuowDbEW` z87vtaZ0f0XiKbeF(l1#a{m$e9QW(ABEqED^xrV8_TTj^KVJR9$MZPoiKZUkaEHXfoIytBr*5=|5W7IbG#*Nw{MU2gsrLejp`-_42@k$#Rg3a* z1hB=}+0i1QjAWMa#px8B4Usf2={)$PX65%0JG5to(6Y?gFfyPL!EAw}o4ZE0i1DnC zDogT&HZy{DQAHZ2gx_;kJ@uOziSUe|?o(}NVsw9c7Wvj4eT}z~DBLZYfw-YZaGZ(C zrQ6&|#m3d?)kn$w)4o2|5}||QV|AzkL1nEDbco~@d4$SR*?YS`vmMy_M}CUiL&rZ*KC#$%CEcEk7G-()(IYhdr*+JE$0M$=urh4l&P4(bAffUR zYe$iBBF?~~7>gu(BVy*Z=cbdq`$s-Lq4ZlvxR%`4?#lZ>VAHfFP6Y(4EH?jmpQ%9z z4@Am6yL=mQ@v~ZH`ds!AK7`;yn_W7$tOv4L__H5#^I~K=pmp=KH<z&!Z;`aJYCI1;DEnIZod7KD;~X%s=36EV_N z+S+=Z292F;!3AddOaF(U2^qb@V^<3vXQdE=dSGiN zxigr5!E^M%qpq%8&4t1dpGYu(e7QBcqHL-v1ji8~*-TxAzi8Hq$Pqyx){7Y~k8@AQ zvE%WI6F(c5oucIjay9DfR#w&!M4`7|kZ#ubZ=3#ZJq6r9;%TYEMba4>>K8AW)s1#l zSXL^!`d@P!|22Gp0H$b1MZYs!T57-?atC&ublbA|ujxECgxZ8><;&bKu*)*c z_WgsQ3_Z3^l{4C3+^jUM92Bv$1YWk**NS>lsysw|Bru9pTJewhUJ^DU$~9emB!Y5T zS^c-H`aG%@qJjO^p62;lGTq6RrYpU@fRfN4IxX;^i?#*_iX3!M27zXE+~kq=JMK%e z*`H|9@e?6wBUj3sOKIZ=PHS5w%y%;wq;+CIqJ1_50PL$Wg$s#4|?R+czbEZn(Upe~x~uUHFtJFRl%@u#$rCExqg(45ge+j1VQT6y{7dm!b zR>iCjK|s7lnGSmun#&9gMAzkAZI@&#Zl~vvk(AnL)4=kvuk60il+IRSet=LJ-L1i> z&aBFQj5f(+Fr>2mz2*iP40~xOd9FVsOg-GF>B!2%St5=e+65 zSsExqhYR&hk?y$h#3q`+J9;znx+%$!As2`Vbuwmv z(oZ${sZ^KR44SVmEk%4fhpRRfT$hZL6Iu_ zvadFXH@o-{1u{C9iJhjo{I}81Zi$pSk*kM7adr@;qfD~KtGZ8 zweMp*SGXMZ6^MUmmfO|GtQm@j@sYsE1MbnqQk!v+#RmLrjOs=W?p;gEwCUeJPe|C) z+PdGHQepnI!tz38Y(*PHs82P{t&`%rk

4C#L)fEGD?}p?k zIbhr}HM=;K{d+l;n=;)uS6Suc*%x&0Q~<~Z>A0h6%${cnnlh{Lm8$7|>%QOJDSs4$ z4`Z2R;FcvOz-Sjf$lzibQ*#;gGkC^#ZS3gee~Fa-*V~W#t{)FJ;jV&A`z7lmrZ!l| zZ<>#r9?So(zPPgy`7&4W;&RTXs`r^;ou%&T{2p`Q%Xj_Lmbv?5%$LzA|Guv6%<|Co zmG$47n4X|1OZf!$ojeo*lVc{4m8&d{NbZN*Y-_~tbyU%i;>pb|RLZtP$~MYp)ZJ>t zr^4x|!s*bU|A+jdv2J7?=NIzdZpxqlXHgJJcGvUA%_yYudQ2kc)tf(9Lf^G>1(ZwyZ|5G(J`;IoE@7gMJnj?26cjt=jq=f_?**SbU58d~n9R{m6N zPkSxlU5j+}<1}}xJVB*vBJv-!IIvtf5oSz#y{HdAPGRy3-aq+15X3c@`5uNBfOBc7 zK8RRSJkR-r8XpoXb}FVi@Nq4ip44w{o*#D^d1(I&jikvygeW(O%J{MoQ*`lIZ)9Pd zB1>6n<2VC^6;N<4_)R5<0}&R{r<@t#cWWX3jO#`Hy{{(3kk@RPV7{RXk(&5^<(6YI zEMgg%yA2a#Aa+NeFR`No@ z=2>3^;^%jNTDFGWM@ zK80#_U*zL1o~fK|CR5k5E!MLgjR4gLNOIoX6mogt>QHUHcc!C{uu2|s`;5{Igi{Ri z156L4h}41vm-h49smv0!d9!LAcI;rl`KXRi{u7H>B(p2bo^*E0d4d&Iqu4~LKT2O& zsSDaMDV{ zxv>6yE%r{gXWEx9AN&9Q4K-QTGSAeo_$Y)j+2-fp;u8WfXr}9HIf&w7d&7qB;&@1P zDGCv1uU?Swx6Qy1vm(sC+bs(ee6c*+SljiAuXvZ`CAy1~j{%k(BM1o(0N0#ev~5np@T-E2i3 zNkvGt&WJJ9s<*InVcuEa+oj0mr(u`r8}W=&;y@^~pRdAq{C^$A=S$1C6Oo-`LcH!^dHet(7ZbslE3){SFbGkb@~VDP^%>ahrD8LB~(tS8pK|Xc+KeS~yaHR2Q6V{#h-f>b!4>x6U)G52!up7b9 zY~JQNU&XvHph&IxzQwlpLkr^8dFEimesys$zMHN$rmi8B(II83edKpjZ-+8H)99co z?!*7HD++xHyYn<2s7Y#ysXye@ASax;7?TQ!_*5rfbQcI|{KsV;?C@(1R3s$eo z5R-~_9HcH_L?LUxlemPXZzpk|6imG9HvDrPTL&@oottRFw-<$u=AS0w9Eb9AT)QbJ zhfxo!-|xq~P&U>xaw@K){2fUwZmi+**j;r!gpsKBq0>Q{$j|%V;_tf3ULJcMa_V+n zn>>0#sLMXEyGIOh*DU6!qA!jF7JMl5Nvc0<;FvY)?6f0GJW)!dWEIs&*8fCxG3LRK z!b$8S6|K5-j7@s^4cR|w$Jc_ETt;PKT0K&~=eL{&t zC`D|Lj5vZS3=cM?Z)Bn6n33i56-> zvWasv%l95^=w-Z%_0+vG_!aNEPZ>>?fP~wJ5rkLRboYB9LLcpCti zjB}_5<8~fN`?R)pxZhGwXtM4m?u(;xoHE~KCyV*Rz|zL~cjIpY)AQ$Iin4YsEP;T} z(bSZP$$ao6`7@(XvQ}mN3Y5j#qzTgSkSh zh7izn<4yFWMhLmbU0@0w;`h-B!e%=U7errpq!$Q8cp*xd<7CaH8e z`(}kGw?e$-y$5OU-m1tC*Bk2BNgv49a+tV-TS_wM*DK1!L@VGD@{OFUp)1CyNcoYf zk|L)*7MIGpM*+7ng@k2yOut+-eZTgXhuhMU`kUPeocFjeA zTrhidj9={(WFL8X?AAVz*l81C4&4p?U8*g{M^c?appD*u_Yb@TEmxG2Jkw zr}l6xBl*6~z}m?_@Ja`x%kV(r+Q6u?99d*^gfu=hG7U!ysKFv1A zjKA$=@>FGT#xjeSiyP{fmpk>-IyO1~w$>>=CMs~N^9f;;*pWU>1`<)7YF_*o3V$ZL zoMuX1C%A>OS%flf{g6MBY*(8qr2)9uKljrTKILcEeXcceQN@#grEezQiPoGdy*arY?zZiFpeS-}M(+ka|iHNwJ(@b>rO6WTgL@XhFuaB)w;r zG>ObEwa>h;u^vMjYG>)JGR~4v#%%VN7LL)O<8|3ppK^y8{qM!n6SSiPZU9r}jAlmO zA#L42dD8m^IaMw!23-XMhuj{C!W>QZVgw;#vz(_#%0lNro`HK}sAB3%4U7Jqs zQ~+|XO8X0Sc{hBO{o)OA;(-)<6)l|cHLu8YYMOg?a2+nCrsr#|@ES_!!xIqWp}e?` zj?SPPv2N6l)BTlv`Q%rv@Y9Fi0FdZK6_m_;y>Qe2(}~jOc@xj~^Q6GQxDTs5{KcCV zn9d*{!K!|3GwZ_Mh!71F5-Zro!M5GgH8&HI#(DByq`A2yVv;!ml|P$u46fz8t-Yss znkquzF~tfu+vf^@ewpNb+ulmG$b<~y-rBpcl!fI$6DVU^Vl_VnuuT_o1X9bs+H1?W zju*y9pY_Af_9cSa=x4rLQSKjJ2rd+-*C~cktjdE0Dj<(p2dMzJ^#m1Q5DWoEZ-tT* zX)jf^BIviMg$mx?`3ve0{~)?{(&r8I)~A;gLID#g-9^hYFrlP3YvVaADp|+pyDDI^ z5Pko{-Ol~kEsjGa?4eeo<}B} z-r^Ow76Cc?#Q90n(V|8iB_s(CM<~2HzDKg6b2`tFxt|o=_xfw|I|stj&x`QZ+~eZJ zE5{*uQea^f*o(wJoy8>Q5mFRKIg>VeO{7qG!^TS|V|?pa3fes1uGW`*D^=x1zMNyY z*7ZLhxVY2rqByyiN*(^;~3awo8$xIk34K)}M_qhq&8MP>;~^5bAo z9d^>I#rtA2%fZJ}rQX8fY=Tu_PhPw|WbK$*^)Gn=#Zm-@+}~4 z6$=9rhv9W_P zu&=zdpnf!)pPOz4*oPyN&mMt=k&wIB8G%(kTl;mttr92St9R8Xve#rn1q=#XkHV=} zz6xdL*VP}iz6zmTv;A%42xaoyfq}zs^kxm70PV)^2D4RzZ_7b@L6%43tILdgHVxSB z&)nsE)man%^S zD`k#$ln;Ow$9}FnuM2m;US!`Z+;aSsmiDPC`%@7ruqH>oPbrMNTV8L%7(ioFn{r&f z<^a1rR16W;iv#FpeJ!hfMQ1(EHx&G_535Deo>x)m+Ll4pp6~I|$WQq)iO>Y;r{EjI zq)8dfpY8rEh$jplvn_NfDN2WOawHt;f&z9=Zn}0(#T+>#KTUGbDiC%MC8Jnoc5Bf# z|7V~KSnJ$y#&}G(m&WI@=;SejB@LzqXsM?_v8(1&A>a>1l-}DD#nrE3G9CthYcug@FabZ&2wQQ!9D_=kr=SnXAtq>@3P~hIiH? zFL+B9$-i`^D$VARf!|_>b}yMtC@RR*pjZyN4I*2XB1AP+x5V$c;L49YDOA~ON)1Urhew#mH4~L zjrCTBO%we=^#vC%Ixhmsk-24~+qFc0=AB3;kYaR|tWD9`dvZ+@TY)rjx+k^=6uz+g zZxsg09WUDQSrMrGcZ7F`mCjB2zAhl#xKK;sYg^^|iY+#Bx;!*x`CVV(6>_ILp%7;8 zFHWC6N2h&TP(Y|)F=bC-QbTDEo1jx?7aW)Htl$I2z{|xHgXYsUzOMyODw;RyF&vZk zxd0(HnDY7V4G*X~4eKjzej4&Q69#h{FP}h_`g(Df7bCz8#lgQizkc0meA)#S?4$0l zdab_hI!W<*s}58cDN34|ENqgx%g zp-W-w_kmZ|aIQ$Zu$3q`sNK-K(qQ8LP7a-ySZdHh`OV`l!?42~ViuZA#=4uu^@d5b zzsr=>#NFlh4r0a!HuJF)z!%;ql-FGBU_qgcqACJx){k)Ltkay{U#+d<4p_HiXj|`i z>$3g1FD*j(!j#CljM*ib{2WdZ_Jqx0Si~Ue^6y+|^73+pl;U#qNUy?al)f@&_;PP% z_+>zC?xLgW-tTCp?%POV{$9zt<~W8+7OeUc9_J zRK-CI`Re-Q2Q=&X@dJKnnuao<;8mGFgF^EScOCkw9m*e0rQhZkdM=p83%^EQ6F;5x zWDJO;85zLHq*TuRISIBpN9Z@YQ zndAz*s&OqAYiSV{06lG;U`20d=@#JQzaN+}zq04B$D#?d3kR5Z#T=5{fb)0_5@7kN zgicA$ioc4_Uv?AJ-ipF(AShJWHs6{4^?h#=hEKACMvPx84M&C~sKj+t%1<&HxRZlZAV`uPw6SlWvOk~vOkIM8Lg|rXw%MVRf) z&1;m}z=69m5_`Q0%=_gm`I+1bn;T&A%Ht$IiXK!=XQxnL{v#aqlbGiNKip4d>RBwc zFp>yM8^x);L5W-uXtguSKIt|U3*L=hS6&ZDHURdY<|PkkXz_a|G5UxJRV5{{YC;?y zG-P#2h!ztu+!+w=TNa?0H0sv?U|)~7hq2#&a(4KW$*#%k#65JOyHcf_!WwVskVH$vQ5P1zuc?Lv~94z@R|AZIy( z?x){e9fx9DTUM+gO^C!wg;Ji?#BLb%l8DkrMJGH&gsSZJseZapN|F$hs4$>DSv3WG zmjYaq5Jqd{_;1FKR@NRW9NleFI2wjv{J}QR7yciWh4Wm>KVgSnPKrz0UBtvs?TGm} zDXCLUrNhGPKcj68`OeUjQ1KWiyW;JFshWo>7bnj$zX{sH>Nc1C-p zA-ymAwt4nTgXb>l4ioyr2L@-E&y0KAkmF)W;zIU+N=ff8Kz^3ZYOyHB=4fn>eq&DO z(I>;ns$CGiSJHEOvVV*N_x5kHDoYtXQ1p#txV7Lw#vowHr~Tc`1km(P>8cu|^a*92 zt!eE6>2&=*cpbkXYf*>aqdcG6L&k^s|1fX{sd;;HWMa+5(u0ER> zbq;v_fj5V-lw59*w&%5!evK>G2QuD+HF)wDPZw-R!^AG}%(+GhBf{=@cuE8bNAl_uUWjC+m6 z$n=T&jl8&5@FFg?+67c6u3ouq4hAs>mfOX3!o!jkp!&{=x>l0AZ`l3E4%sTLdMjrY z(8?{8c7<-qJQa@&f#MIrxfAmxrHemz;e6^9pZewh*E}`40=Qqyzu>A@^6)37^?l--Y(ziSJ#mK!>PZv%p04L>}gL5g@dG) z<{O$lR45n0Hh>JvE9P9Dlim}ePdKO=GVklD%C{P0=K`wrcqJ!|b?dyQ9KO7FEN7%4 z$Tnh`R{HJycDz3)lIQ6Jb`Y%`qON-PMwiErFilW+UkS5d8}|C(j*QOhd_y(L-Vpk1 zD=YWFgR$p;HbE-ye-MpkR0uwZX529Qc`0(W+rG{5e^d{E!h^5ZopHfW(Omfdbr})W zL{;X*Rkg>xBV_cq5HJ1?*^2%zubrv%<~m?LO@Gq5x6$2b)Imwg`DHws6{`Zmm<11t z51ECZ^jFJ$w4hmivi~4r4D(Cslv%2%nl}!rx9D|$qFU;@>-2!XLMJzBMrEX5W$<>r zy2&x#qQI8$)!vRjZugOYj|8QK*x*be%!E&&C#fevj0j39_E5_~b2!ohS%}OYB$c;( z_8?8iFzvRmt!OBDd3J1aB4#P6PkG{77GjvbM_)EuS_k!bIF{j8dh#=4m1~^g_TL{N zO^EM*;dff&k{4HNo4I+9(Dh#S*`tn`3@(4BKPxiS1%$ha+z9n*=9Vxw8{aSyZ5USh zajlL8Rlbg7R zzw&m2eWalU>!Mw>w6}5+qHhf(t+h*6jwOtbMW=ljl6!0*{ZU`~qn6gv{%JaJwP(2; zs2pY$00tE?DyZMHSSf6cYz=_C&}2n+^}g1{AFm5G46iQ^osrR-%abxh=)^W*wwb@l z%pd1)oQZpE-c-qGaPc)btyTE;j36%5UG_NVtYwOkt`)QM3`mia59?j~%_#KiS51$8 zZ||z><>mHj;`C**!sVvxs$uatIgez>Y~{QdgVlW zvEucWrFz%Z(~0@R({+GfXOZl1s`KBAaH5H)C3p=jJyt6hv+IfzY&r(VtEzx!Dd%w^ zP3)6T=j`Wpoh?R&#DM-p$3=+o>^^bSJZen!0gs-m+isOhpNIn2H<#M)*UEWUvRW`E zf~1f|u21uk{7`K$!#!cR&V!PO2KOmCWvQt}FmF;Pp9*>BAbAEYp7U2r(koSZcj^${ zZ2MlDzKxS>KQfyaq9vLevYo%qkg>tUzq>lr)#rcTO3Sa8v@BnOkLBjY$`lLPmnS9M z%@OOa@yL27jrWX35b=s(-Q?Vkai*7c@LBF&85-BEHu}Y)$e+7|o5X?vYxe+XQUpQa zWr$Wbn!yYYTWoGSwo}%F7I~C&&**1WEL_JWUiU>R|B_k zy!vqB@qhWqYug^5ofOy;oz-6`k^uh)3XbIr6`EYCt`PF65UMihW@{X4#??P*MR2X1 zx~Z?XrcD!DqV~Yt&gO8;ur5A~2E#qvveTS1Mx-sF9e6jzke#3cpY`>bItb8fWXi0Y zG+g&z`$nUbqPQ^bw^0)+NoVz~;LCmY$I$u*x7C;+%chgmLGt<;8zj+P%K^?E4`ro6 z4)8@U*4;BYN7Tw}`+2NM`E8-{@S(BL!P|{hu81`WyrFc^5wg)RIt_BGdpc^^gs&)SjK(8!3ky1zm9=vDgFGv_(ese~> zlz%fkq9~Ci)T=OyX8aLEr&E_aqPQySB7e|*ZEaaF`$S-9ZiCUdTkungDK2PF?@AjO z9jcE^Yt)}?iEnNX8*RNb+Q@reaGM-w=uGEdXwD8FXja`$D4QyTHO&c`#i zIj$$SU1Uggt+OGA{2hUOxParFemOu&yVjDNy93c`+h3?2HnM!*x=gyfp@tJC_PJZ@ z^mFh<>1s~%rPC?w@~6#}c;LQdFujR_Wvf)n?$Ll@b9o)Mp(@xt3mN)5WUa&}idpLAt~Rp2RcMUvy=FM&R0$$?m$O?}qnlbrzjT~*0p zUj(ZS)DBB~b{B+Hce&39(DIJHj|LsQM+W3T;mj)GzHa~#_lx9{eQ(o9Rx6Sl;~+s$ zxDx1D&WD7jKZHI)bR!j}=$?LiKFf}>dv$QPVq;DE2%rDkGHpqinQSz^L(-|7#nC31-wvt`QhCP3|p^1yHdpiqFZDO z=4#4W^`Yt8QbbzjK4JS6?o?)ppATIUMUL{Uix;O?K633tK{bNv59tEL38#!xHa1ST zPe!)7pJb25CCQVVq1|&iL$fZ*vq74(E#ryN=v7)Wi&hXc3c$dZ{X)`(BN$}Ra@d@JcU8% zY&^+-hDU(<)U_d-8cvR|Lq>;?TzXM3r223OQWE8PTcwW5y}S(;!7X7l z$97t3A67ArwBm`fo+_*a(Au>|KL(~#V#4cJ!ya(J0u(l=dSddA!8(ur;`m?Yg`wIb zO}VHk6ydUGH5}R!g>o6u#$P(DGRew+T`%}ed$+Xb&7DO}HRv?Bx90@~4?rzL{9axK zl!J4E1dkn8ydK|3DMlUn$jPZ(6lGnGjh>L3s8w0@xW>~g;)k7{W@7-qZ_L#PqJXPx zgwu$0wY*@$IIVxV0q5{L+HOENb*}8gAIhhJ_nE_a=U3?T;en;wsJYUPYu<{LK<34E zwCQ!ByvJ|zhGQALaxpNlaZe7QC!P=6!BnW3xOM8vE3qcnQXhEk#pw~-3 zNy+)8%4xk_FEo`h%`LmMg)Ry=guXhw?-6hQ6+aN|viPQxfML@;%tV+GO9 zF&!>+*pMOPoMjO0gXpI#e;3YJ5AT{D&m)YFo{C1){^&Y1Fs9<9CBb)C>Z>;|1q70e z13X`x*pE1dglL|e5LVQjc&Tk;YsB(vkeH-{9#=TleXZr@awSyDz@ByiT99l^T0ECt z3Ikxv6soc$&LUA^T6Ai(%JRJ%8(lB&Ca@C{oR)IkA7YY5?vz~R6G8iwCNaZ;9i`J= z80=KZIGp{X1tFZ}i!SKcqv;m4?fU!-S1JqCLe9!P258lbYvXx^$qf-va3o-_hI2a2 z^wpQwAA*KKPi*MTvIJH)=pJh`%D#%Pv=1DLRBt=K5=Cc<;6((rSp>d-1YZXAoh4-B z%q&|eYy}My-`O(-KOcGBmOJn-{AVD=`)r#E9UP`mdgA2>tsEKo8yL;u*R5UGKJMK0 zYW@EQZ|(m__CkgwycdBIo{5^)HxZ^L_*k`%K`IeXZWSDqTWX6$$-)`R~R$?oXuAN9riH}8o zvXr0t@b@~*dNmjc>m;yu?D44(|6=!1Ls#wB`;T^FIW8h!<9A>?ap{}63Oid7H?nO- z#?1~-o6DXxgPpsj-ll7HOdG@FdE@*PDa-r7agP#ERU zAgd5A@G;Fy!K`EB#W&e&moGR#*CiiKT%hd~fgI6|^AdLMv#1(Jv>z+)tqc(e>xg_1 zn!)nc<#Z<2lA8N-(asMOv>FT_BRL!;#-=u^v;EAk# zR_MjEfcshd)75dUCb@^x@s$7{O31(HaKVgQ?n%IcU?8uCD&XB$c?WlB>S9NE%KiLKyAu{nh)ZT_1bA zsxXL>aD*`6L=5U``i_R(1}a=K6B@o4bwR{MPs$VRx`4E9}m;9cDq2f8#XrBB~oSB&%w~ULQ&b@fMNbux2(;*WQTv3J*`92O`V=O!C z%dgX2(>dJf?vqEgjjj1tfAx!AnEcBB`R->X=ijWNpWOTsAN8K8?Z|RWW;rYKe+AUP z^ODa2iNB;xdx@co^+gv+f&EJE!hr3qNhiv3`S#rY`wejAkAsfPYS6C9%8$>CbC&=b z&sziv|BY3D6$V;0=M_R$IX;A*??+0z*$hzLF;&nT8J#MG|8FgT(zng$O%gSM zew-3hKSCZzk59ZDj|K&d5U($h&tu2ymlk&%9_RICAzNia1QV}DK>Uy1%IXrd=jxlW%v>RP~cXP-b)Xg*VUAk^JNh|4uGU?Ao=$;7`2_Om$L{#M6~ZX)hsl(@=cOCYry~l0I;a{eXnL_nI_PtVZoV|BDXsB zYJ3-`c*aF4%&oZl3A5n^`KlN`iP|y}<2;TIUnz{INZSaBC@4?sa!63Xa-Bw}tM-^V z(kG?YW+WO+BVp8%-iOF94RCVfk5$6KnIY3%91AO3&D>es{s)5&W*{47&--R`3SO0a zdbX_`#i19A;-m~u9UcwCG$R4c?Wfvc%RpK=CkH4~)X^pZffVQse~$`RH08%U*&e{a zFPv$ku(z0lZyE!RE;?5C_bMBMFYp=)j?YF}6Y9++a|S;8H4Y8z)BDy3Mn_Q2V}Oh^ zM=SaHex3iq7YICes5=}XK3Qy0m$y3guB4>q{uAtb_v+imi41|q@q*usysRy3bSm$W zpnt%_B~9RyCo}TWo^ihDzP)J~Z~5z6-gW^6%1c*DDDtpj=z=L=>#?oF2h}Xwcp_@0 zF7XjB)AqpVof9w9j?j^_?OS@kloZ3^4Z~qOLqTfOQkf_GWPBUxbdNtG!ekiZ$#v|N zeur5IdHuSufDk_PE5gZ~s7q?F721nwK?%PkumH5~Y^KQ=4HR`<3r^Tn^&HE}#OLmI zA_C5FD565wPOQCaM>j$~YHBVqGM2VsH3fiUvyh>se5`Q1HPPyGr;msChGfH59=;fV z6@4=hkd|N)9(I4kH(P&xi%dib5uQVl?uqB>^mi5^dX~fA76GuXdpW!td>WmFXJC*X zI4iwg8BrKxAQ?0E>^TmV&dUSDg!F2aG6=&{wOpCLH^$}Vpjh}jK1$sXyBj{=>2TnX z<<;iNl#GNw=v+n6>PFB7i$GHLGT@{x3?f?j9niEiRrE7CrguPgbv9DMu(E_3&Icj&(N<9uH*BkHww%R8cZGLmf_@FwE>xNgPx zxGs?jo3y-xtgjgm`N!8O7ELA*wi_r!=GLl84K&)sP5$ z_i4X)QVKm)pJaZ|-UI%>K0Ke+IVOa7MZ1Uxh~B2<6#+rEET4kov269#O1~b5kk{Mo z!dzR6@pxUh2_M%`N^ZBy`3q{{0z*4$4yq&PW%GBVT&1KQCzkHLWU6Dke-l(R%J(By zSPs$jRu(ImLX8HGJQvIiDRDuj_VnP*f{GF*wb@uxNW>H42=Mje?|4>~$46qxdTn9f zMV15fqPU3F4B-|&TNhni!q28X|E z8-c$Cmc^^QIY_<51G5|qwB4^ss7r)T&zZXK-gW?>sym`&e3iWoQMqenKx7 zn)tm?OE~ykeS5qxm7dWm$Umn47rEA)JT6dv+o@DE^e=!5dijY7DZjHGyot%FrE4=W z<@_r2?#jM3^bC0Ly2IDUH#oti?tT4hHnVavT+9H^h}RS^6Rc0)|Hy&i5R*>UUS?N`9 z!YDXjlnQ_BjmgDGqSiab2i$|Nb$1(={=Pj<#xEw7rCYj@A%K29CdDS<;p4@VYNCz* zz=H{Ih@+Q`%jB)wF^al+Z-JWE-~QqWdPB)R(aZA~iC}!c+hkb{iMuD)F*LxzFnCjj zwfz$7rA}SsINsjJ`zNXwccDCo7bZ{*N8fbDVH%eF9eA4NrZJ?CV=uDUNSk@U3yq$r zOzg1Gk|Y!1ZE7=+9PLIk9sc?I(#>AaytQ-KpU?m|JH+cLmysh|R0agZT@RkB4OCJx`NZai+O?W59b#u7AY9ONe?c3Jcvk(wv zq~ zik<>F&7H=EA+w479O7!OCF;l9!)!^WUYhFooJYbMo&rDWm$~bOaQaSCUW`A!u?3e| zBs?v`a1+nc3#QMFcKl>v{6wlO@UnV)txV0s;E7HtjuJHQdBS@SNV-H!-a#p%#u8H^ zuKLP@#ohYR4`H&L!4Z{COW1uNiQqg=gA3WJgfTxJaF;PRA=onVJ1bc>J$5va+!)g( zTcqUg1Bn44iyX5gKXuyqqs*LUtA(m?jG^j-fah``E@(0h>@%*njKyaxB|MOH19DJ1 zfmAz*vq&Y-w7Mp`dmk|N3v=o_c9{yks)}ywb;mua>FwT<$9+TSTEJ05=p+BnbgtI6 zH-#2KZw7~ard-CYp)5=%n&%mb9Dy&~-d|CRMj|Br(jLpU+F6zvq4x^^Qv_P9%8cl|Quolg67! zjyU9}uk%&gbOTrPyiRd&=MDG8<>Nh*f0LVc{BsR$EZ>LEIvV8B=)hx7dST7fAAt8W zJil=Al}K`Hutf-OtLu0AhrFH1*vm07{;oS7EJsPPy-4+hV9u*r74k@$yIWYf$1|u8 zbzFJ7my}O4VS<2as?eN?Rz3!GRWOU}rYr#n#p;ShoG$C&)3(0ya`CfgGJ0)#@=@U% zy8Cq;SBsDbKOCr0{(*6u9dnZB^VZ5Q0A4&Lg^oiQiai-q+3a1Jy5Yk1`Rlkij#VBN zy^RV^?Y_<&SL)O}%r_uf{!H*wH{Xm5M^QHyyzl0hHn)6z9*>cu=h^#;uk7VF4Mo(^ zg=<6OIMhI>VeX%M{!f-D+weIhZXcuNYk}^dG|T!yA#*aF)FZmh`8?}Ran541VUCxC zI$zr@@f~CgiLmZ_0TBRPo-!yM)m&DFX_FwW&HF!oqI+vFQU!Res~ptfMkEi`YOzIm zntr2>&z0}REeLe9?H7-+{&6PE#KVx^11)+}N%MXlrNNeipW>p!c8AN2_F z2p-L%YB|=o=p}bdDo$KhmLXVxx9#5jK53QnA`a4jyhqy)bh2 z+)aa#Rc|u(E9aJC&wm?vSN|lOt|>`kBT)CZ2}2!}GGBauh@BC||p@+eP=Mgael_BI#cm!E0V&kc}X* zxrMNBVmSu}O8jG5KNXDUX_X52bI6?FY)Rew-od$4WrmmJKCU{|QkF&-w&BP=?R|#4 z#aWfQ8bP1hq{&N~Sr4XJ4^r;w;MV)ld#%iey-KNvttHQ+adS^iu%$MVr}&dyv-kab zDRt_Iy3~SG>l?oj)hi6>CbEkJsyg5$lyl^epJbe-JatLt@Bg5F7BGX?(mm|nqJI(4 z@GGR+kj*vP)A9BF#zXx0K}T=@#gf<8`e$FPq!_Km(o`9e7J9sIb|j`}1>Yo$!yT|X z6tIAxd%T=&Ru0U-YMW8P5!#D9HOW%6a&XYit*Wu5`}RDs5Wvye*7{>%l1egt*nn40 ziCeNM!Y!RDSLitk!+21YMT0U%`5>AgUuW$x{sIr5+{`>IC?C;oZ9eyV{?ChhD!t^R z`fo1!v%Sh1=k9MrhMm0UquoNv?~eihvc+HpEqZMU6}>WYD(=rV=z0mR+F~rFD$u7M z5<;hbqjrJ)MfQ=n$@!vZkq%-{ka=OeUH`tTMiOgP8%PK&r* z9H{1Pk59Yml^8RZSmK}C_%)~yMD(-<%3|+6OiW0l)A3D;Wm=7~Dm_#PA^P$fBre@i zto9%#(7IJ)Ry2BUxz(_)9Y1VF2ude%v{02|G1KDHQ~gvQJD~nu2SskGlDaDtn2$WKe$m8h} z4)8a+@G$O`QbL{OC*pG9K%W*N3={A;Yuj-TB9AN$ajVA}vghrj3Rx@ z$Y|n#aW|7)k%Z@5S;^Avi_OhYmRNe`IanB~1PuMmb*`zs$CxUi)Z`WBazS=wL3-s8 z5!4tRB-B;@ zzhuk0J;csReVVB*G&Ad2E>Bk{;IN{(e>Ff~Dt6pD2+5veXP>{btc8IbpCp<%VadU4kb> z^kGwASOVML5;BNjL<8}FxIS0GuNe}p8qEhzc zG63P2{5mug)HKLMRy|7?5AbUg`E@jmg3;0fy|QWIVPg~gOh{K~34$}5u=J(q)NtgU zG2{ll6Eb1WoxZ{n20mvY6OHH6KuSjdtYHNdR;tYndx9da_>&?SAr8z(Dwyyj?qO1M z)AVSHo8Y($qs%J4ui2D_sxb~mst?rhH9z)Bek?TixM-+ac8|Ym;2m7wF{lAsQo!*@ zsF0&13VTh;(sK$tq!fUc>_RmZ`BkhoDk!AjN}%$@#EyDu$b0h3#pT1?qr zEFU%r0>`<}NlD)}s}MXn6UJuOVVaizuU>%g&|RE%9ETqr#Rp<2EL!@qH&FzR0m=Nh zlzdY}z%lQWtk&1I&V0vkbuW_^M--u>G_?r({Ae!;K%QN`)1CC%Ybrd@BC6tK6qi== zEPYA_2$J)+aTH7$M94sW#QeHRQSXulftf~5Z%Hk9! zZhT8@IR|~}XlC3w%q&QC*t)-#zrTVbn>hi{9PRaj02B-@+3OOaICxLk*}kjaaOL>u zKhG1bh_zr&s78&i`p1}Uc7-!qIA957?D>`)`5LP+6#7&KiXHf8%i@9FfbXs7bi3Pm z&H7MqovU&UXf7#LEFX98xJgeQILAJ(w%z%h2+!A{HtbOmWVo9<$2a}*hC2eg11N8KwpPt%z8Kup#vo=6U&l>~8PIlYbb@QD*@Q>@KmFDOP<=b3+>vrU4w8UpvjzRC6YF=BW1VUJlyny)wn+`gkJxj*U!Db)#5m zn19w$Tj7bLZni}#)B|PL+$Fjtdo2$EY#Ae<*+9h}wIPo=j1@d4u}Hm#SrjTh9`xI5 zOb$7Ffe{4&$k$1+=-+t!6&hj2z(m%Q7pX3&5k{QxNd*CnKVoPCjdJVaO(ze!Mc!}lwc;!BLofCNGrl{h$>zdH|&_; z0XDWWcUVo9-DKC)DpP2~;e>nu;rs((n?_}0yS@Q>C4D3pVTFy^XyERn362h}NjH4l zekY6%Oc{T1GZfg&f~4-Fmsql%^k>TNPZtPiRt?OPe;3aF2FBH&exd8DART}CH| zXWiIY!?N!-6u~*B>Vw&Sh@jF@mPo8Wll(Dfz~dp!2kx1iF{rr)K)Qar=Ws(o!3 zkCdy{tRD-$Uw4$d-*zB4V5prm`z)iv);DCXoNuOoryW23r{{|RSu!2fAPI`!FI#k2XkNcOmvtI>=v^=ncQ?5=D@i3N_GJ#$J@S;co-ENieal3&@3IStR0 ze@HGezH(wA&X3<7tm7l+6Cf9;Bp0Y4_h^HdmXS^3T7gX2Kv29Y)ueK@pFg-sLT(9LIPgXDDv^=+Uq2kD7=tbS za$D>Oc#IHxKF`^za02j)ljDdrxU zS>uA09{1Z+V=d00INIZ{wN}z9u=He9fkbkXKTYYWCq&fsyk+Z*(@WkiG;~*Z30llV z_OY=)@i^sy8QfSbn10q6Shm@?9#j>a<*PYQ`_OnErO19Kw;GboTNirG^ng9`fX+3# z8!$iImA_Y!xfwA3k-&2DQ%cOHZ}a~(G1e35d&cYlt4PSba$4s7?{GmT0Q-F{7guh1e-}vBNmrMYT^VTit=!E1hD_;UGt)er$0XFVas%|!YM_=ZECLD79C zM2q=M(_D>OuS@}4?1y72z_0QzDeU?-?v1)0dTH?8euwAKo|@#3qhhFu@e194Yi$L2oFWkNz2cBwxIh^wy9h1u8CY1IroPv~@N;upg72j`;; z?jNP$gPT2p49jGG8gA3|mlbFPUzuQI;v4QO2)aB+XI-OMfR=M`fd1{Lm;7<%Y_-S< z>*ZW=A%~ny+zf5k;P34nXR)Dcv<+t%^|JLHN9*@FaZ8^Ug`N*GHJ6uva>uf07L~Es zs$&r+v~uxyu}x5;-Rg;B&op>Zluf_|sQU+0TiMaojW$Jd*m zctzjdmMW-}X>4M{Z?oc-cJo4mzBtbG5Suib5mwhsb6Fo4f|56mmTDxlu!)~Cbq7UD zen+E3H{#pO5C&y1SuP2|$9M#ToQkmYX9+pGe1rw$6b~!{UfA)vyIQ)B^{Wi&ZkZli z?6{`d+QqwilNi*5XijGiGsL7^9e#hvy zyXuG|$}KV~i?#`qYhLPrEuBjtd_mqfc9)TiebYKhtPPWkes9mRu_X?@?c?dGu-zV- zKk#aXUSev6+>+bN-}lwwQc`>?0@-4H$H(ID(v&Q}#Ew_`Xy(ct= z>5gXDh0u;S+wjc40gweEokjqcx|Lr>19Qgi@p`hSy$pv~4nF0vRIkh*aSVDgB`npR zf?u^|${vvO?H#(KMa=hi!R$Bqs^=tR2M2lbvx<=PLl0Q$4m8nSNr)X2J{IAfh(7IA z9}#@x&{8>lUA;<8+VDn5LUU+^>PW0^ zV%*sB<-NNv$G|zdb$ivhAv$DWRaMJ=p&WHWHAC_r{pBdWBq2doKJ~9#1EtdYZu=gA z!OKbk36VEC504?%_06X4(}kVlQVwbIz+IY{BK^HkOk99m=Xsw zi@uX%G7GOr4|daMT!Y!z!WvV#fmnLKEr!qCv7QhU0EemF%d*(IiJ)#~mPwyvt1p$+ zKhdVo&vj+GQyQnUy?34@3{$9)9()3(ft9tX>$#zF@XGmY$O)K5<=2!E7S`W+6VCA1 z#TuHNVk%6SFc``b%4kVOf`G~XP#q-BEy-w20|-4hlVL9m0lRCI<9&R&cyH}4KJ3zyBYDnS(jbBzka=) zaiMDO*Y}Fh1_xA8#GkAuZKVt({9)5Pfg2HP{0>q0632&|CTBJpkGS;!)NhX$R=bRB zIj8j9Ji`>-VuQ6ap3I0{OcRG@gh7~?|9EvV!})Y@!z~)WtTp-t#ecaAjz7Psu4(8+ z(|T9gp0~#wiRP_{>*KE`pJk&#T=VzsNU!@Q3bg!Y#HY1Ay*${Vs`a%%a>cajE8bTC zwpKa$-k~e$3PAx6FVFC~IKr}Zud;Q~;u_i|p*-g2AROkIc!ehPV@C*5W`-upkrG2y z8;H{FCPrCd1>b(`^zcygfJUJ`Dk6y03?(J(@Sh7(G#sbls9d4!evKta)Ej3PkLRH? zmpKZ@g;;QO3#=L!e>t!Mr^zT2k%KBhF>4B;wKv6_A{s_UdZQ>8QcUo&}fE5yvXo=7D=W#i(ch z;`THi$XHLeM;UGR@IXZ%WU(p7EIu*x(VejNH@s?SY-;Y}uiG(^3k`fR zn979-7t`=Z-e%t(VT`WA7QHV}$|rw);H`YL-1+w!sw`*4uW3Z|eiO2>TmCqhJsD-q zD)4JQnSj82Wqnfz?v{vV_G)o~O(F)gtn2qI!SKbrd&tJ4Y_C&NE}Un_q53F?W4!(R zY5XUJGxASS_x-{oiCR^mLGftzZrJ9vv;LGdy?RE$-2?nqe}JFG8s zkRCTx4YDpoQLY^`OCiI73z_v5#N7w*Xqs6T3RGj4P?aDoPzX!ZQvxEW zLomZ4*wa70;d^2%CUEa&%AgvYBkn`jSt$HmW};9!VCr@@{}-+OpARHiN#yCcVkx;Q zVGuv>R$?nLE$U6{@N;5&Aiw|>Jx%va&VM=rXwqjE`PkT6rTJYLEbA>-pw4GJEPc?0wN>xS%*?#hnWt3z`yZ=H;v zX0w>^Cs!I22qb^xUM&IZY{UR~%ih3jW2*+qk83BwZaF6^ni&XwZz7*X@_TP($cP8? zq`6~L{l01r%h`LDvCsYQ!)muiK#Z03^;>1nvtHi#UhdNNsHiX(c^quU6po-*iE*^x zNA}r=>(gSdQu^a7>ARZ`n!vmFoQF5;Xk78&!--_w=Uzsf&nMDF<=VoSl9n-^mWlEs!V(f0A#AETPV|UE)qpTWc_ytJ@0lvg zLdyP&CIi2EkM!i#ttjolpw$sU>n^`U?J zLCFaaP)B*4*Nzf@Is13 zG77kdQB$s{(qau;1EfDy2z|h4I}!+Ju|D>g@ ziTG#kk~EURV`nBO-lJH+J#aEqL0Kcg-_-*W!0_Z91{_8`_H=C~(;h4QSXw2`Ac6;I z$`!VB5ZmdLkQ-T2(jRXEX!nps=m+hR03lhZYd3h1-x%V+dAKe zqok+eDJ!aZUKyrvAB(7HC+jP8Bnv{PIF7$rAQ0xSI68V6qZg=89^dzNDh%gDa?0S4 z$$jo^I|zzesdC+)8!iN|)W2{|B5`xBbLm(g$*h3~%?vl7&pliCb!!)(nBsY#RL-lo z9~Q887H}8^HFlanAi+-@pEQe5sV+@-{JceiXLsbj0c@>&kEDvHqy%0`6&pyEPt6p+ zA=-|ZLpZ;CN<_Ob43jGWMd zliMEE*d`Pce1vzx(7bd6=<~CiqHAltNHaa`;_iD&5IXdx1qRa8PAs&__wRlG`r&42 z`~MQ${xZyug-(H?8y=NlW$+mr*T5!aL_0>zs_A$N|WR0fjNym z8oyXrj3{;dhf{7;ntKJ>^DLCb(B>TCL7vqGJOK}dZ!bC`ft(hbft0gra zFo$v+&QlG;1|xxv*#s>4(X17C>@JhvY&u8fFhX_}mwPrcHAoS@7-LPzKihr6Q7B9| zoTk5J!zSo_4Of#j;b10X^e~Ch47@ zu#F=vYa=acd3~a{1@OkXuRqA1UU1TQEGVj4)fgqo|8AZp{X9+ZS+c+kd*JbNZWg%n z^S^Z3$!`(>n(7o$8%4)rXX46KxIBNfkB|KW47GPJ$h~ z-&t!ROoeh9hwIJAkk=Mw)bXjtsa5u2kN}OP!jVfl%VAzZyPxtcVx)NYqS5fDTe8tU z&CyRpH#v}K>Jba*nxINT`o-E^_C{;~@mE^M$?<1hM@{64e>|F~AfFb_x-+yvymYmEFu~*4=)uMd;k0OB22Wt#R&-9^$j`_r3K=*Gm#7a${QZwKrpu za$+fawZ3xZLsiWHUqPjnuB!2y6+Gx>tF-&QHp=Bo^Y4)j)t}-N+b{pp$)*pl#~f3w zTESCy=vXTA{@9KO6g8`x6vb<2CRs1gQ18{l}5t(#oHf0~+>uS*gz9#jJ_D;0Dt2kjr;m zN72KWcczq=Z2xQnN$L+;jcyN7dqVQ07cEWyqeXJlXlZHhxp`ITad$78$)uRS24Pe3aW5KpL4HesSqhDmse~V03P=5rjkt_~877Y7_hA1#Y zVU%O{pl;b(1b(_5;#3&C5@|g?f&m1$52%IDjX{_jBEpoEu^#7p&~(#q0?;35IWB0d zHR=5OxrW*PkqGm*Y$0EV{Re6|A$o(%fm8&w0*pY2_ZB}bq_FVVaQ??CKodWj&6wNK zk<_&)cYT8c+81T+vuHF5_L8w(<2Rr!v+oSRtKcp1BN(TJ&>bSi)S;H`5YR+SZrIH$ z^~o?85%-wbS}YdTnL0r=mNsLpMCuJRW(Kn@_Gy^duX;eLsS~gM%>A^f{YVk*#jnR_A_q3%1t>55MkKteL;d z2bgsHK64KrxO+Vp!c>_bGApjzmoRI<7346`f@JK9UF?5uulNZ=ABIc}e~ML-dZeHX zAl8f#Q4pL_pdd=d!Dgp9JYCtx`LIHA9>rEMkySS0iCD5qU$97DSY_@|s8l0=CB6Do zQr!9pEDUlkWa@K{%cMW*48E=|7?JI>o(DcKL zGJ*r;E~@uJsY!yC5#kHAvtfaaEQ&sMf90f{Jt59}Qr@GN9C+L={7Ej9q#XIUk^}Ws zIbT$!W(Nh4TGf8BZ_t1CPTQD2k621pXeK=(#`Fm3aw~}3&@$Y(CT93NwdyNp8>1+` zAw;3{D~Q0Fh!P~YIRE}5Yyan%zV#!MPog@Tk|;9AFPH@UVhIUpoYrak#|TEliTQ}z zx#Wy}Ecm^V-Cg07tRwd6IEwV{-m#$n1IrqG=&^OX%oF6{->v1;O`JJknX{tRPaV%=mo7yZA)~JyCIz!UF<4zc2rBa&u>h3V;T)bYcFyJaevxPtKyP!-(lve* ztt*YN!&hC`?#&%S8>jS*Xg(r5AmIQ2ciEO@MTsd#*w9w1@8~HeeE*l_78^K6{`GOS zQp*Dl2&n0RKz#!t5!GN?|Iu0`%r$>>v7O)eLJB%V+thRu6bbrisK$uBC#Hy?{+y4A z!(@#DgvYr3w0pWq8g`E%KKVMk@FrS?W|s*W>JfH-kBNtm13GVH>XWLMUKfEn;C)x9 z#~R~6@RQpVRM!==n2}7q?Q&8I{s|O@qv+a={MC#4$ z*3UdSJYR8TY?d?=*iXu72t>Xm<2q$-7l8Klwv}9E+U6s|AnBY)ga@i9npq8F{PS6u zHbeo4%Ow*UIJ|Lo)^gFfdY-7X7gE_P#4;H&<{Sozrfd$)M$8Z1)SEwx`B){dEcMdn zlSQVOMzA`wqHZKc8<#Rglq!ng1?Wg@XCsJFbtymC?d*?1ws`O~HsM|*bdQ*;Sa7s+ z%%IfLWE7F8^!jsOT!e`oMqe1R=QWeIpu+qP+wR#R3@-=$$n?iOl6rd{pMyGDWPBgO z1hAbs@XGU2#m`#0BsTEV`cHP3_MbTQn7a~Pu!S#2p`x0H%;7L<#{8|?J_Hr*F^^Q_-b;H#_OVE8}f+MnzpUo%W z#kS6(dlJoeo_`du)$5jv17AmBl1PSm_$syT?s37FzRJ6J1vx4*dNYKil;8e*b*zC6 zZ+~xp?Y6MjiKz%HQpCX_pUP2B2}Y_B>CwF8KvuCLg)EB@a!Y%AR;fh7YEh=q{RGj^ zU=$?6v?M~bs$T>at-dv*Y=Zwf>Db0>LyVQg@&5&YBA|~VK~Fz)XLiY{I#@!i3!(1L z*l@YcF$?)O*CtI9Q|oP?KdglA25SVI_o4xac?c<2>qVO1c5O>>lY!5&f&vzC=34ee zQaFs!VKWlu){M*W6EE48ohDZ7*xbph%n8B~i74!J-C~&?nf4JW6`3~5){2L@7WNE-WqA>uB0?aNIizo{?KIKd)R41n@E+uah@PbV^ zw2Q3$w6HW|E>cVLt+!1;`ZQf6CQARwaKlCa1A)B-7x_D4e(cjrtvBxjD=Q9K_!ooj zR>(Xnd#4DeLzqU;5GySgd+H(jwuu6&tO;M|kvDV=lT1&w4Yfkq)_FVZx7LGo(6Z_f z9hV0w^8g2=`lBCbZ{B&=p#th4^{>5TLr?Ig50*q<`@RU9y}4*?Ykl5qXrbXM*XvXb zHk^*9?16=(seyAIerr-nDudAeGFYIH!zKr3VQYuEWbFAFbthZUaL6n@LM+0?>uEjCp=0;^(PqN*|qY!D|F=>D4VmHX1_@!m7tCDtM*q5!ne4_T#x zHina(57mvh&k|(Z zI(GOYvq@z#NBNhA74IgwrumVhav#&yG?#cCGYGE*p&u*sC)}+AVnj*bc*d{UkI-<* zpxtl<*|Ogkf00Ltk%=c1I0j$;cW#Rtj!~({tlX~HB(hO6SDQ>ZkuVU&Q&sUwAm147 zTEvdk5OTR$btn*rs34wX?54&$QNJ1`Vq&FV_H~`t#x_w*>unm0VXT$tG4(gbbWXBl zp&teM<#*pEbWh?!6tG~Q5aug4V9OncOT)B_@a+EJ%fpLjS)}DJ!cl@AMD0q*(OgQH zEp%z)-qjZPl)EfT!JQI1qX_NBW6!5!~>X^;|lDHinL)1;oxCR-i&b6&B8WI-)N)cqK}hqWqX4Ri&16< z8~B~)i@|U72L1V6WjFPk* z1nsA+=B?xWD70TgsGFf|N0Fgw>sXdg@o-Iu2^Uoc0-gk&g(UI`YJ(>Fl!_ZEoL)3? zoG~~NnTe>U)}yPf z()wpcdA$eUsprJ-v)kEJ-LUtqpqT$#3!pbP;UPlq?;+)VxLosan(cAAin|7*=Cr}rT<1}*@Ov%@L_Co!nwpo0JZ)>#^bnWEWv5QYMwHbOn}RO> z@c-fME#IPQ+dfb_hE8c18tLvDkdPWs0qO3Pl#UrdsSyznkVd4tdnf@3MM}Cs8tEbS zy5Hm2`#;#vr}@6tn(I8TUoJZ;JZ`UST6+QeJT>>>*sMz*_4+?GhB0GDQI%`uYy_?m z{r2Akm)%R}E7L%Q8xxaM3<|nzL>@8fHUFazb&-NchnL(?dxQDg;-+SPcUNmrIBzkX* zb@Z#Cq1-<3bSqy`%9&Xj-i#=Vd4_~~jRnh(ZM=WtNSgs;aHG^WERF^#@U+w=0*MEX zgkw?Br6QW(XY6JZu|k!XOAPCWc}S9r@}pO_Q;G(2K&1(YwvtkOf$?A2woeM33jAd~ zAxlP$Bt)Z9&o7QD#&`zb@Rdy-66<)SqmSduN>k?zmGUd$=Tf^Ax^qK4Xz&o?0F#}0 z3>e})Z0UCaYif;62I3ZIdL!XDeldU&jiWd(q=}XqjJPGa@czf3L@PA6*B7%K?%f-U zzr>dRdG^AU)Qr~K5>NgcB1t~}kFSC^k@A8W@C=(00Xl5`aLb)Iq7El1M`1v?(Q#t& z{QR7<{Ug3wd%IZxCq=*J*~JRCFM;^~=gIj0)sKB0LylNEC2(Nx8uZu5XYQ5HPOk0F zRPJriR)&IstJ3i=+GwCj;@vaO3y;v)XHTo~S&Oc==NI!}u9#F+G}3k>Nj$dAqRl|>NgBTm(DK%1NOiFQ6^SD`i z0O!6sXaH_KJ!lPo!w6(CV0$jMdNNX3GN)3704r~rHTv(%i~0pgW*iWGR!uNkmVHB8 z>E|)?^aG3p9mtA{!iFUMw^xXi@tr#&Ov>ATf1D%vmi+7DRXCF(LFMG1B5`?fNr(~5 zaw^i`j1#)bKrhlGXzg5kwg6wnJrqT!xG}W=>38{b9s@zpuzFF{9nY)~KT*w+$>0_iVpvxoml3Hsc zEt*7!tJyWxyoshTDVjuspHDjBJiEGj=^rxHC6BfK`iD-8&e+MsO0EgjUMB)hi7zKnSQBvwKZ%&vO z=c3Ujvl`Y|!}O(Idzj3GJM%?3Hj%U-DLJ!r5uz6I7gNmYs!CXle?QeQ#lXVI*pc>E z_Cq{{w1H@-SI~&i+RypVduVwm{GXF3d-5!-0p9bK|m{IKq z=U?}*ggZfnT@5EmcKMI(4vE7iB&B~;pV-ImP25$-$}E|l{-;SLL(x!r(Fd&Ard^@V z^TKNyL!Lr4?(MUVJ!Dq~=a?afZK6|6ZpKPVbXwRkAZHnt0e15LF0^VO6|8yUD4Sin zNVv6vga)`%&v@-yFaCapH!@H6Wa*=PHq+6%Z`4tJo;@7_B$C%Z> z;>dDIJe_A_E(yaw@p!?lj4;ag^%OMCv4Yr4tQ4eY*0oYQ4jHb|m>?Za1wO|o>z%U- zddz4b+5G@#MX#l~Me!r%A_RsMe>U0U)|;$( z{rGXN&re!`a>}QKcpn*cX?QAbWf%YU5})<$aC35Ta}60>>5`IWF6jHcIZMIg_N_!d z4k@h>c4u7v4M{2>)(@(*nJ@jqvvNGb@C~$F)$<#son!M($`b65;RUw{ieBrc*t6NoNFH;Y(*B={Dwzj8Y0u$#4gfPma zk{6}1u|QdiLU4?2G@z7sdNc{Ncf5JDG74W>3eWrRFu|3XW3DeZPweD$ix(0^B1ck~ z!#o58yaN2-|7q1MEZ%TroZQ|Ao8{(i{9TeY>kLnRIzh|DE|y@z5Q9Mx1lU{Ye8`#XY>a z8i7R9AW+74=lF&om85-)E6|qQ!i|K`;n4ScP(ZII!-lsTJ+DM!T$p$zBR$Xg<0)>T z;CBmd-nhS9V7rV=1(NcR#}m@~J+f}y7`EvCfvCO#4F21pwB~u6NdHOgf1A84=IHa} zew^aQORRuG?N_TafvWvrrjKfYk5Z!q)H+TGM9asXikM{{5xaV2lD^k2qSPGvn{Y1C zn44tBVRIABOE$w>g+cP`VqljCCEp>MVb@P4(qY%X6NEctFTd}+Nt6SuXPi*$G*-GJ z@bZ#+M}4y0RYZxAAM+?{4=V|9s9*gB0=?5cvAEcaP86VX8~-|sU(Po6q>Odjo+w&O z-Uz%J_buaiw;;X-i@N{mYm)YTBq|?SQLsmT&ux7qTJj?}u?@yNTL`W4gGXh9 z9zsLjrSz1Rsp@~g)GuI>dcRz)^fYUsF8U(xgdn?hK`GMGO1%4qRU(KXx6RNbqq=lhlWImj5AY=Wi~k59O~u3-h~Pa_ zhyqT2bfxN11wAfn63q-2;_tKhnX6mC!J{3J<=+zDS=7&6RYPG^rFQ)A{^ZukS<)cO zXF_(1_L$|W->_Da&(EfN0~2oJfER_F#lfU(lajQC@yiaNKlRtCBU;*(RFWXMplLuu#e|vHOn#QT>vUlB$XqE$6G==6R&8AT zQb3oWPvoAJH>dclRdj1&27E1k9^5=y$&6o@c4*qsdw|E8seUucuqV(gRno>EiIX$^#*56A9>^Y*_=`u3F6 z5WC>%4&Cnx(CLFg@vKg=pM0JBl+?#TBk_gMkzM6jvJbCR6-e8a4M=ho z9Z~jgLI~@uzWv8lMsw-a=v@*_PrYc~^jLX`x&5NL_O?^;#ea_X&q*`KEtN|IHXnAl z|1n^%Ad1M9G)TeCSI4w$MOO~9vn}mi+s_mGEn@HsHB4w^vM0MPBO&M`SYZ^QogXsz z&$e;IaYB6pbLH+cvdZ3))?q%u=$CWS?|BBn*Tmq=sBz0g2Ql~IFR{4AZ`2(U*-u3q z*H4IK6rz|S0lxY9SS$JNjNGC;8YQQ&U?0S#>9+lZrfp8nNQ~_twT2VB)1$m>UcAj; z%tN(2mSmv3r05V7vD^zziRKCV$}z>WzD5kl_%jf$sV5OFZzn$~uwtV9mDM2{Ayoc= zjy?VIZxBuqK`*HEs}|M($XXNg3j;cd@0)0`155?-3X>L{&J^AzJLMW7ib*-)1b*=L@|c%8!^ zes{9*m1D&>tV>81KF`q zIa26PS0EKjQ4fE+p^|$to>dK>Uso#5hF#wdC-+U)oWjIT>@zu?ma6JZ!uhzX6WvVe z3`9~T8l0MkGEc}C3g#b$un*zTu2ZpHBnXvxI?uLLeiT~qD;gW4EV|%PoYx&r_IftvsM+MXRthC^lJDt zDK`byt7P6XCZr84f)wI`na9!(-B!_@67wXHwe#-Bztu$gA=m@M+LAZ+h?7YCz2!bN zMT_Hn|EWIs$;9`8q97{(uXL$v%ehyq|F_o^HD^Qa&;6u%l_Q)RsTvrbLLV<}}%!`HD#x*~1R*Hc9&ji1T>vp5VyAY$MAGid7 z_$7EHtof{K3a`dj462)?e{@htcc{4pG^=|_DT`-k$VdnfnD~8iNT5uyPqDYjygQz4 z4)p8l*^kGzFxRLg%P0PR?fjIGfx9>J&^C2aDas)eimdrTnkLO!MY|rd)&q$oc)@Nz zlKUcFhoe0<4_PBX=Ea|lYiqQBrT<91eWLyEtFPbGlcfZmp}H3eX8SAcs6H?dsL)un zGISdMWKLGvI$;t>`U@y|kw8K$q#)NHDB~A8(f#P|su^kipPPXqD_5nWqhQZY(9(&~_MKrldk_ws6k{a!TFX{6DUn-Si4|%172g(5kM8*&jElX(Qd20o}ZeaSO zK8UX4x3Q^yS$S`Eie|9OKh^3hrfQuhVz(-oRD9O-z2=iQUCPa9`bpCl!+|IQA9#H5<~8>NhtF~^vH{+uV|iDY_Z+oTpFKF=;M3N)IJc-sbxm@Xa{pPUb2lVjlV^Iz85T(`l+|GvbmyVm08-nSI$^>YsJR1A*jaCPjwJ}PXZ%@Q$AAS?)7O9aBGSz z*;MYR?EHy$|Ampz-@nBm`1bw^Ad?6I^15AOamkN{^@}1Fn@2GJ&3CQT@v8q-oZ!$k9yI@fY)KlSc6+Qn)_FN+) zKO#ojC^LPguLba2P`~PBDR>!GBomup5iGT)rqUl$((XA^aFWjb^chMo66tv$cH!Yq!!2d~tddK~dP!w$&-Acbv!lhacy}6Pn?hopW-kLg$vU1luY~`M86vR5!fm0_sHT zQw*PC?+731e3-e#p6hH7_!HOP#Dr%gPOrDMeG$5h>kSB$_E^FJr@pqgCuUoWqqzO| zS%(!j4vl6Izj!7e9RpLu2cm`;Yd!13iY*U5Lslf3d}}P8;T{P#a>od?$gM95BV;U+>3X3cL2S~ z^?8zZ)nbVns+MI&6EVBp>l2YOKnYGAx%kY7_ZJcLm`5G?< zC%%y@%*5Lory^V+bA~jm#Q0_LflM*Wd{Rz5B1{d^Fer{Bk;ZJ!wl@oN70$np!J7Zt z*uN($L6G>)nUhU*s_v^2Ws4o?=jmv@P3D6`7;tJgwu;L0JY`gZBzr?5kUT~eq?8ZG z8oOe$K3&xGdTd=+FyNN-V2=7oFAXd|cmN-rhvZCmkOnB(|CIdl&Ohx))|?XZX4Mhr zk*ZHg0$alYC!t!3>f(BaOG3uiw>@V6SVoC!@YpNr8=ZN7@6lcSCDwRCNd4TLg>P2P zris-@AXFSgGrOrkkFywypX_Q4$N9K%Xje4(a+WKR#lz1*^!rgl@}DPp!5dbhag9D? z+dI85YVOpqWfr(Eu^R>mHB~~xq}X?|`?++YmK)>8HjwS%>JbnxzI=c0hy%js>>#1M z6cSPbGp>}S{TsFpCq+ymVg4pKJ(1DaW0MO7Ym3F{&yrr{Xo)9Qf$ssZ0H5dJnQ(P< zG8txEL36Vg(2 zCQiX36HjSGg~EV#nfnvW@vcWEM?uT=y?~X|^CP<`?xZa`&2O%(JJk=)|0|>5oiILN zk#e%ibR;J0FkiCyz~9l-Zyw}mAxO(>W~cSz*Jn)NF_~@iTHr)RV=+B1Uir4eU7kXQ zjB-n8+nl{?51l-|;`KQ1^S`3^W!?nIImJ(N9$&=F1=4Pp9MGdfj%9(pT=@P-(saL> zn6gO@;v?caj}uQFB+iWs2yeNAF-X$zkv2dVDJyr3lCmExkB+6nj!D*%C<=c#PpA^) zEw*RtSrU7%kM#3QT|Ka4j>VOgT6~h{6;u=NCOM z^2O}K{j4-5+*80PFtin!Rn8G5>u8(>k(! zmRnXH`xa3{Z*2$Te^)LWdCYm*IZ&b1&HHQBIS%?am2k$h<6)41tn%4zONe=V3mP$h z=ZN1D5A*I>Ac$ zDj@p)56YC-afK1QjY6O`T=WpgzFQ?vwcLW+KU1+$RN}V14|UePNVNK=O^0f->!iy_ zZ%EV==ldwiCS&j+v)(472iM1NYx;+4&RR=AL6SGSg(+t@Bn;a~zDb_4=}Y$8id z-)W+9SCoGs?vq@xy}m^?37pYgUHQl@D!wW9f0&x!*A4{Y(YmV;{ra;7ae^3P)K4*# z+>2cyt2ZI;R(YxD%IE~N+znEtc9WhMlmhQV3V+rDh`U6LtLijK;L>kMF5>xcj?bE9 z)10@nUd98>G8)jF=iYctowd24XPh6+Q`|&h6b}}G8vhgwDQdiLQ?o}`Df_+rIPti; z6#gjLbvS+MHaPo(<^7*q@!9l=mV~{6|B(^N2}73L*p8qgp0GS*!0$`7cVo-o{Vfy8 zK5o_3KCYQy^(jJL1V~oP*~f&Bh72fy?`s&XPK9nzS0yQ!Z|RPX$Cg$$WVH%C-CJ}# z>GQj!)$apiw@+u9WU&Gq@wO#} z;_0h;*KzPLdawW)DA6B^VQ~CJ^ zPn3Qc)DlYN_ETxT?2D2$v%?`{aM#g=HzZ(e>BfwN$t|62s5qLyKD4vRneNY=T;5Z3 z2*}kLb~kNZhfX4)oUpzdoEluMKen8g-Ko}i6~oRnZ&zoq$x`dxCzM}#e*NGbIq+tw zahmQ?%5-&#s2Aqyk?S!lG}tCJqnSTM59jap0SDy!iy%w}Hn{|AWaVHF zefZu@0%uNm*I1d!n`<=KV|kLt(w{%MqkexT(*;G93eeC5XK^z}8@L zOvK_%eSPRRi}#~`$NQdpRayNdbL)Q!V8mQSLsakjj|N8_>WC3BHrbEYvr!r0duNJ`mz*h=P@Q3jB_1whV$dDl?v4YO8y??lDV$oy>14f^2 zUA1pfFfNl<8E@cRwu8;bB^RVnZmIlo^mQp!Qk+GI>HXIjqbF!O{!c%jSl#1QJaMYS zuF1ii$H1Ztf>EToM!3|*B)?bun8o#p%bSqwkIPWgPH^7fZqBnaEbfM(25bUZ_YQi+ zrIvu$;&$e`ZiAYSOT;TpJ8t}vPm5ko^=n!oGkGJkIU?cba*`UAbVb$6wt;v2IMrPP zC)2@I@qX`6?YN683L2g5LtF%u7GJVg|DI#LbbhAK0Dk{%z>I#vkr1I6?ZW0%ssh54 zMNhhJ#fiklYNAUgOLiVkh(OxwpSz4#$C%Op5s_Wi6#y?&=1X`zIF|Gl7Z8$FEt2?- zHhA$cs!x@RieH-;YxH5=#&n1>KKbt!#_| zg7d?xm1g(j6H@O?RwhCORObbb(1rT{L zr0{;`_K~mpG3R8p+dVhfAPm zZ7Nn$0X)`3FTv0}DQS%4U(n!yjCCiv1?n{?>J6*#sNukfVLPXNw6Q~Mzy;g9NOCk` znl~u~4Q$8c7CTd(p>43z+qq~-bRlAH{;;0gvb7|G{8Vwz+`md@c<)8(-Dex6;_Tt!z`I$wbe>=Ko`wW@NKfM*USiM!QFd`esR z4(D5IvC}&G$h{|2CkdKJQmmU4M8jf!xrHXRpjKuq(F}}90mBSIL$lI*-&*9nc})ER zVeYqOdpriH6Jdg%0bRQeA;q7t3G2nUM8AUNz3+y4Dm~!O@>ExaA(44ztlWm~@wD7U zJ85`Y5Rj43rM%tawGK9tXy9YYzv6`rkA@a+HPk^^4olP$FJ2)jC*gcdJ~a&NK+T&q-3d@6Wfz-?VHcZU17us z)p%kV16smzAmDIQMlRbGCsUlkzQ&$uuIPrCl(u|~!{@G`;V#O8M1mNhyT9Gj`_jXN zLl~jlmZ|htUh+jhw|>Ur8`-0~usbzFPP8JB)TBU|>YnfI7GTde1U%TEt;DEL*!m|R zVOZ2>wT@Sn?o>KC>)?^Nvh#mlaY%Mo2G6qoApdG^(Ou@g@2VeA!R=H%8w3Y;bCD==p~HmaC<1fHplt8z*Mn z+@WpxIB1*W$sY1KRkot0eaeaJR!{eqdMC|xR&!iTk_zaYeol~h7TCNa_A1B>g-$1^ zv=Qqb?sZ2T&E~RV<#k6idn3|!e<*+CdE^z&dw5=w>-F|c)ab_-W;q7(%I4E}NIYdq zHauIHK8z0idEhrX=2t48E*EfnVUd+$ION^Ro6~XkZIv*pGxw~qcemwb{bh*Lt_BUR zWd63XeRC~5Zf$L}GH<0%RhquOn8mCNR(I@MwE>qYuNz&)CE#1S~I;XktiV13ob!mwWYM70RJ6!k~QNekc z8B#p}s`g~|QnXxu@O~FN#BmkgI^yM>yK$F~2(o0s!)Ve^IGxuN9u#^$hlIhnQ;arr z+a&~t-=f~e;PZEUhg!d2<1-Sn$9Z9I0C+SN)S)0_jcQQ~jcOxo?N3^j1T+pbt`=OM zng!GBiCX$x$6o@^`U|(DbzHfsAOUvSlV}n;ib1ZIUMwfp|%VU>1so|H%Je8gX$Hr!+F#Dk^e_%FYXN^TAJ_c=TCD6s3rBzsC^og%!;#gl-CsuAJ@!;DX-j&W=XsNyOLV>uN|g zVsAKllx;PEi6BMF5k*G$KKq#N_g9B^{>y=9vyMlh8Dly>vMDsBm^WZ|@MWDqC)P1& ze&uTf3xR0p*BKstPW3Q`8$?o>Ad&3!c<>)vhAa9H{_Wghl?#Ct+i_dt51w1rz=X zTTnaF$;x#^oFnyQl?W%+Q%EW>@%)5n`ybVjr-xTSXgrGEMN;bKl*=qT+e=nae#<0P zT$`qk#HQ3bVT3>*DFii^h(Y1_hO*>A=@?P@mTae5MHcYi>D0nZJU9BqO@ zUY2y?*EEyxpu!&aIrmqD7drfo)#p0u|A&WW$1bv9X(sU5OjXYujj-S9PG|^tsnkB%d87q!D6Cz z<(rXC+lROquGY2;)^!Y(1+BL6F$+!XV34InQIM-?kXjpVFV1YyNzW#rLxOaLkQhKb zWyRj{m{!%ZS0KAaAEVoe%X8(mf@{X^B+hr;wXTOCN|TE9D@MrUYgg0@On7JQ6UE^WVF`25yoE4S#R+un(c8xZ=X{St6hBaRGyVYrMt#{EoG$I~0_S`sI zaysY8%9Sp<^=ZD|^3K%#Q>I=RX1{Y3d*X*8{B`!ofB@p;NF;sL@*Q>Wy)*+!>2O@6S+ z&d3nqQL{CWS~GKK4><*S<>$RC$dlSdcqtT{k{t@Y&)=mdqH)@w3LTHgVQ>TH2hn;VufqR@S z%i5Y(G1JMWD+>|I9(Ns;+Bx&xi}4x3gHxKv{+Bi%&8D0 z6P7^TMn{W0ar(P5XHqz9^sS!C1p;cVnyh$|K!q%TbI+WN5CZMv7-}}*H!sUlNq2BgV=j)$_cl1j6*wW4w?K8#|HQ1mVapki+-(M18 z=S08mZ3!+gVgu}Bpj&A9$jAqS_tEx^ujXk>m*|{S@-qK~c zYW;c5`oo%a>vm99u86_+%8r!Gv06SXPG50B0!qJkWmPm__Q0A7UNyYosBZ@wZM95j zuY$U&_?8+6@H|%EtgDASk6TUC$7>L{rKAM;D+-D#DIzcDG-fO&PS& zqpmG}esW(fyaB7m=Uyz4QA%aUTLctNwHNM_EBbE$EZfVWk*!CE|IIlup z6dVLgG&okJ(6Z!#Y>C!Y~ey$Pph^Mw{fgJk9OmwBs2g^VdgG+yIL&``q2 z9E4z`X|zE9%w@zkV^+SjxHIh8JRa-6)bF?W-2T#~C4j1**aC_`;PC zpLJ1jRp!qECMFz(`o;H>h6D$1J!3+C)=uNGtl&oa35U5M#q|^ykbIN-KpJ*KJc%7P zi!E$f{lW3|?2K#3^^l_1*+lsI<`DBjTTlokQFB$1AyvVDp;`3&Me&k*tvQa}2O1$q zM}CVOt=I>~I@BDk<(*prR#6>h-DDk(WRSr>3>q5TYk()n|fLBYrU$qEUxLQbkPyfrH+ zB;8nZSjZw0V&ZBlrb#4c*MzYMxr;_Bf2`KG=Y6^V%5;A~KJy}^5NwHOV7z@&M#$}x zbN-zlOaFb-uO^@<@Kth+ZY;%{Zu(J-Co*#X_BP>bIlOgW0lcX7Tub z;GjB8stS^%EDM5`Obd$y2K;Ud@`MNKuI!>xdDr}vEDa$_?nFt`>;~ij7KLw>H?FZ zGo<#N?i{K!t8?dvI?e7W@uOx>S=A0m+a-P^FiBiS**;{)pAKkG5qI}Rns&EJpDz;? zol36!v!pvEfyhnaThk| z$xu1u>^BJ_2%8i*AEs}0Ei8_l!~3uyC=Ho&J0hO)X?`I>;-h4GJUBA;*5sR>VUMKQ zWut#Hx49&fW`-&|FaDP1-7lv?t=C$7n_C``ol1J2M1-lUM8p^u0)r8~hxIZ3@DoOgZ{dnfCXjr0U$Ba2CIxO5i6+II3ULtq=2u#cFgSFN1E>T&8XoHy`i z+xO|sEX`K8?>Z`(!)_z~)%#@UnronZBVX+`Dp^w$EehUaaX?<;0kEKgawJZ~sT|fz zbnSaWTg5)u0v&~5-(+fB2Ttt@%b9D{xuEK%Ut&jD@r-yH*8MAvx;i&r>+C*+iJfU& z1n2%y+f@5!ZpfJ^a9FvX;Z6zzWh2{8}f zNDAuI31%l|^9`{N1l1|tMF6nT+VzrbN7xl{^>Vkm2+fJ#@1<+$$uo{wu({g*>cFxR zMZXF_F;`c4-<(8@qHxU=Zg#!Vc>@YiKTnk`I@>>C=w(gq*8spjGLM|I!Ach2)z$Y zXrT)UmK!`>MjHy|Npi&O_(N};q3b0RiGw+acYnig3nQcy;Dc%;mwIMJA1I~8fbYhA zS@PldrYa@PV@p0?=53?jMEarTG8Oo5>m!-`11G0^Lb=>-&$tfB_6Z`oqo^*ck{NH; zyk>K*`eq$a#xhHdjku(s-#Zq^UdSV!<|7XjUF`L1Z3MKJlMav#h()?vC!DRymkpDZ z4GWj?OtS^w;R~PNNEv**5N2WXvRX3EMuadicain&^_94qEy}H~Z<9TleaYh&h@d!lwaU?A`H9eMR1TCm#ue?`Eex1$2!DEHY-g1X*~Lw=@j zOG|5O+cBseW`)(;18qbdpx(7ld+jxbIX~&E?1&H&ifaMs($M5`(Evk@Ym&7cD42?$ zUTz(M{lGsFajbo4$HWho%3oylGX~2$Bp{&3vJ@9)(NuLygx^@B;`}0@S1np^&4M#F z+fNXs`DfPq7_0;wE~OtxKzCQwN}~W*86pB16#@CYuRoqGDOW_z8G=D6V&~Y~vn+9O z6+YW>q!j^?-Ga=M3eD!Zxg0&|=q-virOD#NV5)HX+GM1rQIR8z1tC`xOcNdv_tZFzOV zBv_ClDj%z9Io&|$AbK^Bk22_WF=qwzb~Be?SJQUlGPr`VlT*<^@_TzFeZ?UML$K4H z3MXmnajM(Oat0O{`I8AL+zShC>W&SRQ^_DE{i;$rOgfux)FLO_w^br)mh;8uaYL}A zrKO{HYk){Vz_YcAH(EvmsMD}DsQScQ2#LrGh^@I>(HC@2By^IV>6&7)N<-2EjRcDWkeWdSN~iT2vD{&WIfx zT}wnFlQD^fP6)0L^R~yTYp*R(u=EUMyfh(T;RVWi2cY@o)+wyoFI1i12PzG*3`jm+ zU|MvCCrhq`4oR(Ld3Fz2iP=zopwmgkS9c4)IZwZD`o%c)zcG-kUg_m|a^Lyh;O|^D zOSN|o-rN(7AA5MUclFD)583+14;>KyyJH%)iS+vf-e_|8R^#&Y_od=r0w{O5t3X4Z zC_7ez%}UkMmoC9t4*By)6_f!kq_we)%3a}Trn|U9+J3)PYC&hN^j+wnGBmFSzM{a^ zC}?tCO-T8MWq~C>xI=s}N3NlcXfLv;5z5amWvqTurm@-^!Y5@djW8sPkcpgyo&3e~ zn(hrZ`@+8_tgE4TY3n5f64oJV)}-?a4VFT#`9?%LzgGb!Qvo;wp(LXQBKmQUeK+t0 z4IVFxj(hzOIjCM_Ucy)_ z3uuahVX|oHnK_d&3qbE(*pzt7l7Tp36w&(j%NCcSE!2rl?e>pa*!$zPk}210 zOGPi)jiBVL#$MYaPcbzj+8du~ba=xdwQ|FXQGvu|Ditay{83`;X;w1I!iSWd4hO&U zea9Dah0n5n@3_NNp%tXmJtwjNuj!(}jtZ?VcrxOqEQV1{}wRWgX`$ z2GKUu%4B&?ex#S2Ov}PB?y#s9989Cj85gVadU-~$ZTWAOSY-F>|IqL;;=u5LrGcCe zT}*!he7w$l@g!X5<+fNyfcUmM~2fR*WG|&hoplU)LcC7~Ir$o9@|G!#* z16WrR7k{VbPc6?93A5J93->cvXydYj9hU>KQ<1wF&>3&JH|R@m1qfW6Nm+$qw`2vJ z6oOsFhnVp0T2D(5<*yt&zr>2N4=)-MJ!;hxx+MMuEd zbv<=cwwj4`0~#a+Q}LYVI;Un99v`^CD*0RehGyuW;BL=B>6L@7dOiEo|J z3ri!pKH6`5*w&dJ?zi0D&kz#+YAzIA3`B_T^#ZYUkr-^H632t`^;Vl~gePVOIM6SEa=F zKmY+xt6;dRMWa%F9{fw?W94$rpnOtDIK9r1XsB9-s>$Aw8?O%R_u)gdiFD8Nz32Pm)dq~V2O6Imx*v%dVy zRQpz1$(x?ux20fdS;4}IQDZszQY>KK=bEItOH^Ej;(IAP%P4vRsKD@Mve4ft^++lt zdi)wXycR`F=9?}R{H5WVMe(m~%sBl#5?>Ns<#oE5e{df2hP=nUfBfO!{ZsH$2ngjK z5+UO^p>y5nf1nZjID2I2DLXIRFccF4mJw6TG257$mRB&BU;QzIV(lp)6cQw)=4eEV z@luJWyFEA!baHCvub9J#XRSH!qR&Cb6Wg(4##BC!K3@U+@UoVEJDu1`KR-&Pq?h(S-?wZ?0^6_PSIg& z)6|j`cOz5zobCIO42A93T{Kc)m0D8=1-}}kX@=HGq$m2h7jOBtRMHneSv2tj;{2Z; z-ZmwAe0KRBc3v_ea$Jx4`_`Ch0LP$(4-~fYB2P$_9BVO*f_w4LqdDqQTxqSWY_iGV z_N_|uG)9YlaoSrII~p)s>SUpe>QS=(XUbwt{Tl;%Ju3-mc7rYIf;C~aPfWOBm@0`} z8blb?%H$MMyKG5L&@1yq*t2Gv?7KDqF6X8@*tU|0pArQ0m zpA%fm^q)9%OG&d5VPc;^83oKvf?^T{5`IGs+f(iH>kLES zb*(;kx7akMmZt8cyHy~kVEtO_X?ORcc%QP9`qscaTkPRF$f;WEzO>HtE1dA~B3cPD zi$`F`jhNjl3Z_MzlNhvIn7WWZ)8w|s#-%doJ`EKUi!WJxJuh~>-Te^njr4RYe6W+) z*ihwWofU$4UTt~a`F(BwANgE*962V3@b?lQgHK69I%Kyl*DkTR0!oalL@H)zXMpJB z(bnzhOl4%908~tRB*rBY#4++!6?<>?+)@P!egR+PdQwmuk$L_laC*%mGXUuvsccO-jCb?^RsF+$}}gtTG6F@E~3DJULUWKTO1EtL>-+nIKqv31=Y zcH>YU1yxEOWpmm5Y#Pt=R?3$(y;dc!g--}BxQGaZzi%WqFmHth`(GBEkVMFqpXGpJyy|>rz07$I+%D6-RNinCnLc?TOFUX5az0*l)vLs zUO8&bRJAI2jLl=V+y`yHw~Iu&Ryl;-W8_U{$`RXo(<-2YBx{uw#^rZwmh{5HX6v$M z-_&(E1sUxCFL88C#$6SjKXHIcGs6@k2_kD><^5f|# zh%22$s0lYCrcA||s+Aq>Bj=`qH^&IT9s4dDKNc@xDo~zD6SMmo5-K*) zo|n7%lX!04{Xe|DXHe5&+wDt-1f&Kj(n9Y=n)DE)h=2iUN*5874$?td0!R%~L_oSy zrAhCEsz{e!Lod>s^z--Z*>m>n^S)nBc|I_iflOw|WUk!zy4L!w0uPxAkp9|!amXBV ztMH-`*T@yFZVT+6j7ivC*v<3gssKEa zWeJ-IQ5_no=e=Rs-G+}TdtGjg%<@~W*o*dl6Wo0JXGM38xm~!#9z=fGkZs9G!R6Gl2{KAG0x4AcAdDG<{9VE|oA*6AolSzGBARO8sISR}Gh0s( z4X?h=mUVzSyrLKCx1xlF&uBdDKbQPUV1wV<@d%wx6lcGt)Fovb!@2xU;+H`G{gDv` zg?fq(@janf4kBzcRcG8AZR>tKlzy?idP3O{gaPr=?qBZ;Vd5uM(l69@lazYKNoL<3 zk7vGSS4%pzdRkip5@)wdi{Aw!XVQuy|zZ)=3g7piqi8~S0o*jDc1@ue*5z~=;M^o=~%bs6^c2I9h%}71vOppGi zG`2u^N zmQuvnoydk4S^L+wR}}NtXMyftq~;dX=~d-%Jq>`a!-lP`wEqYhY0)_a#>$)5Dsp=} zaBFepe<}X1;rjjdV676=f|_bE_(xFnF^IE7nG%2`aTR@i7)!=wg(|npRt4XCUIZ;c zCx77%dalh9GT|1o1e4Gv<*MHJ6ahYUKG{*;x)y7?y7nV>t`3};$3skTSDOTTld-s)v59|+Idw?Fi2&klGOzaE6KxFg^Ha9&;ztoiqXpj*4sbe@qp@sQSw_wRwWWgDu>- z{A19?=VL;A`yGwp>424@W|ZdK@6o}YIc%ra1(Vir>$37d7OPRXJL}bh70y${RJDq^ z>^;D=xy!dw(Vh|aUCke&zK}cZ7xU(nXzY(PIdaGfS^3TB@r=+{+QS>!Iz;jlBk71r_0DsS7bX-vR9|05Y_cuN zKEjVCj}uMlN9))+e%{@)dhp5e0gKfG26xg99KhzVg2zCB`+3N-t}4fQG=nq}@@`Dk zeBp}j31I$g{fm3^ZH~iEbnreAas4X>oxlr_tvd;gx<$Zer2*YZYeTktvml2k20p2k zd7rC3(>G%M$^OUjT(A=Xgj(k>tUB=~6(%KXh_so0{?}Sx4G785;-j8Qv{S~Q_UQTj zfmlA75BqwN%1wbL=@nx(1;IOf3>cp^B^FOB4BB0z8yM&ubimpEGTl;Mr(TKap*+?5 zItHXJPRDi86<3VMHui}-UH(}WJy7!`iOtsgBBEjC zXw}-_Wa$luv{Or^9Z0O^ba#Llo{}*-y^Rdd0BTmdfPSX96-FW{U3_dTrtyZa2Wr-UGGN+q zLXnxL_zvT14z-YORtvmmp5>sa?=dxA@+_A<0|+REWelFXjzP#6Mk>kqAd_hMFh-B3 z)rf3|IGnJ6=srG3Km;O|PH2J(kv#e))18QhfiOhG@tW(Jh;>-Og~TLK%c12+niI40 zF%w@<(QBw8@r0W2z}@JISoqduR`U5*j=g2Es&3=T>3L*3b}_R;&#SWuLtpE zL}t%}@8cFx7Ub0UY%6#JmUXcYns*2wVf&QRZ-U`3I3nD$wnq19f^@DxQ{!!Cmi~i= zF1Irsol8inSP);j8a>6+yw}kZ@5MAYcI6V$f1-&LRZvI@Fyb$Xv+4Rl=k<`I2|-6{X;sF z?=`siI_`C2wCQbz{KAs^-Nj|7Ras6`Q-B3)q5HDBa6#GwY{F`9D@6avqT&qCThWN2 z6tqCS$ZETv{wT-q1P=Y*Uwd}!wJ8tpS2Y=nk9S%<4J(~`7w$T3-E|rr0Mt`_?Y_Ns ziHZA2@z>>%1{VL!T|^bz)tp>j%6^j&ZOPCz*U}*RIz0-X%Q|DQ{!TAq{^@trC_dPX z8@V?ffSuS;KQ8Xs-c}Ct2z}6~ZY)SeOA17Clv~<{Ics2ma0Y!9N_klpE0(G)HlJoy zDZPASC#x*WWGTwT&U~l-33*fp=tsCK3@d!4=ZaCM+62b#8Z(aPpru zhaZRUs!aw8y2rCyMvpM8FwW|Qs0JQc9pf)k3q^~n zljCFX`bV$2y1K6OE@nX8cfCYge+r%9x34qQ4(g^5V%p@V3QEIMc)xSsAW2SiE5E-I zA1tI_w=P1@n@)|`uqC7Qgk>8nVh<*H5?y}847^Y8xfkg6B$br_$^qz$RY{^xi0x#9 z(6=`4ckgx%ZqvZ%<9|fq4{o)_ zyKWs+tXFD3Ib<}75^(x$F+f`Kk#>9=JXO@~Yrrl-n&=Un!(X3d&@O7FOZO0x<3J!P zxWy!?X~eFQP~u(S74*e=!HslIl1g}1Dn?5ji_ohaPfoKd#@=r|&l z%B#wJXQ&fjVi;#^-u`!PfPOB1>4`V%9TU+o$K-BQXhbvAv zcq$|QqLtNo{~*#5quvcFOnwzK@&s{S@eO1mmB_ywB-k7w)ftY##Qc`*wiZGCVxO1} zShaiEI+Znz2cB}C3M2jYo!vQMmVozd%xSZ-u^?rlJwQhKYT5x2V0uEMMI66~R4FW* zXR@l1?wW5WySxhDVm(ef5v$v`=yFktd;D!Ss$+8ZFTYQz2kD+P!=n2FmCDE*XxcS~__5(do6!?f|Vy zc7shQ^)Xs+J8s{c*j`AM_7*3_y{A%sV;hG zzDh<8)-fhA)VSuiO+mA`tHxFezZZg3p?!gph?g2ke}Q5t$__>INp=*w+OapLI~CXD zrx9skylpwYZDnBG0`ucD>5C9VFOP9a6y1j&q@9Q1T#X=iUv*&b!n;3DoBmAn48`tT zi@yjA>~?&&+jb=kvxFX_T?0)Mv}OXfMfNTn{{+mIo77&TcaQ#DPGgVmX<$nQ!kd2`i#!T=rLZV1tTJcmc^lveg!Q(i8oB)i10bpc<77UZ1DWT*{5v>!6B}m)@Z-LP zKml{47-(WT5B7A*-raGhph3Y?;g&`j78^N;fHLsw6LJB|m&5?o8B;(Y9QRvVpZe`u zM%vK31`e)wT&r9-J_qo#2IQ^$b-p%X%g=topjhO0-CrkZ(%}ze)3N)Ok+~gwvE*MP zJ`h+z*rTnHRuODDNA>tDjW=su+OC+^5iOmw)i^C+1}fd3yG47Pwez1V?s1y~E72@Z zFORYDqc~RA^^Dr;pZ7E5sQlIUxF@56Fm3b?qyH72_;*-19ImQWKP~#qwT^DKwRf(p zgqk<<<#yeXJ04OoNU}^pCt4I=%ci3{&2~*7zm#7KrPRnU`?&q~yjK_at9=LUv?=qT zyiYlHp|ZDvmsC&)$oMDQq@w|E-y24xhE`A>A(-$a*XBIRuczg9`ev5^3?!U7xIvb= zhQ`q`7qvdIjX5|fD4+K0bevX0z2qHeO1V{fxxIEB4=*5cS(8FO$j^VI-AO)ke)BvF z{YsXczv{zx6z=O+8t(}dDKGTCNYa1B9qDA##wYuL^ZJboj3(t#$P{O?EU;bR(MX_t z&G-T)nf)WuU~K1f{~?;k`2Kshn7h^!0~sQ3|B!GCzJ8%G$uu2J)u~xRRqNY71eko}>OgK6*nPE^0W1ZEfO-y>_=G7MKX(#v&9n*@Eq!tCxJ7)4 zx30fc+v|aq5pzqIW%9L&-$hC4PT1+w+M#mW?sl5qCF$czMU8dCbKB0Fo9LOV*}|(a zSauzalWC+3hepP4MK<<9m@gvle|iDxGw+BFKtIWotomE(mP*+H5fMkghdzbd^kPIR z^TGfRkn?2m-W0y0Y@>*l;JD5>wym8}F-V|;nv+_iBt;_?$lw&ON%G>4LA>%AR9Y!j zl9B8LK!3Knh9p^6KU+e`XVL?{rY`2I6Q+4zt89$SuM^^waMF}Z(!Zve#Ne6e+8{VC zNIDq)Y@8+W-NMvEozm^>a5pVkmArt!TlqOgl>pWgpOk&R^65K4o}3!_w!u}YS=II{ zT%=nkA04USm+!ppTuP>+=bZy61@xYijXH(R39`Hc5EC)vh`2M|r_4LKECWNF98Mmx zYYn({m8mbTGaK9=ZA?2vKj0>#1?oR8cKO^6UYTCE=6l`cHJy}Ir-=z-!wrFGqumIf zNq=kPxQTVoeBMaS5`sp+S-D-tCIe~~B&Ga_NNUmWb;XnB{IDjT#&mqrRr|-Yv@Fl{ zx2X%j-X4T;qFqIu2NI4}m}cFOO} zVk}0r3v_$sE;Sb#X!g$>vR!#o>pvo8*ky6l?7SNV+;%h47nhE!z8U4`dp9+AbpSRu zpiHW@5#MYd#K{=}bPlt@e(`3rp{^Jo4UrdbudyDQUN-%br5CN5S^63=M`t9h?U1?c zH@?#!mu5L~#%5*D#bIxHPFhs98Cf*5+dB94MtMr|{W*2I%YsEJ3P~fIH^jo>b;Kk5?(E3qa%O4R1jzn+mK4o#g$y^X}o(djRN!YRt{L-I!~0 zSO?9W(NyFO=kmfGiQ}Mnw89bV7$eWbO72qkami)DU@K0PC7(WyrZ6|rnaucGjWn+Q zrZtlb52J6#29Ndt{okq>7YzH}^Ne-lGNax@vS-O0`KjylF~GVb|6V^Oq8BJ}YV{i; z5&XGbqv=6Ozp_nx=n&)IT;qYte0PJiP&A$N95^|wX=6XCFurvB)=UEQkBVEF=zg>- zV$%MEuU~A6=-m->VrPpOPDv5aimrMFcpE~&XIF$@Z>FW-U=VMfMZ^Pd-AXefuF7vU zITlO%?zAKVd9oz%=-iZGc&RQD&8A$>>u}}!!Y^IUbO^9Pv;QQ?rtK-D#^V#srfM2W zIi(XKC)g<~zGa%jq14%UZk|lPvtw2He`fzD4sI0Z{m+=m0r>lHnzSQVzscI{lQIce zKH%eWvK9X3KY{RSvtSFJxg=bRl4MyED2+R!y(@6hc#F5)gic-M<;NrHi%B-JU!lb! zWhQcdR+Ji%-Cffr4*?b095bDPM1UGw^Fab3&R>*-N*1|QFe|RH;we*r$Ui=QZO>5m zEq6#^??$ot)@y5Lp+lO07VNFTmVLY`^A$AnGt)t7nXs~(oF*r9@gl83rPZ77^lW6+ zGiK0irl;{Q>hct@@XoLQoOroEThqiDYB5LAPQsixfs1<4L)pFdE*(eNAewx;VX6Zm z&1G$`Z9Ob0%lb1Y9h z$w~V1i3S}Kj|`;oXvfvpuN-jQPrffA&(=j}g>e=fBo2j`(y=8ycR5!k33(juM6xJO1`Y&=HYU%=8|_r* z1rEpulQrVDtGG@4os=x~G}Eg|(zJCrhQVd#{+yK8n!j_JaN9jURd^y|vmyivdQDN+ zEd2cWlL+NO6`x~;qUMPLTL80To;&Xs%FU7mqLI+W(CYr&XGsNTR690(T|0O38QA0T|QeKp(|(lU+SJXz^0ym{POq7KyVICzGP5?y?~!Q zxqVg7fwxrK{DXgQSST8EyFR1R6e!tVTlUI3P|Ks?sAx0>fBUNLdtGg7>zR$wL_X-s z;GeU%%=hJ-m-#K}_fm%4ToEwQ)fOWi5K1^ah5*@wkaD=Mzn^RHY6pIDT%0i?z?+Sl z3>pyp_by)*0?77v=dTB-kurR;cZKs9$U!PD^{5kLxg*9?wsEb#$HN(4=*s(Z2!GT#k-Zbi+W(@mW#p_>!;RQs`c<0lf>Bl0u!^wa0S#t4IJDijAwTDut+?@VTu`GR@?_b&6mvUIGt($0I zM6ooixd#TOT1veaOXHr*m3>s+D%}PgM`^UwKp!NQ+(Q^2_4&UW3i8rvWDZ1nR2n++ z9O{2}h&g!^b242s#@LsHgYroh*U_Nqb|r=Ah9J7P-6%U+qJeoFB(4H;cB z*ZA)u>erN(^lGyte0hwzI{vv^sk7w`7KtvcXOjwp;Iq3ry$Mcfa?NVzPi+b_oC#Jw zip|C5#WGxQ>*@EviL>wX2bGfVB;|Qoml4Y0D<>IL+Q{39O}-bSlefM-Pn!D4 z3*Oe5noK!vFi~wv!#=eYvu8yH^M@Fz?|)bE?t;7%+bIGrGrj$bEfs+00R-ZOlnjY+ zL2{23!d51e+|A8KEdv*=sr~4C+BgsDZcptxVOXm&F|A&m@C8FW5VIEVSZJ+3@}m%T z6$uf>+CEMJ_@{%|1u7ly?j&V+r6P$Z=WWQP)Ena42emz=jS57~6I}$GO}EGAjh-kS94cP3-h>Lprx#|i*?g!xYNvD=GpG_Xd_X*>#rzBv$U0vS7k=0 zV?tF3%3t%ob3GNR9d4HP6B4*Sd|0(&@`daSrrZpc>{O=g3>H#NjXok>ljhX6j)7`d z7_^>aWM!HOz5bGb8rx!(h?Kl>ZE4%w0rS+_r_YzT_%?>U58uxPWEvvy zQwcH}Lx*jb%wTv$T4y8Gy~todR{+38ACLN0-?Sqt(@l%{?p1yke9oI>S`0QA#v;l2 zS5AJ!K0gZ|u#$0V5|)FV_JGu0M;x$WI>&E)8^deEt(#=%u{*;^d&ckxyiidI(_Hj` zqx62S_?D>lS=DnvkxSp9FA}&dyV3zapNpUOaSbUo+305RgA;8)TXa6Lz_OQ~n=@e)q;X4nD*S;#$QWT{-z4v>_sFVZ88}| z7T~~J6WLt_!%#Ok9>9beMw)R<#N67vb-k`F)5i0k4 z==(C4C<~@d#RQ)Ma1ak8xJ6CFsZL)JK)QkBp;k9&LJW#>jd<|Bj{8x>5Ic%f|M7OW zSK4cQLAu9+$&U+bgf`QEMcxJ4ED--%M0G^dbVQlRsk*3gcpv^sa?6?HD0o_#^Mh@JUW1VmcMWm7MNNM#lo$zJ? zxpMM7M%|B>7cn`4z}P83Um$7KI-ny5^grx6V=kP5a^$l4=8kOu38bsU9OJ7Qz%#y$ z{7cakv|aR{akhwLwsrWU#$TGh-~d5bX^6ageT znu7WjFU;zFW%m008J;Y322Y#l$BEhH2G|&+0O%|j#6HhC-Ee=;T~aq3_y;U%#A^wK z>XM87VN=qC}fqNJ^I!(tgs0TQ zuX?uc!zaQyn6&hqE}s^z=%Jh2$4)O{*|bZG-`4gIX`B2(BDoDA^;e*qIR-LZlotUc z<5?oY1@5U(;y3&}gq-dzOO%52U+?;(hoV{Jyj9##XHr&-P|(n~4(7(4BcQ0%xeclF zZSykpUZ^vVRezr1_(wO@XiTdBP zRdl(E#Y>Y@p70Ltm+xU}$u39^K>?Vhs4*MmOi7TX<*SCqhTfV-P}g~AQnWA@FuH$L-H5J({Z(kbM8Q7L~(k? zz?J72lZEN~SikG!l*1|SB&G6c5HaA^-FScGMFQkmvK#Ht<2gA_YZy(+JbE zc%9ik92JFx+PNR6FH7$VdASuVuL<*4o-+cNo{@e=0}S{iBu_!7zD@E*6MM3T+PRg$ zK~?n<0PRS1CXh*iFC(nkNTIsBLO3XfhGEP@V#fz@NX4PrVbltg1(6Tn$cCrEm-}x9FH5%P2DCB;cJ8*M8 zDVGmED#(8lSrvpTJ$m5fyk*E+2j$)ndk=aSjpmeKvKo3kyv?=Y_4N34U7DrGbkED* z2I=TGbfZbQY8}FA9V`>r@;(iy*Oi_l2SnEWD66nW{x&fun_NN# z#dmSSLUa057&SzlvJdyfq+ zT?Q1Mb^tj~!`?o;*Ja@YmO(mYaU~1tUPC?SA1%5+t!H%9uV?m5t!J)ldP6%Rs5R{%4 z^-g`E<0;tm+zXWXQQBPUY;}3}0K^)k&3t-!Mg*Dn^Oi}mekK(?19DlV88H$%CIM(MCYP~ zT4%!n?5X$raki_rD9}j2{*!`|7VvB+z}%McmhRwz%fR||$}s5aV_0^BKu-CO)2DAs zPedh-T$*%vY*>U=9J%PV{9c*1f!AYeTozougU|B1RK(i?*3vUovn2f8s3L~lRY{-y z^m+WmibXl;T7B?MdwHg?{3>tCdQ8rcG+4CLw<_$blG+@r{pYNV^oI)RISe}WdK}JO zxF4$eeE^+luFT_>JF6TVjA?i^^W#z?5;8wOi<#R|aM<~C;d`JHyKO>PdvAtoqDsy( zOLX%%@6{X*FDDs7>#J%y0QsSLiG+NJN$4W&$z{s?5dT2N?av!)IrV+z`*sAp4z8Gn zJZsHtxMIsjJq!kR(ZGNjUq|b3SEqG7XqJNfb)W5FWVKL8f5v)LQ6t6hmtPI-Z46S5 z+nqFi(7~Yhm)BQcYH&F@2p84k5ET}bzDKYjySumhqNX)2v{`{tr>?QOiw(eGm$jU8 zQ|#^i!STN@f@}C%0Jn*Rmvblz4Nvx@;hf#XH6p64vZn$XnmOKnR^K%h#tXag$Y4Ll zxJA&6*gtFYkY=8)I2O%b0Qea$l-o}xpPQhHo*f76|rykQpf=dIpbl8ax|cT0*Z z*C-$xp<$IJ6&0>@X=x^tO^v9=v>ExP=3E$7uqRrnfLD}(f`R9)26XJ?gfEWuNmvTv zUR<5K@rukL`?_oz^WxT+T%E5YzEP=eb7--Vw2S>RPUGbr&(nKL!+MwmaAifh5LN#+H6+-Vcb-vj#5&(E9On(_c=EZe^LfW?p+4@}D!?VjiF ztRZbw#e@eLDU+$QKo{`#eeHHqw5}4DS0mZ|!yQ7c_eBk1CH-jV5%oK*q?-C35VJ;I z5bYr!Qub!oG)MX|8I7<+Dl%c%r>ZAowxjOA2g=GVrf+P(8%gb&ADTC+Jo}^W>zr_g z&$&&y-+`+9(a7Tmw@Xp8M9X3#6q%uB3V(<>d;T!m6+5 zU+(|OFWa7GwuMH&zYb;kp3^2M5R9b4i@(G59iD4_NI{CrDz=E?x7kB&qc?MeR!FLP zN^(w4xgK29`%o}VBC756O0cNx^P+(t_QSjGwmqQnFtKrBv2h~3CnYhW%qJE1cdccU z`KPK&-?zzy+^rE(lbFE=hk$ISnGoKzKe`P%@9BXWX^au6>O|MpbLQk+`frC?xhFHu z@KEhF=0Tuqwck54icanzvyMv(wnRQNPmKi%Zc`W-Xh;3*wKCTfLlg0Vy!rTNUP{VQ zVC41z4T}I7IY{AbY;?3hy_l^)v_w1bJ~5qeM(IWbn#*zcVXEJwOS;^5Zr54cM4u#9 zWGnVM=bwgb*GgBodJ(6YG)_)VV?BMoclLhZ*m#&1IEP({_V2yf(&7rRH(D`7m(DDK7qo5|IW34fa+cg9Sc z>4&Ww7XNnBaS?*)gbDUGa`ttEc^}KpQxJgH8^+Fd^YF@6qst%l%kaP_>s0kXmhPfZ zBlr@D<&oLl^@%>era3P6DaAE&I(C%JXb>YVvQ#$9MO>tuj90((j`nY58jmmCdfNja ziO-V1r&50pq~f7|r%@)Q^Z#VJQ~Sx#N6RJKnZQ|Zy}NMx9cylE<93t%mjSbxaM!2; z>2FS?QYq20Dba-<6`1N!cU$fU^@^AuC)wjv+zE=l&1B6^Jw^>w&~)K^3eKweCLiKj zXXmBy9qrkc=5`PJ8C+Bd&A=-pP&yserDgD_g3VFi}~TBFy8(= zZU&eJ6dJA@O$4F{7tOwB{`eHaBUrVj0pSHs4xJ3^{N68XGJ9tP zl+-nvNz*~Gafw;*5K{5uxr2%b(1nE=i4xE2Rqxtq&Q-ZAQQZQB7pu7%l*uFoZN9z_ zhl3b65Wx4AkHRv&wjk}J5L*i$!olWs1@F_;Ltc`%aG*ac7y;VMH+9t+Tf3-J!EnTba{W;-yH)$i&XM-<~NHVWmm}kuk!1 zBBrQHX~5M{df?Rua$DLY0J@G%*)WQ?$$kcLpI|)Tm0HmMHN5Q%cpaDN? zE^~omfo*2PbKqhHFH#3HaF-DBMnmqnCo`t82}!7{tVH!%82@;M-n$viEUq%2CqU4_ zx@FpaLQzGQ8xpcK0h!^yWs;}Z!kN!R^TO5GTF4Q##Iv;DL?Sy!0e;t%$8N zUQUHS~Kbn?WpEt`Ss=mt=c_aYR zA-7Jaf21IzSuV?Lb$`pc#PL4k#sRholpn7H69*=ad0P;V* z05tRUA>PZ8IH-&!%DVh6uu2L-mv#O0K`)wOVf@$r-M9q8xvSY^(ywN-gdb%TA3L(9 zp56DeM47!NzV-x?Q-N$5N5hhpjXCqtM&>XxSM01M8F_Nk!I2I(n+d#X5@N zZ&oGf`ByxNJe-kV~{mB7@Rz)nY&FVCUF~PbgcANdRDWHXf==P~9NWkt3_T@JB~d(IQl+%rau9{>5+L z;{Vlgo6~zCDt{j{We^57+kOd}F@DAtl%=)+p04j{3m#r7fpC5!L(8zjS(6h4RF4`1z{${|G!f5uilWDW!>N)dj*}SgjT(5sLsL%a{oK;IDS+Dqupv z#J#jy;M_7;`~|w8;A{o=b@olC(KM!;)`VyWrw?P`FnAZ~(OS>}JT*BOqsG6CjA2J< zx5Kc_F)aobxv_aaz5bZx2F-fycI+(^FH4Y|$KJ>*)*V;eng<5m^EKA0jd)?bQ+vJs zM{NEL)V3yZ)o*F1(1pUkjY{Qix0NWy@2d=_;l@J4iHcn!S_D^P(~O}@VCo)-@A0P$ zi{Xv!*|oO@VsPAEk~7l+dGof)di-d-0$WobXb6+f}{Xs@2zLXH1|?^=Lc6Ym4{)(SfqY2ULhXpfEfcS3o5ccdU+{9s*zpC z7jk#2rjD4^Av2+WyA9*hR6fUr3Ba_63qAcgDujZ4{4+>SNtOww@Crl_Upj7_dNY+N za8&2V5T>Blzwp?G=Qo^X%5`l>G_h_es&~Am(@w>G6sBg<{Ep>hg<$L8QDFj22+b?A z5!~UI3M{eolQjEi7kH6V3`X;T73pu;EJQj_$cf&!kEL_n{V_tbb>C5GUIp`AG0pU8 z;%}%XVnS1t;q4&tyw}8!#;yG0$BR+Qw7kD}*2oHEve4OZLbcD>yqRD39zsb9ZLM2m zH>!iI;GS6|>s)!|YMI%g+zHYn%HN1{?;=y7+ zW&o^}0T_IS1qEn|sq#zX#M#lJx{#0%r<96v+*O)qd$a(hLVT#2Ij|if;E9BC- z*IMv?)LI#N&tbOdy_~eO+gzP1{)C9dnr57|2a^J~cQh0ZCrL=L=jkVC&5cTq<>`&m zDgOm=OH$g*q%?g%0kB_te{4+09|th(?AOleGJvh%UiZD|8FX?~*K3*SHb zJge>|_Ln~h;}uCW;=3c(V5nh`x8DM@O3g{j+u-7B05XgzU!`A@^S^DxUhfvpJAIn# zNBSw`#!t_dCyfb(VNJM{sQ(nPHSn(+%QDU@_!Y z(Bcj#9ZgaU3nf)uc@i2-raY9JBnd_4mrlE#+a8y z;d(^^AmOqn75AB~H63lDlL~QA;&_y{;-!9mmvugVbkfc{i{0PMW}BKjI{bW)ML!V1 z&wo~9(^B@$<2#!^$hnycFgwLPQ26=mPeNLGL`~dm^rP0#vZ#w-I6hgb0-3U-1<4;dGX;u}jq}WhgEb#hxl1-lum7*WNfGf)q|z&=>CEKS}*mk<#IWhzSHE1r4B_Jl`SmZX05#b zn^vl|pJ8O)Hqmq?Kaj3?E+KfRBC>psZULULPI28p+-w=(7cIVBO<_|HAtbF)vj7-bjT^(i>8=CF{d^;D3hHjxMV7-E%Wk*Adc51vj*($4*Yi?X(vH9vYC~SPGl5&A-dl)F zisANszpKP%xbgi*q>0r>$p;zQ$GzEpwzaq>4|Thn?u^hWHbWM?6Mtf<@KEl>=c1z7 zS?)#65%&2U>Fq=8p5OXJmGY)UbSGan~rSjw`c>kpXW;L~c zpz#3unYfZbgO*pznvp2uS-*?hLk+9Qr`Eu8wYXKte&8`2``?+ch~-hmox7ARDgPB* zw9*X-{_ORqvLED(CO@f~ScP)S2&%0X?0^uqYL}_v!9Zq}N#{_e+gl4q zUk-`SEjUPhxq#oJ;(+#Gr)F=uJ)GKxe8i8=4hbWlSlP+juxcdPIe*Op`1n<0$aiud z<-nk05NGpxlzr{q(#2;X(|q4cVW+|S4z!?}!-sF;+52QQdY?RcnrAAmc2hU(lg`K- zXm|C>)1-(H{3C^(DL$5XiaXeqZ{0Vu*eP72NJ(zAI*yFjcR_PLGYeD6t4qhVoJj^r zPQrLs1`+izsD%D?F}jSqzV{Gl^= zhwnk)lBb!Wmj}2Uun_;VmMkNEl}!o2%voM5X_rqJc;8AR8ow+darHF#Y^!3HkYYas zBZnW0A2T5el9b;z*M!5cp-w1rQb+?iVc*qnh;=Sj8!-9vLrV5x!SI$xYZJfi>YhGf z{;U5c@xF#}zRWPo z_Iq=#n?eBz^Hy6P$Ve|)?peq|LDXhL>NwO)Qb%^J5!klV5zK#AUZ;)+&X%qe9 zCGyA52zvu*GAOmq0}e{pTbz3)GE#d3<@J(BCt1-95he9DreC5qocd2*WqQ0;W_@<^ zwa2lnFE{pW!kV)syd8{B zHyp@Tp5N2O!pbwExy#w;R5BY+snHt~k0GDX#~QSN4D}7Zn!~^+lN5W7yQGk<{*RA` zaZy*wAMh%h%ubAi2zd4L(ED)4uhw}^E^DZD*Ig9dzaN*~F5t0?uH1KtQ?{9<;abu6 zT2b~|ks3o4s?`04!mma4kmgJO(0?<{8>rca{=`HX?N6hkymwBd&z0`_Oy86l+H3!O;dZH`iF5lldF|Mrt=&{_g#sbX^ZQX|7)Um4c@e}Ih^p`D(=r4?VAN2 zEUU{rPW$+O6QKWBMdN=Lr~iw;wSgX_?7xFS0|wKyNW&q!e^@PV0Tx{|J{)X|q2Dk% zI``YT6Yx2s<)erO^jd7B^J{`P8NtKhrxF=0);bGw`3E5epsmgY<%z8!xNoe9N}v9I zd0^}?yq(x5eLN?7lR)6DhSd*rwid_ohKDLCgKQHTs)9%Me=zozL2sVG5VVtUA~rdpopw}FP>QN8cMW;LOsy&I zsFq+OI14?Q)t)}>+u;NC{)N=K81_zyn_kwUJ@#i>?aEC0vakqJwn7nEqgE%G{>C^B zLKa3E7Ul+S`QJyhN0yibZDsD6TnwDnp>>2D+P*jf5?ZKH#BY;mk;8XXl#cbPqj23XiK4GqWgwC>Wb>h#&l)uID`WpRe|rpWxw*nvKb0%v?^*o z1oG0m#_q6Bnn&ZaMGUpO2WoozjmKUnZ;31B^|3#xQ>v2hrW{FBg@-Px-L5a$m*NoP zyx=ljR-^HNsY$w;sJ34toUnUequ$W?WsPQ!68zwn`K&=j;N{kT`+kO|;8>&OO$gfl znMiss`hG8Z`Z_v}pc8^)v{l-B)jxYyMZ=E}(|*ou(eT!bj?9CdAh2@|DY`1Yk>>$% z)k<4*dGi~mt2eXlErzbOZ>^Tx8~K#e3KgeiKYe9WFP-Et4d{Ty>tJ(4qhMzyk-%g71fYB5ahKwC^qb%>=zq^u3fVu#+530t5{j8)K&?f zE;8`-fkj2^gI>mE&6~W1!PZ2AOd~2@ZBKKNp5eU+EKYwlN(MR`{>Z2F@T#wWfcl&U zq-_G8+*8tHykkZ#=Sm~bT}GdyX{L`P+<)(jR6I9SOkvO0Z>~MueU}#(5V9o5SQs5S z$HcVLaD5ec%n0w4sg#a0B3wAe%%B*_d3Df_lyQ{q^?lgGf0Z(*ePR-dJn&XK)5-;P zkHNd7D+=!Ck{q{g@jstWMYDkhi(?{T;xAwWLKqr=yKKz)u*=LU7=&*1pk!S{w4S;Q znpplhwrs;`dd*`Y>2O1>h8To~(Tj%h{eft?R2d}_{tfX(mY8}^x@+6MD^@5zpH?Y^ z?rSe4WJu2}`L!}IHyByv^6V0FIsd^~3WLwtYjl}|_sH_y>wxSq#1?8%T#D{qymMIOXJa^L*`laec9)osBW<#)n{f6I#6ldp($|WE zmSuzNRP~9&qy=ZV1;eNoWgB!F8a!quNkbQ3B@gG9%WKufCHeLx~eLB2*~DKsD++VhpB832VTQMn?Zg`*R+Eky3UN*uSf%Q#p% zsFj&%v2Q95M@D}_kr&~9P8jkN>K_5cN0*&fR&OjsQK zV!aISYn31Q`&_~Bc)DZg1O2yiu&13H{@yH{yVD!Ky3-%e!NfcIMPYN}UXb$g?26{m zz<2jx1%=!{{UweQa!b)2J@K+aL$y~d>}8H6`55wwkXonopKo8^sw+J5gb{eMWFHsP;p#fJ~3kIK^WIRg?V?3IM=Vfri;U zdQQAG_?}6Y1S;u)hE}Ka^peqlXVC}q^2v7RuyKGiWSkAhZ^+NGv_c|ctWw$A3N@{p zkob^$+9kC9^d8)dZf?_Z)bl}=F!7PjAM+1JOy4g5N?PROn`t$!hfaFS;3%|yRsewe zH^5U?eH{#iJk~Ol8p17{eHy|hB07jDN6U8(ZZTLV$5Yn3DH71yV3e1Q4HT&2t;>c3 z79(0mxy-n+DfRglYALO*JamXf?@5YM5wZ%U!`Ua*0Y}8|h4sB3*F?nQyNr_k(K_}F? z2@I}fYY2+Fd0=q_D!n}q+hv*H!8W;Vj)}=D!mkd0Oc7BGeoj>e6=enQ8RT!H$ru`;q5J-0GlfNE%2+4HT@Y?O~WuitotiQoLXA2 zV4hf&^20kvler6j`8dq=P|Kent=s*g49|mY=BlNoenY_%=Vkl*d55-n2a)-W1)U@w zvxxXZyz~4`zb&J^>)uw3)a|qnmh-aItg6$bP8ChskS8Z9>JjI^_F3e@bf;Z3UDol@1U!3yT>xI&^}?W_6m2k3nM$BboGv!wrl#eeD#6TrWnDTcvtq@(U-ChK{Decw z%1HEh8qlS%(8U;dBkK~ckM3bEr1LC*h<8D1TAZGMu0~a@{`X*^A$(Q|r<~o`^v3NDbW^apO{f`P0>tRM1U`7o4we;7@LdsFOTWRjf zF?Hk{4cp2PIyY>;Q7p(*tVQlo`$KL>S--#j-#{lYIhP}38if(zS(B;8F`=0B1C>2P zCDp!>LM=axxnMrvaXmns4h~?3R8W|aK@MzLIl7SF|CYsUX`^ka8T0+zODz+uA?+2tA96OoHj5zUhV62+%Fv5 z{w%K!3Oo7yd2Kuk>+tZ9Q#--DB;XhLja zaGOrE*Fxz| zd}SUb6q7*BO|ir0{yu-OMaWGDVP*WdKq>Vbxk}|1CUaD^=1tL;o8#G0ht8+*@e4z~ zZvpYA!!o1s)=cU#N3A>&WE`{9qW%*On z8P$!dnaiJVTIzDg6HP8|(&NPpm(;0_GNvj~O4YS6hM5hv~x#DfdPp)7fbFztda zJB(MO(oXv{Z*~rXQNN6j{XUxLReij3-D`0#M7iB88_}h=Jn7mr0oJuz0n+vZ|xY*Q5vuzja0X&cQC@EbQi%n-EaXqD#$*Bv#Jtj(n z{9>wdUD>hC?rNrf+sAdM$Lmd1sULjP?Mlv_ZTJIY=l3bXY<>BzyO!%TlD={k@ofKz zJ6*&<<+x0`mP5ebCRUE15>a4@m~(vOv0B738DpT6VlPQDm=Kdlt7s4jA75P&k{W`p^vewd^q6Bv(UsRNWMu;8oq_f)kHxdkCrrCvbB&pg zgpkWg0)Jc~s4E_jy7zaampiyG1%lg3kCNr02~&_Qph>I_?P(&U+9W}+&|2_Z>xE#o zgA1k@;IjAPyzcW9{dB_7;kUqszBGoh#Ob^=yKXGEao$GE9|!0nR1i<~8kh)Ok|VNP zT`*goh#bLCqdaCh`FZbB#KdA;deLSOWWvx@D%^LSVx8XIDSsEm`0B8kjw@0$ z-jXTv0m!W~VV9x5t_mjH)`=v8hXP)#sd4Favji)nhmT>@tba9OS*{ zTNfXTrN0(egtrgq@ALl61@B$&hf{g?NzwS8R_j3|?#nS7vZ+}(&^LkP+ky4O`sUrm zg5_=hAD1-M5>mAiqrviWV1%8qZLduE*P(feQ7We%P^{@CUVKdZi<+yb$5eC9TPxIc zPp5D?X-sc|s-?j>XyQx(g8;#dU*g6mz_ZYBeKS(v@f64ZsHKbyi|= zXkzHOr+&b>{rnYh203}uy4C$-EFS(oQ2)QY0RMll@mi$P+WR+sBweRIx0luu(G$Mc zE-n5~BKE6YA&VZzVofwH!d~Y{**@38nUVp-6XFjX**?emVlPVZt-;ZmzA%Kh8#YX=uGsE;9)dVkmr^fS|hC;qlmKv?Ntu$ErOZsmAit1zg+K9+f`HzDP&_sh#rI}Y zlK|tn7*bdxHH)eaRPzG+dgrNC0jyC#?~wkxI3hw=*A?n>un>T1IP6QHEfnI(qv4kD%k*o{z+8 zeOt779+IS?n7TcGqTwN>3FOf<@U%+tJF=w9fz%-cAZa>qc=(i4ePG%if0ST@nP@%3 z63XKT<)AQwU`1P_Ot4WEJy%HtTUj|KJ^~s2WP|qW{;V`jSdG;(*H;dUM7ljiVVYuJjME+22zB&0DG1nJB ze?9D&yU#Rds?gyCc$a|+8O@w&MLIIl6V%r=-tt+STub|sxbMp^EzkLWcf6agGtKMb+s_tc)UV?V z`ZQ__(uuAu^hdb{lJOs$5d@kOjlNt>S{nPW=!Bu&SsG)gE5;+@|H`xy>@7k)$= zaX+5X)bFaKbIi)Kn}t%dj`CS5p?`JT>;E?SL#4=Q&d)Lp;=~t>F8AYIjbrVn@X{Zc zixOJxtc5NcTw?0{9#nV0zLiTvXP5+cF9b=X`T%K9?mo+Yzi2sI=2J7>`Hj@6!!WpQ zu^i&Q%6SgQ%2A_`C5JMKIw54j*85$Jlvt2){%TtKT#$oz-!qu)n__Iy8z&svG>D;0vh0b7!rLt)>14(B zEg&G$Zsgc};$78Mzr+8@lu8v98T}(?R$G;zR-yE3ZG|jua&-dC2iCAoBt%^w*7HA@ zK0YY(i*s!rJ*38R=^{_`(oEgTQsj-g`DDi0XTJ7}0=urVkdv>)>Vj;`T;%%c;NOn`qA{9G!GMC4MXw}F+S!ngeE7z{FT)l zVJ2VCJUuX{S`z|{d;NZ`OLin&#CDfp1%iK$(LsatrmJMZZJBV(;gru|y{r5~k2W1B z=;d0DV3^nl!pz%}TK{W~-{YCR_upppBwbRz!1nG%m~CzK^i6ln+LW$#`k@<(BE2V< zpWatD|67d1(|{nCCg}D_@)2L+1}fQqJ$^jXMjr3l8Xh7caXnSt7v6pBmrJwcIsFpH z4gkuZk4nz|a8q(ZJk9-x0xqfpRuj9F;(hOcEz7y0U06y_9zGz;L3sbj{;Rzto)`Y! zpoIdfnUqCP1_ao}jngCj!_1=n}=g-8qy4XQl+dY1ge%OBs zZrmYx{tm`pz(8Vt5POxuqzB&d{>imjHP~qQ;?mfy7tQ!>bD$>JfJ7P{t)H!o(#qJG zp&{XuIx1ZVFnx#ek8aqs`u?I?FFNd@EOdXHXrNbCcJQm z1^ZO==lYj)%V8l?X9jQ(E5V_9qvS&ApXxs`2)Tqb%huR!@63X&j~@GOz8tk&I^co4 za=q{X<@c6$cTB_mg-kSUeBA>98~rPB+=W;+4fn+T$E5@YF0t$4KWF}Ap4^x77SN~& zR(zJU!eA$A+exGoCwAB1w(!zpI5h=Z5+^SNr^etGBWZ0lFQF(tIHb#>h*EmF1tnbT zO@Btk(hre6q54sb$;2gO%E41V$#pc9m_~{t#Z-qNW{9e7SYc#5jJc45*9VAUXc~MF z5a$6z<*u{T>JNS{F8nSr0`%q(g7=kz1lP`pAk#5%UqH6rSoTLy6PYfrjJd4AM?JyT z84&V?CWfL1Dzbk+`X|%1>ty$!<61W2v8)Hr&>QhZ>Q~H3AxYNj;;=cs`Qij4oY-ZOkZDW9Qz(dC=3P1vKBwzp7Yor^mh?NkePkNSSoeL!7zpp(COJ3|Tc*-_`G|_MSj&owT8sGZMuZ> zoGuB5Y9Km?*U0E-#tiGJ2{5nRnj^)|tYwlFNGm4EP3sT;fN0(OF4m?Id{HFAHiM*j zE{wxeqHDB-a<;=%rqwrEBrJ!gzotla#N?@BeeLMs0b47h5w|jFzwJJPVE4P@(*|HeJ_Ue{;Vo52DdfU=sXv z0uKTaTK>Z_MD%5>5plgd_x`)>M3GFSx6{I}^TY(+P8;e7mc%Q9GZV%)h?a$ReQi2- z9o}sYjYOHj@)?b4dwxbHre%vo?tOoomMs!Fn^@ww|INC_eV_21#o6DlSJ+IyjPWEsq2O}$p;8eR&rCiqf*m<4zGp}!waOKS<`fpWWkW|A;mh#o z<5fb;jPxF%RJg$d_=UzWR5TXVFRofuyuG28V2H~1Vvq|w zx{C8>Le>hpVkjwzD+l5XHP1djsTGzym7{pKL{FqrycvvO$$LnIY$JTrf70hG;@>#K zVY;Cn=urfYLq>zVobv^iY{ncBOAsLAdW2 zQ4|G5--mMB_|Z}=g2UI|tUu;mZl)DKy4mYqd-3BXi4B;&9F`w3(;Yjyu_e~En z2^y0k@Uz;eXDXzaq7(hoM__g6Cxr8|&7DqP(l9nNxkxi;1w0| zFq|WU6dg@~D@PQoPCYkKgH|B8bLieoo```q&+51LbwBArB2bBP!0tya5%390lVg@kEZ9nQ$9rao9sGqjqH32l1(qdipXp}+npDMqS&!L2KH{3c{r>B;#Nw?#^4T6+BL zP3FptmG4XKQBSGKp{z$I?G!YWi`G{<4+HIcvk7CjM~K8nsdXa-Ycr>l!7V3+x1!(p z8w^3}dc^)|O&S=$^xc9==>9dSxu6~G%CAy}5`}BSie$1Gp#F<{pfPi(91=5aMDch0 zXfclzC!a{Rr~HvhoC^_wCkhKDFppr%U#I&7^xu6FX5Gdldh_cWZBjxy_G{}K+yIxX zxu(7qzO^VkHZc||yH@EuwukDT(5h)i!Y_50g-#*-uj&-pmXl`G00A=|cNDMg5x8YgoMj~s!u!B*{iZ|Qf31nBfbS!&?~ z<8Coo#8s!X8Vr5!bAcLEIM8L5_>tlptXI_kurn4uy?dXTj7gwHRYHW1jQ-6=I~QVk zeJX~S^k}9C4Q79GmKlrKkwAn3q7xF~^j#b-Og-~}&XggJ*L828J;YX4P6 zbNrad)5j{*C*{@uI76^#p4ueGn#C`DsQyvG11?_Qj_$lH=eY=th5|Ybb5Wm$h1B|b zeI4s+7TRNU&V!Ya=f?Dxst$Ol0(r^U=zrHaf;`j^E}?O&&siE9B>rb4XZ4ad7xVM; zo^utVmAeX(_jr#`$(N(s0f$>siSu=wg2khb^53iPe*gDh_22&-p(rFudLo3t_J`M$ zAc$ge4fu|{6E5hIWtE9URN9wWuG9$YP&h0-7-|O(yPLbcL&2pt3xUB6Jq(|ttAi%< zBtD?BOVy(CF*Y;6VIXt$4+5OZa6~AnTCWyA#>~?%uhUVkI_Xl zo=cNK203m-ky<-y$~NqFaq(?y=ZR2s!>AoCuBSZs7xh8|16=V zj1*mL>d&v~>DYh8`iH!!;1SR;4=oi%S^GlqzEN%lI;ueMquwPvX<~eRH(g7A9DX5L zT6IOPDuOjY^?o{e-Nja>Lc<%Az}a|@SAFO?^qu$N>vK<&_V`KBJ4uJP4S^=k7izK@ zg1DvVvke@iw=|q;8&O3_Us2@_dvE zLwrGKeau52cxW1fm%QFpLA}+0yyTOuxcx#d2l^|tty_ZJgc>jz%OH!6#JkId{ai5l zU=?jVOA$&8zIvE(1%yJ$@9t4#Jd<>NDH3fwD|78I60O}JlrXAXE*!Jd;29HS&{U1kPA-Yj5?^yJJgYdaU-`iwRk*O|h?LW*4hf1Sqc^*B z=6Sg1OYTSa*B!ad8&9l?@qJ>>DqE%>q-KByR~``wuc8X&CiDm_6bDx@VODA~#i#|s z)w1aBj63#RC)Z}I;ww{h06#XX6 z{d8aIdsHB;`yPy|wM)L56{y(P)Rjol{2O{LMJE#~l5GYyP}KaT|JDQ6m=ERn&`yZD zk3n(N-XLIfqMGcqcUcnAaBd+_g7LBO-F+eLF3?>ivxaqOe{1uV5yJpQvEBdqk{VA^ zW3s@%CXY(Ib=_7p``GI#ls=(|g1><)`aqYRkPHkx-e%xwMo}6At<%n?YwH~)r2(Nf zHf=rncex4gl#;xJ6Nm1Kmccp3{RxST z>v-eH>5o5YKe%fpa3FFi0$rO`aGMhXq5(n2##X;1YF}u*hXn`0zS;(e4ZOEP?%PXZ zZ*&3dAa8dj9^CIAy<8vsmW^(XUljcOMT6f)jKTi>91}W6atFda6x~<)zGoUH;^$u7 z6xN>iztDWoMJ0n~_ckL9{D29$TNqF^eBXtCn+9g)Ld%(sjDIXg%N^OVbgx>99A18?Nmd?}W(DpSth*nYP6)h!C7+a~f+ZpY zKL5iI=KyYmk}kuF4BOEw{p#q7Md^h-+9P+*c`v&0K-&J=p;S1ia@H3z*r7h*hHQ6l z!{YlQcDDuOaURJ0AUPt`FKh})ItH&?SUR3$0y*<%@WRkQ`aP3*YX9N4{$?&TWzU=9 zUzHgV?#HwXtKf$2G0v4$`yiNXPiI8ggCV0IxR9s+m79<&>w;41QOOO3$4QuJ)4{#V z8l|4+$rznDm~vo1{n<@VVTK{G6l>kZs%V7GcyQ8>KX<5;vvN| zh&Brq7?xClY@!O56{y~%Q+L5G*wvxi7q@-WtmbmyCX!2tf@hV>r}h|Q z2t-PGM(~$CPMWg}D(3pyuzlQGXiH{`2NZ4e^NJ5*f19TiY+BT7%QgU$bzZ5Yb8z0= zWUJ!1it{n`mDXiD>phh&f$!SZjOW=QxrtCS+tDFg9lu%OqyP*(HM^ErdOTdLZ*eoF zXD0JMrs$P9~l_MD#{{h+-X(>Cm3x zztW?0YtwJBqVl0;j&Y+jrFeo)_lDuX6E=m`rPklk_wf0|rduv5bFulFnQf}Qq`11K zn8&TVOK!@oW#yVEz!Qq-XSGct;WtU;VRlnN0EeA4wBZQ|_3O2r9APK6av>Uz5)}a$ z3uAwrvY&!5^u1a*C~{NOSN6s_IzeCROoCj;KytrIe%0>HgFrCss?_4pp6{|)#zE+K zkM|+Yc)m4bk#z~Xv1f<<&2A73j?EI9X+PSKSk2Zt|1)YvbLXpw{!ZS+03|+A19y)(2H{UJxGvrzvt`UySZLAj4vtBR_$i850DYyw{FoL9dp#i$ zJu@K|GGp-22Qki(3|<{>Jvl@@sT`b_zuZrJ&%+uoZy$dkg8Kl?ScoE}|4z-5+G z9q;bLW@sBLMA1|eXG%J?v;}7bmm3}_3&s=@$M`vipjw3%^pfQkzRxPOn++s&6mB9A z-)BO$1yKn1JyFP0qNa61FIh`<-a&JrSnyCJ`{bc=vY%~Id9h(=3`v7gc)yWDpqPZe zH&qlbq|6wE=wiz?^Wq{{E0EYXfAeSy>9W!kV{F&EMmBmKq_uJwyYo%FQMvKt-EhBm z%xJNr>PkI^(|R=9ypFzZF)$iOp>a}|nAF+6`T#Glhhc4qYbgDUEXs2(781&F)2cfp z6pz~G_vIEfY;Nh9ZZ;2Ex7y&D_ZlQdOOhW7Oha+BQa#)6Mf}v^Ud7RKX*B(FG)VK9 z(cpP&Wl-m9CkUGS2o00T<#}7kGjoIeu>sYJ4rHMJyR_lUOAk_daO&mluiV~GI57lm z-XHuzbr8@8LkX1`G7~5eL-y5>9;AHc*(Zr9Pb`B@eZr*Hvzl@3mgO7#FwTB+$}Tn| zvo3W;+h|Z=5h#`l<(0QZ54HOgPU^FYOrMChC1A8rpYXcaXY}!q-2ArMAQ^Y+?Q?H* zD{MvqfKRiiSBleqQSlj2zY?lB^DuBJYTX}iR;d{(9*KENaoU3m$4+$u6FickZhU(CVChGD^il2jK0M)6WT3~lcxlak4#?**vnFCq= zBii%KVO=^oJ6Cn`_ve5_)o04vbnJHtu4o`ibjUo_`Pp73i6o^x+cNxeOAhX0b#1xKm1Yl>i0aL;Ss42hezQA^ zVs9jvYYL~;d_-cWbbaeukF?C6lFoFSzVrL+@H-K(sr)fFmCK%BCb;otcS`v0ilmDH zwXap3b^L#FlUs{(Dz(R9(g$PZx=t5BQTnuUyn|_L@KG$*;b(hirhWicX8$pYKTcYR z?uIDve|H36y;db<(L!7PJ6>Y+xcN61l6MmE$|momC2V6m2rM#(!k>9<0gDU66wUi> zjCuRv$9iZe(SFTpOk0fE#I_I|bV=G;$C#_B-6~HiFVGf9OjZpn@~KOa(#@Kr^C{1( zSyEz+$Z0s>$J@Mkr23^cCT29M{5<)lOZ34l_S-w}jxRg&=D9UJ?@ZS0;RxI03cPq! zBjEQxtd+w-5eVJ@x6IrGhq=zMm8yJ0@;T{im6E_UUj>IM4^AKdMWd2Q8EEjta8}yx znd{tNd!a`cXX~mg-J{7y4_jY`(JU^V(XBA)NzrC?EE#Bn|0VLn57GMT4=VBR^qGmL zzyHWDB2e5Ny1~`?rJnXu19SUnv@_v0`6Qyql9|f6n>!s_Tv6g$X63cqaypbc>a7%O zqLoMTHrWiw1(U6ZA{WOH5-Z+?Ae=SbaV~X^J&MP@Fd?Le(e<^h-N0Z{5_5;!U*MVaL z|2~KVGxPkyO7FzW-|F?BjT9OK2vjpgw7YSEr344%@qSqGfE(K|=ZCe#4T?hg$^8PM zCiFJqZn10qBJPeT4oWW!Vu4?Qx;|&h7E( z<(g(qqw8{uA#JhUn)t+Pi|CO>^1Au7bM--d*RA~|o2Xg9?=zb3#LWww#LFGGSkq03 z;B#&FRl%*#%T>JOZ>!r+E02rMPbYBjPVpBfrq8A?EE3n&68AzRy(>UMBhW#HB4`4|5zsFXyfnQ_C*grtSrnFZ zfH_YDWcRc}IE7UzeS7KE4-_W19hnxdpF;PN+qgta7m9f@DB>+UaNsPQ2lyk86)4W6 z)28R?!IsaxHajdoJzbiUT%pfOhYzCnT1#<42LvoK)!x&ID-6-*L0f4<#j<%|Uu(gV zfW<2P-2ksAkTr`{h-~{#%27cMM*rAiUYZbA^i#4I!xljlERqgvAGt4bouzW0Rg(wln}MwjlcjwfhvQdbqOgeQyr8V?hF+zVIT$;msQR*{+_?lJMWy_fz2k%fRKkdtnYvw zQB_`CHOyEw3`nE2-8_AfltHIC=4t*OuK^_IsYC39Sz5KiyFNNTO*0vF`;yIZnQSV@ zqcJWmt_%Pvow=;oSm9jYz*K_inE?q?$3E_j@m_c!+UqbR=fYkY;}A4SnRzzngI2VR z66_U`VlGZ|ciKp3`*I0{RxjKi%7K8{TST)iWA0Yz0(CMwo%qMInw3(au}mE?gYm$s z=%qGfaU0>$OFftO`R0+o^s(pW%%Zp`vSZsLG3szmN{vu7tWJrkv>m}yg}ZA=X#I}FM9M*k&{vaUhhJlw zCZs&4GWg#{>OiJR=F=bConfYTv#YYw-CSvi1f!+-rt_gu)zS_=ZS z`wTe5ORzv*`;~rj=xs>e0*|`wA=mS|GZW0cPQ-pcTplP;Ce># zYCd(tjCk$%H?hR&RXokBN1Er}fROIz@7>ot(e^Xc1xQ^lC=yVOfbIHtx7ca^9~9Nk z!xqM`d|dv`!v2o}?&-mdivYz$c!PC~^C4{uSuhy=`_G$!kdu~~n-i+Q0SPkRZ*PBS15!{I(edUt(IEhq-{4^Q5s`#&)Dr5AMEu>Ccy$Ko~^s0XYi0+0F4n$ z@D)YtH6Wu;ff10&K!pZ*kcxzX*GBWWmZi9KlFThNKn*Yy3k}3U%8fY%rpMUh&5Hok z8YI$bp)zcqBr|x7zI`XykUsHWdL*N{M|Em{Nl6|=nVpet5x6c=nalU-(-H9Wtczx5 zzHn+k!42ubJor(B^yU{mh6sn9HZx{t#;)FOR7>U1W=KUZXMGLLoNlntIr zI9XF}UO3jWIX4ffzs{p4i|iOGG`_%p_BoT&gy7>hD@F-MLJ#r-wtP@j(U#ax{nauMp~#&-w@qS6+XpmJ;VD- zoC*SU#YUV=BMLJS0LDkHn3WI?qfmoWWckG@4iyQFm&5%-L&OwX&71#^1|zs*;Jd?? zf3&z{UWv^NUyvN=9FPgouGIGa-dH z_Q#|pcKEoGf9g3;VgEG!m*d**CeHWJ7`F4P@G`hiD+wIJ6uBRPc^OT>&r^$@$WIhB zjLoEdPDA~ORHcL@=JLN7(oW_go9h=P%wnn#I-O6)kL@-MX@Aa-4^b8j5dS%vJ8AnL z3JJ6~DgndE)tI0YVE#7ByC0xv@i`zqfAU+!c6TQQ9Tu|g22@=2F&^+KgK$Ztp?~2- z8{f5MPk(gd$%}Ex(w^&ee8LYWeYG6tb5GtSbHEZs%4Er#CF|BEoj2&~1Ti*r(6jt- z{I_FL?c3XWtB?sbC&h+?EF(|{`J>LE!*OwJb0q?_T`uTJ2EgBy zSbZZ;kdT(Y2>;b^F#1_+hC4o6_R-N=9)L}ZWg7G*pMo1-{8%cWXyG^T=zGO6$Znh#3v1f3Uq z#%A0ULy{*m{%}+QSe5NCG?3AV^DFf4Q#|534&~b?lE;6d4Rs8&opX1(WY9+tkh63z z)ABc>4S=0IF-zgP{a3aHa)wxQ>XhA0fu+f%5CV}3pzCXex=n4#=}^M*tA(ND=cv%i z!ar2N@=@WI=B4C?jQ?}M ziC7T#b1wxo_HkO#sfd1Q*R}4O!W2F-Ou~c9>2x=hralTKlrra zgGTVVJI+@IyCzq!&jN5>9&?@(2fHY7>ftgnGH%zxC9iq7y%=YnZ(f#H2XUU(N~niB z?20w~|H1X#Ee@spk9I+7V}wIK9#zGO!%AgUD}K* zmOw8?(Ub)O#>ceDJ_I5pj?ad%%+4uLJyaMK|INJZ=bV~Ex|+TYfxq8*gPtFYCoe;m zkl2j+QHN6}IZlGmm)~I3hQrYm-bA4y65xc1p&7hEfYA@t%yYFJVnk3l>?6k{q{b(3 zFCPcvi_og_f*V;WKMOUlNWXdpVSbPFNm+lfK2gu*7#_dxd9m~ z2Yb_mf*V8ntJF|S1^fyPKG=+O!ZWNlFm_^;%wixW_7Aq>07HzOlwJ?d2kdAhFlx|s zQ6sICmaeX@+nkB9;XA8sAMPgM)thCX4f)OTvWkjS{bc=BTjOQVGxH~f{#c6Yho@;5 zQ4R>Rb!}2UA|WRd5>W~#k{pIvEecTO`6ILZ&(wQCMtogFIvArose)EMsU{`eUIQ)* zZ~i+dAu%T2w6HD4KH#|LgABE5r5F)x;jKyG=w+glG8<*$=Q=ud#s7IG{P190g~ry9 z8E%J=E*55CpBZjJ5r|JK%N_BF$HegnKX{f2iCrb|dtWpQo=GRI=lU!LYARO`0>JWf z;))T3?Zn+mJ=T#XI0Y{WeJkbjN>9kkYEC!v-7vHcDBHTb&JEAio-8q5@+_QeGQMt0 z^zC&0STl6%EC1#7^dQ7^TAr*qum#HZwJmKmyskU2u9;SkZZ?bhr#p&`n`Tp+(dzB2 zP??U)+K1Xf{RP5dU?BVTH&okN*Z{3n0}r1KC>OySH*Sj>QDJZCXgJ(|tAfnMMdImS z)N?U{%vD9G*d{Jp$+Z#cl(Aoh_&8r*3&~TceK+@M*1q*2*~2v9L3fzete?k>){T(1 z5XO}(jXu`h)o4e(5qh0%;h=n-y&Y6_Z1UleJQ{1-dD<*0Q)-YyCVP8mT6O9B=tW80 z&+hdOFJowKBomrIk*B^@WGBXqUfP~{*Q(Q%3<`0LLv1`_yLC-KZTwUFee9cV*OQa} zthV9ELYj{VH@-lt;L1h#?r#dk08K60ZWz2jOSU)q1eLe}`-pCJ{t#c%(rGbhI>`yv zPe}biN$~HBBTFF{h`om2@`2Fz$B-G~$I9cb_2PR8byblfP}lna8c`K#(O!(pqM_9| ziCmD^ubz~tzmA|LRE?zfde1`zI*!mpNf-Ij>RP;K_GM7>$ozt4|B#^eW>Yr=?b}fI zuuO>Gz0GcvSaeKu2)>gZEw*A_ig&F*f0gAB&w5qLucOJbrP+P^hW!N>tiW0RL|djr z+pwRsByf;5)$gKTexQ}7PlDU3N`WUQ9&_QsfSLFZ$WQUSb1tk0{QuhRyvQDu zSTeDWvvNmmWNPxqgrcjj>H0M9$@9?AB+R|Nam%OaZ4m6n?{wq#bWlQIN{nRN`pkIs zEIpD7c+aZ_!Hyi0Tq!)vfmg_Je?i$pA)3v9tr6_$OzbIMK7}oP*AsRqL!&|GTh=RQ6_UN&5{i8 z)1Q@S-STc1J{G*4bxp>4Pbd55GuecFWc(qSZvu}X%E6Qb`H~t4F+^zl)rQ5i)Bv8U zO|Oi8*kfGRD>7o_X(M3ggu$sTG^8s{3xH#rs5jY3lVu8jOu~gRU`Fc{ZlSWq?s$j( zKa{<7P?YiCHcH3R-Cc{MbjM1G#0p3^(jiE9?E(q{D$=!5B1i~GN-Pr6-QC^Nac+Ol zd7nAwJihbJ`G=j^VRq-<*}cA>`}$mg&$h-~4FxH}&v@>Ih?Cwsxj)`PjSO9Tpy3Kb z$fKit*IW*VW1*fQM^5H9Az5Prp2lmp`q5JZ4+>yIjh3$(TZoBM%TIgEKp{d6YC%pC*rQMhx*KD3lrBrh5NziKdWaq;4kuK)X!tM1gxpHY3(b-?mOr$f{ zM%ZG$SFRfJ3cBGck1$$9#a{N#c`yVpkj~^mx3Y-v_mt zQ%@|wJjnzp!jhEV@R9*HKELZVw`e7F%bpzj5}t?8kD~f$qc#?yF`B3D+7!ye(-xA( z?zq>mBC09jp_)?F@mPD|$7?@794Q-f->_oQm^MdPR^a28c{1RqkYvlpFP#{{3IX3CC~WNJI*ev_uN%jKVbTdgvTG8JLi#S8KlkL4EX%^KahvX-Q@$fnwGfgcJw zp_>#f%QM~lc9sVY!vJlGilkx{V_4rcMX2a?``_Z|<(8 zlvlNiGgv~zr7<1f5&mH_T0jZqnf?+*M*71p9GM(G#tWUYD}@5l%7uCLFBA~Fywj>^ zB^wzw_8v%{yd(thhXjie+MVEchL1{$#N{gOc^8h{(0wZ%s5#m1g}iz?+=WZ9)Y&zS z1}`%SI8FXMf$g>uQ`QA$aDJqszG>BY|2heuVrIqrV9To8rw9H*ytlGucRs@wu!<4k zQ$AYL0%WvUTxSKZC|j=R!j6Y>0irrSleep>y!h;0>YV5*8{E9PKqf}j>P<`{GFPO% z)mo?(0#RFz*@aIK?=w>s%y@y6%agsYRp(#DmM#x4TP0hG4hjNY80~_Ci6<7iU{lRW zqHq{Pv$-|nfeLQzN6P#B^u_|hIT50X^Ioh-vXk~;GH@L0sLzYgcSu>ik&q+dmzd-L z^%utKowUuOkZrCAMfiW{Sf*+w#%A(|g(II3$@h7`^3iXGGP{etB_6H`#de({tb4J; z|3Bb14CpM}tH6k745Vzii1$5hxg4LI*S0K_e>d5(@f`Z|lK>`YGUq%PkDM^-vY@uv z^-l4dXHVqK#ma29Ux!rD#BY)C8-ehLlfXk{_8l`T#BFNt##FVePAJy@uJ3F9mq<|Y;i(D0d} zlT&h^u&SEBG{t#T4YulWw*q}t?Fe&*;L)(XY=T-MOEn7kaljfhoPFw%Cg zT+tCl#J(P5!?64DcNM%g7zbMr~MMaS&~tJR%|&>4?!mj(kmGB^gnVq^GrEjMRrQa-{eeG$%NjLA{yM3DhlC3%aE#HFQFL_S?l~ybJEgi4)0COgz zf{w|rG2Wi@Y6bW{ck$*$-kw12-u{P%mS>hoLCAJ)ml{2_;}UU9_oJ@TG|jyJnv^I^ zQ2+B*L5|c4B<^ogmc$AQlN)a9FGtf=DINzSqM0q#?0?ps>m*_-lT_-`RSaq2GMUvy z#5FT9AH`Pm>7I|+r6y{vNI|z2Lpd1U47RYm02g;Wb)>~3CLN(6nV`XpSw->K%7a^1 z7&(DmCT2ID4v)tSF=-)-#pC8Z$%*bUC)+x-vlNkeU&^IXe+Sluomk z%}-oSM8@^HNW>M?zW6bNr1cKbfl)s+?L1P6M4ddlUmMk4?R!He5qv9=QpKeu2<;|t zd=40r!F83dSm7e^H&*O+o||JnN}BdHs1(KpwS?sQ_qAuLF7}g|egm=Iccdxy-XFQl z0{EbYk+^i?TXd+V{iAa&;pGn^lH{A5SBp-Idm(Cz19JI270N)fCwpdMI3&P9HWpc>-%L^S>>qVi$QEG<-VeUq9vG0 zR-x6wnI6&e5wh2KvMP08N;45J$E zvUyZ$HlTJj5l_P*TGcdENHhpDNKI-`YotXau+}tT{&_=m@f_%Wm&B4`vQ;wK> zz}MKr;M3j9#>8(y`+B)YcTCq3a%aQZnj-3$ATC-ia&V?gc0+a6U+9!ROxiVo*FJA7yYHhiG!?ZXOeDiJbp5d11VY8+4bkHKRJAf&4 z&*a$V;Y_t6bg}sjuQrv?6$UwY&aV(e?j|Fh<@p*{=01IL*@h|f^=rJj)(=}Pv2r12 zRdlIU0?d5>D;Gf5e)wMp$I#y=mj+0gyWg3^;)ctow|$o}$P10)pF1ItnQgUB4It8L zLj)#jN5oe))00Nev_*dt$|a!;?cF~qR>@EjRLU@+QR&v+W^o)uQ%%dqi)cPW+eBGB zQse5O0h~ zX%93?(28=+RcRFKWup0d8gBEpnT0r=n-1mc9U7CoYeDb^33)JvEtBH#6T@?oWKWzP zM#xz{{|y=$P$^Bij+uO0Yeh)m+vT zbp$oS3n%|cS)^wdF{!%W6+ZGA21WMciVRuK1Mct%k^&(8qd!y z`AEHDIe*|%OuQr|GVtCv$=mt{x-4_a2TVT_IUovF^dvBYPxb?*$m!T;rURx; zoqxtl;k*7wTgim_coT+SkC!tGf4ymp@2EP4c2tUKk@=pzhx|@efSg$3fRoTvZgBYH zTp5gVhZY`6t=PH-#3BggM7pLwM+s?)G7yk07)tnlT>=yrK<$GWOP*>NejKuO7UTs{Tiv~o&2a`$P0are>(pAwvKoh z1yuk~ws+sxp{t!VI^s3aF_uNr-lc|r{xzBQ$3_omL}oN7f5;lH|Kl_u!p)t&d6Q$^H-N+Jp|q5f{({+3+Or8imOMQ@#U@&| zc#btTe6qfPCuyPT7Xv7yn>jmXE`nK|JJ!V&duloI$Ecmtt5Vi7^vvYjE{%7(R1`+tgQ{WY) zG6mlf)8-~JG2_yTSo95DJQpfM18pNlkuW#vux-Z1nH3l|0o3mZ5iM9%(F$yNoa)mj zz6t!BL$GY*ItnKWMPs_{=K68twpX_wdX~{bXmNy$t_^DO;fG$b|HWo45$(U&Tm%fW z?{rgspp#9}=N_RpotT*aKp!138~GO~*1bRjI%6)DnC?~|XeP_dTE_FQudv82uX65c z{r;>bxVj(AX1GewFNa=R+~bBEUOX(F+nIKaHTv)^$pb=_RUY%(_1#osuIZis0QJg$ z12q^bQP1oB^q))+@MOguX+<;qQV-)D1vQ~=95tBT;n8ZkIpNN!pnNQHrHH%hfB$#qsaJ7)%>hps3$4HiDy`Rlf`Yto*5^;7!rJxE@-P+ zDySdHTlU=yR+D%^Ndr@4Ew)qo9z{Z%J4DZfwM?()5ML`fiJ|MxWv}cYvi*+pa5*$2 zZ*U@!c|0J(n#AgHY;NY`KfI7LlH6DnU7Q|nSgMc$qSYO>*HK}_4NraQ?{EwwQ(=L8 zXY3P1hhuTQ%uiHTJdZRaG6m&QpyIZ{o^yK}t{j;HsWGSk-jWav_MK6KGqbaiBICMd z*yJ1MGWJV)Qv`VTkKH!PxaB3BW2y1rdq6{36?wNVGaXB}E{1&qP3lqgSc(>1?l%Pm z>DCH!s|wj;ftTprw?~ zhs>O&5YCbJ)G2de6n_GL6zfS-PJ)qWk*R@28-&5Lx(b0k<4M}nzv%a+Ty10qKGr`c zTxgzQa{-FSFL1cobzzpsRCma#-0;z85&z2Bse#A!6^B7t3PWkKD}Ild{_u)b6z(d9 zl@hgmgrL@C-Dr&&DqP&%k5(c7X96xI_p+`@hd!IM?ztBzk)6dFom_|E{n9o|Bqih8 z>3rRz4Hd_sU)NH7Jxv+b+#BRIR+96oIAGqyc$IF|Gyr^flI|$-p-wmEneNmoWpG5=_C~$wHF%Jkj#R5LqMYZw?9z&@QRT$)y zdiMiyraP>}5%59*#}DZNFo!2~3-U==DF`AI;2bkpT=>sP`!@YNnfgwpmTR<}zNwlr z&;*_|WVgPnXzy$*<-6fbMSS{BLnb0CaPjLfcKX*S8RwSw_5Ns-V4htFAd)3#^B{}k zVy*4K6-K8he9<*v@jx3i^cfb_yV=q(go0my?f0>&arong&AO{FD+Ve>IH;caWg<{+ z<&OlS6zMG=X}kwIw>eGsCh5%AD)#t?VNRRp{& zFu=l$s!$fieF+f_G@GFUeOfxQjTNm#L;F4%?~(dN?X!sgE&g&U4EBcJ79Fur}-T-_FeW$V%4bn>_V z4aYL)JCn<++H#Whm~NI&J?K|2hx;3&T`WI(QU3eTQ6Q_+CS6(#5$4xt3B=Gadf79f z*`2tu>_O@Oz2$f9l0E@`wHA${It6Waub|}Y`s{kcpwsBhk|ic(Pjv)#PoijU-{GIT zr~6_V`{myTwI)%>Ix2;yvhMm^QgS1|Tl^RxNbfrA-*n6LA8e_tbwGsUZA%bC9700{ zL{Tj;i1i&<`c)kemhcGDk)S+lu$t9-R#8iYycSA3$!b)ZBA#&fE#?=)FVG{%f;|?f zUmn#Fb;6`rLEOVY$+j{iwyRx|2_eOQ|GvBl%gD!a>9H^I6}wd|2USK`B_z^cGHhtA zCyb@t7OO`Dhb53Vs>$7HL&9y<2L-vq&Ok(;jFB=;W_m8nd(I5rB%wUTDdyiK(3(;t zt|kdn$Bqfggq~#F3F+bN$if5?EP-mq_^Ee;uPLvnDqtA zddJ~>n?TB*C(#MwH|>Zc9m{mtXJVVTv%+%cm?Ld59!QrYkd6eas;UC=E6#x0Zh`P` zH2CA384hu#PSK9Yo6QPe1EL$>Kb5|S=wOkisV-z6Ii+2r>0x@-hiBCu1IE!iS~6eX zm_BPD*0lTx>~7pYqG$hF$v6@9Ws%80+Uba`3)m(tg9ab7PTFf_wsut6AKz||n(F?J ze$q^06jMRb_1cCtrg!X&rVU0($^Ix#QY6w%6oH%xtf~?!+aYYk8utoKpS5U|m;R$K zh3T)~1_K(sirv)A4L*m9EodE>3=btpM~Tm>w6rUVKg9!7--4Pn2CLRldCXooRy=S0 zQY}Sk7>UT!<#zUe)s#8D`q6UV%m=J*+#x1p(9~oOodAja0aSG0OHZko=cEGg0m5J4%fR9TE1-}Is&`1-b<7WIzX&DCj4@MX-p7VhU;eI+ZlT41xz z1>pF~?^8JBYvA*@`i8j_F^-!L!sK|WDPTRt%gwa>}wcyL_^p?(+%KUe4ud+xW?MgO%0JkUau z|1&Bdn{#(%Q76sQk}%O=f8i!`HB97o&1g{{K(ToH)?j7rw{Au=zj52(U7z-{Xn8=; zvxx#8BMV^JStd~Ap$jw^{?`UFS7CYW`xwXn=2J;;J%84U3>!aedxll`W$NKvx*y~D zXCRHU3nld2v$%wJuE{QmH!u5Bi)m+3ljGg`#N>0n{0O2ue_Iw&Pq(-%!FYS>S{Zq^ zMs4RXNCYE;n5}|J)EA71m$f}(n2LdMy1yk*OZL3R&tPpNdf+dJTb0nH1Zr0H)Wn@8 zW&=x#JnLV6h;P_epmw<9?9YiOyEj>MzwI~;BtY5K=Hsls|&R&dl^6f_D_Xt!8w zs$dzjNc^+zRb;C=KP7LHqqEAaCO<{QAv;wpL2~zKoMICz8MQWuz+^7=h#xc*_7aQT z)D!IpXRy_M)+(`5l&g>WL*BOin3m70E^4rdPgHUH3JTJi>Mtu@kN3R38z9?F3BIDA za3jOo6pL*E3mPzS`oxAK^XESdf?t){Hh3vXn1;)g9b z;Yq&Sp^Kw|E0BdY!jkwdjCY-s*hNk9u?`sSDJ<~p&saC3b95Yy}ou<*it0 znP-6O2P290Obl|6)Ml%+SPYJJbq)pirV*;ukEUCtqdoZ9P$KNLU8Vl5ZpL81j0HO5 z#6+bJ(tEy}I=4DL##g@L3tx?iSN2Q)whdi!cnmVpIp9H@i+^eQ)Zl2ZzQpf*jzT8z z{?Ydd{1ZP~WubR6hz71B&YV@OW&C!jl`Y!j$BYA0=jJEhmeUAqqT1jr&BEw?S{+EX2JaZfhB_JpB`!`e8wBJWU|!A zrT{Dj&%vPLHt)sJ7x}Z~6MCum!gN-%S`LSgnH>!)QExU&CAoeLNFzl*cAw8JAJ}VbeY%oHiUsXy-9>rpeXocy`JdY#1YfW2-23Fe) z0!8~7gmY5iT^R?eo-{Tj3PfcX)LhkS%OeiI4r#!=>iM?eal52I&0g>tA$Ttj-#Ctx zR0php*e|_|$$tJWxOylY-tTEe&u9Y=i+~GpE#tHf8KNg^~0GDO4cMx##CuCYq z*9D7F11E};txx$$Uq?x5EOu%vmgz+v$&6LJR$?C6hKx&XgBMZ2$c)~8B9JTCT>$J~ zqG&Gq2z1n@?6ri%y!kP~YiyJl6k60>ZmD6AoAt2jl<~-SB7P@wZ*ADNRhcsry@LOq zXG6EjI9JFHOE`04;ynnaw&1m|EBm=+0ZL9yqTf2H9v)026BbM)7lTeDhXXic?~vKD z_+&msg+poCD!u^?Smf7}C;HXlyG?#xLjRsTEnU!HWFZH|_6Jv9IIJ?j08O~J7%SW8 zYvuDJ!L3O4c%QR6u>#p^knFy(=btGVh-yEOwHf-je!N`Z;e$Y{cyRlq+aIU->%Yth zZqN~1PO3v0h+IoV3*aQCWxO4w1;YDLKurEyIE+xNd%K_KT(8D-6AAxpTeTNeLP+Jjmb= zy8F8fzb{SKee}Pe`>4mD#pl`Vv4@G4>&`E07u5qn$PGaW;vc zxkIk+KZZd9hP_t6G^L4M`m34dP;{*QC>)%Qn9Kw$TJ88`T~r^tG@t2(06)4WM?Mjb z){n~son?z7*bCoFG;LsmKWS%QvhZvgrmmN_G59w=vK(4A!(yx!WV3CJ&qlp`R6W$^ zEHB>Mg9q%4U!7orH9R4>o>(BDhGQ8>hk#K6Cb5wtFcS{MVL{m3Fx|t}N$%NuE7)i9 zTtRW~aC*!K8|O+|oJZtA9r+vY!7_g6tOeZW-3XD*NF#P8?F>9c(~X}*WJ0YSI4Mgo zG`XINJaJxVon65>I6)D#`=LN%EAXW=zU(WS)x>HctezM>ECbc`5ZHPIHwFbij+#T< z+pt|~D{|IcB-o_29Wdx=P}drxQT!mikK4l*qtM8Tmq{IBhAX8R4U~d^3*ZV;P;CL$ zNN+BF4*>Gbg+?E6db$U5Z*Hp)XhS5%ZG1^lj_H zI089}?%TXXHWhJ})#`8Q1dWvyyvZdqp}9yL)SNs<_zGbl0glk?t~%UTL5z#v9ly~+ z4(Y$vYTq9;QVyFFjV!6zVOj8Im6M7A)v%}_dmr>sPjG!7Fn!nemzF)1ve-rWT>6Xt zU4~hcon!ygxx2_&-g-hBy?(qXT+E6j&nI&UAhEkSPpacRIERdH2=1U+-CO%X0ffuo zXNNyf&QY+*D3}H;f>Y!hPiIykRq1r?xcbtW87}vOrwid>m2vS+KW1tP=0Sht-k-r* zG2SOom1?8!jEAqZ)dqW=Bc%5EPk7VdsMXuJgSO%&7_4dozsflC#ZK5adUd^0`mdOe z**%MB7aE7!gIaVynPU?%8MlUa&;V>mJ9IgGKKQ&I3FW1&d@gI>83}sjf4_^7-YTX6 zY}H-8hJv6yOdvgo zZ3ssN6|k-Lxf1PsebXmr?I6qVX;C@qVPm$-Yw*gY=r<#pzhMP~<<42G9N?x801JMo zC32eQF7qxrE#D+>00LhUfmE1cPnLEbSn>0x!uWlH^L-d>fZrvm3l-kfavnaQn>_ik z-4zwS8qh}YJsudgF?iVhDgm2wBJ#s7e(=jUGTMB>ErdeGb0i3S7A70L^R%l~mgB13 znb7p~_wSq~yPW!LpWruzr(Ic^(i&ODSeN*E;IpF1V&N=dO2GaGO^jmbbM$~1XC>TS zNg&cX(cqcUKoTf3uP|HYO(KiyM}W7n!{S-H>X)EpylCCMNSarERogC`eiV=M?GTgZ5SHc#B~X2$0jFs&eeD&AZw2j%%;p-Nt$DinLQKDmDIC`^H5}r( zkI#FaW`CQ=x1Yib-*L-4s#%-F$86;Vu%kSByoWqdC=0qVZyu+_v-I4jo zPCf+8NM)&z{ola!|9(jILW5NYFSVOoM0U(hhg_HVSe$*1_SjmIqnD|8r7MX1<3(y@%F!|lyM%Y#Ic%pxHK;+CdhXRb$tFGYd*_ZvdiO~%cr-Ewf85K<$vzY=3A~) z9?)Lh#)sAwquw4R+*60F?zhwz?^4$uO{dW`-IyJ=HvbH)4VrI2*8GL+<0^odd1qhb zsl^Yx5m!n9|I>e8_z=bq3pC2Hyv4w(ZGB4W0!cRAGt~{;w9kvdqrLw4ScWITSmEeA zyKQJX&Ep)S-6aRHN2xuTuiF{v98kc~>|#eqT4LMnstkoUZngtOuQd!Y8Lk~ZN0ZL% zQ)%~YaJE$V;vm`@*mYxle^(GufXeJEAVlIXg9dN4EC60FBM6<`u09vGffd4 zVwXmfiu^Vhv=#PUEDS?2&7Gi{_){QB@+r<^pzLi!Hgo8bJe-;kMhemc$3GbXVlK$A0TFJ>r&GuCt*;+DmF(4@UT5_{COU3__ScT7l zUK-6cp_&wU6(hz3yqY|AXVyA~ug+g3q#(gQ`*pGW8@QK@kG+k?WYxICk0w7Kzw&CaB7 zuXXv(8g*Khj#vrz)7si(t0d|Iv7*lhSl|5K`5a8}ZdTb&>yJ*A?aiMg+Gm>rssy64&)32}XwezElW}#r5{40eTI(ymCo8zEnE*?K53Aeg!MLmu_t1l#&fsc_evwUZK_rJ6N zeS_9PdN+01(G+F7-+ z9t@L)7`lX%r33`V2F|{^W8^n$ zejO-0Z@rTeGM=*PY<{xxhX2%u>W8F)71QEr;pDKKO|da!(b4`*2+pa=kEH!O)}}dZHQ{BXAo* zP0|Ks+i$=_WK9g)%h50pQfb-5>yLRXLA zdj~iVgs;EVD%h`CQscBMKKow&X&<^DNWdfl{Rl+)2i-nUOj@EUFfpEmDW)}`p)!Oy zq^yn-xfy9aDqW8Lz8tSqN|Z1yW>k)fzc1!*iExR)1Q9?SHY-!v6a(!t`;FX@QikAe z1JMPD1?2xp{T- zWy%$z^e_b2yAxZH8m$m?S|Q!;7(@pi!ArGfPzgF3g_s3LUZfrlvtWCD0@=(mmf@C= z(6sbke-?NHL)6&?f_o18PPg{T*wgqS*tG%Jp(G4wvY@cQT`_EtM9)n(xm0JZ5){_Iw69I z&=&Xy!trxsi%3iZ8QVVaQTbEz7?AK8il#?NMybO^k7YxYMz7;$A&e;lusPYjzq;Pe$I7O#lkj^&0XJWk|cPiS-c!=`XQ2z~XR0{G&)yQ(-whZ>24M0do$fH>dc;V(xrFSJkQHd_Gfhs%^PEd4R&t^9#wt^9|vgap$r+d!V z4x<_o)D$TC5eC~66H-^Za1IQi*zzXx`hYX9UP#7OnxKP9 z_%raY8iYlccyDNJlWQyjh*v$>KTyR{oMdhky6#F##+eM)ElNTSnR(Rns>n){trJOf z9Do!$8{T&wNVWeIfa~NfJ2kb(@3$MVHD#<<0yOgOWdq??qsw`;=(u@mGbCc9Z8KS<$WQ0TZ?$p!Ws<{xPdq#GX&>q_vwGYGAFPuQG* zWBg^vOCtTX?|W1j*+yaEg=p?jSx&O!TV>*J4txf0)1Y7(qp06(asB8@eXKHDKLg#5 zgigX#Lvm}fZa90kR$*>aF@xsVi>6n0jeF;C@H2GH^Z+UP&-OiD5()ye*8H@&RE1k` zwllWk_AsgB&%-wgi1|Rr5+$5k3(hI4hIoB5k}rZ)7KCZ{-21|2265ywQt`utHg4ht zN-_OUGVjtmIMu+p31lOJhph6yXfuaQV(Is)W*f8un! zq`3EEeTaq8e6EG*ZrbR~&VD#2V^vCP4Yi>Vp7FzUX52%Y@nfBq7tQ~G_P?BYdh0%y z`^lIQV2y8%d}t33T>dkJ9)0wo{8oovGHA(sDsy%Q3kj0H81o2PTg~ZQ=P`KYyg;WZ zBR<>Z+j5M1Cuha#SZ3CZEr0SfXsKOW%WK=K50C z00H+0*;xaU^%&+?U%8Ifxc;B(%t1Fxtt{pL-KZ`SF8wU*4)6Mt+{3v^F68>Bc%B+o z4r?wnvOfmdM2~S&BD45RsGOE* zV3z^m2g$7;=W8_?%dk~T#tT)ek;FFh+6eeMD`{u&v$K_y+18ZA=gr%pzp`KZeG=gINVEN;_ zA{K(w(|q(qPRl`2HR=&w?*P4H{%+y!Poqp6!{0SSEvOK$-+>qt@+mK6!$I0Q1H5x7ri zlV@t4b(UB;kIdomN`aYv-;FOE?n#vd7J&INh$%6KPW~u+hkK>6Y74jFjb`qKrPyXN zNcT-5G7VlD4R2x)a3c1eS@%%MR%1tB3<&9{t%u*8Zh4!e?yztDAk%ohJ$6U=AfnS~ z8`PU?Q~L_o1i4k&*oQ-$_AV6*c|A_19%lw!ZyOsmc;QaF$9M@Y1&B}!=6@pAx3>g@ z9yA>IPgFp~g)1?lq#rdy!{t(%)1yh!2ftXAUem;LxH$a&lY6(l&qc}QB|49zmhGAg z53&(MnPI10f6BY6Uo@PB22XoGEu6QD2P7a`MJe(_Sx_AbxkS{gxYX(O9ptMN5P^nG zZJi0dw(SZCBULhRQ+6RBM}Efn=Pf3Ph8x2M&W59|#v$rWQeD@}V^>*B!@5iFDQmN9S#3gKa5;bojEJykZP+gcP}fD=Xp7giRlO-|oZV4T7+ ze_A}n1OqbM_g5zfG$27Ej+)DbEGLNXA`Z=kDflV?`LvBTr9Lu5S~x znH5gj6yT;8(q%c${}N@pn4uYz@h?v<+&@@}sMop`*i0xbx&|%BGImZjt#wS*2>Ke- z+UoFx9<0>otz0vt1?&XEQha z=WUiT7D2nSoXv{>D=wpBwnX^MQa22VO|<%A@mf=wmwTS!pUiwlD^t^I*5y6ZB~ufV zwu6|-dq51mynCDzvi>dO$JdM7>A>So@zB9SzR;g|_dsMJ&3(JTL*pNpfJ-?lhjC)LD9v9RUowgWba(`ykn73+T}M&Bf%&(5F!mOdz4{PRX0Tj1)Nmmaa)lxYsSN5dAt^I4&TU zO8is#_PN~4CLpflE3n#BSr1!Gc#AsnI>zd8>lgOcA#!4O2?${XF)kl@tNS}{5|oZF z3hybvxQ|5O3S}QaheXS+cGwvru*SK#_ke;=+Hj%9fkIx=wy%EfOINU6*SrJcIoqBP zogwv8mUVhG2x!>Xo0^vy$BsCl;ALh+Cca5bj~X>MppuNc3ZB!#0DKQaK*90zi9tXg z5Db*HKu|&GSVt~t&g)xTm;q1QyydwoL(BRlmdiq{cPI-B3x`-ThmzcC+xe9Uz zJ*`dnH8!F(^J7HB#YQblR6N~yV*$Txzr54KWL2?JgeN>Cjx>E`A^N2vpuVrkN;x#C zxrxP2p1Db%TrjwxkA0Nxnk$wzDOIVT5)Zwy^98|F=oGM+3@UmrU_ zV7!nb^PP(5Ag3!5J731jlJWG8|614etjIWyVx%JY>Y~~sdxDQ2@6M2{Zu9Thk}a?F zhhU9RE&1`B>Sw7pWrMQ8hA}=Q2>Yk?|hEJZZ1v#CobAv*VxP1C`>I#T(Tvu5o2B1U1T?VQ~jZg;dSNNy; zb}!UrkL{nmrc*#jQt^}yR`}^J6#fFzsiIWYd}!3&?W5P;&H83z>O5SupQgs6n9Rz^H1fwSn5v7G3@Dy%Yq- zAI4RUBln3QX|MxUIMWVc)9&30%PtZMA-KLjOxSrlW4Li84aiAn^>xiqXcx& z6&_(M6>YA25*{?QFoJC4Xl_B)aH=r{0r|RlvEQB@i4D{}o0xjl02l&B z(@_wxmg}5QDua}=`Ed;M+X9Q2mb;O1g;(kKpv8eeK0dQ9Z29ZIR-2rL{j5O4@Gr9i zdp`D;L#p!di_oprTIpHMB7HHTlppTHegW|wC;N~9x^lN*My37H3sRVlIp2RAg1lA_R+`#hK%2hIb2fT+l#|6>GVGn2~Lq66rKj` zmTDTPE(19+63h5#hDq*}ibGGR&rCH1Sxll$x1`Wk^m|)jXrwA05&2oE9`7ST!MTaw zq@|jT9K)W|aPGy0Lrn1X>V^g$k3J&MGPLC6t_W?81U^a=!>yXS1D{5R8e@mrS%;c} z4cimR=`XTPdNZ`s0>_dv;KXvi!@BRfiV_GHE;>$t9KlZxZ~I=-j>m=4iOZ7@MImf- zUky9@(sSUU7M-R?SXC)aTLcY$(p6o4Ku>4Ws20h|_f9@b+%)gS+$D;dl-JU8PQEgK zKOdr=1^S+C|JI37VFi0y2kpH4bPymz|4m*{?N&FYzrz$GD*ejGkMH@G41=)rUr{xC z>4z|6l6rQPCIoEd;1qGo&-ZO*rU%;db&QLj(EZ-{t>-hgOcQmF5J%X=8Sjb~(NlX` z5Bv1@5GL?T%Ry{a=jbB;yyBU_w7pI>D*`SNS9^NAGB7bqRyyj3x(Hl8(=AJga1Kf% zg_MI^;zp+6MR{c^!X>W*cYTKR%cn311Q(Nw{7d2_8`aO$7w~S8(y^1zefHJ(NJ0Os zh?eH1Zhrb1*~rMieEJ#T*q^7eeS=;=&)TkU#n9ozHR$zIqv79#i{=kciU5<%IG{#p zGDzqn_z_Es6yfj^R8~oy0C#w*CajztuSSr`u|b!6#yQB@AK7!OqY+faz0!#re}7A_ z>_C^B*nj~e`%w0*W;cZfCvov(gR_+AfMC^~cpkyb_SgHUpTY6dG!G#za_8X?piT3G zIH2O}F1B&eUqY#tmWi6h1Os|1Ar=K!xL}Q`JCcRSJ|=<~gII?};hhs2sQCOnWX8gR z!p&#fExC*DSlJ@Gx~l+n*GMjYfDn&dr(c1^Cicu7E8o`9DJ&f6j)g%sqm$bq$lz^G zQ@d*QyrkZzof0e(zo0pgB04|25#y1bFUw+P#u7YId0r=j1;XSl9k3|r3nZGz_pe%U zeQ`*K3O{!`ecH^aec+4nvq)UO?Wq(MgKTa(lTy=uIfM4Y@4-W**f-`ajIU0Ztr@8e z$$hg_+A!Ct)#nL?yduc=1wTotRT6)*Ygreu0kPO+`?x6f$K({sE`{Gv6h2Fm`0ZtgjpN713T=C}Qu+0D*@E%q1uL8s#P z!F%SWbG>_?3)rzU>C4eq{a8l74Swo(O88!QMPt+m`zJH?OfXrn1g|E`rczGI2)TGi=1}0joQ~r0%B>WIR3Vo`Q`DCIzQXu7&@AY=5^XfOSXh;J zzq1TMPKjU%PDKnc2I&B6D?QSZ(rQSRSi=Js&^u#sR=2*BAn@Q(RPrHQhMAK7;)?+B z8B!ltnCAT$3rDgR*=Q~q{RTRF>0As1^{6ckRH4Y}3zUYTJEVK)lI{UX3F(HB?xDLGKt(_Wq+07XO0#nZGtj_B`KE|ZMH0~Oj6S#lCw_mem9<#se64{@UT#YYa zxs+zR`z{6N4b|FJR=mVyCB*5Tv@OQ0X_hN{^KD{dpp2DmCIQvq>?1h&V+iD0Ff zWp|2mS;O=5b7W1+!Q(r@l&pd74Vs_7ao=B%t`3o|UXUul$-&+oIqrAm;%sbt9=-i< zPk9aI48Dr9I(`9~w_HnT3|mar>oT-!w7&V%usz}tCw-|Bb; zp4|M6ceXjldfs=P22F0vYV_twyTy6Se3tY?HzQR>@|!z(5P9IVr0iS3VoEC5f0+9p zV#BPKViR8aca9APdB=-K>pSFbU|^CjN;mynUM$@?K2&5m4eQmoQKgf^5$NV^Y4-WeV7`z)t(8$(GYSGaeEH_Da!x;2(; z7QcJiv{G~oUr;UW4U1dsb&OS!XYp!A&Kte@CLovANaM*y6YJ{Kbdg5)`e?9uZ+50e)yVTMv*t@C^Ts|!<2n__Bg4}PBla*UrN{L@m0RpxxTHFK!`}Tnvs*)r zfX^4ITCMFwXrg+DYdSESu1kGFzKAPsqFKE;dXmH@mLGRe!C+mK0CwoMn65!VrbD|D46O__?xn zzQ)WWF>CzGXd=)i(G;${8p?^F3X}v~bdVQoEc0gY9PlnBAM?6FKx)n&Dxdx0<$Y=A z@$q-FpY>6`!gQ@4ANj?;hb@ivc750fHyroh3ETevfy2|+p!hw*`4i)pTfGHACI3^< zs}j&;!p^Af79|(ICvdRKsx~hmmL4VfI^VSKrAx}c7ZGv_UA;S{-W%0 z^5Y79@PVOwl=SRk=G97K2=>wKaxZ%miI9+V@R47eTfx9QK8|i4Op=Cmp(i^HsIroO zN_J)bDa@Yd18rY6Uk<#Ry#M`=#q&kgW#z{i+1{^@J#$Cdcuk3Q(QOou^Ohk`JZRke zEgn}SAF-A$?;r0!a|bcw+y`0S`u(}6zu#4|n+RY_{>Q{p=U)@c2xa;pVVUbvEiJbQ zY9r!>@Bi&W6>-aqd>?xGAU4$W@jsJ*Dq+y{lKeG8t}tKx2bN``NAme;n2il3OQM`) zdYctvhh@$!ZPR5`NDLnB^cIG{eYZr(WHTDL?zP6CNGtXL8H$2Qy3YJAPVcL zf(jsXe5tsug}E~!UETZ&@5li?>hAj_h=LQArb7VUQPD|o^{oDy0@0x3=kNR_Ry?wbUMzw9vP^et-L^T1gSxe7vpkf_DeW z14WT1`E$=1O}`rfLrK*fY7~6@J6LiYJU5O6cmyI0sO7U5;!JheU*-7%k8eq~vwzv< zxD7vsrAv{n@Vh!6GIeD$Ht{??5^XUxJk2yaN?R(E;GF2VpJA^dKRlwxhxt}kC&;A2 ze5fcsmRNLNZxDaPWaa&u+8~HTz`j7YYCz#(iVcOw@6OyNl->{4Dm&sn3XzYMC#y6k ze_5z0VYc0|g4BIkr_m&y<%<08M`Pzb-ZVM$3WfUDeG-Ds1l#Cn}IuENC zk%ONLt(PLfpRpb1A;AS{#Ew2Et^F8yKfKYVF}^WfUzP|@$0xrruiUb4@?T#@xj5Rj zemtb2G0N(USP5r%>d0hCEH&+!8h93b}fmGaSQXSdM8H5u~5Gc=E&cV2wMQrwi~{0z^sdR5J{ zDV*t5GPf$7N#-}NwccMqzk^6$$C*GTdkI{ysOX+Y(o`@g6Wd5}%dKFOBgkT~w+hK> zk}|Ic6_R&qZs#DmglcKz;vb3dwgBOo8QN`O#%I>56b3^CrYbE(UebtGC!kF1iLH}< zn#V{l`S?|cu#uAJIVI@9$>|Mo(|0DU`H$+jWEsvvmsXIBR60RprC~3Q@*?|f9_~CX z+mEFbzR;t0T}Jcui8%6by?O~+8Now!?j~6yJztdRy^2|rd$a!_dmUVup(>#j7ZoaAk`yYW$(D(X%b{z1;L)-w^OTVk6VjtW8ZRcnjPcRVr(K@QLkBV z_Px+Y%ws;V%m?Oxk};M@;fW2&cO`M9y2GSQtVkya7TRBTyQaE841 z$5kcn$S6H-MTFXv^)D4G&;;b+6+b$o*Ch!xRfHL%$#k}X1(J9?i@rAMbc%Hs|MNr5 zPW~0Mxk*-3_~|`9d7$gBOAv<(bNtKUlsG~GDP^BNIHANB+L)bAr?pCN@gr_*`&~61 zf!Ks0WE~1GrAd^i-{@NjfFV0Sz~DZWGQ&_R>u~7s0Yu}dFMd?2bQnQ@i-|v}coch+ zbe)>X0JjKQ))e`k&GZzze9DC+01X-yOJ@ICpGWX*~ z5tN_4io+G*oZ_*(t$C0P7JdiFlmVi{f*jDakEDupWe~kjQ~P!P%F7UTc;b`Q?w#$- z`7wquRf#cm3~8zX|DE?|!g>jd9g%Rr(rCx0i+OFOu9C5&d&RQ3?rjQnuB&zFfmLb@ zpE9ZthTNOwZX*~XjYw(s^={9GpqH2}ob(%|%^ZxLz2;K0ojk5zBNty+2~@hCURv5( zBr*$uum2RwtMYX37gYY4ly9aEq%)pL{&fvJV$;#8Jw_+k?*Sw#Iu}DLeGlRu_LL5! zc}Y%yg~>5uZ06|aU!tWKe?Sar=+V=E<3bBOmKcP%MTFUwy}+Az_BS!{T?Jj?A+%J5vW?c<`V0P8@N=~u); zLkKt#Jy+X#=5zPrIq&9M5nlDjV51>GW&WLXp!9cHz)yatemImtY$Yxn0ZZLuQh6el zFV4(k=J>)X6srmzC@RymwGwSj*RXrz+3I|BG&h|<<>${WJ*?#`ay|PYWBmmmAo$ct z6ECm&?7p{SQyA`v#xUmc<}U4f~DKdse`om$!6xL z6|DBFu*aJ~cFRj|%!`9gYKzEknd{D}ByumhJ7d&f3qG-XBYg z@2UF>4YUPTE#uL=rqMjxZ$0pO(ka|%+t#i4xt1oYrt=1~ z!Ul$W`@5F00?vSPf#)budf-8*8JQ>K6-ucY`OzLGZi-{#FofgfhWkxF9x%vJ)}dg| zqRO%=I(`|Lu&2Hwz8*!2z80Nc3i`yHHDnc5Zuu>|@d9P#?bAYcIMGSx2{}{+OFYh( z3eUUhB3;&9VQhT{s{<$EeHI2M5n>q$0V9GkuwqjXB?S;+Wl+F0j!+p$rL^-Pk+Z<) zGc8GNOpTL;pExZcuY;TUsZJ7tO;083tz>13izi=sC(%oH(ca+ZAHmJb-$r<=?L%J! zqjjHAqu9Vf?+WzVTR z%}<*^b<=6SctcL|a#14vj-*yT{0Ojy01c6LnxM|K8*8vk!yz1lsSyXUp@F)@lw{lok%FRbpLwS!Gd2jQEa)et);fmExRFAM4%&jnfcIOB_PSwD zsv2TsY!Xy5Hjw#g-9ky7`Vihyw!l^`YEW6geogJHbD9HShJ;3Hr?X|8;KEYN<+vFR zAqqv~;GRCuuanve65E*3V5<3$)cK6_K#7TsV0a7 z%<{@tiqL*_0ZCizu;clY%_j37Ujv-$^|A*)G718FRKVui1h;J-ENz1ln0Mr9^9ysv z>v*!8B}6|mY+Y?+W;O0D@&%do`1aX+-ERaaPbKW}T_~5P(b1CQNydC2 zSd5mE7%acGzY?bHy`kF$-P8cuTTy6qUFm`U6ZQk!(+MPOM|xvDf@@^4B1~ht&%IQk z-}qJ0^Lp8CyV0S0!g)zTCx9N)J`Gs?SeT*mwzm`LKF9rRXZP1Bg_3RFYn$+bMk=lq zlK|NMw0Nu?w}%|;#JGn_6#hp;zF+beiqF1?)%E+wmQVzb>aun274G6~TcXR)rhPS& z3be0xsUKG&x-jd!Tjv~YwPa7Z7q8jFA^=-%wnvKrX*jj<@#AS`dqn%aFyBI7dA;y( z^TYbWRb~BMJ@C4SUB>?yN#^cBHuvo1kwd`WGA{G)GX7r*7OU^XBS(IsT*l^=|M_0# z-9fnD8I`E=J=VolcrY-_s9n6YX=4SL9tH!Vl9z*PmnJlU=lTHg3F6-MF$U2swg52to>%Zl-#KY&N0M7%A2z zBQ$)Nq!Ky^NDLXT{kz7j6|M+E^inQL)?X*2j_(+`bZnl*2u0a@a7ZVrN0TO$$Rv*L za*mB+?EqpkF^I?$lt#e1S;xp9D^0rdi-M){YVh(8YlivSMH>5b+^~R6qwBJhV>D(F z5l!zOa#W~#UaExfTcVg|!WbMZ)GvP3e)4ot02HQu?@S`7r=qDe=E$u15;(UU@kBi~ zl5u--r^Gr97~o$&0U65sw^C7Bg5eIW*imGMnSe_jQ5q~F9D$DvA5Z%(2mRXB760VP z;qwa#t6<&)W)_d=w5mGrs#iI_`K&WSwz*a0M-#v2Ns~xtEuL_m_VbkGRY$9x0JP5| z{FUa4Rl#IN0=5A^ZA_u@Lp#TWcrO&UZILO&)!l}!0@%}Jw{!glmG}jFK(&Q7*@{HV zzyk{SK5L>1HNVgtKC$08qv4l+^WLf(tfV@SmoaOHO8R=2Q6;~YSp3T;Z z2|CZKveOv|oXo^w&do2ch-d4b6+M1WK<4Ee9xMFDg3G3??{s{j3dCBdEOWc`ML zv!TA>5l>RI2;nRtBz&fZk&(p!HD(2gZm6?YMkowlC@sC3=w%gEHDwy}vXxNJ^fFZv zD|zA!+DkI;vSwBvKm93-6#bMvQ|8rH@%E*o2d2ZI>u;PwDd{N_=L0hJ?(0F-p=(M% z-WpQn#wtn6OOv^LOI0kH+MfTMS(2{LW_bM>&j`iO_-|-j6|Jvi9*|+DQO?T)_1R%F zaOZ_?Y~q=PuFzCkHpT6I< z1uA@q{{wXiXTux{JXoHpw`G0tSzv+A+m7sA2(~r6{LFxY!o0vN&uzhWX2`8IC>+uW z0&$jyBWR>CD~Y63k@CZ%QAj4uD@LA7)U;0ES^4Ptl+o0^B{4Ak#nFtw#0IhcqGDTr zZ@Y~b5g&mVnLrL)Y3YczkXBr6io!QLYpxCr(oND1648x-98hWb=7<2ohvtb-PFwa0 z>`JwEjD6}^RcOQm=Q>8i%}}U*dN`s*5xe;Hxix0@55AV&HMxAn<+{;Vb12m~sfPK{ z_}J?NYFQ&K$eiAwE9ssckw)L#eu*?i8W6i4QBJeB_aY}6+gbl8tO5<#^9Ht~$w^U4 z1q(QhcVkf_??89ON$mMK*rddoCD|?ykjPQ2S6yc3MojTZ3G9(LJCucxE&c0bhm+pN zj;;l#Mry;h2v(%AgowjMQ-}D z5I6F-GsangSJ3&(4%CLDGsi5LPwNbgDO9@B@0O+^`}FHu=l`U0@(rQw6J%Lr-A>f? z|4k8!XjNP88MM9Y|9VdzM&4j4tBlXL+-mt)o0jQ%FvWBX7zpt=wt7dA)8*XG&Y)c!--y*&L6H>ICqt+p_ z)LQIY?^^zkL_0t3h^2?@#AVFTL9D_zEUe1fzK%@$2+(V$IESQ&BYx z(8;S*fTNvE?iLLA(8S_RjFt6p6?2L@dd`WUz_DpP>*ig0R{A_!LGhQoq|Y3>7Zf+O6sHavzQ(a0&~uKO zwk!qTZMRU8e$it0ikZ7RTGv<_M~*FK2Hz`&sbYHG}mQ9R5)Ioe*S2`fabQ@`Y-yr zumae=5e2LwazFUFx961DjyH{MVHOpPG);RK$*3_QuN9Y2W!fTjWy87ShB)$^wX%_a zY!d}`HJ7%w_KSS6JM&6rZM8>I!Ud|+PTGYiK^7D-ywBym>N zB5`t3EQmX6<&x?tu0rWg9>zRklwl5SFv_bm&ftU`ei>5YjgZy4TC+6`2WIK1f$ft_ znmIC3m3AN%?@>vK7YjLH9iDWp=$f)X{H2gEw-KfbLv-tB`T58?G2%G&Atb(OJE4HO zz)GaOX0d2bQ>QI`2Gd$hPEs7+k8=?G5%@D9`SH1!)Z(?CmZoc6F+gjCLwneSm>uf$GBKEknY{|^i?+>$;!D7P#>DK$$@!j9!D`G`paJ{j4CF=c3eUHA!uOye z%WsIFrh4P(uVGDnjPN@;^BS7%XY8lg9LWPqEGi|0P!MtIl$GDk?>B#Hc$Uz><;f=W zU;wVm!ENa*Vr?%SAjYE$GOygJPBXU?)$6+|J{WSTrQwl*xkm=Q<~q0=@$pP1D63fs!F-H0nT(3A%m2ctQ?P?F68cLc$Tjqy-ee3h4n2fS)$BQsh^|IH#9q%GdU$q0!h{-uH#PvvO~xwl+jTz zuxr=dLUm0ZlRqjIEH+XTACx;3dcL}TW6P7XTEy$iV(uDCf=yFWp(~5_Zi#Gae8@lD zUi_hk?#p9_-5}1^kOUtAaskmw^tF*Varv4`LqeBcq{!5{Ro|8XkN1b4w3nlV=v~F0 z9~QX;&(yNCt+brxzI{0iR;IOhCQW;xD#w@tPIj+jI8NCuz0|f|>eEJsM||BU(diRa z<5j4?BL)}w_+{HvIBMQSJPQ{{ONu~9DbG6Pi;P1;>|*vz%HX&3A@NWI34wGR34v7H z2f!glH4mmt!I9bb{}l??K|H;I7P?k1^O|DFa(1K9O-2#{YQJuES1x?9RBt;Q$sKXP zRz)GVvi}?h$O~I)SlMvAu3UoGA^SN3pkVQnF6NPxS@!V1Yj{NDo_f z{#~v+!VwDtW1Ih<8Pb2#^e`nOy3e1LdmC2GoA-FyqjR;ADPgUFm54vZA<|+qJy4@b zCqGCyy?7hT9Ed^7DEnqe0Xyg2g8cCJJ=9(NIFd}c6L9r6V!MJVG@F;(2@%rhpoOZ{ z7>lCkBx6xKA1agEbY?>FYsWU4)31gHv>0Na-v{iORZLsML;9mx_Xi2 zWl8E=`eE9#ovyhAd71|kOa^7a zi0RJ0Em_fiX)AVh-L0Y1E?JBbc~5kWEsRzY($|Fck1FT5U1U&?rML&F!gT6SC+2MFNNy7})ldtfpy>{F*9V z-hCWa99_(vTMcNH(PKGgY_n}&rd#j3w{U7<^Nn3mPZwTDLQ}-#ioQqY)#IPdR+ck2 z1W*~Z;+HSVRry9alTvA~W4)%K+?3iMA&RAaEvc8zG(NPDLo2vhwrx<#3~6t^kVk@& zHosTV7_q9rFT&$3G>M8p2xMvJBcglCP%GI4Y!o$Lg>&o|#X*<@cKPe^`cquVI9Dhs z`>Pyw68mo4l(x5iwlx?@YY;N;egBlSlcZFB0gEb}_FqriNM z*r%o82r>M3KXS}JJrtXrscAXUaCr|*rug`OcC&WW3)u3`FnmYxO_o+irOP-MK&jUZ z$~k0G_?|zIiG6^=MaXd-sN?ZuTDoz|;c_{R1$lbN}!c83- z{w*Loz8nax3pA+smVpb-^1Y~!s{|PHg%Y*vfK(9+ICm;h6d5k#o$h| zEN#g}?6{rECJMkA806K21T4SdQkm{iJOh#9zmB}*U`)m)BCav;o6bOi>p6#xheHmr z0#0TxV34o6VgY7v&-DNh0@%vwl*mEhBzD?=jmZLVBgHGn49K zW<)*%lQCLY!j49>r0eO>^tA_y*+i>ONL1u4VVqy%`1!)1Tc3n1V-gB;Kil}jd+OBP z9^IznJLo%9Y>p==AjiyYjOYPaT+xi;m_y#A6O*gRySj{q$5CaEll)lNZhmaz=P`#` z{AsdbgMR|vj{jGwT(;0!zJm{3oiE+--IAlOL@%AR`4w1{wY_s%B6q|2hF14392q`` zwI@>eM7tr#cs2{D$SlD9>^t_&gXeGhF#yv4*N=vJ;;WDJR}3;|Lb87Du4l;evquk= zM?VrD`UsA8GFlHc+&ec0K3;lL&1GNng;K_8yP`8Axv` zU-Ix8-{{{d4^ctcM3PEbHK|*pJF*pA;aYVs@}=l_AGa1y!{xh+)IM~)EvtccD{Keq zhwE=pUTH7?@PA#Ea@tD9xs4o4=Tt(i-Dsa#@#ZnGmXWv+6LGzV@J)aDn(T|wrZL1b zg<3A1i@&&14xQ8hmJhMNqbrP#CTq%oLS~8!^H0fs$55g-%r?h;dccb%CD!&wRWF;W znl$e*QSJ}4r|P58P9xEz#4-XW7dY>8e|Pm)ee(0lVZ%8v?dnI55o)>>lZZCW?z3dP zYNzOZgQtXO8fa#s;d!sX5;6Q{NyMrL_^H(y+9D7T87J<~;nL!`4``}iE?b%uz5kYBD-vMt(u2GT zMnVSWaGVm(dh_HG`pH|meh>P+%A;KZ$2!BUhvkP_d&d^DvB7Puu%tv~6l}~s4Dqh$ z*QD%TIrJBbN;ZbYABW&oY zMa35F0{MB1JxAZJ6Djs(`)girav6hsLNw|PHTFa81l{W}5OvNTjilbweqCGhlj_eb zcQcFE4>lnISuIL_goZ{JBv ztyz>Zylh^HgCTHDlnap)&`?M{L7&_J&jC(;6`#+&*bzDLfd+Dnw32}7fW}%vVGdDY z4laq3_nnHymf~YfLTvF_n|j{Jhc+^BQ3k80-?(5pnU6j?*n8>a%4TOf5c6FH=?1B@ zhKMN|l`)o15sB{FhCEs)t~@ByQE|PU{56UHoO2xt#=+4puo7=qE?8i^E zl7W;;Kf-fALvZihvX^}{Jzg6$71Tx)cKfq^Zrs+zZia+%IJBJ@1K%e;Wsb_xpucG_!RB4)cG_03jlH;ASbIgOu zDGYg65o6CvKk^kAI~U|U)mC>ZDfapOL4tf2C#_--SVTN`aZM%aVu_~`c#c4GOg=u{ z$d3Y*vb9v9tpW`w`==eRee`DPvpew>UpiPlGDq?`pDh}})aiK6LvTx`Z@fJ?9pQO` zeOR_Bb}$o2e>E<^PV~&ekRbnL%5;(1GDa-&^*2}d*`GWdLTOE{Bm>#sc)u;KB9A1_ zB7Mq+d=-x$>Baep0j`E@fuaksI>C^*kqM$oUq0*f2t+0>883kwu-fvByMpg!V+gJK z!Je5lA(oDc9F&fsPa*bANP=Ac(TCD`(a8ogCF`{v=T8#MY_SSIY+{wLBB{_;a3k~d z@nc7cqDQ3=FwI>DI%Z*+5?`}tlqA}e5mpc$Ri0=2E77VM$!?gpPjUO;ecu>go4tb& zfNe~pb_B$!(O#GAz`}3smBc0~SByw(Lu};35sm4eQL!`oKuyeO9^et$e82J8hB|(} z(o(l)qyb5E5dgO$+H*jX9vh{|sa+H~DP@LdIE18bNI2iZeLhB(_Z|CePOiO+H7~1_ot9(2q+4P5Mt{cW&*$0&S!cAe?JGW!G-ZlXMh zu6R!M^;clos!4}k&-lSp#EJG|Rcx_(3^fem$Lsrbua1>f(})p{O{!+_`v;1AKyRMB zu$ff&hvCk#N^i5)*|%C;4EaO49@FKyjMO&ZZ;^k~jA|>unkB9=0uVouyp0#l@9S7G z$R{r8v%9O|Yzaf6W(z-3enWFW{t{IQGY~(<@jL&bi4z4`P*X)(^(*g`wc5~! zWwBSj8((yL@#0=4`@D}6q^%aA4L?+FAc;ll%AZ$xp+ReWGZIPWU#wU*t$8$h(Xa8n zhVDh(hLXm!v)#{1LmU%{^<#^%?7{6~C~MI~XdJ&i!4B3k`&NuF*8Ku<+)rJHDdm(e z`MD{Ni`L*Vx4&QUSyG?y(+UN;@at`BBckQBcu!gDzgHI?go)|oG;@#`_PaOa zbu0uJ=0gye9J)6zN|K!GZ5An#GIg3dp(QXCrdvX222OXe?!7}qHN4~qRi{t zQYj`Mug@shezz_46Q_l5?f*ke|BHnETUs4Zw8C!0gV+5YVU{TAxtZO5!2``N%67I? zZ@(Ft#<5ndp z@l>`qHtgE)!fsP!%9RzcKY7H)!NHl!uxn-x1Cn{HgU_tn>lc1rc;9I~Ot8bww%jA8QW;&BaUj6@7ZzB{_t>yVa zuZAXHGQ+7#)z&~VM>B=;W2==;f8g3LLlw?^7dO8vGZUIj4B?R$Y(-|HiScG0f3 zbGwk#ZRIzGUtyiJEd1iC@29^c9~aNlo*btBI?uacXB@}f(?~>Tu)X-Fyk_@0?3G;c zpI;!pq}LtCo+H1dnAMBP)z$gY&_{yPMleC_E0AmRBvnXF2|nn6dQ>Kn(35sG@aTyF z=75oht*~j&#wV|a>QFM#$*-!dzIfXt+A8Rj9vTOIsQbx2CBF01bNXwr4;t2DEi)-= zOHNXYTDxZcDzx_G%vknBXy0|b(iKQ)A%ufWxb{R%84(ank`vD;B7~ScWe^a5kdKD_ zK`{>zO+)a9_ctt0Vz|$qp$Miox$gw~PHY{ew$5fPH<&W+g+_wX3q)Z7OK^W#EfO!G zXi~NOYa9{fwDjL&dPQWME*!qObXg}kSp;7)CetGz-A9m4Y#+?mOWT{Bp|MT0ZVfJl zL(=(6?q-mxKw6_l{BquYSP=Slt+}zXTvuq)Trj zXWvnxTI6J@SHFKWgsmuHQn=N4tORE671f59OnS;eab8rMKt3P5_iPPOJQ4XQE3K9A zR%8(K#F8r$<`X*UODma|#GNTtP)Eb?8F#u3m#}fAdM_OU-{}4<5thuK-vte!(XE=s z=Fh?)-2^goxF`Vy$U6nhfjXV_;H=kR<^k4n?Ypwb`#h+;YgtD~tVvpK>B`7++E}|i zqqNc$Rsx#N``#^&N1(<_h$N0*4t@_Q6rphaz7lPE6g88EDGFd5g6+1^4;+4|(u3zG zjb06R2%P1cd2zFV6?AU%=9tABiKe|hTMhP>!ElJq1Ulz$YEn#jk`$5A0BGX0d2oZN z5FS|1p=`G)zIfN$>hQJ^I@gaD-_|t0{Uz-9Kvl78+?&(r09N zWCf^PNp2-CkLl_OUT<`%cp5(*x@np2Rv(`8;M|^%ji}2YJVN_Ey!hv`&vec;}Zv znnMOg-qum6<_Dy=O?!Rao^){yAK0}EOpC7K6d1f{d3TZ2x41Cx-%;W$Ya$nC$WrDX zybONf=849cd=8dx`&qYo=yT2{XXD6Vm&XrGq3Jf_$%Zh^e_=@fg5Q7BWEhylm*R22+!y`(X)RY72{d1B^E!hXL!suf9iaf+-dE9oS zidr@O|KTwHfy0+IS>$q&9}s-^5|eY;(w4#Re_X{b-MP`oJZ;|^_=awT(wqWxT+Jyg zBS!?s`MHl13z_+u<3CAy|F|B7ZB-6W**Sn(jEMR3j=R6Hy8>2O|L1+CThZJWTV74I z@9=D&-!_Ymqw*JnUmu@*Y{gBKIw7PBJeKDrkb*slB`4>Xl}&7H6#q4pAPa_sg-sn? zjO_RViAJM4-lKcIqlexjZhj+&HKP{pr$=RFWevd_oV=E8(dxiJeD%`+B`!wpc#NN6yYC^!seshNS_BwZ4i1MSvhD44 z4=n(a{m>#+g#G_~fPXeDV$&cqQ zYB5x#UVRL*hML<{Gbp&EV^s>h+X2C%yovN9UWcGWb&QDR7!>Lg9dnJJr3*^@a;hH! z!WmloRX#wptVeR9&V!#;L_cz>yiL_D2tNEsTz&HK)9}Qt5mfk(m`q>uLM&3RfWokg zZrzCS3r?s8GA0?AYs%v#Rg>%8w_ccQI3bzf?>cd0@?B3U@EFfeV}3FTkeWc+cR z-${667c5^w7{v0qm>o^k@2QOjCaY2_UP_y8+#m*p8tQz}-#CBta6K2C+D2x+VJ}23 zb#ODHhKI#n%jF+ts`|rD&JPl{4!r6!Lq^9cG!P6>${{aWMZIC>_)Vf19<_V_b^ zw3qqmGGY#Gl}ZSyfI*)el$hC54A6YJ6%ZfdByB{K5CZ`k&d)&i8Q}qhg$2FfM+#*eNe7@}peq*!;68FKn^G;?REa2H9HtxZkRi6=$dy(lv5n3~ zT9QyfeFCaobqO!3L)SUdX%E9IBo3U_o3ho{thX4YGz;_YXcGnAStO6oMv za5ag4DGOh-a%Ce+wBjCw#`y9n6z8s7JG+`$?5>{Z5PVGiq?zFrx6AVb_}ChchvoKL z*lrUkbJBURle~)nvd66bp^%(vh+Mu)8CQN3wgQlH`876TpKEyR{dl?Gfu~VkL6zps z`rd0XGqgJt8U8!Lh>x>+pg@t&F(B5?Db2Mg z&GmDdD@&Rq%hg?M!b&Fzj#x;r#qRB9_c1j7#jhv*ky?(M%{2S?c@+yhB*3{w5+&UA zJ_e2W$T;Zp<%mbA+Ycv9l!?0KO}SZ*?Bp#ScxpTdylRb~97PudB7yiUf^{M6!0zA& z4!h0Dd+bmSqrQ+)ottdJw0bmBH3IG7kMkZc?`Kd!jpO<&(VuMgjs^UBDlVpZV2FdP zEWcZpHVR--HafaeK--9P8<{8xa~hZf zZgT+{{k5+9BhTsF03o_&TH&6WJ6b1*h{?K$A6Qgw?Zd>T&_;W;C!sRuSx5au|GW&N{sa?Aslit~5E4;UqX*2g!`zzC82 z=ERBo`(P*Az`A=U)lEX2wIiyFYU6m5lK*l5>LnTH$ym#I7_OKE}aj@Lvfaj zd-8kZN9O{0WAw?w(!bTiz@Lp6Uyase-{r^VSa07IAc8sSv}*Y3$D)b*2g47Wi3+0y zPKbe?${rzO7m3Tmxe~r7#?ZJhx5mt`IqfF~U7}@2yMBA1B%yrb0qKpUk&JIT5m=R3 zUm@^a53WzKmJ`XpfknmujvRBqS@c%;B$uasL#AC~wBc|kEP52ID5|LW(yuGdItEbt z;EpHnVbXdaUcm-SAV^G@$zRO^? z`T8O={7 zMqkWw=9+O6%jp9tqakToSwc}S+TbuSlEmXHnTA9T0PG+^198MMV2Q# z!-PajpJAfnVo*KGC+*wcToyGS{rHIS?g7`3hi{kXr$ z5A&10MTkrN`SHOYh|&0SsU-z`F_~HgQ+uufWQ&(?kGg@FXabjjyX=Ik^x;r)%j*gE zxt;Z9nFYVFDZf?au=QBKkhXC<2f3BAG(7Npz(3S9Gc(D}A3LVn*7p9CYDxe9HaIYM z7OUK&Me5s`y0QPzZ5J{TnFpChJC#GNVU`O=ojOeS z3r*;kR@V9qEajplj)r+CrsXq4M-umxu=<1oIWiS9=#2Am;fm;Z%GzW2`P96+FGTx0 z9U_H)HoueyZR;@xEN{)Ib623DwQg;wXZ@0PKPn8DvQ8X0IYzuk`IFl^#eT8i&sre% zCqDEQh?B*L=?&R0Vf5Q65XJeQ8 z&PN*;&$V;a4tVaiTy>+#*M#$%9q%gO5@SF~pudwOTwbnsMoT%$wV6i(%qS4nS-NJz z1YA=eg%i19uPIrulI-!z2b58!Hkjihla@}^X%ePiGOBZ9+gx=9V3H7PPoo?hvikg=OjYQpyL!Q%YzjI^A3;T;lM~vD18OjXQ zyx_C=BrRNbFvc6Q4RcA$Qwzjr;A)`LG3~=4ZN&YsqhPc$QtKh;zMdhzE`-|3u3g+< z^i)bWakQk{q3DHi``zg6@$pXu|KlH0bP@q^u|Af%Cgg_ z--cx`X?SHGrFa`4U1g%~Onc`^m$zp2_cEx_@x;Up8>n#nr2l{Ov^!r~n`20~a?17Dey?-I6OA-Tbb< zsVk=`TvUWw%WDcBu+2j40aU*k zvpuD`{_4CeJo1_^Ys{oIQ@8cid=*cn^@~=E{J?LN*V&Ty^H7~?DI~|?->*hAN$^Ne z(#X7p6z;vgJhPxDC(~2uRk|)LlipB@=y^9}qCd_b5iO*_gG_G6T;%v8+xUxf<>$=V z$W*O8AQ%NOWX5dOq%glpwfj1P8jv2u&$mZ#rGR%6gV|JFc#4lV?u`3KK=aGitGA6@ zKtBS*=dj62ihvk0D+~3FVBtKCEGXZZ)RN4>&9Fv;fI#4)HN{wQYD@yBie6Q8GVI0O zuSdSa1S!9GdX3OYb%OE;lF&-#u}BRX7!NSfajvO}x3hL+V0ouV*^+OFkl!n;pCKDO z7uByWV@6Yr1gOsKagG#sFc#b*eDbve63|9AT_{pPR6@`6b}v-lr85MK`3HvLTK zL)W8dV8~5VTkCzmDRn!Rd+>tug)gIspSl$R(8j|C6%Ymm(UKa{;?Sd{PA{!7CQ-Q8W%ARvJpW@qFZMp}Hy*qiVeV^PYpwJAoSwTl`_chqocq#IH?fSC9fzH_ zuCU-XkAim_gX!&8@ARGTmtPf?dV07^^!4{Ib{yqM`agiu<=jJtAvgb(K34ygJ~lYS zO?(Yfzd z4$c4LGEmB1_QzOBV&{v@03;)ws$PBeFm#(7lk zAo%4W+yGGO@z!sy>)2e@?R@U)Tsx_)`m#o+l0mG}#H=Fw4U@MhK;|+}8RYLW9J8fW zLEZ@S9AG=t-kbd`vf?nhHguhflcR+5rQIZa7%nlZO!OyD*&P7~l)2<(pq^%RAXBiH zC7P8%Q|H2nB+@0J!?9G-rDH8^4FTk;L1V+2kgNzQOf)i*64iDWK@#PdZ3~1!aGPGx z&1a>QXo@tf)NH2E$s+bDi* zFm?1(E83x`VZ1zja|aArXPsMctz?;Y^k1C;8TEU*C7}ag!K@><^kBS`d-BHOqF-Mv z)RnU#I-7O`+!}fLZB%g6Rz(QN)*u){;{jcYAW~AnCj}r9^nRNt$^^M!MSM2L_w3hS zHyVhq-AV?)c(ci4q-2vtKUmS*AQhJSNrEM8U}ssESknODD&9m5pn4CqkjnKOmZ9U${p|H2Uh8ck z#1$&Nr205vC*+_Wk+i7Tg{{I>s#Hw@t(#>y8sQ8%X6ICiqpdm-EQEznHN{ zJfGb;>+k$SVK4UR196qsqo*d6m;l`XShOqMVb_iO&$*F~BMZG=&ha|YsAnmpBMYKf|0CVg^* z|6Hec;KRK9QvpZya`%%j2q2!C&A^c=dI8um6#7~C5)!M0WZ^ovcQ_cF%)lO zN?wv-O@}!;*FKu{(O5=2kLBNr&*nn}Gp6E~3(~#}5MREYTuPBHJ)?0?|JozS>{TWA zc)>!=(A-eT*8zWT+}+J&&dgY~Wk#uGLaB6SV~H!vRdX54Jr6?ndYcK$f^Gl$9IxGW z^qvX(1Jx75i4fuuA}D&2SWdp1$hur%c75^&u%n*X{yt8-Xi|-e24Vv(b=A!PsQm{V<}4c!D+%h^^>_-_<%u5%&rUxsa1aKE2NzCK@N$ z5wYf(-|ljK)P2-y8MhLg41LxzNCbo0$z@=hH07a>t5p0CNsvVvXp&LVK}3*t?(h9O z*q1L@OYR8oezC>hZ?NWiIGnLnqH*W@h2P_96m<&$l1bdGvT?g*>}Kmg2C4aX8Xi(w z!1&(6M~N4du>nY zfYh3M`kmdncb7Mu@-Z6&>AVkzPL$#&)s=;L-23wGj0`@DWM=1AaoNL#3m!DIKmXkv z)Q*BCHyS*B2q%yKkvDm{a2(=3OoSh?%3rt4xzi@If#&Z@Jb}&5f$vd8a=^W5<^5^= zDiHe0c{m(*_bA|om9cYVM5k^a_vGZVwBb;lW&>`iV3M-0fdA(FH6GC4c!;m<|CK=Rzp{1x&#&^F2ld9!v-JIstN*U~ zTbn*A=vno=qq`FHbPx9MrJr-G;c0sG=N*Ah4nuvroKHK0PmUaxXn&c498WI8I!?y- zCt=|P1#0vNvM!h3?j!{%wtZhR#bH`$CZq-ioJE39wcq`UhEnrs5VNR6bbMEL{#lbo z7sYX(2oRlfe!1>O0dk14MBi%DY)H*Yuc773A-XHN zta>NF@;yY)a>ryUOy>CYNt)CiMp}8s-r_57k}$_KC)c5wZ#9w$s7;OGibAaxDId;6 zCghMO>ekMVS9}8k8J$;al~g5^R370}wp$4>1$hRjB3AIs#fLAOsPql^WU>8DCSMg{ zN>ubus2IO)Uvh<%g)wOmBO=GNx5a;|I-_+Uh`Y*}kiccz<*5abFK&cO!u8lD;BC)siriJzj3D_1-O{IqiYWisG7{N zrg;^7sZqx>#IhE?f`gHG)sL(C>zHx`Nq`t45G`9od=`WUgGhWM$mlr?QcTXDdr>Fa zWufMXQZ?TWd0OY^u%avFHv6Jm@7182uYVUBh{sA)uy@#Qj2ah^+;6MMz9K{p_P-D* zqLeat??y0tf}pyQS>>Z%cCMq{59}s&b+Nn>6S-za z-9OHW)aQsl`WkViQg{5odS4-$(aW~+<}s%(cBYf!Gf$oTMyazr{@4wIS`t#L(%)kE zp8e0W1OXp!HYE7&o1eS%snJ?!%Dw$~c_%dI(afu?7X1_s3@$6g5c#xzC>~}@W^!q- zOSZ%8cWMTDGy)zr((tZCqcHOmZ_cC1t#+^5Q(~F>R^k$4{OJia9i3+uORI#%2LYG* z7emMx>cGEU?XYvSJ;rwWxH4hn*F#F^7X zL4qVV-Wl@kT~^n1-Qe_*5wGW-F=85YMw>%>p0?fvx4qb>P_~<}{bL!jEf~L|Do>UL zmukkq#Un1)V_;e%NNhz2u_95SCX7}kc!2J2H0Ig3^`pX4jRxCeISuneq7zYOEWJQBU)%xYI!LH z-j;HBssR3a;?_t!qH6Pb*&j?c;=+QAjRO?8A(?L*dt7q5!!NFStEaD4!wJU(scc^q zB{*sFfXvw3_d|RXnEiuR0ydm}$7_m-q2DidJBZ9hjD$aD1(>}Cni)pvn#YAtc{=19-0NiB&A7~>j2=gBmQY$~{WnSRTXXlmm zgLB>EZ5UL4YwOiD9F>g zYtqlxc=xvg@{vEz&gr$1_CGKN90oMr=EbcXsWL7*HU9X|mT8fR0~km#bTqx(`NvSu zI+uZR=dii6a7tlP=W|vv6%Y8vOc##!E)N11Z(MdKhZ|lu6%=!=J)DjXE)L2aQ38PA z%lwNbN=~iJ*%}8UQ`2^U0!mR-TzoJiT)@Bi`9VNF`rt}+q0VUsC?cnYe@AAdfO3E1 zUn8@|J5#UiuLb|Vy=MxNa3MZeG`M8Tvki3Pk5+oUdFCTCOYJet>$8cEy-9duf^~%w zS`;V4Nn{_yH%PQjZOo*Yz}n-AaXRa(Jh(I}vas)cE2z>m3^Dr7sZz7m>dvrlpi2T(g@asiYaZQpj5Onp*gMNr973^k553sZp%) zNXL0hvGG~&rLf7Pl^FwKWa1^uC^5kZDFi~793Z41-WQMWO7v0fZ|4^%q#3p;nf7tJ(2G~%Yfer1HCX@vgywY>!8%6qi-P+1?74f$giJ>Af zcFPA_+pV;5G`P-)TqN6+aEUbqHK_o>4HQsMo+8KlRnTKN#?MI9PvYKvalYJu9co>B zO1sE}QPro0{aVW!l=~H)-NMh#Xk#p&2nE!cJk5|j1?LtLmmJ$^bp_81^&!*5B{SL{ zpVrn7McvI&8uD-3S(6l)t+@<^#Zz(>cEQX@c9ltID!}3@%%B+dm5h0zOYuX(G9s#5 z7pLH$E#fEl2DvPy+YLH4DHsNY!7Kkx(L_slRt3s`0;roF1;99AqJNSpCwnGe1{!ZOmKGzuhqfOl5+FYwS#zXCCO|SJN`Eid zV1rNQMQ|Glpqd4uw4xI!s3;I51PMT4kjz#BgM`M!A9aU^AcTu*t;GO4`LUEkC#B3= zI>HQ-)^<)pm%E-{N(LzoKqJ}yM#SCS!EzT+qVI@Wvo2f#g3HE}8;en~9xQa{tqW=% zy3-pxQZv7M?=Fyl%!3S}r=Gr{3440{+W1nuc72KzQ%PCU?je6O6zfzD6*;Zzj*^|Z zOU}yX7Slb_Ti1?zHN6iXN(!&_JqUklEHy_VEe@lE6)+ik= z+tt!C;WV5}1o5_)PPfzt&~~{x^@xsV&c9g9w4rPIVv!zi0SN}%(gvfU9&0l}m$(!P zIzWWkD?x1lI-N)f!IC5b;lkxgXsZ}BnS-iT%gJoQWWrWY&7Oo&Gq}weP6^br#XZTL zD6AzQaZj;H8C#d+;Dg1AQB!1q#%Uq`~Su3BNRJOV#@K(LD;Amj;W6Ax% zq@cF$!`78JSJ}$4)(MPz4;20Lm2|Hlfy)26Au3b-+XU@tmx&wyQ@6}8KqmEm_$}wO zElnH7$GYZ6>|STQX7wAr<(RYo{=ya51IM>!V&#_Oht_K!Y%Q5HAOPmnx~$&En$c%r zEAxxIfloiLd6!pS!4D`)7K!tBb~Xwb^~>FMk;7D|Ta=Az+HVa1pZ*^lY@kHCn962}hI^eHX>&4Qy~!uwTv?3bG*q$l-J2oRmW36X4DpZv#vHRa`_r3&_wgGC)X=Pr4cceQwo!#2Sv2fr z=q6|*NU38BHA&c9qPR4vcyl*8S5mf&k=@n82)nY)vdU;l$p1IoUk=l!@WS?ORmFHfgHGAvuh$-K=k(%+EV>U7pD=PkVBal9v-s>2_JcUMzsgR$e?s<8E+C4Xr9Fy* zL=WnmjTUxlMuuMgojmHuiuDO`orm;~3g=w>k%MjL9wCnIfSL(a`Ci^!!Csiw)-AON z&Q~%2uYO3f-(~Wj%TElw36fr|V$6FFvCXJi7G_5m^pg7NF#Wa`nCs}=v9ERZ$ES2E zIzBXF$jMOMBomUt94B4|Tzy85AJk2m1E&9c3$1<`dXB=yr!}U_O4n{W9t+R0o2B9p zhMaqJ%pH}YPjkEqAcZj>JU7oMu5LK}Dz3q~BJvb^gZv4Ffazf=YfLF+fWYt7C&bO+ zJuNo)$~}`h8^Ok`yE0V!`C?H!T~0jwCaxa{5b#cylM7!7f9-5j@)O299{!q^HrB+* zv(jw;2gCYYQxm|n2)e78inLVl@hR;Vgnxc56U)e{Q{g`X2D+3y&nN$d}bp=Wyz8?D|7*=CJRJI%?vD%0w42qQNe zv)=XOTg4O%aLqCE)t+W+jc=etYI}7sq(!7;j%o1A2^0dyhaEdV@a73wVH2ng`P`$K9Ws$7LbKcS1~5np}=8c zPH;|A$V>H(b>hmj-UDC#-9&j~E!WyK%f}LPRcm+ry&qy#`arZN0h;ItA_20UF0r{D z>$o}_PHJ+qXIC_*J}~XLD&A4Ri5UhM_rMGLm;jwnBSi?v6k{I8BNJZ#bW#rKhLK^h zYXFjrdlyIU1+ohzXQFZHQ7*Ja0aeFuhr zAEy7YdHn5r1OWK;aYJB4f&ATUrej~^P3+Q4%a*BcJzmo1`gm_BOyc^ zI67#j%9{Sz7d7zVt5ztip0bzlE_=-0`~=ghow9|-wmy(>@IWu%;mR|BaZs+M;btWp zTXV_(;HuuZWcl}R8o6`qz>pJ4frCH!yIX#nglT4HQOV2rrT!ljk-n)_{j>j`r~OMK zR)(=}g|=``|9}&C+8y|oQy;G0o0*o@86J4FaTGSu;;6nd12xvl8r@p#ce;D}*Rg%A z+#tEG6>x~|^>8!a@XodIt_Tp#XC|N`Yn-IhV&MN(IeX7YAB~L;?#|1;51o_QTkks~KHr8BkUoWecF|#8jo3CDZqK4(qJ8~p&eU9~W%PA! z{B}SMdQlPDUUkx$c-YR4aA3e|lh2d_L9a8|c)7*CwyT7ex0UIw(AY-h>Uml)!*#g{ zIc-D3K^0MnWbpJXfX$(dM$Mtk(~d_DHiFLM5Gnx!A1S_osajZ1N|MqSvOJQwES^)o zMih8S?Z%3`V=O9Pzl1kyvIW)FkbALYq(aNs$bd_ED>CJaEAVyjtte z>z%=a-aAG@^aPM}G{$YoM1!TvosioraaB1!7?FnL7oVPXEpvYopo3Y&3@0Udk-~qW zT_v)*Lw)Sg)h^5#hW%|xIFTm}%+D8^6s(r(T)E&q`#Y1myWIq)2*v;@ELtewzyXqk za(o+?T;GpH1ak;XQ0bVer;#b}XE->K=EokG1HimGLomTgA?wS~7@?!Cbaxd6xHgv# zS37uD+bo(K2m<@-XYsp)AxK4t+Yy|vZ6%fM^CRoq0hdo!8DiwAi31528D*RNYqu0W zlIsR|#pBI`r(e(eQ*8rcXozd79J*wf=9=bRGR~-&ls~FHqhg-;YwHGo6FGm^LHl0e zNjp*c5Qq0(OUY#OS(ASAJWu#k`qTK zLpV+MD0h4Sv|^wgPf-|+o7(;;0YdZVyl9e{A6bHV>ht5F<8k%`ZYO8B)gaFOH{`P< zE-O)SHwvP0fUkiLSh=0=uX!Hvl`P*b^VH|i_&|pl*>w~cpfQ6Rh@qz$Ne(fCUZg>5 z%|JC5Ycgpz6WR33*V8eKi|0tHYegglLSTK9YN~@*$@#`k8XBU!Z1TQ@jbBC$ z#8R;pY48SvD+9uSOSwrKI_zk2&k^uiM)GkX}fmz>#!W(A>+1?`f|Y8TAd?r1vg0Aum%GrHsd15<6Me5OxR0 zMDjug&uGkoUk|2%n_yV-d8ng zxV>=tA&H?0T9gn_gJ_=u9KD?h5}dNbjJwV9SiRs$HsqpW z8POOpGXBareusHO#fym<`NEaiZ-^P-HGx%t-ZT`v<*zgJm9af`=tu<-o!?0)Y!{sDCdKC>Q^^6Mt zo44do4H3mTC0yfY*kt(t;(_i($}v7|URO^>LwBS18radKE-FpGG$_pJ_)xc~wCGDy zMabD2IfEuz)~EbvGQ0i_dMr%(TgfSLnYB`kNz+hn>CDE!-!t$7I`$L(Y|St*=3Y?{ zBv=N>hy(EeDBW0c>R6fdGO33c|EpGm#PjSn5`(kul-kIw43!P@hy0X}te-{=v(dn7 z98b(9oZ_FfHB1fpmJ>wC&_wZlARM;r#79hzb|f%%x+Rfou?Tm4Mtz2m2#dz&qFvj=4KKH12|X5d+k*0R>aZRu6oql0S3>y;e+mg85KBNELNYLEq*H}e0>ao#9NQtPuv zV|H^b@c5AS#9P1{6tLFb5;0nEAb+^yQ&Y0Y`LKU*G=CB32^Dwyw-x{wEA(fxW1H{7 ziR0}UAV2dr<>2r8Yj^y|Km#bv{y&->cQO1-v@-8FhWb8Hfpy4FZ?91d4}Re|@t8f; zxLpM8Gb~)z5bzFy@{jiS8ls79s1CQbkrJzeppJq1wn>2+J~tf6%xo&OEcg*#!9~P^ zHomNT2{XOolV!DFfV2+Q;c~Fs=%3yCp1o-V@Niy*VZl`);7eX(WJt($$i}wreU^O2 zb7`3u+L-k2@??s1?WlKa8fhMQ&~!B7ZyVh+`+9mp?d4b8y@fU(;{>32Zr8k>SMbsu-MZ3QUI7(WFwj@nN9ktP5%`4GL zVLxYjmfA!kJW?V4>9qk$dmH=>W;i*K#-^EoJ&EAcTo@hYF&~|w0RgFI&rR&%&vHnq z+8>ij%auQo+Qh+9roBgxi0Ig2`WsAGDtvVlJT+} z1E&5QM|vEC^dahC;jbHwZ|e~7`iYg4MWs)l2Wn>Z4le!HZ}EZX!JoJjdM*PW`0SW) zcY4}sjQx6!s7Urjp1mBmuV_%_mS=Y86A;R>hv0je3C{gF1^lO?YpN0b7?{gp3uFPasPNfl1aX?D8L`8`AnQ-X8P~Tw_K*MZU81Ul+{$Z(rJwt_)6)(bz$H?8lE;yqeAMp8 z#GfHUb4K2c&%OluW?rcJ0~wZC@nMyY$=dv=+fWYGuNNav-p32p3BI@5&l2=pIt_++ zawn5sVZaRT_ub|5;epRlTe-3FXA#~|xV9Ii?dRLKrVM))Z!81%uvH`-+^Yer`2rPV zaITPWvm(Es^AHXG#g>XY7wl6~o1*&&mO+tz{Ll|v|9;hpZ@3MIh>*6&Vm=l4mwPYK zxW+u#4KgDJjIXu`!`WelFnE{5Gc+Wo$scW<1>b*Y~$%SrVoR`X)KHWKTr(&-cZp*d_b6pke{BD!90 zrj;NC)Ey94(b0k1sF;clIshz8=skN!*|?-`U~JSj-OpZBFNGhZ$N%K}M`%Vq^y`U? zi{tbuR@aZxin&7-yNl=3&!3R%NkD+P)`&|s74r{vnm>>OkTR9f{Px7D6eZ#Dm?dK7 zm;>MY*vwe^E3Km+;HCcEzSh?r$(6Vk6XmzK3~M`9qP`k>5*xnVPK^pSWj1&}AD;9) zn&j!@vrSf$L3$}fm7Zlvy!~}GUUOvSfA$yuH}J#afb_Dr#TzZ~v>>pq0M}>E-W(}# z^Il+n^wne^q26C+W6@oC#t$JNKgV{eHUKTdkudN)vgPN3?A4a+6DLBWZO&N6PT%>n zaT_sOY=`mulEDBp=$U4P7t2@G*jvA*92~`UIsJXX8X@1>9=fuxGa9S+=0Hg zTKM=C_b>Bl^)K^@8(^IL3(@zzWuM;b`jghd-=?AfNX9&$vi18eSNmGSzMA;+1Tgq`HH$7H(Ai6F?M+QtYZIsX46{sw9?V=f`<6|2g;r3 zst*iTyH8ZeZcJ!Qr<67Qlj7tXCbq(|DTT2&U*=Plrfpb?YvRR?pgYg{#;mEi-;AZ& zMig#8T?=?YG@N1X zcTj1juFX2Zu2x%;2da2!(3T=f$n)7}Nov5v^ygGX5!w$!CR;srhmdKxHRxdunUuVJ zzTexl0GE{_ac`$LQN^+P%$4`62uGY@*JSM{P}rDespXjD!Huc<2(yCmW3S#89=`i>^DE<}@Cho)FkU;W7+*O*pR z;Be-8Gk&tSDEaiVS$D;!M7O<{@o_A+)}zIx#PGw#NK6^G-l`jVs>}PQ4gvqjSqTk$9^7V(U_~pA7K|m;>E1TO z0Cx*)`k`vm4TUoCrUzcu1;Iw!)L8RnM9Qa>CU+9^We9qATHDXGb|p z$T!is6so*d=Tg=G!NCp;D>Qx#Y8pO}1#S#nsxusA>5w-%qt9M&rYmWwi-tQ&hV#7< zd4&%^40CX?PK!W^TS(f|FDMfG$%rsk?UoJhe8+o)Q>$ z!BKG~(2&9CVBXw#K?O(FTL;jz_V;cn}!)Otm* zAPBbCh?Jl*mzcjV`u7!+-kQfrg$2?dF=mTmV}7mV%gohv?FAC(IA+!ivW>w$=A!z-HKFr4l9FJTqjBl1w7v9hTi|3tXKL`C z4RC5+J-+QF^m87hJb&NK*zI(C6By2_N^o#hk@2HUh?kygrJGhqh1?Z>ZYQ1iu2t}JL=E!7~t_3 zRJo`Vh9qBX{sZg;GCktci<#06We-pU4Iq*tOY(N za|`QcG|o5vhE3`7U8r2HBET{thqWuS{v3mf!$j&i1ldH3My8in+Ls&(&S=cOs_$o< zf$Q3=e4Jv_lRR%|1@k3(jp{q+;Y!;vCDYg>isE-OX6#{{luy{={S)Se^v zI1QHZ`~b66r^7Z{@>vI}+6oC5-sB-s5sc{Z@f$K8HwEUO&d5g9Gp=aCw^^Alk^O}h z=|cj9jGE3b#etl!ZBkca8hli+Z3Y<+UogZPLWHLc3^4?yf6A!7ym;Il?h3?PxG32e z164|8qH#1oRdD3v?~k%BFF#Cl$50Y-_pby>6tKF=dT#Lj^?%^bramySUTh(bg@IUcyyXv&?Tnc&Yn+PR;94{ z-MHFYcg28pyt&6RTErb{kB|YSiAz5f;JWp9bazFqfTbh98S1p4oF- zhW2baRX;pjSdS!gaM+XJt4}mWKQKgMFp7ObKKXPzD;~gt}C)@szLw*q~+-+sBKlNO_?T#K_om$v?x!&Ut@W* zhM3>FEx+GyNlzg!98Ii^BYWEYGh^2D^=MFAOa>YCR5uVIra5E@&GvZFs2RVX+W*nD z!L(*{%TZ!srm2NJqpnfb`KlJTWP*M}V$-wj&vm=W_LtVI9z`4GpKqc`V==hKVhdT2 zjZU(H@s7v2?ca!&B;_Q-O1%xz0}a0~DiLV3Z@3Esv4ZpeTp-*rCVC+KTFL=e~>Mw7|$wBxGA_B<{d3 zRtigci4{j&!LF2#R&rV{RDHp7^ZaU~)-~o=evimD+!c&i~yM0Z>~w+V$e)vG9;1`p%At%FYgzhZNPh zFV*=qSM5H$tU64wCbVc&<}|#OLFT&_F_Pe~H@Iwyx>Mk zNkcng9cuWXaTlGBY??%!+-+PcRNWDUu{eSnM3}^uVcJ~o(80w@_|g#Mo9YK^=JrfW z)dn~kZc)6nA}Trdi}a|z$Zavqhos+c&qO7i@u9CnxLzu}+{TLSX#Zam) z95=~+4US~5kqqX0`|fnmAs}k?ggpWl!k!md@%?zHe^K^Df^I7b$xP6{6LB4A;LB7I4X8y{qh7o$ z1;PZ!?9iOqR|Dvu|pbBLRhf%FtsDyrai2w6m&-rNcfLv$j7S z`t?$lCvM_d@*4e}B`iO!{*Az>mCRJdFHbV-)1CA^7Vgwa6eIy>A7;*qA9c8^`zMKv zc9Zkbiad^kX?M(zBdL+bIt~IbEfO}MjK?N)5eI5t+4%-($}2S_yUcB^UGA=to74_7 z@M`;0z>6aVi=&oBEjM#;Uq1x5m6KjhJ{ezVdi&nfcVHZZicCO3S{)5J1H!MMtql`M z4FRv)MlbkNUZ_E^CL?E3HTz9(a8)!tSWvB02zL2U>B$Lu|7Oj9@u6JxwZq)_Txre4 zbuko&=-niOh)JCP4~~81@atcb79GRU$<%|<8 zK3rxiW-nnhjOC_oUm3H58>4a4anld#%3bLX7jv{OBD~)l>g&HX)ZeVH96x#8^>*bQ zE%C)`{rmTl+0U__aPJie6Hw&5QJ~{AHD?+E*u(wNh#qB0qg`s?eh6&A}M)RUdh zFI`MzT(Ky%K6kNqiCDwj8bXM{MAl#@127qnMvC$<5v;KG6DIaqmt%Pw4U5~3E2%=Z zE3TM?KX0T&ITqMEM}l(en!~cj_g(k8CaSRnKA99x|E;_tJ0|4dEJXhVv_e#HwM4PYMCAvLxZ$$^{J9>$#)WmwK%l)cay0l{Yp)x&)>`~t!+{KXrusM*73R0ngXI?DS z5fFr-#5jh}-icvsm^i(r?!+^luP_W^m_2j86Hq42& zM4Uw!14JiA;BKP?soPv{8;0+8PV%>SXHeq^e&bCWq9@WJKcS{Y?r#6aW}C%62@aoQ zGMLRQAwc%OA48%q=)vzIjQd#R67T;!MMCHghY$Chm(~x1^5!eE zHJ;U+nO<~^H$6@kK0e#$j+0Ks1Fa|Kfv5*}>hdwHs&NhmdY7JYjKGHcP~twhMedY! zhPFC5%^(3A+|AjGj+7XVP z{#bthdG=@UYFkI@Tw7=91my+FQ`6clT!_aTXk!7x5YY<1w%AmtRkG3%DR7QL`1|z`DiXoyNNNu53N}?5cIa|d ztP4~<=sqO!l#?iA9#h@w3mlU^eW=IsQGoRukQlKBv1^;(gPEZG4TEuBFz&07ksu0% zq8bBSYYK`{c2loX!|F_7g0>-Hr5UPVVtT)!lIgog8GmLJNs8yjZDR5@lkUQ%%6V`O zXX~t;0nik8x>>Uqx#==O|KqbQAcB+$*};PRW2XtHWEJ&Jl-Q|{K?kWONurNzJ&k!# z!1!rl5rS}*h#*o)#93BD3}dueNrza`D9Z332!;J}!zYWHv3S$K6iqy25&y*i{uEc) z0)pn;t^ikX8(>0GFy}Jsfi(&f=2I|ndliv9(Rdn#3XBPDAY4+F4v=~k4%t2vEQKl; zW|_J1($(O5s6nB$hZT1Ne@o1R8Xi3x z!cQwSF_Khy@9sX?Ui0AZ7iem`Zl@X*PFR~U9)#GWQHSl=S@z6z@65SjD2Wvhu71gF zVm(|%slLYm-(Utq(8_2mefZs6q6;87ukwmT$13*1X8$;*HX#kidCGzDdbMpIwf&HZ zkwI6R4k7MDJxy}Gq~AWzK%cDsIGO!N0!wPO5|@T5=gt9Kk?)PJ2-6p<0Jpu~nb#qW?L|6pph+3Lc}~$RNW(b2d!%Vvt44G8d$dQBA7ya7bO7CJemg(r#5ME|9H&7!+i(fLX_F z=exocBrY1lRLYmabz?y7le{OvtI`6&Y}9284&Wg@i)(7svIw zI&Q-8aE0uzE1USfKgh)CQ?WRzgbyu-Owx;0cF~X%P1GevDRblsa9J;^W~9BRNnCg< zf`UubfLUAKBOa$r%{o$|tX^Kyj}AZk^?Xf6T75&QgfedNRNb46!TIQCXUnZs{HQ|i zQeq0()pf8|NKM1ChcQi%w*Dk(mNU~0XF?&J^dyCaNN5)eR6A7%liYhG~1Y!3HloJ9__!qC2ETbJntXJgdrcQ_xPOZgVmt{n^OY9L)p(WkF0a>R2 z@d(a=1R}U~ovscQj)Bhaene4rk!sIR3O&T7;HvgfStr(<4IC1{Wl203FlCV`V=Xxt z<%(rf_AFRZX;QrO(mvF+J``UFCj;DIc|wEcp4FzZXZ_M`vBD$EnTm6@d@t=J?8ffG zG`LOG9xxZR6I$MsnD)%<(QvMw+9_oFY8kDtPYkaA=Y0HoPQt8PAA%E|@cw;r=TKiR zwp?Er7&O+~Mtb*uHw&xryV((UxC7b=33LXo+4}Bv6|ob5m@mA#5P1E4aOFb&#{1OA z|8umi@ZmbJ%r3qA=rsTSYDy#Pu*Ltr_p)97`uOAQL4P4x_vFG^ti)2DK)_28y@QFZ z|9p@#7WDfQ(*>@7pV8tx=nF@&2W>w?jSp0@FE895)>arhB^o!M(Ej)yAiLA2(6Z1S z9&op?{P}h?5Np}K=Gbe-cp>EB#OZ-w=6o`zrXm&J_zyaA<@r`{+nIzAP}$@?1AfKy zw$8Mi0B^~;rX0YF91b;aNYp)?IsaFoJfxl+`KMxRwXg7Q{O8jxia6K2YV8C)_TQy) z-lzLkX-%ABVA>r0bKJi0O`QjMs2QcMw#CrFrxDfRo$SpEZJ-glL28ah6=G49MHCAv z5Tm`K%Cf>k!)rsiix^Z2#-+XrkdJ~@9J)7CJlW(_#$zQTQ98{bdc}~Vp@b*=CCq5Z z5!IW(iaOp}LFIXN4A&s>t4|%0g9+6f7~Ij7bZURlh}%7;DRKq1gd+{@TH630eb@3Q zHh2hCHa76#$jMZNiTxL~H>^F36Kr#RAYs3fWl6$gPtscJ3C%ZbFFOQtYLTJ9=9`0UQhXLtB zNOj7RFRq3z5L#wIW?m?t@(&Wqr*u+8yk%`dl1p6sFnP~@JV$l6Uo@NTC^(~gI4j%; z1P(POS324LUg6j{C@izIVGn{pOpnl_!&S+E_2f@`dh3(hO6h25)>qOPCh&mMuFo4Y*G7-(o}Zbi5=!=Sif zHx_i{nhg^uuGfU@lRqG}xaX2nelcuZY|D2^z#${kS)JKbNTb^PJR)9E?7yFd9JuK~+i}gu0;{g)^+U4C7Mp67w>m0d>CQ|B%=|QF6J$JO z_+e+%NxWGQDQPQ&&2~q2SF&ugu`{F%5k~`vt3Lp-&DJ0zLzdC|B@t(dSFPws&rO?R z;Ljr+$dHVu%yo3@oi6W!mMmaGR5jG4SUQnqgvCk56%+KSb7d0rU7C%6;x)4j`dA%K zHfHU1+SxNSq-M=r-Q`Y9)*s8qP{F7-bJX>yI@N!as@H-cqsG*G$#EHtJq%p6|sWIhfNhkJBnF-FXh8D zpv44ZTcMy=ge3Kdee3{*LW3Y(Bv@RlW$J7%nHTJ$VG0nB>)I*}-WNR+RHMJd-~Wot zW_|{L6AkR-Xwkf)HBFe6lVyp2SgRU@z;6qGrZ||mCdPO5&#sHtL z0<2u|!>lgFgr)$i^v@C0YN04AATGbX9>8dW93S6 zY$g8GQBxhy#-Auzb}wdzz*AqswLH}ywf*vNm;vk)G%1NUmSV2dl9KMG+Rs#DH(E<6 zZu+b6uwpj(8B@#FjB=lU2}p0 z@ixJ2ON5ke*85{(Zp9N`@}CET{`0i{=?{89FDUkX9w;H)P#&~eQ9_8bIcIdcZw4mR)X%c2<9uDhP-ADYWu*`~{Sy5LT{ z-FdOM=j4rU_W$tp)lpHlUBAG<07Jvj-Q6H5F{FwxfJ#U=BAwDT0@8>`GjvEwm!yD_ z(%lG1Bc12=dB5k4bKdi3e?aO1wSxHhM(aWuXJ19P#OkMV=_eHkf zbge6y@y)R?b<<+ArRCDyTb;GjiZ@>uvayLi$TMQ|S$yWAb|-cG&i3(+bh<4Y1|fEaGmKr<{xnC?{rSf4|Mj_8S+)m! zY1T_Q-8ubdbpZOP10jKTB*&Mo!`YJF&$3};>c*)G?v|*!y5nA!sPg$<@w%8FwrsXg zIr7knpKxK3F?@R35Ll1~B_$sB*}*g@FL{EE#0iwWef^}Oq>={JFbQtXkCx<$OhaoG z8A-rR$PnAe(5(J3cFigc5Iz~H zWf8>_9>)Nq5^;V_?czC2@yrdCM+;~o%wn!?y)kGf+C~o6=K4;j{3ENYoJHhsQ$e^Q z{Y`P%D+DS(snNg-dy>@D#^jL<4=7f}T?;0EprdFGFVDCRFK^w%Q*lZ^2!Kuv@ta*9 z6HB`5JrG!VCATsXBO;#eHv@}LP%HbYJ8KH%Tu*<;klgc0@UbV8niV0dFx42XoHRBI ziCihGDjPNK5DHyz`wwpH-0Wlv5kV-hF;76VI=hZ~+=&Cy83-ZP&w~fat%<0jgYn@u z@txm_c=jqjH7@&V&H;MyrKu2lzHRa7@fre7Zh|qep=;$ zv81CrYQMQQls&-{?(EQgu_1a}p7LXd#mM#5oM89pH}*47jt}HuLN}`zNviI3Q@&X@el4t6JISs0hS!NY(kD(u zQ#-}cqW?8!f<|lLw9=}sb7!ACgxSnLK|7kK>9uyMU324?+-2LQ@fRaz@}`fJ%L#qL^Szu=2w9Ju1Vg;DO5LI8D-@~ z@8mNiR?5&Nb9^P5qof=8xqQBxDF}jr^}g8zgV?U*=y82b1U}3Q@cz`Q_a%Qa8SAJw zli1KTTHa#_BG<1c`3#ertS$F2r|CUhD8@O^mwLVLyYC;p8q__G{+t>rTB;lcygsaj z+N*t!)fZNIi^{y}Vj&cR`|e4CGdk%4#9l*8i1bMh>s&PdeckT8nueF3<+7>60%4Ig zRUsOSLyAlY2nj?C3xL@mjn5aiYH{*4lc3T1lMI^h&iDlN8X}FFc!4@mVxFj%yxnCq zn&p~c5pZ5LtpnmNH3+@1nn(L+zNHWVmOym`IT=Xx`VjTPkn-pZ{8e%2NSpv*&V*pj z;&Y37&IOT|j&LkU4>z`|B0CBi2J45K|z?oZj1rDmg}H zReSR;xs~tLvq4i~{OnVxkkiKn1m`Wwn0V4UUUZzRy{@(lnnM{^U6>E)y$oxnv@;$| zO$096{Whp5Ecx-I*At7=tsTbwgE|x**cqrLOKmBsEK$LxZltPCASy11}XnMQYG52|? z=ASoT;BY(G5||0bz^tPcJ=X2OW0~$-`{tKJQt8V^*~OaH%eU-w9p`?-bN`qMr2b3= zv%Q<%watcdi~*G!n*l2d)R{#On;*g(Rvuv-&i@WOjL`5?_HP}PolHWFX}jAc@Fk#| zZ?m??nJ;L300PciRi1J8UmwX|AIn|eAB^ixe8`{w-|-~}Z7?nt!&39l^X9Aox`_x9igfYf zqjl^=*N>Wf21<<$;VwrdYM7Sv9VUTJa`Q!rw({qtDGV{x_Zpm??F{M=iD;zHht5z% z>?aYD3xQ!^&QdP7T$ENqtt&PUVdO`YR*Eh5AhnNsG?qzbary4JY_oEi%y9{i)Mnp@ z1M#&m>+Gkc0{!upycWehB7+mU;kI0r7JZ%QkD$!hX|UHZUNmLsN*l0C{D46C{hH^Q zQZR-5(JP;lj>h#7tjCrQaWHS*laAj{HE1;{R!0HmkV(t9PucDS%(iQFdy}~-bb1cB z7DcavtLe#R%pyO{5M*3%1$8!d1w-jFw2R{8OwW&nBnzDMBk&-mV6oDt{8O$)Bx1P1 zQL7B5%=>odd|ul2`- ztR$g2%rFxgJau*ndAZI|FsGWC{gc`$)Cu*mBHqnZkGB$$paRq_D+2JM-lQ|oQ(A@Pl375v%P}QhVkt_I&vCaAznPcMV-%rLpy-PL^>Z zUxtM8Y^}(?V5O>I^F$zQ$D4xt8(OH6VA0Ot6i=niIXeklNZHH@h6=&BcRk*Ht^KLI zw%8fwQ~C;7Dd9nu?zWk);Ih6;nx4`2uG)3V$>R^IWY~523FGHSO#P(C5s&PMA0;sl z>8p+=Dcb9R7hMHp%4UAGuQlx_t_c(}2KUh!d+u?QYq7vLe_Vk8%$-Dtp6^+a0Hfw8 zm?M$9#ZU!Lcy=ehQJo+==xUL0-6rXiJGJ$l|B^}QiPgIxG{i0JT_hB^sF)D%NL{4R z!$n^(SONV*B$@wOmz1-~IWGS0%-b-J;pOawvFS#8v^h4{0kt}GZi^#=te|F2;Ow`A zsPtn%RGeWib~?Am7*xF$BmNK)t2ffXp)7UwH&T3VrWcAujf@j8<)H;k`%&pCrlROU*h@5UuZOkg4(N~_>R(tb;;9FVSS3B1 z=zXa{Me25#YRyDVF$LyZKZkOxh-n!Y=M%zW&Nz}(qXaB5jRIj(Wgxk^9>r}VZn&yJ zv~Ie##ge#OIp%C>z7`;sc5TbctvErd5$s-Hm3Lsf>nDk<2}H;vrjag!@i6&KG?qOw zGqT`Nwt}&-aCWx1@%(5Gb?Au*vBcCjRAEf~7n0V`b~Q}p-|)v+!i7c1J~0TG*3W$y z-LYN&xQos%va=#0wZjMRv*nK7*}0ObrzB$ExTLSHrvC(AJb1D~?$U^dkN&!~hWV-J z;<(t0hB$Xw&sFpxVs;U^mBzJR=ezMoJOhK~rvCVmQLe74T__}a_!to36f2(SQv8@d4#|8*+iao@13!l^dMxEv!@cDj9peFli3PPncjcr!7j?tuGM5k2v@_Oi)E zkS+g>iNZ2WnD>>0RJ;0|PTq*y#$Kb1e@1($Zu1qhIO81R))~brk51q_zd^EmxrDKv zN+iWE3EY$EZP6)==B#D{8p1rM;UExqVCUI*A`C%7;t~~BuEbnYrvf=JVefF9{ny3F zH}q$y`1kG|1_scY09@-~!}(Cr9j<`G>%|Ba`ZqRXlLtC2wSQ3%e!k^8QUuV&)?NqU zSL$y2=d!;gZoZA(JwfbzbIi=YaFx9RC1tEx;hU%sF)j!6EJ=~Vf)`MR5fOGAaB?%-XBp11|H=x&PDKlE9#~&KS z{=9^v-aq%h;{hFqLBRe^0`*5!0;q!l-)-vOxRfvW0r#K(c<_6vamBw^Ouv*MD&f(C zF7hyZQ>QDD@7jf;nKIG)H>4+I6*&R+kJctw711I6#HM;2T18q^AAnU+BrV~AjGK%O zA_9ot6rm+APA?Wb{fArbzBHvU4%Pf=dxx4yJ_lEVE|zRIHTawuH!$)lfDz zz2Ic=Y$XUDoTI<8HT2as6wwK6IMXj05jPLT=FSX`0<(=5`G0dO6D}&T`qc0k7BP_enTv#7!mS^nh@NozNa!=5xRCZcUS(x(&7xIXxY33Vtg6 z$RS~UN4o@(W_uRe5KN(ycRBK;c;zPOz;0tiYa?tsNngGDa&3co1-+?>4G z&SC3Q$a8P`kNE%=wIIl-YU9j{$-e$|^2>htT*eo=ClAVVvnS|#$sF!b={*f}=1Qb0 z7J7f^lRVp*LZ)`U%CVsC8}Q&;@GFn6S-Jaia;-E&cB5h1HCi+@)FAh>dL(;}FPwxp zgCu>#1o7H9N1S?ZX6S%UxLKxfhvPlXp*}~sG3PVgaLGj!1dTX%vF$61cCmcSQ_<}c zf~u%N6P0XfI2zLGvy9bw|C*#W}j?LUBY5TR8`B-~_$LXd%V?pWaB&TbQ$YJ-u`UJJ{t0#h$ z+=XvipXS|CP`p6G>8~w*kR@BR9 znezScqi`*_rXq7tf;utrY1-*RpvRZH`wKQ2>iDE}!v)%lz72x-g9|sG3YUWa?UMW# z=md-ytw})}>g4w~A#Go5niRQfRZn@I;d}A~C3&LOzqJ4~wFQI7CE#4Sezgd+|-bnLN|i%RLSkH zvxHcJvI*y#f}%A|>KIvIg9MZCECjB^$2C^2^5^`&n8NTCw}ym+(H_hOm51Hm52O@2 zCyTG-E1;3mHM&vslb2&Zo@UnS6JPpKMl5W?=n5Cqc_o=F*2@q&__FE7$pKX8Z(#QV z)ixh)s*jS&wJ4n(AdUTuA~f_@qGa`vfWxNRg57E}G>Q z1cXo(y@kAwvS-&K?~+5Le{=x7;^0%vk^^Kdc+XV3M}y)E zbWnWo^0MX=U)kSQTf^Nk4eHcAeCqEo(;zsTc|nLixExwzXrnhWkL1<#feMv*gh!Kc z)a*^A5+^M=@gjFpT^>{C+Oj<=&YVWtmNPRvVgDn+ZE(IRaf<(sEn*5PZ zjmpZ-B|0dPXA7corshf0{RHyBB!Z``S|mV(B&<9j;(i?ntLIJO&i2U`ow6s@jecn! zZ;Z(^o;$K0H6j6dIWtH#xI zD-fuepYNi3*ry3pMTea`bnVY@?q+6;e>Q*h+Vwa874GW^K%8-iPftl+M2&GeL?gw< zFinXGPLuM)?~jbs)n54k(^uBOnh#G|*l<+-q z>$Y@Co$xG+0di!If{VCNoW>MJjS=k{2QyqelibS`Rr%6EOHEU~Z}KvI;hfUHHXDW^kJtk#XifjZnB{pfM>>CKq?@;VogOoHCGO&#`CEI5j5()FSiMlyNZDD|F z+{7M#@f~UxFA8E%V9AZbGSFh>N$Y+eN<>H`RnNGqT8Fw48ZU(nW^K;LeiTNODs?nO zx8$4F4UyRD-NH*taE~`iM^($iD`^K&b&6EYYW#w7Oz8J8ReZHRzvWz&Zwn|0>8wWM zW;$=IW<7iwc2z9~kBD#~+kQ z+t`mT>!y`m%-+%Hns+HmtnH{ateh;+R;bi8|z z^l^}0$$tI&L6>{$>C=kd`j+GTmuABN`qUT}bdP`fUiRvC`hjq3BlWS}`M-hr|4>K$ zdDc|{XI}o&Ia*k{E}W`b|H=E=amh&fa(n50Ti0)3&Yg<~etXRDXH3Y{(v>v4;cott zRgCWs?$uy$C3^YSgz-0M^`AfIF(@feA*$qypew^g2d^`qUYwh}V_4iF|ihh;~4jO(xa$3`)(0xab7`{$yGbvAc(!KwY|k7K6rMIK~<-KJRnKR z(Jgq-0E@zMCS+3P_;GsnYtkZ}EBESKKctdVeZ$NVy$~88Vv>zfiir;AZWc?j^O^%&yER-bO3FU#F; ze$1R+@LkjAfkK1MSn1p2&HdC)%o66lCM-I%GDsgc0-`7WP!KDQ0IjA(F0&qt%BQj0 zH8F{AFzC6g{{<9TX2^j}qHIfxO^Uw~+T$Efi~(T=f(e5l?|EzkVN8feJjx--SUq#g zH5d>ac}N7hzA!9yHqeHdAVeOURY*fVoDvNIW>1fLQYqb%%gV8`PYHsmTZfOKYg$MX zr81pRrMr&T5!J~y3E*kzO87?}`NKNxd%#58idCK75@Q}SG1Rj8L{DB|Kxm(7=y%2~ zWDnbQ56iuC<2n(=fPBs4#O%}ZW(N)^;e{)`$3nE8X@!0*aW22E;Yg-zOhzM>`gFRc z6{-1%5*osf43jgDT5Hn~Hy-jPmFWp7+p{94+5joK_Y;g!KbR0r+8*~acPFVi_D_$r zm+jbpI^A@l#bgblFXIFuit*A%9pya_#Zdyp5M&p!8n%lGP8qF9()}dtoMgnS7cl>4MHv z_;mf`LnQeRLw%T|Ep|pet6PPkg6?y$J~LS%En%=8w1d<*5?z{E-1@-B!yBvSB?edG zTn=b>;(Taleq*8jmFPSw_QvWfXBf#&3$Erjv0snH{o>=h7lG)uS9>ry7|vPBkjBGG z0T3z<%4CzvAW6C6K*Yz>h!kw^BD5MNN7N%;BTMk5UNVAi(P`Q^K+{l^m-+Bo+5QS=8jSq^2k62OrhOpX??%p6Q_b-abt9;AJ1!D-AW$& z)(T`tyWme9BJuf3i^@E5_tPdu)An4PD^?*KhhN31digj8YO-=;>6(G-RQ zlmYh=fE?pUSD<h%}%Fh z0@`3W+wAX8bm#DMvCZH}cVgZe)$C{*O>z3$WE`>}n6_f0P9A*N4*&HYKh;*vS7Jlt z2m*q$k6EQeqIXVveZCeHMmKuA97625yBHm@ZL6lPG4%t4uxn+$zWi6${hxoDd>^!Q zb8)ab62G>i=k~O}Ws}_>t9tHM_IoL(-uadqfGPSAlmf+K(Y!uhlwIwjBjDEba(7nN z;UVZoEVk|Ny=+wRw}_XJ6rorhq#L*Z_N7=T=XHHcI&q0#fryJq(>p@v5Q$+x+zhoUL3rV+zE8ijY2?LCLk;rcKEWxG zJ(KALYi6NmmQ!)Bjf8h7f^oy9KFtX{89#&}PjmR-3JB6KE?3;*ZbuCGhLWKQ+a&42FVI^qMAW$bsL<(VpLQZ(oodW3fM?4u4$W63QNzL zkG-5!H*h#e5EnZ_`OXoqm8{znF%H~{CxYGi3~<$ZCI_? zzhK~M=!b|Cswh#bAtF9uClgpCsRIeJvOBQ@yKog1UtA90^itZLwPZU;@Zh>3mM#_> z?ZoHr*Ej+9acom;&q39-9UNvCp{N<2qYT1xvcXRk;^KH@ZB_U?~VMpS}mPraU$23p4$cE?xiIGxO+#iWnv zD)T04#>gwyyk{kk5;jSL;0;iI6>TackDLfi68+BO9rt4WSb6_Rb$hZzZ|iz{sE6Lh zGbqQi!ot6*;%TFS=Z3HS$zvRXU;9#1nvuklbJax^7}$+;kvI=3^wKMZyf~(o4$kuT zpbza8l-d+U8KjE@0Ibb4uJcNL;P=aRg(1hd&JL70c44(z617?_wOV4eTJ4sTss%5L zB@gK)#}}*2KJ`lmz1_om!cJj-rg~K1h>{7X8=%#Iz$tOlvOYb_Sr>#A<9l+4#m>;+6UqVM&*G*D464LIke5=POrL@} z^-|RJc`;-MFO~cZ;V{i<((5&P+3`Rm1_XnEh0A7Gf_4`dSjK^VnH^FZlHjEcRO$5D08f zev66o`a0KyeK?ZpR3tnfH$m-d+A#R7GmM<0X7iJ!1T}Ibv;W37F9`Qi<~+Lx`;Grc zR*(IqSVIDt>BQUXD%S%eHMOUvE>>V|Vc}qC&!bUaxmCbh*0GUV-s{iyUoYT4xJZy7 z<;A7(1)+EApnRdn{&Y;%RTcf=o3`OUUy2y03G$t{K5b4{}=rKKMUEPzt#DJ z0f;WurO9WT*jtBe#8_7`AjlcjRp(<>!~9oRA$Pp0>Bu(2E;&j^hrjXTQN0(Xl`1uH z9Hs=1>Y2y%u_!%AIe2gsErTi4X~p2 zn1VgQ<-Rl*FWSoUEi_Z8&-&wxcL@~IkbH-Ahj4n7928p*r4F{O?nsji2?bTMw){ zU%#ByFqFuM;$pDK#x`X#u0~WidzYZ;cVlCdPO6%Q(=Z-$DY6N6&J_D4JMMKF_^|)P zg4TIrtBZ%5app(-%1JgW$Q2<1nTsOfzPJFpfDigH%n}O_EeUXg5a_RMvjI#J3=SH3 zNWGNY$}=ItB4A6{BKVI<_2M5E;KW~oC>#H3h^m0@Zun1cu7;|}T9xGdA*#&kK;QIkkMxJ~TW z@6BV6!+Ogr9wgIL7kBNQV{VbMdVfV}^^e$8A6mP(RHA9F3^!+c@re3)V(vRVA%^c5 z?Cb7jjbDy12YAQpCFE8H7or`B0ga)cDBQ;7>n1vO1PNQbG81C3)(sbKQ=U&1Rd3-3 zjU=TC`?g3Q_Ose5>LGpE8zplB zr=|>KMwMc6AEkNA?$Lr*q5z z0f8ynbu<#eh$kP>Id(mpIVhxP8*L$<*)n2PC270Y(38yz-&Xuq?vB(N%K#v#F=tcE z5W_uifeRoN#dc0I7IkM<%~q|jT6(ctSUvnS)?^QMaQd@FClJ=r2XrC=DMYC%r>CiF zpNQJzq|#|Ps19StMB%D4i^$jef#2vbgF{34N$Qxj!#`K?&TOL37$BW^B|rNNO!L*m zNXC6oMZya-+pPEGJ;N<$>cN~MYv8fsNpI0EAPX3tbVd>#B1w zGkx&T4E~b%&8>BRAoK@*(^XpprEtPvJJMndm_m>?^ zs?tKA#hscV^A&ZX#y4~y^V>sdP6YR->j|25>3rdpFixK{lUH*OfNtI{Jth9Z3(w9B z0ySVx6D}JW-uX9W{NGlqKR*TdKsb?8haCZ@2M>59D&9==A0{~lEEJS2Rb1@%{c$nI ztcjE-{ps#w7nG;c?;TFK+s^2nF2AoTS6mfL^$su852|G^I4n5Ls24^yUiKe{DZJb} zTFklxk>sqwsbjj zPkV4#oHhTy-1R~AEw@t6TO%vcFIOZdyW1RPy$|hnbX{(ktcNqYT!;PejQ!3e(xp7< z$oUOB=jT~3h4|>%)ib+`wF`F;)&+%Ce%Btm(^a7Bv%Rn8u`2*y zN=SB+y&8WNL%?35lM!EPNQBNoF(_i%c?ZXavPA{@Kg*`K;~7P_B+gZ3im;^0{Vx2> z7LvzVA|n4GAL}<+u!usOCD!P(g7+_QoRgT;wG{z|nb9mcFI>xyt}qlL%<|}6GTcSm>tla!8a=q1)hi3M2YwDStKC17+mtJvOfHRMP7K9Tqj}qHR3)d2S)R%HD zZXN43q);R(5l|*oq6j783Vz+Q#2{p2k9&n#Bi)%N_%NX+C5B`b5#JsPfu;hxVMT#Z zCoY!RqL#E@B*CO$M(_zOO`emFzKJBCASt)H_=iOC%4)>I7z8R~g6s_68wNsTcPPJt zU$_cNVDZ?@MV)dfS2#>Ua?TlF#5K@oPm|+06^&#^l1%Ot14|*_rP!gbYF6|lQ}&cs z2{8IW{Mon|a*C@v-zm;;<)K5qGGqr4ywfV?8Bo#$B>nb-_ zhvAD2C2Iw<^IY*sHV|{HdvBD$BHuEV$OnG2X>vEKW(`TVT}O*JYibjrzX!m9Scb6s0u#l`+R2)Rhk8jK#;t zyx$s{4f;H6Xg}#O8&=ayO`Aq-Vs#EAD`gmB^17~{#J_ZXcvIvA>cT3E z^{Qc*?(QnxlX^fISbp0(Z9nGlQRhf@t|ztccZe$EFhL~guL|6T-}rLL0+CE45`zRh zCk=Dv!@Bn-L9~^RrQCyH4~@{E$6YkPLrD4H98*t2JoJ;{3<}i*S~{5QfC>Q_XSuo9 z8cYJwZSdr0tG6dfcbe@6bQC^&E!tB*s0~$auK)I({rUM)3bb}bXnq~=(nrj9@9$RI zK@h}GBl#}&cJg3hRsV(Y4d&8mhS$jorq~}-nx_hQ|2gsy$Jm=H;BcM%!3~3SL%{Ic z3fER{-}QF?mOIf3-Gfy-qjDhR^^Y*sE;FD^c>j$FU|(1`(BIkh|3YoCJbk2n5gqHl zTDK8#|De)tljm%22C+9+Z+bldt#eH47#~->Wj3-a#_g5({gK@1XjB@oNOU#ge02JC zBH`BjQ^#iNbHdZ)%?2%mBX_aX6^!^Nx{;pAkSrC%jC$NzfA z38?p%<&Ic?|3iHODw<41z*?H4B`I~&>&(rIV{)0W;^J?3h84ys!|l<3%_x*W5scO! z`gQQf!qE8piGu-n-vb$Un<)F{7pL^;_?XwvPs6K#{uM9tCH3iavo*ou+2lbxAeG&_ zs1x($)-R*Jrx;bXpR4HoC-u^91(+Rn=KhndZwJJ`{`4gcb)i6>$LBGnnQi2*hx6sE z5LuFB!|b-HX-ZY3%+diV$8~p%H^((bun__3N=FX*JDFhrpl};T<7y5@RD}@IWA_|{ zX`9^W0jHKt6-;>*0p?>dqHvl?2s8yz5{p3dM$iz7ID_XK6J;ZgpM{Cpc~BG8S=`wx zFv)n)uS^k4fU3~|e~X>*JBOkvr0_`&!Z`{R=Lf8ZN9hC0acVbn~j6p;mXun2e* z-IQAe5JE*z6>xU)kkkkW>Sin9yysC#w+NkG$c>-bKi8Bjc| zUfTT_z_adP9y|>pId1%;`A7nDmzu&r@uoATd(Lfr0@6 zdJdKUnB7~6{ZDn|54Fe)Ni@1q5I1S?D|$5a(JdmIXH{}DI+z25D3xzdUZ^5~O@o7! z<=XSOdSbLxSIUDPKu>v#6|P1`(gpxX9{@ z`^sET6QB#ReQrO4A$GXKH@wiSsS&eiUVIRu?!j7vZ2ZIZbjLHnoNK1D$OuM(fZE7U zPag8{RiCiZNj8UT|LzwTt+)6tl=B)*K7rZd(Mw__DYtg@3_4P+9#&gBV8u|~-j5$w zT#s!k-dssPg>^dzibLem<9?)5iW2XnbDT;>6Ynrok(o|_@_N4;ARCkLDJ#OP9DK=pD}Gon7yLPaX^*FV4yqX@lNWvFc$If}fy2+C z?zKf2PU3R)>qZ)EB`3t;<^%)O$1pQmT%ZN4+4Vi<|foqUtRW#59s#;x@(R$#W--hCYnCFWKuWK){^h+3+7S-gem zzUk}^FhIN_s@ce({8#fz*%?NYk--f(&|}fPbSgkP<#kG_XP%2^q}mrp>uqG*fEO{| zcG&@nWv#$T!1+o#7TQLI+{(cvPC!S4PKvJx0wpO+l2sgFDx!aL2LWdjJ44}^R4$uV zF}5!0DGH=wiXmT0LI`<4i5z)o>=olw`SrKb`guu$D>HeXfHK)m4Z{a;PGT(Dvw#Wz z3XhCaH4?l9j=Q(lY+4-l3;2%*gwWgoiT8o?92!YEuuvH&KMm#wMgxGV7QPbm(8ogG zsdH~`r;otmt>h)RY9i*23X@q(dgu0^&gVbZ@cB#d4ZZk+qssijKlGjL7r4GZ3EIMk z?~k<866hA~?!IICYsn}a#a>H+&bi=yKuqC?vP?lC+u;}4`~7Hx4Z|uvK*UNK_K52; zKK2$rhDNH9lXU1eZmg^~%JrEH%4$M4w%E>Z4gXA^tKBy=GA?Mt^wPoHI%Hy&S>^Rw z{)_iP=XVsxYO?pOB(crFp{{pP)6g*WenmWIRnT6SkY9X5L>$2RVJ~lPFK28!du-3_ z=$EL$mlg(rjn35xsrcEdxf{Tt@fC1rysTIA^Huu0knZpQu~QuEuWi~L{58Tk6$&37 z-QT>t*v`7__iUm%JqtKl2q3%Py1#1V)uuO&zh(zGZkm8nfJ(n}1w5kv4V6blYkt80o}!{zaZ^opem0^X#5)xDQd|Sg7W~ zQ5BTY5L#R$Wt^HI^#MHuF)BE?Mi5qTN%aH91?B0+!5N}<@?Q<5x<o2gqqG@LLqrJOZT6K6o*RTM5ha&Rjg zRuCR*TF8kqeg3K!c?234w;O>~G4Q}`3ZyoK!l92TZEn6t$Z8_v#Iw6YIQYpZc%T#p zoSJ-z6g-FFjRb4xeLtzvmp35-1u%0vZQ_}7iBQ}K_jUCt$8F_*^;^je|$@|LDq*U}QF)uJ7cxtM7 zabMw4wdd`dpw-YCdNeXg0#uJY)`AEU;G`Wfq9KGS>5_-+@&uwtfMrw>6CK-rMB`Ym6=I(XsF+}Kt$|$l#1x^Ww{XS$7v)sPwCI%zLV?_Qx%51p*a<9h|i%3 zRLzD8^Pa_y#q8AWtfrxJe|=cx{;UYzg4@f!>Y9lO>89-JD)1-Gwn?sZoh|pFi)n~_ z>RQJ^I|BHsXi1HHY>RbqdZ`5D83L$FFE?biWF{^Xv8}tn<;CBmT}yYrID0fn>5l_J z-3aa{$!(KgH$Ex8mLiTdXm~69QA&Yzh@B{(x7(I4UTJ6bm&DK!ZTa?v>#cIVJe`0i zy`#weyYmIm+Jl}C+*G3R&?ph>99t>HYe0{a9#`eC5`*_l%&QkswKuRITl=Y$sd%ru zrZ;{o7$}ODEmOR2ojP+W&d85Cb{Plk_z9eJoLf?ONrFf2eWS_2B1mP0gN{)rAUe?Xc!aqo~B4ZL^TTo1!LDW5Qg|O z4LxQ4=E_OaC**R7B+IDX9<1s3RTENnRhu#S21J-xt;!e#g9VpTPj~C4r0zzU;L6YGf0t6J>8l}r>)mOkcP5x&+XOUceF2fKMnT+SorFQU%RCF7mX3L zW3?>i|GVCKw|o2Px6wPlW?)34gF_Wn292iFIO-6XL~lVuJV$hZK{D@U1=iU*c$ z=YZ${z}Zd;ruKlwOkJ|3$wAtOHNvu9_-8}RjE$^2M#Jx({f2hF)Kq(}u>$sWIDGO0%v zSIM_f{##$eNaOlp9#{z4K9X3;Lxisj*2f}+6y%{NMWfYBz^Oap;fCy0bi3#4+oU5n zno+}K{^V^Y#b9?mwlj1jj;ci?8QKEMR;V01N)uycI_g3mXDgJ0%_Xr68X`S0{g_DO zDbuU^w~y^y^y4}QlFnEPW&z`Q-EV+Ph$szZ ze@YbUv3)`zdH#GYl#H{5Y-3BAQ?kG<7q_?IY}LRHbEr1WY$0Mc3#+yjkCaLxbbVDd zuyewxlOqQAr`5V}{1w{C)d?At4Ir9+*{~@4Rx@l0Xf-hNqO+$9s;;OBwJS)?qLIi5 zmF< zEH2~)Pw!=T{yP;-a`QX!(;D}>`*dsO9mVNk45%G)#QB*)gX=~c_N!c|T$OF{ppp;X zeQ41aBar{>m3w%HetM-b(m2tKmk2j6nc+T)5%1=55r#>|Dy)r6Si_Nx1D!p`BApDbRT@_YxFJ;AWigDM zntn@%3v-7e1x^~*dS_FZR4W^Doz4qIKZZ_JXSCI3%A8hFZKvzLncid51%g-X?0OXV zw6^Nhg+&BLJr=Sb?9D&#C0);bTrOZNB2spd1!Of^$G={RahvmCnXCWiCQ}VKDQXtV z&4CETE)sHPs2tqI4n>MPn1n!t!xTh%oDLB0IHbTQiVVhcn_fo-5pwp3%~i`WqCS&{ zN5T}};E>Fo#$Z5VvjDk@iYVQ~x4#Rqp+-GS&Yk@qF}T#a;P6grAZ4Y(vxcNelQy^Z zj%ZE5rI@$5N7`;&+Zl%AJ?p9x2&4d@L4S!<)Svz+52YRc)?PSmim#l9XH_H98($;f zbmtgn8o2Y#J*fSE_4>Ep6Mf%PZ&}Lxi+5>%m<+&e`wzX~w|xJ2Q}aEzHy0XTFthGL zhUa^D%fA%Q%;-s<<_|kYG|eA;xZn=hs|Yb!%JANuttFwq?F$16Vc3IK2o6ppn&4eC z!97^!hMIonWN)Du?@)#QZm;5&%jkS|YW^81z?5lkQ>9)S0Xe@nUBfGWvbU&*(v2js z(!)nPZCBi0w>w5Y><{?oZl>C<>@Hs3_YN-#iX8Ad`jgyk@ZbF(zTPq{%7)zoe4GQ|L9t<&OFt1z^xS{%vozVt=ti%gWx{n_S@(aj|iG zbRDlTeRdZ(R%$%s@qdNXRsXD5Rr0}|{{w84#}0twR+*a(=rzT-FurwXj3fW(+e*jx zXn`3?`2Z6_U;wZ!NN8acyi4G|HM{7-0lopv#q~>Sa@HUIqb@ILe#*g+UX$}v^E7X8 zoQsn=;=+-*51{om|+vo5<2(9jzI$Ea(T>e?Q zRJ*5?nZ2Yy&kBvZkmBfK<9WXXQg*9Q&Li=3 zn|iXn7)e=ewUPx&5M>o-9U4@!$vP}RDH&bzM~^XI{#-OMN5=ItOWf=V#_TMF5{_1V z#gD<^M-=Xf!YELTq9ip`bbSOmW$2#4a5Q|14|r>5Ia+xbVlEjX2^EbnZ;Df@8%F*7Zutx?uvjePi)nU%vK9L=TsB9eE0FEgA>n6!sB5|D*Vdei#EAmh+1NG(w>CE)B4Y0L+@G9>~_zy|Tas zXb(6|KasX$G8$L0Vx8JaU{1ewx`Cc$p$z?&`(lE z??<8;W?!D}HbYRm{he#>FNU;Ma^(ZEbT-X*k}N`MA2=B^IlL8o?`26w?Pe-VsBqA> zl_JQ_T89qA@mW(~qk|`>1d;(k4~N?aL2g%dU%E;qGOWhHPLa5azrEj?!|Sc}uojnBGY z!Ci7Ncu1g1hR$fl1J`^CqW;2LnQ^Lg!xgi?KvmOW#9zY>WseuDf}NXKqI#VX@4GDC zCnBO@(&(0!;jxP=qGo%2>HYI)F^Wlc7D>Q#t&5u2?Af%%=h7tC?H*@T;1^uaEE@FOTF}LTJjq2H z0`iGWb*gAbdX!EwS~gw053?fP>ZKlENaLHvD>X!*3ob;g9r7v5eZeg8hD#f#&*Djo?$`jrHJiqBU}?UQKuagdW4 zcJp(WQz5BZ_O@a9qiLgVhk-0iY@%#kDIvvuxvEN%9C z{oZdSz!UL?q)El%aQl&Ydmy+vcy#|L5b|g1?!4<8_EFes^n9e1_SMqq_(p)jnUxa5 z{-Q(v^0AxiEM@0UN`b*ah37u_ML^RI6FtH~9TR!{CeD%c^1gkz5PrYw>X|@q*wtk& zrv6pHioowu549uWo94Le*05urFlvPpTZNBRuARFdj=EFW)aM(1sPXl6l`A~gQLweL zv>fmV-jp~gu)6k>yf5m%-eNaTO3 zs{i#|$RSMdra7;ej~`~_4Y)Sh^TlDUpH?A|0YP5iyls=a;+Iso$NIW>mG&OK2G_!* z;z`kaE}Z)+y3E$x1esLzH9D1od(`;Axz&r3;~$d_UO!fJ`5cloD*27Epb;7c+U@!&8 zn{N?d-z5MSY~cohrACK>9@>`(Gcv2={JFu+e+;Tg*2LEi)Oz%|^oiDw0puJne`8}d z3^mb8p`}7I`?reLYfTjofa9P~QGyAxQ!HE$gSF2MCd7C`01D$zhv5LGK%;tn)f@?#l1PE(RHHCY3tvWRVGw1j%>Kf;9*}Y*mWyvJ$1LhTP{4 zd*36%8bWMx=&Dxx$y)Lo3`M_D?fxMW2|N=K5&CTIY4Pf+AbAyqbs5kW1?JNk;-V>N zNGCMVxSZ@P4P=Sa{$iXqS^7x1o#=oB`>WI}G04#%Nm+1$xqBVZTH7DeX^&f_1=_oV zsR0|-q1PMQ*vJ)XYHlb>5R%--ViTtZ zNnKe!-)$DfJ*bZ@Dt)iyH(TvBCWp6MiK*MdU?Mv=R-p(teH}p#+2WqwyYmE=?tLtz zbGT`H@}(es*~)4h9Wid;w;t0UVb*jchgol%!{$Z($F*(zOJ5!6^TpLof1qTf1y16$ zSI4T)083W@{up26@4iyZJB{5pYkL&ZbjGAeUnsChdsMeVjI!V=F~Bv$w`i-O@WPVKiW6%sHcXdlNUie%LNfh2~eVdQsf#8+m zWF;UOps*<$bN>Mln=8EJv!H}G8cM7pwCxYY|9&qh?(6t%dSdmKErU+rTVSw47pda_ z9im^qtR_$u0c>Re{}x2dij3mJ;VgbHU|l#@OUppWhNSmnS{;#km%Fg_d%!2U#03RY zqg9bgl`RvwGK?BhM5;jOyQjsSzKjbg!oU1+mD#j5)rEaY-P?eW83`|>Ddo%+&aJ3^ zSI34@$&TIlfw7Qn0k+Fk7JyW-#&iD9E%5H^HT#tHiR!Di0aup#`*ds;cg)X-((x4H z{~ubhZn-SPwv}W=(%pI3m->!t7lqU9@2B*DUgqzrwG4>t{=I5Bg`CQs{vf#QE0eD) zMc!NLqOQJro4#JF&h&Kp#_UPKknzSlQiwVJa8NR zZyfXAUmac(^78Sm(_8-h$(I%rcK+l}DD?RH?$_J$@!O{<0>LLqC)f%%hccblVP^t& z7nl2Y8&)0PpPRS&6FpHlH4Ofi))mj0f1@mWcSgM#&wa76(Svuf;gf&+OY5K&u$_2d zp)9gWptv5HBwPCjsM10a*7JjppM1gl)wR1-)PY+RDb$Z!rj#EhSfzA=mjUK=nDO+u7OM zZR(gBTGfbOtMt*{ZRdoX$C+)X-^EJYjAbAHmS`uNuNEL~;Jbzw9{m}k-k1+PQ$Wo+ zAH>W~`b~gDF|`ya{^Nm=2b+BBc_y&pu;3Ca*zYW(Dl=MB|M9a}Pt-5kSy3Vd9(P4y ze~F!@XOYyCb$51{q#7pV_A0BHSrf3NRRVL3nu2_PkdW^qMNgIx2OZdZ9KP4%ye2f{ z&Kb|}!4E_OS;7V!3seblgxTwp6&#wA=K$R;8p7Atw@?_wl$ReV@Hmp<`OrGc1qK!n zQ&Ma-EfOl=x2Iolf*2`-nG__+9w%Sr%^+fAUna+3z7*V)PJ%(b5`+_U)W}|PZNjUS zbl`o-1=KOJKP2dn(}tL%h318dkYE^gq-qpB2hnNX8Vsw~hFurC7L%|-I+ixM&YEQw z6ZC)(pRf2Xh0VGh{K6y!+?yDV93)gxLnAH;8iKq+cV(+8`PcS78=9MTysW4&KIz}M zOGrEAEY6V`^J~F){rG;3L7_R;yp1&ep{iJi2NairU1uUZl2X?3SP6!2My#eG$r7S= z$y^j|c)-H{ScxdtTa2mSW&wTnF0Q{OuKyjfjt$SVTqy4GD7U%0<~MJjm-BHyz&7i= zMkZ4}EQAzuI`G%cituieg0&QR83uXVPy_;OdTBk4*b`-Q0j?;(T+m*apt6j)pexr< z0b`z)uqL?<4S}8{EoHMyBT_07h_TlIP_R@Acrr}kU4baIM#W;=dnDP-lN!DFs}wx; z&NxXH!n;hrXCy-mY*l!=Ezt7?;R#C|kkNrw2ZPj~JsY@Oc}a~rdf!<2k^oY0j^t%Xwq@NR689)Cw(b@F3H52DGub-QY6qmx>bh;&- zdAXdS1e!zZGk&wtE3Xydt8x~q*(&nriG3KvsvP8F;!OU_B6*cci|z8#bQ3dY)E!NtlrG4gq{xjvr}N2j<(& z7su?xT1ns5M!0~7pmyMV4|qiD)mrxq*SwE@k;`*72-KO{uZta}xXyGK_bR;O{4>PJ z*ho=qJ*r}OSn_H@IU#~fQ{($ctt}z+w;E(i-IGXX=UbGo?&gIWoj(F5-b^IF07R!z zEwTE(nQ;?1moxo90+s%P>8`G-k{;hd_?WHptw<%+Bpz@gdoWP9N3i!tslG9yh{*f$ zEZgd04pOCt!2*w>#e61fj#54vt+~ySHa#mb=Pw0Os8O*Ig6$>3uiRFM zOxjfU3w_@F?)0alt}$B<63HiQ9==Z8+SzIkJ!}E6XlakaTA?TdHT8;CDVg|zO(*J)(*Ii_#KZ_YtL^G9lfP}T_+<0k8H*(N zF5uvEyI-k<6&3_a+&K>AVvwy+8Zbb4Cclopx6S7fLd|d9-hN2f`EBxI-O1|Ijv*tP zDU?Mb@bi~}V5if8LG_b09!qcK#$Rd}NcBV6D38Fo>5DI3Cz<1+nD_qdKRH(5SdO2U z2;FV^=uJd;AXMvv@ZXa;p=Zsk6v|!bFUo9mVmI>wdk0}PY^Dh=B5`K2z2hJYe2x@ zFqYG=pYOGSKg%H}5|5zM zqxG*Z4qGV2)ti+5vz7eqFU{Y{nZ?7Inhx&^ZPt-j91u&J4lu(xM<)*LOibNu8`2&* z1porujp@2SRCqzX zSK-G>0|e#TWPVG`$;prBl=|}hNVAU0OOIHe=WpY`G#BiZjWO0?NqCqHqZ3asq9%Xy z0;`iuN5c;@Nf*YNgU?%hA(~UDa`@(kaTY{V`YVr2xqSCD@kqp6!x6rxe^5k$JM<2O z2&M)NrID&`7R6#ib;D)P5k?WhaR@a)s1dG~@NO2C!Hy5UUp%EjDxprpoj^yV@-QvN z>=hNAF80F}MYht`IJFGHr!;s5teAuyqzH9>yf?`%&%x<_lb^I|cAY~pqFe0krF9h>)JAaxNBBcWf#WUvS*m@*s%b#};fhO|{)L0<}?%{hc) zZ2nsdkTrSn`zBi#_q-JW8yIAW?0d>?*FJHxxVLtMGhN60uGzVy2gC<+T%y~!n)nE! zua`!OPM^0o5NmV<=Z~fVx4(@V3 z&=r>_fJu7nk6)h_o^!Z#?zIffGHfKLpIMGO<}UVuptww|c-))fX-{1YFX7SfbMJ-Q4h>fntfh?hH#5A zn;CP?R@RG7MXY!^%qN;@>Dw9}`k&)LM!(Os?0sBvcaP>ZkR;S@)*aYq4a`2su(%AZ zkX*8_sF}Q32}$0uvz}8r26}?MG^xM(6>!dPp6jjDOIfNgPLKgLbYF?=VnSzZsk&{_I{EHBV z4SnhB+P5LE$l_;Up_D`EHGu6>oE&XRS}om5#Z#QkG1>1{PTJ!9Wa`#93^j496me%$ zJv+rH=w*RQhNFwHpiFWv;~mDWy%3)0R^Yv%%a~wA0!MMnJTKl6wpNSFDB#|PPMoHW z(Zj$cGeC+RpLg57p&*M=9^ku_>()|R(OTkSTuY+ECGqj+$7x|TM+}&B{f+~jbkhFO zGxK^UlpQ10vH0+;30%cJr? zq>~dOQ(&?GToM*+usmK|%be&d+}4@pI0vYOp*QZuTy!0c2RLj=&-2~)6al&k;O$*~ zyM6bv>#C2_%Re;q@$w6qSAaqE*F!ge2;}gIV_mp=j95e*KWV zCW(kGQH@K;=ZTq#;z&{M*2sW{=F_(IViKkJGe5|N3XGFgRWUOM*G#8KA23^5z>6o| zHcu;k{5W!Cdny$|Rpu6DI-tXnE*tp-7iQWmpvh7^5lCsMg^`W9N)u|EA7L$adeh#} zq&N`aN`dWc9Y09IzkxD*NH z%~$wA`i~@~BT$Pf3(iJlJA{!ac z?^(R6Ganz%$DzWk4D5dSK@Jdcy~UTs!YN@A0CBUwPTrd&r;*TL2{Xbf!o8n>(cFJV z-lxRu(4$03WFE+p**6;qB{*?3v~nC>a~4J*2PEg`={6{JG@4mHm}&R}k%@#9ze|Zp z?~@gBRRUrNV%qz5Imwkok%+{Zqh=3*ONGaXDhgoxN zL`PIU)tEqn-Og|M1tK$~MdkP+*Hz+M^p-PeQGxAzj@npm6C)fSfH*?VR!+7mpq7{15XWr}AikN;C+bR9fEe-PCV@CF4aEqPiG&(zy zQMrNCpls;ay1ICobMwQ3Lga|Ytvh-Nba1oc@oi#bqn&{37rt?WMggI*TWRFOxoxvo z9zTKq7c+R;tb>^1C!$(a!dJ(sCB7@9>|BHLv%ar5o0F?fuxQS)A-gb3!KKH;zY-)2 z1a(aCwM{me9bEhi<33H|a;Vwpa|W(-_%vTnKo8|b5pBfU-wu`2Tx=R{Q`8# zDvb#mHmykp4(di9;?3#Sk2YRlAw`J-NZcIeXsdau&Oj}nxn2`P{g@w7#g^1eeBH(} z9vwr_<9(4cYoQ^Q9)}OoU)n5lMj|G~BVT(tEOK=cQqUDoz>t)hjv&X@hegx@c@Gj& zYZ@>>Ba^kzYc)h8G-OKS!U% zd+@tCk?Bav#8a^$>m0|%m;qD8rX~T_MPo+0vDRqtixe0E^kboj-yCMUI zw(1nF7+{7V(6)oLoa`B%Y$!o67A}NOTca1SQ_gtr<4)pzQov z&~!8u>?YzpvtL`JI=7n9e=f&`J=N5N@(Pt~6FRRr&#?|XjzFt}K@=fMH`1)nON&aXt@P=sNsIARVI^aL5 z^1>1LX8vwN;yAnO>~~0Q7D?yNm}8!gvGn;P4H|q76sSf8(?|wN=LX?Kd9EpU9{nKT|I~IRKvM+7G+k|MMhxu&GP2 zXzKq3R)r*E$d!$eM4|-XxA?7T0d)u^l$66rs)7S zO}t((rR~Ve5x}DHUQd+yPLTI$(7=QV(NzkguHb~KyZR>#aw4|Jx~Y9?;d*%|o)hl9 zPxSefIQ$kYpS=@A!6T{EwnVP;_9PFONAy5J17dKbsHO&7XETD*NC*DOlch(qQ)y}F zsUZF6A)2mW0u@E&YS*5WGQbdI+UuCv1D1)Rrppmj{lsszj4f?=02kw><p%-aul~^%d(wIt@B4_Jjk=3FU8^%w91AyL(o^uh zq8YPPh_9R9_S#yKCCQiaC#N3I9qbR%AInv*0bN!w-57RzyuddCQ}fc&5O$D9Vd&BF zgd1^}I61>0PR*F*<;T8qCFjfu1=fnN-_+T9ARgJ(9Q2#Ogki(?X+q}C+O{mTgAI0s z^O|mO7$y{l8w3w?<;z&QAmbE5b7AyE6(As=zN}RO8R3<{aTe7R-p3?Pb41W7?#b_= zG?mFf{ugA|l~W__>%AI9c#iD@ewqie!ZLd6Q2?mHVv-mV`#HhWQ%Y)azTWQbBI@+* z-8QP^HcRfO_Ra#!DlCx&wxfA%JE^acjb=iYm*l4S_clsVN$yAEI-MQVs(a=WwqYAo|0U4tg0ji8tx=$yN7c+fGE?4>>I^7hGT4Su18gugg% z=?>~82%4I!tQJ3m%TazJKotrROKSNonKWGf>{BK;FK67vse{_q|+7 zL5JeH_ZEr;i?bl8TJTL^EEWk|<64E6y|?-o2J-BziJt3qJD}kIkWR-_|0ka9`^yEJ zF~i8`z|s07D+G_yoR;;n|M=xF&9Y8d72Fi1i{R91Hm(qo2do^#i4QG2S9x_Jd>K?@+92i<9G`o~kGessXX4}Vlhb&wJpsoEo9 zX`m8QJsZd~B%-Y`h{Ps=G;SG7vLIYmOvP#}XW>t$`4%ogOF-e?9->NLoW84&skq(R zP)wq_|2nZ1iUo;O!&XZ5JdmKH5dsn|k%W|EW2~BVv#%b05i0wY@J^l!a8(K9mmrW+ zWZB*7P%P+I`I6Y;D{M|WS?#GKMN%I5T87aY6Iu=@MFAKy;j;`+0|3V2mL2E?VrzQ7 zp|RdpS!`rIQUFdB4CLqb#D@>ZM-$HuL`TiAAdH&H(vd*8=B5;{o(7|-yD=>{6yvQf z3@@q#P5|`}NN#g~Y*#mj4IzRJnE)z8J$mP`z=#_$LYE+Yi(5+kQ#Oj+>kW|zio|h< zfyP-++uTt>oxfr&g{+MK&({&MhxBG>4DLtNI*AFaLWx-;VCo6i5Xd^H~sdM&Zh z`Qm8ligusD8PGq;-u18n8%$>GI@l+}g7O2|Cpa`vKpUe+J! zpV@cE2RFuP0UKw3jM4ujZaOJ0W{ZMUd!pN=rkM8D(6+(V#WpynQcrA11Yh`z8)^)Xd{Ea$vu+t=c zIpn^(8tQm5=>@Bialm#{mf3C~&@4PIfKDfq)`W%Z9={#w>VI-`SrG#F)QaNhdABorwCL9Z#+kMi?GX=AxkXL9ZycXtAOpyCU&aj}9oGA!(lD zy1jS#tP)=KnTh*xsJ-RK8bMpk-}C<5a!)*5U|<$W_#>qJg=~E!dAWchd@maw0am^j zw8>UP{#%hvjaC)ojpR3Y*J2d)AR*QB+Sl(ERWx)gb85uM283+T1h!WRd$GyrW`y8N z9PVQ2mt@gG5rT@OQTQ-!vR))h7?qJ&CQ}q`DxA5O#3C5KjCw4?J8Pd{aNK5n<(au$ z0GME#e|@iIIMz74hDgPY1g^dZx^IJTFw}sT02NbPV17Lj9>q@BcVE#@53p%;MCtS1 zI7|@AQ*XXNfZZ}Zv^Ttk6NgWlXFf?*iE?=5dZGiN^BY3E9JYkyPctTndllVyQ)w#& zwF@B}>_@EZCaE_Jk>^1JUzn41MsQMd=(m=G(A5fB8 z0nAyQ@X+Ztv(>G9uxQ-w6op+`On5wIM80TcG$+IxKCagmPc=TpIMX8)< zzCT;UeK0lttj^7ih~m+*OS)UF8Wsdcryd|+s5Cr?{fnL#zyca*xkNpgWaK!8_ba%K zmT2ED(;7(|Y+p`gx=02&fjI^EHa1nu$;1$XxcD4|6wI15oKPQ?nkn~mhoXt-`8{{Q z+R0c?YK{@G#e5zPd)aR{qJ>q|ZU3lAd^@{E(RcyXPzBdydMblEhYfRH|ZSGTBWL!yeaItJ4>vgcne>P-R4M&ls-AyG-I!8I)_Y3+~M7;i0 zrquJ^dQ^Z0Jm+;~jp7o9cl56k@Q)av9IO;|=pYQYN{gw(03Z-%<$pnIHFfwXOC#FC+`%W8TBXI~$ zf-OWOit62sbg0`?6YmpHKw1axC)*A%fjb6!P`BOm4OYKDSa}#%P^T1SlLJcT_2iis zXz&J2D1*-z=|Ixa7O7}(x%=1{i*u^4C3b`;FQac-fm|0av6J+!C~?5pt~y>>Rk8{m zH*~b4Z6P~c34wkjyFK>Gu(gCu>lARb=?CxL-UuoEg@M+m&|6L1|l{)N?Fk9TtUNW=1X? z@LfZfw@6i$tAvEZF4{YEw~uSCEz73=@9>MbO;PNU?_&5n!-0U{t4A5JimN zZcv{fNJ4$5PoilN7+v>nI;lxSb{k6Z@P%5byR%KA7_QFLcXa0rNH_;6h~X{y&S1X9dTxg+LIk{K=;$2l1oVYj|H{ssl%C z&-+_f4tcx)c<4fQulB}6`mDhVpi&MG$O;wL$2LDGuAhga9YSvd7!47E&XnWkJqo0paa2@Kd$L8Qtr5tk*efLqu(Z6JHVUVYnY87~MPLwY z5?bo#0=+>{W)dtYJe(CjS)Y;Msfi94%tyE`hW~(YopvZWI?^6Hl%ZB;opbYvN-S8| zu0{t^fYhB*q@nmo{W#7R;Ih453)<0$*k>@aR!%^LY+%8=H|d@~KXY>%KlwKEM&Crz zi|p}swaxAd*4r@w>ys6%EH~y|ofFbB;T3k*5zeE8c!8YZb{a8FoPItCJ_H>wf; zuLt~Vj%qw9GJZWrQPDE714=O!nex2a`l_gMm*&x$3-#-j@&mQ+?FpR)uz0HgKA&x z^Ke&H`!;trrhZ$$QqAf77%`o0>^U$Kx)MQs{}{|6+OWui%ON^g#=w$op5kGTs*(TW zq@Y@mB>0OIm#I+ES-JshEc1$TY^5Lf!>S&4Od+ZC_FHp>O=!wdpRn(sNHR*5cvQ?( zvy$%5{l67}ca)YJ@Z25GuO5#0LEdiG8@2Kgg>vDw%J=DcxNb zKG}$u63Z!_tXIr(+XS*KxXioS*8C|PB_*(AF{4B^CEj9DPz~i|b*5<-k7WN$--zbd zu*9kCC#3l7Wrrz#sN;U>$L3jg)@ptm4x>)CJx=>5q{G67j3Q5celPmCJdqU_Vg{n{ zmg?@+t+>C}YQ}r)NX5JTmhAHj1e&RM!h*!|Ufz+XA`4&Lo`IRy3I@_}aPh~!B`O(( zzS_X$3XTH)95fHw1J8l@3yZXmH5f2Miq%1l)NpH<+2HGCc@X5F);g@dR$;~jm28dH zP60NH-kCh|M;dFaln7bXymL7=9S;m>RSP9*qkARLNU=a&q6cuGae6FKkBxzxvACb{ z+P%;*n28^fFse!amo^g-k@Vcuz@Y$Ci|(R1#7*^N9pQ)K*_gTJ{~pfWdqKZ|tN&;j z3klPIUgbm}k^7e&P3>;R!VkaE09IxfNB{834*&4VtN)W%_RUeE0Bk10?nqXNTcZyL z_8Y2$P}>47hXZ=8+b#5pEVoT*9>M3+Cx7|k3kmD=^y7l0V66PB>#&vClE1JK`S|mJ z`5$}2zFYs*FPiMJeqSGcXtDCE_@{g?#DntAN2&*Rryb61bm{ro2$f}jmIxeo;mpjx zdZLIx(|3{hyAZkCqdc30TNt;l_wRO%PeKG4j891Be5pU9rC#AY##orLb@8npa9q}BC71JJVdC6g+5ah*p z3otGgIFc+pLr3uAJ^V5rFpX$$m5gol_pW+y!r*pztuv5?Bv~-iy*tOi&z{!2kRf=+ zUUh%Ng@EvImpbb|SgLCZZqep~XU*lCC=OUeV1CWXhv4rWH>) z<*9i+uomS@IPKZNBxa{^TI+~f-a3o6k;Ulr^V$0Ik?wK|`u|8@>E$U-3M4W0HRkr*)uS%IIo+S!cYQVdcoC zk%eD~8^NVS`dDkZd7z&R&o^y;%eGP?((fQ&UgOAK)8C1+Z0Aw0$dtUi8#+yv=>Sf}W#(xL$`Pt(#cK#Nr8K5)l4{22T^SAVJVA zMWw;)95yVH&teH*PnjA#;W)U{q7k$l1>>YeW}QDLfg7|n-2iP7K&l092tkYw3O-Y5 z01Eo;zY01vE+&MU-)f+N$Jq1W=dRHuSRH^u3E<;2)m-qeYMzl!$R9tPBIWG_b$Po8$ zxOx607Z;`RbiZH+zU)8z$zk^Ks$j5zj{@hrnpLF2ug%M3*4~J!PYzMlx_qxoib$*L zl+2{W#YgS3*<5EPcL|`Np}M9vL0A@RTE9t-A4wcijk- z0Sr7Y%PP4}hni4Ch9F>{$LbYU77qic>qAKb4kSXxGDFh>+^oj&55075alk&xDhgP@ zA}CNtWygP?HJOUONe}>APq@ioBds9`v^{nw33l*(VOZi0^!+ zz8zF#uXe}$rV4IMU3IYZAmLTwnmW_vSBvbfF_V9FqqR9L#jw>5zl#sb-JKjNFa`!QdIeAbz)QesM~aUz>R7EbY~w93pdT;hOZiYe z2^px1&hH`Cx*|}x5V<@t?s9(DBDZ0>8cxCagjno@c6|fru>F)6h`kC+j5|5`p!Lqy z%<(xdw=1u1)+RaKsyp!lEmBo{b!Z!)#qoz{3c{mA2RH1S(w zW1iv({-S&-n{7imdXEC3fDp$sd}ZN7?4n5A(=@uFk)J+o@)%QvAUT?UM^fm#1!lh?DCHikoeuf*C)rVN$$C8Eze0oaulU?yEeg%1ABD13ysc5!&%m)s- zqK#NHzGz6f_ER<*`b@RNS51o0Bgr@T+LF1@W*>Wy4}}&Ux9d+K zF7G02$|?l-T1>;pv%IV5$&a9s<4n0m#+o|!d*U9O4`L^}Q|k|2n|;ZV+Of-D1cy7< z=+w#2sAazKd8{>CmEET_j4y)0tgF3I&cvT+kJ%e}*mJ_ykCpMr8@B2D?l;AO-> z>}|tZocxeeK^9?YYsXPQP4>7f_CPB$Q?87tz^ZLb%zpRZz$(eE8of6J1b`J~%d69Q z8OboY@80XrUp7y|Xb24GGM}FNS*(b?|A}h!sL(@wNg%4SaA^!pMppJF{xW~xYH#5Y zlg9nsxzUM8nzytVd( z$*=Cv)jm>$N7tvZX@P;0nrcii0K<93^JgS)Yt88+X+8%=8+>r7?&}Kt!5H`}#YuMS z&=jzcAY}5HC(L@-rvYcc(O@lqVO5`a{V8av{n?a|JJ2?`Rw6qjNp%8u$*U||qj2=6 zkN-xwI)&y9Khxhn5D&n(Ec_0(QKMFlr_dWclE8wLp8&@JqS7-9Pc<@PXuk;OUi_AB z6)*SWe*_$d5>=~$-Q4ImTVraOmRIfo3*8161+hH(bw~Hu$V3N1>&zK**WIo0uY`;6 z2*{}(O1M?3ke(~E+n=U{Dz*j}{AInn&J%t+A1RUgu7s_%i?r_Sw+S{T5*Np=GFdtX zkk91fVP^UG=-E3=3if_lpox%F%IL#y(cU{9x`IcD`A1HEsxbywP-X4wBwd9UOw-Q= zQ@Qpx--d2K2w8oRHnXf$@@z4!^D}aZnEd=9mqTq%G+T}w2iH2_S}Xq(zo>i|pd#Wt z0YK`jL@K*uKUgi5<^wzY(%TW`N$+;btjO}L?_K^?1!*Hk#zbMhZ{nn2t_TP^b#C%5 zd5rSKkNIo(!J}cTk2|{CwB_ub%X3>>(>I~dVvYZ-M=oncfXn$B6c}_*wdlGs1-?k0 zB;Cj5E*6LTK7R>^nW>ePdF>pw356Up+Y>V+|6T)3$eX-!c8mW<0|>?XO_K9#=O4gg z?Oc0};O>U>>jk1~=?&>&$F+9wJVAcf|6l!AZ2QvVargD!i9+Y%?tD|ou+Qiny*SU(}3SPi&fx3`G>ALG0; zxLGhw=Eo(tP|Vj>?N@O?o&zB%CC++i6xnx^r=vA1V>MY@CQc6KJKq;H61ZjsL|i}g z0f&_$*jbI#oWHSAE8QF zXo>sI)vV0H$rET%%6d;fO8C;&I1NX!^ggqoB;0U269WmNd|H#t0M(b(*EjmX^7`Yw z2d33@ZwYA=>PfSDCO!%~yw?3eR1W53e_aFM?wAl`SrSGP$81<{1xqrnBImpPN;2kn z?9JMwoV}r#d1tgo-|EIMLN}@NtM+4WYH{HO%qcZvH@zA z1RJK%z&|WGEx~jS{Q0TBKRaDI7_VC#c6Y6;FSX^^$l^V1gbK@IF=I}6LMDwm`kBHk z?rdfmP7fX4n8JzZgarw4)#$(n)X&2{`r&V90UEPxVdVEfPz9W#LKnLNj(zZstG!&9Tt+zix8YodFRd1 zm@ZKh{nxyIK5frPt7*QXm|HVL5(;Z`ty%YBSci8bFldu26Dduj!=umI-+d_`0}`Go z_8C4=`w@$IrrXmNMVVR(>S^@Oxp}dS3bMy@D|Xi~2l0hAp@AJPpgPv+pyFg#ZjrS< zXK&$5jzK1j@zmHzFwBqk)Yw=s>>2IpyokBwm{-ulJ)U8y!eVY*t=G&6yRuK-?}x|l zEjGLh7(GrLe@lqMM4(@(?O)`+h@IUslF-p83j?67jVQZkWLV%J4;1Vo$5Y7atJ0RN zDG8U|c1bJ7@Ff6D$S@s|;7SwtE=7^sYfLkksyh>qEV*!4NbEjU)hNIL!>h{L1=UD! z1b^uWPCVLp%5?THNj~cOCPpuHilpVUMyN>BFmA-f-&rB5lBPGE(fnn&UR;Kr16 zt}mdsgEp#%7zjw<{E)BlREt{v zFe)v0-@im4FV;Qd_%fE4$a}peD1;RKwc^Q>?Ja+7 zh}+;pkmaWa+!;tG_s1ibNsF}x%llbh)hV^PG~gU02{K710?Iv}Ojbdf@0qT2XuZ$&5@TVUfszK2V_=1-vdU_EAyK zw8nUo&F9=PzAqRL$AGMY7$?R{2Jzt!f2jev?LB72b-M2H9NP{>mJWp%KWN5ef5u`U zOF2Z}Akh2sEv2cK@Dv^-*Y0Tt2F^yCJU)L*0_J!)K zM@2x%+clcHwhRlZTqHh72bdR6#9sOmCMS$l*VnOh{5(Zd8$F))oM20_QC<1t<}jV!q)29 zg!VN5d9m4v;<9fwlq&4@q?8vrmuC09jWO(;TGId9ueYVNcAH^WGi7VEPp;o9seP&S0stP~&leqcy_aa} zdrYpIECbJfrZL5MJRcK@&d}qW{1@QgoJ#=|RgdkC>gB4xMZNnN9DMF`7fu~K7#{-+ z-`ZDQw=rQ!c$OzW8FPxpoMrxMfOCJHU(^GT`VpYbbSVSo^-o`cbMUUXC}^FV=Z&1_l`iXI2H3DobLK!yzpW%Nkt?Kx9o5n^HmzR2hsoCBo%MbfpB~GHTFnf{0 zn*BTnp5SU&!J~nK9T~NfN(Y-{?w?p7dtOOuy8lDiTSrCNZ{gq4-Q5jCw=@ic0wUlL zA}Ju-QG}18eTZtl9VeUi-Q} zn>}3s4Iu~xdCWe7pGqvlIOXA}Bv+b3S_N_8Jg0Ht9!8JfBxqnhVd@iKnXwaDW)o}@ zkBk2vk;bdo_lZ?LS(%Pi@CFpZ5N?Q}5g|batrzUhQeL*+c*d!7@W- z%GeOxn6ZOSi#Cb^Re`uc*NGBL2#F*@2b*U@uI2&&e?eL3g%Gp71FP@Ak6$Mc<2#VmNXtRBF}Z0V*5n;ix^ zyzt#{9?p5Ny%3+LzO^lZ|I~>(ibJ0?<9%bblVsOXh*5cYSz@+p_%NR`Or#n5;V>}w zVGiF|Hjw@mpJ5?(Ge`Czm%rye?c}N6Gcc18s!<+71A??(9Z9Z5J3|((4eI3=b58~r3L7JL zWE`(@a-v8y)yXeJz^2h?SJtY-j47GxquFn5siTWHzq9auFD3fU!V;mVnD@apkXJy4 zEv0sv!;-40HN6!CrgPtN#(7^yufD0W@GJV!o)veil$f$dfl&<3{@h`)6d5v>Z~wHJ z5*5cT1^}$7tE(diu@)j65md*sR^XiAmql-aMv!EIQ+NB*0O#z~AtDIYDx-NJq77mj zn)1kl*)aBX)duv}^bQ8;@r|u_22sa$x$YE0=;qvGFq8krj|zYY!kOSeosmkM?EDtp zOj!GcFjU-alaL|=8#vOO@Ax!_t3~js{3EUZSLNNkpC8`q zW36xha!pD+Xc>pM|LvO0TeTMja#V8^hwTLSgE}0u?l&B_{IOjpEk`M7F|{99fXS(D zYEn7R(%}8D6grJh#MkZ~#iJ#H7V`*B58{7DkAEk$Y7*P-&vRP8bJKgTO|Z{={(8Tp z=JfrfX0=0bOUE0;l1pa%(@y`RmISdoU$dI{V_#Q@$pkgOL}?e~>+P+GNUEbs$%di*lIUYr zN-53modHEYUWHjKbh}c&5yeqGs?QJq$?e+KX53^p^?U{w#5&yD@CbcNsEtXAUgj{V z8rLSn?IdH0M5q)Eo7YuW3S)&%09{cu2WB|-xL=?hM$VH*O+^+;CS(cfL?t8E-~6lx zry$nc+(4!xR##>;wRTpJ$H5XCAOz;5i$_-VFpB8 z*lEIsGa4kE%P{!?QL>uHQGr@a@SJuGJnhX7!SPR`hyh5aGjCYfBlnthqt|_xPp=ch zusDDo=1_1EPC~tt8-~>t1;PZ`TPDYxU~{IcW7DPp5ZPrI-T)AfdO*%;e*4HQ>D*{% zmZ(}h(N={gL=*Y3%&O(I*2_GrzI&Tmw9u;K%a;2Lsa-+^{EZr^)+Dff0%=;}n{v+h z=|b1|l^~@07nj1X`=qJ^o(OB1L`~-o$jFn+7H4U4v#KeNua=R)B#lCIxIedKcP}r# zIn+2_j?yeM#|P7L4xtIS&(LjC>_Q z!I`$-x>|jppOt6NgFAxLbm?5eA3mz#C`L}64|BpGX1~MHdbcRTnJd7NQs;UnP0sh) zJR7n7X-x1UyGPV0!GX8e@U}%W7YkDuY804Vv2Oy*`d`Y8Zu!;GOaZc=lPU`j9i2`3 zC3=_bYW_+4t4B(P`!+<^J)54kzTHzVk`rgMo!q_gBX)fORgEQY*-N<4$Zi{mz(PnJ&+p*Y7 zD??R{DJAuZv;-X1H_ng1&YLE7@fqo^}!lYkT_yWSVx60SQ|HqyQLk z4WM`c5}$yaW9-F{Z2k{H*}l zatvu)cai^5@I-=X651N?ZUR@9b2&UMwub$Ogbx!qWE@|uHFal|72`+=7N@E=e+QGzdN$U z!O0XI4I5)&&wHbBF*dj71)gj>d5_;AfRnhm*d#9){rOL?`Zvp9O8>v|Nom$e-2n%_ z3-EHkQ4+8JOBoKlqzJ^9yJ8{0uV#92-(a;mUNoklR!oAI)fOsu^C)0#@pkl3c!cwR zyeM!J2%cp^^5jm(6OBQWtCJaU+as3{`f##(iAqSUscR0R;v9hHJqL|iBwTWt!7W-s z)|!HUNh>xgkE}~t-jvXQsu1x(&$`)*gM~2oN-|RpI#PHhs>mI_WLMJHL20pF<7n?Y zbs1rP@4TRauYSV>e)=M{StrYqAl@Cap-@?(+nJZVLqI}swc2s8c+7h%6mhWFS@RH# zofTt>2v4wg4zEi=w7`RL{fSMViRqIp3r;imX=WG}CuIKOl#p7N zkSZq@@lmly*$0E+b^;I_B1y&^IMOtkpmI(mnJ0}vb-qbBh(BL=(_82=ld?HC1Pf?v zpK{nT;+UDs^LS9cT92+4=xsadd_+Huha~T%4XmknSXewE4iwwB=adeDeSQBsl$lx)epPMXzSYUeBJ4 z^UQsUqB4Vx-Z`t0E5perymO2kEjmzt^SyIoP7iNO-nTO=f#&BXl~Q?qelw$jY;u2j z`jWP_0!Bhn#Iy1p&Q?OoG-DB@PNZx!DoA(aGT24Xl|!^wQ9NI}<0XXzN7m~IN(7U} z=dLl~ZhFV-N724}j=r<|yDGPGD!{R)w3(Z#3;P4$Y2HP##VYAynOq~bX$->>M#dha z^~pS{M#MbDAv-3^EYC&n{hV4B-t_v7gN6~|vE3oWU@@?V6n{8oC1zT1PEaaF%2LV2 z+7nlpge5t}+9f4}wg`XzwUUxr@@&qB#=sh2`?Jgp#cdnUxp}L&T|Z*CUveD8fMakSKdW6*>VKBrS()J4+pTNomGNn#cgt}83wG(NyGAdI1u4O?VgI|x zi?(pt29nAK&h?|_#miE0*Nq&ShBBbzq;Ca6Lsp`_$-v|I_!wbTGgTn_t_XI{X1>|MC%jQ+o!cyKA( zVc4P%$=zz;)mf=p)pN7JbuEUT;QD%_)a$EC8JFYVyzs|ATaEpI&}i(@(N_lxKr*RW znVlrzhSrsP4VRUsBf~qDiXTRe^ZQz(ty}jOO0uxdaDv5sjm!r~(@+`2Y=kNlf=!`e`CON?=Vv?Sj7204Fmgg5 ziH0`nVLtQY(#!N9{_^?!h|t@J>wEvZJk74{;Va%;SGn{{UH8Zgl)H3iF&Ftw3D0Xk zUlnCtn@ooSY9ab|qnjqF*ymv^%V82K=;@ezJWC#uhu;!RA$&ez&K_v;1uRgeNjg^U zeq7@2y_)e1&s)8ofC+hXL8sZ8zjwTRcXUjL9!jYDXn7^&=bIB=s>RYTsVs zR<576F@;*pyQGHJ-u7hHpTWf}XUf=wE)xbkl$)t&zlcoJ2|anD7&^0ag6FKIcl>n0 zh*&WRN$zGQ;cHobylqSZT+$j#D)!$EqWz4fL{EA{BX*dh@N7AB(@9%#KvfY2q?2G% zN;qTQNQ|-C&UI7ZMRKtU9_g{mv+Hui-ZmG24&Z|-U*AGmI^E;V*oQu=W6wzlcK}<8 zU}U3$OHu<*{^JE;;bQoe?_Mo;n^r7;1lFHqvWL6|(NOx&#tiZ^mr1O~3`P{$knot# z9858ugIM!Mfc6&>=6Ls#X5Bu|Lv(6iU?bU8V0vtx-n)YUvlPcCyz8?tklD;mR ze&ls|E3{WT>piLO^d+mYdJ`8ye{OzYIk_l)w(J)qFKFEWc8X7dxL zi>3~ZtzY*mgL$@gv-d8f(-_EPCKF0kp<=RU9{m!Jsn}V=yEzOnF#TQxK~P9E=>U%f zC0yO!4lN0r(!8yrr3_$gOYT1rF2%_bMyGJk-*XpI#M)Xso0YUmN5m)$$a zE@57P|JB5U{1{7&bYL>1)r%p$ZO$AAqd=)nRzNhw7yrfb(kTcC`n&i$=ieQi?bmCu zq=wRQDC|jQD3dtPrn*9ZJ~x}yK?WoRilNLdxUiLT+;*i^eT(-xZZ2%H+_3$uKdC-J zuX~C%*oI77nL=f_4VKpq;2xyH=j2HlOLkTqBq?e>X!vh<((XN-^nChu=5xzn!cUXE zLA93#5*bfljZHOjebY+zHJHzVa~!WT(_w4f-TdI@N+n2&!$O6Vgv z5!T3h*fU3hnm;pLH-(d4MfCI#M#nHw7eBY#f0U}F zWv#0A(u45n%L14bp8JXF$r`@7kwL;pDKE56RvQ$QlU_U8DcUV=_PJT?*_ng!Ed|jR z|Giyj98wit<>f6UdZZj!jqF)(XWamJnOG;0{A=GCG4Y~Rwlk|bDwpaT!gVoBsQ9L! znDwM}uGSeK5E`G@aVLu z@^I6#+c4YclCw-+ox8KZzH-U$z}_ks5_qlh6xI7WH1TL00|Q=7q&u@g694P~1-5xn7%0P;_5Wu{Zgin* zCfWchwZZJQ(?zpf_i^9$1Ut3!Zjam_jf;s58Q-?A9{;N+4|~4!DsXS|sC&_W%$eli zP@5w*z-RdWcC1JCPRzTDKqlhj$B%RisWehjS7PtwZf62Rv-}2Q37VOK>!6!&$pR8t z{;iRo7H9y#Kz8C5ne?vF_{yhgyZzNeSM0k!xq7-K{LmFU@CP4U?jL-2x;ePF@D=`9 z^#9Wq8%PGrDgzFCo5sD)HC)U%9d=!)k!yB>W}<|2nXvcoHwjd+uhzMvNIz{-mQ+MD z8zl}Oza*XJ>hmKjzT=r$K%Gejhub3NXD>Q%9F29m}zGlCgD_Mg1+qi()pVkg&NPOvry$0J9V{7!X-prdDu zR@;bvfo7oCGg2ybN@A09C$RkJ1yqGt0{XJ(_oT9}gzCCUAI!XjRJ?OULvheKSrGvA zf>Fd{9N=cWTMkF!QQbrn*=1431mO}X1{VR7y>b@?9H3DD==s_ck=_O)PX;iJkQMW{ zkt7YkXbZc*>>=RRIek!~4D32xF9ckQcr_;xKJ4kSU zek6bLQKx$H$7`M)$ibGrT|Ef&s9>ipg$k1f6pD%YrVR}L$ZrN6+D`pmWM5T)G4|542VJl6HO*J$`Ig9%+F8_> zNgykB7%^brox}qgHtQUSuivy?(QfY-i!THYk@%7uZ=$az9Fo0X0d{j>=4YJgrMC)0 zC3WRQ6u>O8p~)oOX*)B_DghZ3gBPv3YVHgeOULyH-u{S6OHc>MaQ(LMqkwU@NIC%LS#`pwo%@Q zCy$!g=rZmkoycAt(L19;U>>m5NDWHBQ4uR+claZj!FP^5{^2&jRvZHjd&f5+x-lFO zP#T^++d>bM|Ca8gf5n?*DueQJM)Hrsla^Re|&-6t^#A|^7VqM z)^@?zxp5j#SXeNX6upzT|3zexcYE9LB~(07J#;H#T%~49It@trn0N%Qa9S)ayHepE%jyA{v8IJ@i4iNx2Mq9VbzWb)x&M}CN z&Tv8BO~QD~%J*Fk3V&9b7FO7_l_viV*8CUbr0yBnY$4JKe2rFp1;~^ zEdX4?+*LZL04NwI4MgDSB|BgpUcOD(Wb+ZPRLdjaX=%-joM%hT2loPZTag3@*7O>r zD;$BRYf_TKgO-5$Y>DL&c%RdHMdP<#X*@Oa1SMwPR`h6_Az(VlY{&W^1Igb+0NkWD zyTJ90!^OovG0W@=?M3(uvQZBLv6OgEyXD#LhAaVr+)b|u%OcFI`M&v}oh0+{B4@(z zAsN}o$*!4wm_MZ^)e;XBcZMxMX}-VZzeNuC-OfO7s{o84xoYTABJPaN3*;^Z0a)2= z+~wB4CZB(c+W(Q*gAIg`-sZQS%e!AcY82&qugwVg4K{^#eCpg}>>~wfCOpN+yd4;4 zQ%mB{9ncB>G)Tyl#H^4qCPI}Y{o*V2LU4>+sJcL8ja=tb9R*4*&p7L;N6N_PqgLxF zff&HMSFyq57wL9H8uR1lnyR>S5>EdQW_bDz9r^cX>(6qD#CwP9rJQx6$w$x8xkPmJ z(5UpYflmM$Pn7^uuxh#t6FdXIiD!pPzF_c>(G)J9*fFdL+k1?Bl4(c3uMOz;ba?Xv)>9Z>&WoWfJ1>OwM>n?(06nzJ%Q3u-FH2F=^1y~A4SV~5EioQxY2M0qq zDGVy3h!bHXS4@+z8ogJ+Xtdok(ia*)eQFKCWPp^~(o4bj06`Sac9I%97^ zJBC!fl}oFwjwIVP9V=g419X$%rTDAv+ShX-f%!RQ6ukWutS|K;jXWV(R9GI{w(}Xk zENHrt1<1J)Sf*}TcO3uNTPE$@7 zj9U?M`Nh(8`Ir9H~WmR6<-7X|x>an*6!E5X>MT{)L3^&k(0JWL=Vfy5u{kjZ= zY*e(HIYQb#s6*PyKv^ZG{s%d`5{YW`FD9sSe>-oFqrA%8XT>xpNAxUq|FAI ztnV6O0?9h;087RJTNAj-UzY6XKW^Ff)|ok*LC@lUbo*_)p7K?O{p`_t8a@k=TY(8L z)cUhS?ef7M$YDa<@y{*&{<=qSSHOY4TeTrj=> zs2C!W8cUP@_whT+dNGYo$DSW3qFb^b<%%P2EtRjyy3#X&kwHoLnrdxXGQ(=cB9cT%JDKG4S#78LiP`K+$w1qL5&SU;Q4rL+vQ)C!Pe5FZ zAjbW5wB4U~U6>99DHV`A`JOp*y(R$uFiuXWX&v10vxpP$!qT&4A1?R`0s}u3c%|1| z4tV)dPkPL!e;L{yDq<(wNcnvWS+9TH=WXqO7t3q5V7ZGJfK9>B( zeX{T_g$r6o!s+Nh|IlD3{htkX;Mva?%UW5N;il|HUeparz`8E_sh>WMkA(q5$@9YN z>wj~$hJi_5$CTX7@j?6V?^ERYBO3p1a~(HOPtWIPeGYbN{t_hw{E*Avp4lDBEu7!u z%Z1XV9v9zrX&vs%`CsD?Ivh?*@4tJ|zOu5iL^5Y?)^c=K-dWqcWDu@z2QVn#@8u^0 zAjY&eVtW@VKz7D254h&640TSdo3yM8mz#msTh3^O|EMif0zm%p6#?OY%R;D22Int{ z6_u4ubtb2U`uyO_^22SRy-7iSWUqgszkiu9jg8|+7H3)40MQxjY2n0P`{O6Cf{Jw^ZC&brr`ADT>Y zs`n%7Ia3zgI@~cGkskYrRW@o$V1|!McB)q(gETn?pnWg1RI-q%iih+@ zg9_1~iSETL!O&BL9inMhL<6jh$Z?oe9O{PfR;y`j+H^LKnR;`MQgN%imuI+G;D(8x z{~d7=_pLVi6)+ye(4GIp@I?Lbj?rE>J&UDOqnB67=jzE(JpOY37DF@2my^=d`Z%Qe z1d%+c;>5`a7vL81?ZgT{^{rry!xz4{`583_gXinILR{rVzdt#Ycdkd*$E5zrOnnoA zS&3|3kEx=|SPjlWp-|yyYsb2Lt0Ca|ye08bo$DSqHh^&!%`=ngIn@pMY8mx4k#i6; zw)OnczJ&C<2caWV;RC>~%9~Oc81MbsDs}BArIe%YEAVFiJ}@@0eJz100$T?oF`2STu^Ho){QSZzHdDE zKN&Oh#B3nLg?Pm9epS4DJ#XR6V1id|c*c!xLyJLWRqlDFvm8CxeSkqqoR>(B1|$;W ze^ziW%sT9$WBYfn7-kCy0fDEU`9I*CvCABsYG46&aBRiTCkR$dYCP0uD5fm!y*Ucj zgM%rqK|2*co%xPO-@Vq_RZAu6+`C+ju?mLh*BCJv%%N$!*K}wi`>vQ~= zDpM%H`Q)Mk=!vu5UN9L-yZ|o?=_COQxeQ+`vS5w~ftQuz51r9ST@6?>4g<=87FqtF zd_t<=3jP~LbgpDSY;?LKF*G)u`S>gFjQd_xf3Ul3 zz?Z}F3wT*Quddn$a8h``uH}X%TTlg@;5kuA7b5il)ZDgg_bOhF!>!hP>APc=thr^R~_}CtJ5-M;yl%pD$ca*4`I8eSal=wUCkZbx7l1^>8Us z^&!;4=2h&N@UKuyX4`r54U*qQx$L){{%L!p;lKs4)$_n}ytL?=)kQ#N4gkvQ{>5^Q zlVu@zxn-Knx8XtjU^oZ*;5wPyDUG905ehFwzGy{_9qBJe$!E@mj}yt*i-c;0mAc5O48Uho6qo?WYe3c z6_6`F1I1v%&lI0PC`HR=qCU8iM@H64Ud++4*>rS*!?6GlcY;V{`1X}@4hpZtCZo)Y zeMu{F`4STa96Cu8CbkN6RuKk$xl$nvTAnZGKHf;!cyFz{E36tlc z7tEA|D2aSzO3MtGm;K4nLWaIhYAC>?2vc?Q{vZTzegRT+Rm)dd%&TKe_ETtL{^RRo zlg>6E!$=-QN}oaphd;mueJJTOPJq<*4jWeE#_m-}cXSXI@2--aO?asTvl>j#Ya@%= zKxmsv-zbx*jZ1s?{_92`SoIgiL0>7yKB7v_hro z+-%V+>uQlmoh0fTD~E}E^aPbF!S(7`i0`#QSJ#e%VN=Wd$jKRTm(M^ZMH0xQl&Vm& zVSb`SVuI(_%r6;u{+ zbbF!Y1{7%BX@EgFA}(xPJM(li6ab!+?zg&8pU(?MU+<@{MDb`^4i- zE+qS*d zL2guJCvolzKNw$S3WI#dw`eD10ykAj*%TlaFGiKr`;~_#ohjBxQS@d=5k5x2@J*_k zz5v+t<3`$|NN`x3-e0h)zArJcdJ$dYdE^7}BjPwD01I*N3NNY~uWqsCgY%;Qf|3Tk zd&Z3U1vHYRC5TRM!V5nsOa0!1mruDl^Sz9}@_z`vTP8G=E2$I{(5QA`B31O1gQ; z;i1fV5VT`jYM-!h1YitSD_l31&a0<=8ngWy6WF%T{!oRzPJY$@)qPehl3eVG-4f~O z%r<^Yl{@;v0H#%H6gtRAE&{+waVrz+Ks&wU^KbH#kBK9-+~Ft%p3s+=Tr7Le;NgI~ ztRp$O)33a0!o9t6m$`C5pqWOyLZEBj{kZS4aJxXT(oeuJdj&&LJvuG-$IiSwEvGh7 zrF;6HrgZb3B2nzxi#IjxKl1nCr-QdxcYnsR>X+r4F5rv7i&um9H5)s?g(Y=`eFGek;2J-&2(_6;05qV^E1EDT`0R9rA;7&O)DFN&gEA_iRq^#1zTTBEZqQ=jd+hm7~>aV9#7B zrP=4Uii}51`=i6~v|7%8Soj2ge`QNLZ>SU1!F7UfQ!FFPF{@`aS^<%({!NnLt&p%F z&(vCEuiL?mVH1`0C@UF%XMo~xPmjGdp@5Z2&>pT-Mgo|cqgOKo2k(utvq?dyCT$LB zk7Hp~DkdhYy8_0qbx9WZN6)l=+n(zvS+T5_n2%N8z#Ij1O;bOme+CtBBF_++A=iB~ zv`iM@{zz4_n)C1|)X%DwLwtCzc)AuuBn%U(yr$RF`cBQ#OK9=#2lrFe%tznpoc{y~ zV)lY7$U~}$cE8q~<3ydLoN3{GiYiL|d1NvPOSCgWksX>p%hoDmWKJK!BTG+{?gK3Y z4yV^t9N7XUs)WBC4jI8YPtmD3S!bDX=~R2crhp!TLK1e`LQEaV(t~9)Z5}F-Ju(&T z!h8nkMOA^QlY;h}OmNOsn07g@rfx^h+X2wB{mX|5zLS(VJ`Rayy};PgDz8V7itvTQ z;N#Tt;7kSiAQmSy^l}loKcjcbqI?qC#z@y%o9i{PJAScO#IrC@7!* zq{2|nwV&`A-M3zw1qR^cCZRiSDF~&Ef2WPS4EtEIYe>sbGhoE%6rJB3k1vMdDfXut zoxmNM6X!pFBgBsaM`zD-**=iWz;t01VEPCnbu}}Shj7`<&k+#2KJP8@dvQzYpDCmd zy4A}iK9qgcDMV0R5mg|&4x;zC2B3h~@>=wW2FDTANHWLVl%LN;gX11ra^*L3mk|1Z zLwQ0?@4KAvLjfi@fow!EDrs5Sr|O1n6~Hl=Y@yK+02q3Ql~d}6K0Ce~5fZfYNvC-k zN^u1=GaJ&UC5wEi7HhVpzruZ;So4Da#6i4F-*nA7Fnak;+TeQ`M!Mh3i#eou4P8bj z))&4ICRA1yBOnJ-lwKb!`HqVs6KNu7U*!&Ue}W-@{fOtiW#oN{edSC1Bb?}uW5;Ho9Nh=9W#d8Gg}woNVZad zg5-E;!*EO!c=eU-=+PJ~FrD!MU~**Bfn_BiC>+{}4l>j=G*5TF+te7RjxK9hV#vB( zm>{?3au@nXBdEiF2&FgR=j?F(rC>}cVKtp>EOG>**;2fAx}11*V*Gu!oF5JQ{H_-S z)s+SoUPfBIG*s}uHivIzV$y(l-_L^`%AkqaFQLw|d-}O?NY=#>!|c|Z8kq;j3JZ{tpZ&EJmIA=LXx5?8?g|eB${}Dt z*>dZ!7(Us$FRHND>py&joG#_%Sm@)C|C9Bw#7Y*W^?bHfA{D-+`EM!PvF|~+Njt9C zR{w{-+ZKkpX=>O1Erp|UjItN?ChprJ0-Gm!9c-$on*+yK{YA_0dsxTkiZ~c1@`M{* ztg3fea@2&_ram|-Of$5{!0ZKByS0A_rl}7u*{Q$dgN`6OFI3*sYxWWy`d&x*-O2Xg zTA(8l&<&cK2^F6g}2r z0OOA0QB>OiMd4!_ES5Sz^_V5Nge@vX|-`BK$|#IMGF>g3*}qY-JaS zSOOYLFL?PKN!{BcX8l2rs>w8KF^fVBq;6pCW)1{dVDG8S-sKq@_HFAI#*OgXVq{!@ z%Mh~BODI+dU!K6B$+H54Z_>B443=h0){S`#Aisl<)wFinoF^do!~gV9t?W{x`$iP= zoaIUCApvnTCo*;c;1$Y4bjQy5aIDAjy$Lu1tpZDg@y8mrr2iT6L0OS*G1Q-Gj@tZH znd*E$?Zd(a`Qv6f=<1-v(7Ahevb_cOc zHoD80>~?QDWdjf!uK=^XWieIg~RG2?=hd+;3)B z)59DiB@ zhB{)K$*wu)q*Yp7H?5tu!9x<(x-m<$G$0#qC^3ft(!NxUa8wQx$P}OEN|`A)0KiU| zClc0lJp z&5_6H$6|tqkfWojf9CAd>$7G`!eY{1cROh|c}dxTJilVghn34>u2#V2IZ@{Oa#8eO%qp zabE9}95S?78L;3iuMVz`2qT`TM>PpyEdY|ptAM-(ZXwPry>=ExvtLtQk11fddB2)tkMri`vhF!q`z_9Nrr?_CJ|p-^ z9K9Xp*$XgO`}6KHuejwg0c=&4+oHu+h}P&ne2qB7HLQYl^+m%u=DuEfmrO04Ng*Qa zt>_arTxfAvVHnbvkB5nMzsEA>j31J>FJgM8G3X!RMUkW)6{CZ82{r3l!v4%i;uIkh zCF(wAJB(T&VVyuDjbBi`&y9T5U~CP;(cy|)%)?_RnBcr z6=yenSR68)!ntvjnjMqc^Sn3xqcb)eNnTI>Ax%VMar*7m$@P~2*%ti^8Xnr{Z3D35(ka8_@ar{xko=T^cE32>pwtFU=+4jhXPstjcTpdg%i@!4`X zB%`V26j0@zA*ZsZuC*IcCRwMiP=2R>atoniFZ^mBiD zXssRqPQ0F3RV|MHY4DiZz#5U+mRdY%F`8DnvU>rvzLUFs-;}DMXxK_0&5za|YAyHw zS!)MTNCQyMv%F1`G0}(IHujW`JosIJ0 zt>V-Ak2dmOW(w)E7ORz&uIH07@5Gw!JT8I{t`}q$@qv(wQWrUAJb6PWpt&b{Eywf%=(M zv0fo4CkO_k#C{F@N_&_Ha5h9R<-!)unF6iaAP}Mts7(CNWk2|kgj0SygIF`|HFXw6 zHA1f0zOxsG9kOZ{Ki95&ZrFECtm@#!=1-yb3YHNU#fx?o)xBr(Q=tYcifnX^RJRqj zhg^hH?|^tm(*F8g)Q4#>*p#D?8T$(^>FCAf4;FL~Y5JiG(0>pch{KRvpD_X)OVs(_ z%BH~4&lI0bhmw~^st#ylGZ(37L&zkv1pw{wn=Y;@5VU(&8;plfz~v5R+M}~F2K0%L zY3gMduug!z&i^&wIl-c^yR)19vq}Cd{=47m zvd;ob1m2SfXj*4O-qJ_79XouAcc9r_pW+>wOFBmtvV`W&90Dit{LnY2ZTvc6&G99rz93R9g zq821UUx&k5?!%ou;+|-Uaf9E`$g=Olm_Ph92nCBy-P$ox)hrza-oh@u`K>7M(>2}T z3^wiVd~s?1xf8X6)pH6F&R|==N=A!xb`$&P5eQ0|2k$JcY{v#BBWvC0^N-#r~EwDEqjH z{OqZr07=4%jskXux!s7WA9O zj^IxTpjYEJARzT|)W;T1*-bRAon8IBF~8nCU*l_>ou3;!E3S7j(~V11k^_0m^^Vkm zBVF&t&UU88NxSn4d?>RrJEq1(V(6v9;Irf|hnpIN>cAuo(W7nk53KsXJav@G;NtoA z#`|lhT@}bLTQsM;^;i4sq2shp%|ZVL3^>d%5Zm_;|c(-i?7 z;iPWQz4$>#Xb0--K58wXF<;%h-LIENyT&!}{3D|LS0z_l>Q-qB38s>V zTW%Vn#CtHl7F(nKHuV0QM>s2K<)t|)$+0gI_VcPJ5ykcP@XtK*iCrKfX7fH;9#Yyk za3^azQ8JLaI~E@`LH;R@1ovY8GXJhFAgVv=khwb+b+VFBQw+Cvaoxm(g2$R5Zpj~? z>@?H#APmpq2fnjwP>SCa8vQX0IEJhb0x;ds1=wW`HFrDM%Tuc4(tNT9{hc1WusXV+ zIc1q$x=_;Xz|@!kIKh87?Ad#foQDkc0Vcc~s%Eh)K~Lp=Rjq_ER0}?>1VB_4E_+qQ3w!BO z%BU1Xpzy8-i#3mFtsNPDtoNo3!X*VG(npL$)sB&7@T?v%YY7EePpri@u8zQo-+Ba( z@Br;;wnasUrLGc3VU8L&ryYCvg>LrYqeMzjI!R<_gILsWtnDNpai->4V2cM#o()VG zhRKa|;SWAQ17_6-JQA%@@o5uxYpM^cqn%il#A!I%cz(&yIl`;5?;s{Ts@P5xY^_jI z_PX08@lIGcK)O@T0Y}O7LU@$=vQvgfzsV4sMb0R?}gT8K3N#}{?J!KNLJm>_A%e%5vdD1FIWXbRuckFa|!Ngsnpw zDugPMd8tr{ghm#94vdU|WQo!Ww1N%IB)FEJ!VQ?F?E;in&o-q+Hs z?7Jgo=ij%FIicY@*5u2d%&@#+vEo=HS<(}EL98>#f`61t-OCi6tef$N041d0c5(vGDFW zByA3MXj<}8JbLQn;hkcAZJ-@vt6n9C1-}SLrDhIy1g+>}zwb^M$=sk?$cLrDkW$?J zfU3hklMB{$G%cW_N$P z`##S;fAP^j00+G0y3cja_n7!tlh8XCV|!$|$lo$To13k(#sOZn&c~SBB1%Dwk^NMm zria+})+BEM+v)e^uBWWSo+W%wCR9{h2fh``cY&YofMN$-wZiRIu%-`mD$_jE;)C)h?3F&me5h~uywFoePxsV6KUis@tIWc$^s zJLDh3pPhQjIvm5lBZPmK?)}^5hj#3CsXJ-oDE4L*|^_ESVBTQN<73%gl4tu8aHY^C|_r!90OOc#Izyam*66LqOv&j z;IbErZp?$sOq(?;p{C>lhWZ{}^wEt!NL)HTkzu}j8BBs>_Xq8VBJT^M8pujdsGsHu zp}b`j@lDUiU$PP<`P4v=T|uI3{q8%2D|%%0X#o~f!tCY$@t&yR6}HAs9Ne|*sY~N4 zHs@A*FQ^$?l$BpND_e_)QI#Kf(HxP8t;L3I?s0FTx%t@g6fm{m@>0UQK=ech?I}=2 z06QL63o*e!!!#k+ClGv&C7c-|8D1_)+Ir=$6pD&j9!ZE2teBwiHc#GFaX$M~d_7wX z0m1iKK#<#t>XblkoNIXFw?I1fdd_m&_pAh~QXtUWsjPtM`e3Z<7YOOYLaHXS7bCUy zkFddMy-+UJhr1<#2|b)OM4QfGl!0>_IeEt~`naZ3W-bwy1H2`_NrQlMjOu8jUB5ba}Iy z8UB5*X%6!N*`7r9K7KDzEnwO)(=99>9$GE02R^v7&Vm?Ykr>v+-BA3I!vPPa(Vr_u z9nMR$cds9rc9|$!JMZss#b|k3qSYqiC}Fbpe=90`FDhFneGZA3Hp;ed0@#gIJdM<2 zR?njjP<@|ksDM{b3txR&;C@lwz>dm>jT6JNsRSE;IT?zZq4b>rLc&^$^IQZ@!tEY? zssyV-7o=%>=Vw?PoYdE_lo9CWhcL_i} zktEwmebwXSCq_}Z+@{hjy1WXz_b{q~J7a-D*jz~xJuLEVFZ39M|(9TIQ$O8Q%dg8%P zAwalowR08CTVxe;e2A7Dfuji{M-&NeORM)Um?qR^dm1XqW;0_jp&mZ76Yj&FczlFEP zU;dAb9a~P914h#voq$mvG=?8tYj1 zO#09zH$>)kh1T(1?Yd}RwX&3l(b>-TTTVpa?OulF7M1NTkJgvc^!Cr{1KV$Zbj+is zdk^1Tw@xy%i^8$qsiRiB`ix6n+irzaCXntw`m-o0Y>KEGl;;wDU|2hYVXsZmQ^d{OyBc1%TGc!|jHb)|(?;2i4P;u4moi@yd=kK3gI9B>*jZ3~-9%Em%`E%{<6P$f& zv$rcH6*5-XeaWStrU0c;v#o+Bt+0g<&DRhsBCI+9{kVLxCFZTsu0Q2uy&%N&pTx28 zCvSPb(67}@+Vh(ZC@{rAphY5prLEc=3jAgQIWHag=jw|B{ps&A(Vbp0{~$9e@FP~! zJXVy)Sg?zTc~ij(nm6dnT>$bTnX&9X>c~D>EzeRPWLFJE!}Ro1>Ell0KPv#_h4=Ux zqTz*oRLIbF;N*@;e!=Ko6{RZl0t~_rqlZVBhZ+MH5e08vFqu(WT-h@Wy@3y3EdQYO zHsipmNGystL|4^>x*ddisnMURQVWjb?vgeXzyEC z9V6mD7z?QNS4))tMmVXP^$*IpZh`2fSJ8r->gM}YIs5Dd;HsQ(Re`?y*s|5(WD*TC zyVc=@BsqPHq$*-vFfJz>`mEeNQFjq?GsYhz+YkHz>LhzBE?G7@05v*UDBJdSThTF*yl`r&baH2UvKzH z;yu%Wun51LSR7Uj&~hI17G((4YFFb``dUo zw;UIjew@<3ToU|set|U+-t{pazBeaFBDT_sNSyJG&EiJ2-&#VwBadH}9&}8?lEnWZ z5U7DVIXMQa>X34&?xCcy=shm0Sq=Wb=DExg~~2 z-$Q}eu81Wur#^35pNgNT6@s#PVizdHInw@FdGbbvu()}aFwBMbg24zD(;R*FLhPll zyYC$wi?Vl({^SwI-{%CN3+4ZFFn63}E(HRba3e67fpeRl@vZCIr;*I{G?G_6?+{GS zz5zjO#@yS#WBrVzJlH}||L=S6!by~!N1McrQ`9b^W-5O*@WrYi`<>*t zD19iM1Mf56xW1tu9IAH)M4NR#*0BjQtgP%P3k6-Zc=y+r2*j0~BujWBad^n`b!%i- zk!qYt*pZ>SqYN~yq%I!_UiwWWH6pT)?5zTRTz*YURf9LPwL5-QG8rL3a31$6N}<2q zvfg!4{W#_45a~n2d^F8%TXsA~_o0;68+W?P2N$x6kLiG5e7et{zn^Qa%@3SRu!u9p zfR>{So|7&hF*@k})?s5E062r9ziglC1Zv4o9-IPCt8mO$T2Zc4C!!WmJ_sOA$RYzt zao#%SRVWJKsUR9#!Pp=gHfxaqT=X@NZ0E8L}}>Mt)g{S|1X%xIAVn zVA-!6kP|#h^WIHQ3dL6lmnP)agSX)k>uD=t9%a$?2Ln}F+G)s8#09E4O;>)O{T&ke zOA7Ij`OUWtkrF3fd?aie*f_ca>D9Dv9r9d3}231-m8FFD>LFbqYY;&B5DCwW5*vXNmffqR?I_I~PsX z_xO;+v`mVgpmaX=;(lQ&Eg+ZsHDT|q2_P1mh7WeZsDx;W0E8S^9uFwyM#Iei1Oa|D z5(KIS5mi*8f;`wL6E$gBE1@JQiC7<7vQ|gOLok{X`MmN3`Wx`W+scPL#ODe+ z0VOmp?fkhz&`x7roZI;yZ9Nrk1!w7gN2r*)zNH!(dE#Q92RE3$B@#(WcQ|82H;V~c z+o+3$!{kYmT~FXG)$J>Pf{`|(+Xp;JZ+*2mMKoN4IJdo1A4axaI_YJ+{h|a(Rn<9H zwxCo_NiF8UKP;BEzkVo{fIKZumEsR%#~j`vn->ndXr5>HC_$U< zs*_Jpvt(m6I4baHeD$k8U&_IgK*U^pzfmNc*Up*H=>geZ?c6YzgL4BXOS@4mZQx4w znjH}0|1FDpum<_hJ}CM-{LU_)DEyaQdEW}@=hEZPvHQV_^3##!J5}9MzlZ$AigFhp zEIpXBk9YK2d*Cw_m&ig+$|BUh0={*zJ8Ghi%>{I^?+o+6)SD` zJu3|xzul_clU}jvMtUsscqGG-^}~bZF021{$o9S2qoYLaPTjxeTchn0*qHm{%7?~( z`raT&{WRzL_>vy&X24=TN^k}B?8F*-{I-o#e@schRzQ7UX!h{Tcc&*HC^W~Ka_z&` zzCo6lo5v?HWr-ny+>^f(JaM%4SjVVi-oi^)>XphW0d+oTg&^&Qvl|;~OgJ>|Xwu zBI~MhK!Cfp#JJ8V#Sy&twdIn722wSMiUQoP=u#i#_*1s`P_dIPC6DX)_yH2iy4^X( zzowkz2q8wl$` z&t;+3tyko}qY4ets#y3nn``X=&BK>xG!k08BXOaH5zgQf*h$1Rmdaf>&K9IkBGzg(aBk%6+0u07tSO{_5q*Jd|>K3#=6QM zocD?3wzqmUQ9P*gT|x5wUU8|S;?m@I^++U!;yTB=)Ca)f5XNZKHTM>Y>x_IHcyIkfvX16 zVPAR5(6TcPJM)578Z5)o7#O_9f8tOq(}2@>RYi63_U zZHMlBPvYQ+IG&_cjW``vZdg3wYXfv|-3CNoppZn!y~QD72eC0_#f9s~$v5am*=Vzj zecy3@xZi@QAAb4M7QL8Il@Ge%`YBeuH5BwyeM$HI-0KSl=yiuGb>Y2~n>RIS%0g4q zZ+P!Bjs+n47ZO7j-Xyy>FRCokL{SsOVzbb~62*L611SE<;NG~U(T zfJ^b!^Z_+e7Wx|ttJFf3-$1f#_FS{ZvNJbPV-f+{FeQjl;m)VzSuI*&gPAA{NAd_&$w?M~h;V;uuzrT!ws{?f~QrX{^u!y(t>6bUi51M7bw&My0<`2r0 z8=(W$V-=>9m*wvQQQlnD;@x?Oe+JR z<})Qv(m~ta>mFUBy_wfScM~t0x^uQ1&8TQ7ll`y<#De@zDnm!`l$Xrf*wBbm$V>_- zt+0qEn}Jl})nCEBq9R^^hQF>HL)`;k`$D-zN~%TsF3s9|z3?vDca%g_Q2g_MR>A$Z zPZcH}e8whq|M*K0StOb3+M~t#^1GDTPAZG&n+ooL;-~pt3~~(cfAUKd{nz|<+_wX) z=R=&O`X)xowQ~u1(@M2geVOkcO7s4LKTxq239i3{2lxqM%ReY<-^CW~;Ke}cQ_nx* zOid-GrDr6$ek7dI(UHssj(~e3 z__sUg#cZG@H|^T%7o2$XcBZm5{)i_xjFK8Fba?^HZ-3R00cS(~KY>+n1ZtE|&BR5~ zFnSK1Bw03mIpwY7x$R%qKdyMn@IS>_5rA-UIn~}Z(w9@cFick_8D#|FG1yTl$U8$0 zFcSVic;Y87dTUIvp7A$Ba=FIb>7ULFCdS{Bck42GVJTg>M!2LV&slI2CSKaLDHQvdHEo z1ry94p|A-~k!4k3aSM`!*P8p1hE2$as8I~#{|%2B2;?)TCj?@Gh68{*2<_>@_z4jn zh!1Duw}pr(>A$ZBf1ZDmu-)fN09*6gClqM|ymA3`XYQe@6f1q9O^QH`49pi#_%j2 z5TQ*J+)I6cJljsm5cRBwhO2u+gu1l_Hdi@P$sb#t8hKx&>$U8pUSfpL~rb-@z7&pJl?v8Z3zN^y0gp|T1N z1p=UTmMBI*ZbJTz>5*v>%5R2Cdio*4fJ6=|*gl-85&#rm!;UYm*{QO~d+yYb&!#N& z)2REa^J_(Y%?SaDhU-g(BY<8;kfql0NgV(-AB*eaBY_HC;u0R2#NDvI<5c3Mr~8qLiLxTxevpOUIyhn+^!kW^ z^#%XSkyr zfdP1xH(WykSW3<LL57|!Fb`VFG;MJ?$NFFW z7!!4j^({EQa^W)Th)Q#YYxF0d1JcbjZG6cY~D;lETt zjMON^OVdDK&m(W4nM5t=_I}*R=h347urO{VgBF{3mbY8WGK>HDw=2xY0!P=cnf{u2 zc&?s@&H73ev9NkTe&ibzAVKqgxJbOxf>n7LKIzck29kgeFYO*Rrw-kncTWjjBA=Tgh()K_>;{!=+lVNW5C$J>A_GSj<+)PrljU6WO#j{Ak<#pUZ1sRh6zcdHCE z5azt@HZgkg#woX&1;T0X^Ju@9nqEf(*$;mNOtZ_3H>=c60Sn-T&ct-->mQn{-ezYE z4;G5Io9987k37~An^Ew!$;{lWw*P>> zm)hW$XDQZwL_7Ioa7orAY4&`q{>>m?uy#b1nm&CpyAQ=3!{-RoUaMWYB=W{oGIy~wv21S#d8 zUr4t)j^NI#K8hXR&7Eh-CLX!9jpz0U5O*%S9eK>PPyOIO?PI~9 zGfEmWDhf6Y_Ty4suD_|l9zxhL%bM42NGDf+(;(MoEj|9+Sa-dRBF9INX6VPE3X3RY zP60FZTcUn>ltn$ib(o7WO>VzyHjwS+nzg9{`d<3F04yFF2k;7Xg#rT^S@#<~1~WD% zV(Q-`Tc9nb2pt(3UxV@V9YOiUY2f~e{$H-v?lTmvvnund_(|Pf2p!z^$B--4M_(x7bx_^Joa@%wj z3Ko$oxoYR=LGteU*Z1>vv>Uvwa`M+I(r4Xrm+2E~2cG=dE5VMkecPX)0BZ0e#Qn2AV(ZAkDi%_dfh*45U7sy0z*SY5a&E4yrc!jtOz8eGs(22i9) zEO-3)`otyCg&c&YuO0IM9l8w{J@bJ+1^BcaYJ`%O=0My`Gsm*Pc?1dSu0_$Wva^=R zgTWPJE!#X2C@%*ZQGAOBW^3d`W3VV0UbCW9V4ug`B;8p;^*uTmC&9_wGcNJ-y!5;# z*}sgbQ(RR$VUO}JTnW?_L}P`M1=Yz#nzIEm)PkiBk@sF)t(=qTCWf>$sGld zA#Mf6kvtAO-y3Tg{fZWk`X^03dNpO?5l7sayv^b*nLe%2>p?+=Vv(K2!Bz8P5+>$+ z>YZhBfbUEqR|bcc;7FOs(^s+t>`2iPB=5hssM3^)d?dXQ)eZ71aK0XV76w{pbCreU zAtV(O}*U!m@Iw_!n6IHbTJ)^ zvtp&eDn{Es;ttcs%bVNwB_G7Nw;S$Y-Ls8bzQvcHB`SBf3`TeRe*W8$5ysem2>eI9kP-GE_p4`{raJ^LySMk%8!vr>!cJ13cWuCr)FtL@>|w1k ze=08kS9E^1d>$`KSkdI4)X_$ze{_4hWPN!453z&n15)hA;q?i709H7Ad48~b!E)Ds z^bjMpjPY;Fv2r8azCJ?dGT`*)y=<<~6NVYLA55A56oWodd(xe&Y5mbnuOm|N>KQfL zkJ6jGPA0);G4@4!s6eCQV`J`}UE7(>veY+|xf559VD&?<%@%}z4S&DPz=w`jC%kCK zwErx=*qO3*pel#~ScXzsMZ-k?uhfc$ zQh{}@_;#BJu?yGyXqZ+5{D`3F>TOlZ=@ikt+LWl_?y;P0QNs@7NWr=7S*wggo8|WH z;RDZy+U>2{yK6rua_NYjqO+V*&!O70tFwzhXxrK1^7hV`$FdI8qEo*uu3ZD)ke49S z1`YFUl0VBgt!*>tV8nRAO2WC`1kwa`n2VKzb<|P8A1e zc)J*)vA)hpT@i2hxvWpc)sG_+Cwy?L7l$TuAVT@ebo;6WrDKzC1og;RvsPUh!78kj zd7)N1gyQ;2g@$syfTGFn4j}u&=%7IgXaE($g+UaOHC|2c9QQ}zM;bQZ*{>HT%;BNk z8I}Pv!@$n)uis>${Xj;_1>PedloWy=N}R&2trGa9cdQB535VEqP?3OkmUlqqa`Md6 z!v%Yb+&nI?J22*VAL)IbC(^9AOYo;5vOGB)APCSu)KT_|-!ikq#0Y4`OS9>8>2WY( zqlxs*Rfu%eIP+F(C=qLvxj*KhX=#fI=MgDv`}y78SV@3A?^)`&+Mq2nbC96ewk(}` z;&e$neL!VH=4xE{w_^v1x!EP}-MX)@2gDfev{aEWd;RJ61(iSTrg@&{R*cou_w~px zh5{f=vHXD_2@ie2p?~#;xxSid8zuq%Y4m4=KrI=W*z?ck^(LZu=!BEo!$L*u3eam` z!Pvj7=tY!iG?i~ZwrvgeFNwuU#15~;C`>i2A7kTbC%PrQa)HCA^;oI+pEL_>N7h;b za2md-?T~1n9TFyvn%CCPuT*RWVQdj(Yz09X7)W&`7B(L;!uU<-!0b&V$zrOuykawj zXOVKVb{Ran<6^rSw?dMNmG3`Vog`5VbHozME3Y?7&QXv_2M3z&*p?@t+OtA=Uy-GMD}CVTUqBJ4>(Lm6-urWzOn(qT zaiy7nG9Y`PVd0d%Mv?0IzRE}@Q5Wb!%SJ`HPD$6&m89($*5bs!!2ly=PddNO9TL{h z`<>J3*pXv(wH>o_Ji}7A-S$=@{U=h?;KVYZ-DtI-~^}@x1bMvlHQ({*N|_bFONqr z+bX@lsKvUlDs%9xcTN2{3(eg=dDh2c!Jg38pbnF56Rx(8sF+yF9~N2f3NP<@Qc~Y2 zcTh(wQ7_-ztkOu*T=(rD{mYDT@!@Icc1TOMxcqa$T|l|O8o%sw`iR_dL1ZM+W*`&SFB&rMW)0v@5$E0aHZ6Ep9_lbkxrPlf4Z1Q zb)Vr0%7Za|V7_0N;6R27zQAS2Rt2f5ka5&0fK_n{tfdwDj)tPh5;)EKeq5^%(Zs2w zYe-Y<{yG@wb9A%PHjy<%h1-NYiKo5*bR@o`fdEbr~Xayx|Q@+|As zS~iwD&NWMK1e9Jqv&PxXw393V%^cZQzfkAm|Ng%<-j+(=e z7We1#Q{^Z<1iC58Z#A5oJYr+a!uM_D1Hk;`peDEVy_+ZeQ_9I-_OdyNMgOIaNLdlP zI0+Mz%8&wxoJ-J|KH4ZUjPQ`!^G_7eWwv5h)NYt*o#b3EzB*WHfnGf*yDFGkdQKkd zdx(H2A@bH8LxYVs4~kh@j|o@B05Vp31Gwltp{RlKu5hhNEo#M^w@TSq>^k_T@X9$qEd>@{sTkjWI9qZ1W7`^Fo}~ zmu6*#Wr$(Cjo^U@0$c7&5!?7|wlxm{Rl;%F^e-plUwqJh*X}H7+>ovYMMNl4&eoZf zfDLgM`sPI5e#7vvS!9M$7w&v#|MES_C1Nbg%p&Xf?=t~o$Gl{;odPTO8h!>HS88r8 z>XG~O>oUxWj zNbRSBTFh%ctxD(Xt5#Cy{Q<5R>g>(aiu~HX#Z$wSRn2OK*P6u>C?$s^E1p6n@hpI5 zuxk2q$8sX;|GWSL&bz=f0xMIQ^TE6jI#EJGMlWcvtddAxr7Fc345DI{&AZp&K~YB@ zvH(B}-mHTrKDlAVW=21cTA2pg9Yto+AJ36mR$-YcaM0ILB8`D{yTSKGE1_cAY;mO{it`iM zZ5tv{#`7tDfOs%CQZb@{AfLW`50jIzOk=-#{*eqe-kpy|X9aWqND*zvZU^M%c(}ND zS|I)|1n1RgPFkHFTS{Uwp02*`rvEGQ5H!Jhyw`b;2;8f@|6-vX*FV25#Ab6u5{3p%|*XL@GR@+!+O9=M;jww{r)O? z`5IZ$byd6ib0gpDLc+C$<*RS+hw~Eu13UV+%ML6V#45RY=6wEVdQD(|GHDiz8^|CZ zBff??r*ilN^>Tpq>6o%D7oPH%2Lr#cZ_(K9;%VWWohOuG^YO*LO9IZjlZSVnD_HXhVR}K7Lr;6UR9(%@mP>`LG-yM&=z zwWs^}Pwqqc(ZmV$C4*^HVEJHGYv$|}s>m?dz;uf8iqaCT#Pn?QIBDMstdqR2 zQ_1stST5^tVY~45_ixBWM>In-9L%Gbh&tp0Ve}3W(PTjWV{JeI3&;JX zeqIpvS1x8gxE_|=Pr32kCfA!aR3*&*N|WxZ*Bq(NLM*^6v`;W+ep!{lVoUT?s1x~& zP-S5Ji^wmx*3K_+5*SwSI(?;qAb87 z0AqR?jDFx-H+p}s(YfsO(62`_sdu|~L*<503IRQ%zy_wwg_pN1WbV@2HH!b}(lt~B z9j#SDJo0l($J9k*NBlI<2Uml^WJ#QkE{91CCM(9}sAF^K@R!5-%FUb=C*#?~eNH4e z;F%Z=Sgz>%-XW$6O71Q(Hu<>VUj7yiZzaWBaYVxWiexi`&!Fp_v^}S%tkK!|>>rvg z)d%@@FJ3Txbgr}ul8t80_jH|N<7US|cv3~Eigfg@Qn<6IwkZyU%_anyn4hZOmdd1r zylNQVsCnbv3$xlIU#)@hn%;U~{J(SD$(p&8BJ20iqU#pCIQi0z`IO`e` z6H84VHIl`Z3z>A5*tN`PwnPQI&&n5xD!($;?-c$Ni+qcQ#+igQQKh@2rCh%pTPQ^W z*e~$dnabi|axLCfR$|z#f$(Z5Y7~He7Xrbt6$HjbZOn-u?#8Gc+Q^>8flnJmAtItX z>mk!Awqb!V3k#@Fm3L)r*;G|b>i7xXip*FzjEwq#ntbz;x=m=wgbYh%55~fwT zbrq?Q)TeDnMCe6d?w?vk5RnpQ;i%4Hg=iM9EYvZLil)ge3(TW)&}_Br-MFvkBO>y> zxs_W5BBI77;&-u?+W};k7V!agU4KzApAvW#SVU*@N@rjHj{)aA1i&KmW}i4ZyudS*&z7dMoouz-BDgH)OYy)bGioyfHM5 z`aixGS$}Nd4y#MoE#z-0w z4yqMgqmPy_t|&K_cRQdk+?zFSp5KSf#dy(`#0}bijmD=A-TXA9n$8OsR)ETV4M89X z&|_|k>x?uZPa$dws)nP;u`05wYQ+d7F(P3J6rksPa=78K)bV7jXYL8bv0NofwG-{L z%?=C+6(h@tHD|c3Y66JlLITlArc2 z_s~*o48b*}hISkMa!A*XyMJrB54<%aj&FSZFourTI>Fb7?pvYaPNQv`GoAX}?fXQ) zMQ)yO__L3<)(*Jzq^`e47@Y3XtI2i*()(6Iak&fZw690$!@dSWJq_mr9mvQS z07u3wC#}}a?Y93w$my8YbqdVT*`lgZ*;V3LPedql)m|VW$i*rMvzaU3uv8QXAj$`0 zlHYUssL)3f^-ZI~lUD=bp=GES3Ug+31>k*RISfE2h$1=#D`ot+DnrC%1+ihb1_3E$ z5Jv$&9c;V@6heo8SGh+e@Y(RlMIo|7N3HBuWov~M5=X*D{3^7DSY^uVSG4{PADJwJMg|>|Im$m^F#B0Y{MKwH`2DN|2VTu3;WVGn4&^JMyM#u9hqK(B#> znV2N~s+q?K2L9u*(%^Y~FmTZ@e9sGUoITsD!F*?EMQAm2tsc|P@PC$k z`RAE5QjN?OI%aDwPB4fYEDF?|xBiy~`|cR`{GEj0>U?x%%+ z*1?8zy2ISYSjkU5**0lfLXgvZb zZcGyAn>z2q1NsVwW*;G4i_7b)vrp4+?%+JD^YS(T6G_B#{hZqABhF(Z*MBSk|5+({ z|DYWD%#g19ZeG0Z5n7m=N%dWfJeiU?F>4eUQYiu!TIVPr+g=cXQ;+|o6{y?HGd#&m zl~1JN+-#ZcJ<~Iew)F!i$`N>&@t}nNM*s10z;0@ImQP)+-rZ{?a0LiQETu#i%Ii&AY0r7jUK6ox;Cp7(*#vr?ozY z!Co*{Se9y3lFX<(M9uR~F4W#!Rv{S64ucS-99s^Z&C`4kdv3LuKC_*4?st2IS`Wn} zESRfAg@!C&>%{diyj>W>zPX0_l@Cl>^l=y>RYC?y~h|mwC`z8ZRCsD||&+s>lXq ztAv$fWL93!2d=GAnc>Z#GrV>C;^=8MxFJ=uE4AzbrMRW)FV*c?_}j12own?CKM-wY2%PHGiC9X zh2C{gjeEZJbbfh+`r{ZI7ZFp81qt)>CSP$99`U5;0ws#Kx1KVb4Khau<(D@4%m;A> z_`RHa_A%y$5?O9z z=imP2sqbmTXq+1!(?4B|!sMFK+5VpNc6V91xLQlxEFPUbU5?k%qY!;L6{|w+Ksaw8-O~*(Gs$5}Jy8C!ZBLx_D*Bo5z5fWTdnS<2uM!$sI44m4 z#Q=@^2U`RIk+zC8QAj8|2B(@W-TqXPWM%i~uN!*Fx3Mo^=BBycn&xZ)JYIk|8j{Y> zYt{!ec-^-2a=%1*ZpPJ*vxdd z2Y?{F(&FGc#blhuW~Ls1@c@VUvmAySEDnJ;3{wh_wdDhCd1p(~)GvYnzc`ReV2Q`- z`I2qCjXJ0(R-U^f0qqpf<@J@fm(CB0`k$Imiy~2Az9{o)0pWZ)w zXlpD(D_?N92daJ+=a)dKU`!n?^*SJTCpqYksU&6F@9-uN`i+CeMU|PWY~fF1fxbN< z>V<#j??Ezs;-cHv*HjQx@6mBG93-Dw=$UUB1U#%%k+NCxPA(aLkPCMW8|wA*M^;3i zSyB`8llifEAAZ$>CR`J++OsDs~*PX-qf}oPM7}oz=V_3 zM+kU~eT?Y5+38nmu>}}B6s$n3@qNyM3B`TR^@%2R|MJ7V48+^&eQWJdW?85W0-dqJr09sxRNt<&xR_ z*#RK7I&T0jFYgcT0MP9C#wtLd(f}~;#vTIZT~Al++`DGY{>`B`KtLJA?GPPy{IK4C z!fbYt%=jxa`}tZ=zy+(K#M@}6?D-{AIQlaqDio$qgDZ#ruyw@lRrhOuFF`xz^I4jI<% zBK`d`EY@L&e1=f?QWUy5ue=4AAxJhfiikoaFi`#-x~Kx323hrB*<~D)zSj=ou%s)l zKx|h3Fw`y0Z-Yv2X0~d{{%!lBeC_m_>oZv_*(q#pfQPvk>s1kSj)U>HRzFV>c(Ydl z@d#~UYp4LLEHbn-+Mo^t-~#e$tE>lw6D0%?9K(?U9|rhwm=Q5ch2Tq;C1eH8$Y2#3 zw6Pw{@NUeZPuOaKnhU7-?iiBS>uRMfe(GV zWUSbLF)UEtsQ9)8Cxl}DOGB&_(Jfbhw#;cZ6a=LvDC1Rkkl@IP>H%G6@ur!j&|`d8 zr~j@w=o*u@Rh+Z%X^oeDq$b;sI_V7`>h~{IMRrNX*z;_*MY2$v3PBf_=8(vt_r}KY zr+KB+#>SsrAVP3dohL>iJ?&9o>@Cb=dihk#hdSSM36>P;-;wNNY4{k_zqHy?B*h9F zUkgQ1ofH)z{|$}se$hXA5${c#kxScy3~k8$63vI4JLSDcrVLsSMIs^u+rr>!gH-Hw zvh=fF$i&v5`h&?9*Ov!vL6}o7R^&4p`TmZw)i^= zXE97zT&o+2cA>@dnR=(XcjXuFr)T7-BTQlqOgy#kD?r3-`-8TnF)g$;*4qSC(Xs+9 zkobgZc>%{f`A5RBFCN$}$s555E^0K-1;SoZH&c7K8Xh!8GCab;qlr`qbw@rf@`HIU zD|8g-TVNSygy?2u;}~ku!1;{=Y$77#6lColRFt~yXjJ6ZSMiG?fSb1AVk)|wvdr}R zIQQ(`rwLTz(-98Pjy5p)&E;thWn${zy^$joiz1qYf)jvW*hnJbZ?Bawzs5xU(SwwV zZ#EiK#ORo?wvFBQ1|4?tuENyu7Wdnmm+adgF7Goc?kZn-$>=vF4^-ElN-yt!3~-^_ zq@}RL5!4v^;+NNF=x>R?A1Cy_wNmMu4b0gZ%|cg06Ufao_Q$M_zi)&>-bH;*?p7m<{uC1IoEj`seAUTI~&ROKpM>r37E5~Joo4;o*mnM`9?5< z1SBY9Y~3-^6EqV2asP2;t#I!a-*OdaiIwP33VfA%HGHDOxajl8t>mbA&g`d+&T{)x z=E0NkwMo%`F8lu?Lx7RSZvT)1Tj;_1tW*@M)CuTnh4$eg$0_{DN0#P4an5F+ z-)4G`NOT`KN?ere(Tv11Dj(wooV}T~lDNPEUzt4)#jb2V_t^!L12^OT1~ccaWv^wQ z6UE0vtZ?xh@x=ev7yifBuX-e^vL#7IdG~V8UTDIxapJJ9(4n!au5DCw_0;fH9K4=H z)qrx7ONpE>PSH5!T0kDlBB{i(F78;K91kQ2M8T-N&0?CFU{%$VO7-M;g~B{Yyng&halqU??7BHB-Zml z4>oap1lLS5v=YOJJ&q+{1X(9)>EE+Z#+wzGixO9q^@)OM%44=k>Q|SGRvz97vqn5@ zkFiXd+N1Iwv)qLl-+RX&xQJCVfex__9=IG^Vd#k3HB>SSJg=Y@BUT|H_^#Bpyxe8Z)U1ae;ih(Z z&p4$@WBQXV(hT;7m9%S-oQ4zVP}w>6es~5>1<-Yl5qd&7>+NXt_H^`1$6&9s&C|ex zAUrm%pzom5mn9uQfwnV1TP%N#uZN_|rLB)c#>mvEpxO<3CHVZB+#2ScFRGA*)Po4M z3L)OoUv(!FP!-h>h0twEogoqPP!t^RH~>2%9Ms;O?S$JhY&17Q(D}AT(=$^F0k{*t zQkqeWPgnqlnW|@R-efOOx=LGS`QTpv@N%N8zJIVt^ZV_Z(xxzgX>SyIHOq5jh-LH->3|kwhfQN&I2FNV1<6IW5lYH>j zwHm3r@*Q(605a^}YdMorM|?pYvy3jsr%l$8x%G#u!~rm1QJyszar0>AiUx(_fW5Xh zUP=N4Uwt!ErSxWp5aN%r^y|*p-+_YG&*U3>f_PLLkuqUJr<11tKfc~ND$0fL8kX)J zn4v+CkPhh_6i{kJM7q0Mx(85NK)R$vq`Nzml4mH zuRZ&>L-rcDg;@>RB=lYt674v-RC}Vn{zZ7#+#uYm_7I#gG%AWz|4Bo~McL`?a+&QMJ*@AU>3C`Syz+!1?YpB<=|Yh*Cd3w_5-b) zRKRG|GhpFGA3lM{1S%OEw|vfDp-wtxiZ{!9<_^qnPqZY3>IUfi=3D3AI}Sj;i{ub& zq-I_fZT!AHbbq8PFZ+&PQDUj1?=khjH2@@dv&^uZNdM(&FjhbB3#<0oc93SW;@?O0 zk_E!c_(&fz>gyhvkH+P=Y!n?VI@d4i%XX99GV`cJ!XM6peZ-EnZ>PbYf)R720>^7M zrhb5tR>{)Bfx_0c-wrQ@Shx2+*`eCEEdb5rXgj{y>|yUvq2bkOu*Us4^*yQ2MXArP zhtK;84b55eF8~lu-P~t8z!SUFrx3rI(pGQPY&sje#mhSVpF6+RSHM73aQ64gkX{0^ z&h_z!jz&FO63zu#r?+gg*L*@*e(2RTU;f>*NJ>91ao?P z7zt8-Yz{B<(;NC*FJ?LX79i3E;Wm)v%zOezCi*IO>K1sk=FB^;VfM4~Ex)m`2|??n zByeLMay7TY&e7<{cFvH7=@X-~NaP>}(#DgTcn?;|F&afZ)`2f<~|054tp|Eskc8W zv+R|#C8K>M!@o*~6-(b32S*9CVxZW?w)!x`CJ!uf7NUQ>i1*$Df{xB|1TxJLv5iz3+JLITm;=hk6QO$(Z3M!-kpDkkfOquvZ3|O=;hdszyN5P5PbYMW|r~j_eo$o z0@@4-Jn@BYw`>Y)eug$G0JUm`+MbIHlqb@;L){qlHX7~@`+R5~QEl_yK%rn0Woya% zcw1NlxqY1z{UU2s3n>yDvEf%mt>+vH0r%$D05~G}_f4vkvT)+ZN%^Xz;vIj$TkIy* z#I;Se85DBZnnamv+BYg8CR_dT2lsHJ+kz~ z4wM(s5aIqrWu9r-vN4l1aExJrR;df0t|##BqoF&0P?2tZ7dMYC^4r8*U@`&*$Vv*Y ztw+C$o=A58)*XBpocC(^50LWkVYO6{i9w+B4{oezRN3DI}$K*5;Wd{Za*rp{hDczgfu_TjFlAFwcd!CvIL{{kFP=E&7$N6F2NuOyHit7{`fX_=&;a8-S7sG5W&IA zOutTL=84x1q7?pUU@^g7#Q1UlWm9qFhpZ`uL6ceV#Po+V$A9lklN66D7}FFplJU^T zgsmS|Tub8(-qMNB$yr)oQ*p7c&z(<05u>&3hV%ush`(m@xJLb)@N-UY$ z+);S1RD0(Mvy4fyG~XpRM=ac)`AkS+3FZF>v0Gf^B$^lIY&2CSWc~?n*Gv+X3>s^O zC|N);wz>?^P_{yV<01(fDI*-G!uw%QSwLZ#38r zX@$BE4#qAK03K`oTz~B65FtmZJIBz?WL@sFrw#!tJtRQ{=Qy;x6>g6)uv(}ujfFHy%0^!TP%HncvHVLaaiz4N6|xMdCF9XG#ON0#;75ER zfvoq9o(RS%nM4u#3o#^@oFRty@+k?bJc7@#HyNT<1g?hOZ+0aMs!st-JH#9g$9@ua zic}<8tMX1{GCfAH z7dg@|xFI6;6kd7M5)WJNHdl_HJikbla9Zd6VXEk;umNW&2J(A1}PCM?UgN~!bfElN)m|hzE_WMZ>IX)!{3g?m3xZQ|Z zBT~0tD`%P3(ULE}YlWME%LLI;3RgC|!FHRYgt)2Cmxs*r!OuxS1rl7p^?)ip0Y$6d z2~FQ6GEalz^tx7iW`9noaSo#x7>fW&Q`yV|M0f*^Dlo(0=$p#cY#KSUe};U3{ws07 zKh@+RiYOtBXr$2DAGVeB4b>kbAD>$@UoD{|s0W+q4X{sVL1!odt#aEeugTrPJ?bzb z8<%qP6qY}0r>a2mFp;*u+GU#XFp=RBiIUN!?S*9)z6(Y+eSqr($(s#V^jO+e!NFvHu^p^iposC8+OQ3*YmAu zG~@`L7CCeR8OYYhGOMaLN%p$ob52U8flswc8lj;^Q&L2^>pel@;n_@2dHK+ReEmHw zWi=Tu^!5mjtS!QefVsx-<8>)#=2S0vcd2#ljf)7b_7h=#(_0iQFIplDW!baLdcusu z!}&&mml8`?Ck}t4dM(}n{%u*>Gx*p0q}`i-z4o!|8sVmyV3u6nk&SS#co_7C3aNNK zsKP!mnW{C3VnP)Wb4@OCHN>1l%6w+hd=t#Je6i9y8kP|lNO}yG9S*u9M!VvM+W10< zU)-=Z?#lrw7~+B5sd5S?Rwme_GWA&Wzg|*3?MIZ<_@E_J_7`Bxu=~Yai26p(9e1V` zP88q$PQDTs+R@#?pb&8arC&iWsByioLem07b)GN6kf6g9F zwa29tjNtIx+F^=knKM6pYW>Qut+0Ga{N$>5adF8|TUYP88`gYfYqRTKKb`S6Or8G) zQ{FQw6S9ASb-3(e^~0S{2V44mpLCx^zuWDp%tH6& z5=+0`MECNQTD!%MGtW@m{kp|G&RL5Z_qo8X%ui4-XBLo?foMaP_@!6F`HNx;%U4wA zJ>AQFz{IY?OyMU!ELhD$i=@cf*5LNIMdjSUj_wWF?UK(;v9(e$(ckj7RWtJG&D>tK zf^+zPy?1Vife4@047c4W^9K6$Z8Ad~PeN%@4sY8Cf4{|vv!IOo9u%?-0dWr+@vy4M z6q0cn$-2^l{rzB2RD$-vsxUD-vVe{CWo{>LTrW7HLoLN|EyaY&ZL7Dd7s{myF7r-@qA6<_B-01|wo)XlkN@$Sj%9wA|y2_z*Go${z+w&cw7b`l9a) z%mzQzA0>Xg{=VhPK5Lp=J=n_MiKGoBMMA7Q059*x7|E*6AY`1+VyAw%AW&~{j)jh+V=rpU-7t#H2bf_Ojtq}&3lN~gm zt@K<*FB1v$l@75Togp^qNDvFuCAZMGc_`r_MBIvzf6lom!*ux`bW1i72-4w7>}C(b zDA#(2;ixW+vGN6HWsh9Sci?{2->0D6+U1+ca2KX&AVW*%XI%V`FF&?oi~S1vBp_8d zECJrV9qqfclYCdMH#^rS^ve!42Qw9~uQv1fM$k-TpZ>y~+~miHrxP~^x=>;<3sUMA znx>w=y6f1xJhx0xljkPY&9#$nIJOk0C~A4la<^h!K6CcaobmwfiKlR}nOvjR9+y+m zj~aQ^{CngD2=HIHf6&8@uL%hgpqPFZFAwd*qoI$hT_FyFZ=#?`APv1J6w&~k-_Ah| zxt2H{O}TXVI58|;>7;6jOB!M_qlZy)jP_fn=dmqJL}%L*3Msjfu^>#>g~~r5x$w%7)-2h)ipj_B4S3W0|G$jm0RtiAp})%aDu2k)|rij#oN~5cLeARaw+#FozNp7AgMhqY%lm;6iKVKCC>l!_~E1p}M z39)W^?!9q3*yXKMtbG~rHBQI$=7vCdi^thV92K|$2rNZV0worwmT0QVd|)OnnjUce zx0+%=(xn>ABBV1vCqmp4NHwGKvMaNf_QS%J;h*c-^f7 ze9rbAr@lXEcmtcTOP6qL%Y!y80@zOpyWbRb@4sQu?nv^g;^YnVThc#`#Llu+^KF~z zMP|z8HsAJ4M})JTy`}uS)a9`WaXilV9x2bcGl%X!`<;7)OeAuy2RGvLpfS+U7N4uzrZ{O&q^-qqa5dKg>_>=2^zV zflagZ56kSwa6yYS{h`OhTJ!Q9^(4jQ#6;8aGcCun0DgnY6}LzFIg1IhG5a9jFYnhD zSHAEW$j#dYwrg*SKSC>P%Ts~-S};JiO_*{bL6a8{ zzybr`V!V7cqKYFgJ80xcj*P&?2jYUfEXH{DGSwG~h=su%#_76FalH=C?t87>V-k&n zXw)9H!+E#_K0b6*XHUz=VCY+Q=rJl+b`W%}rN9sBfn{E_;iDt9I-jL6#D{hAcJrLTHGj?RbXD|ZdehGZw4rV4d1KXJ-sz<(hC-5rw%G4+ z#B-W^PeVkQ)`rQ{JT$?hXkf16)oGv+HlZ@10*ZMgHi-5RDAR%2TBExTkQ~7)-nt z*+#p_@;(-@J4T*3BkHyX3R?0MymHx}q#k;>OuC|&h*~ogK7m&VnO?i-HF37dE{Vhw zpklI2iK(D78v(Op^g8HF3`|e60>ULSfy}y@ZyODZtUkawGW3D2W6Q1~CK(A8Ip4>N znb*%L8bui^%Mw!BV#^?_Y_H!?_#G-^pNBut$^lrd01yu9S$9A$r}bxL^Z2?Wz1Vji z3SrDXbiG%2**f~ifl@%fER(}U0xF&?j$)Tjc%pRKG8IZ^#t@ivw zX-`g9ySR9huT-9#fa{MCsk~4Dryn78;0(p?u`pZAGn3@F1omT}t!8JN+EZ2C;Ofzs z7|Z1FB1{n9&Njst`Z4VCN`cH#r{|!kC~Js=!qmhU$0X5%+Kq^=v8c6BnFt`++bAu; zhXZ=UNS6_1Z`g%_^8BaDkMA$vzf|lWArvz6lR_dKw%5UjLIbyapFKt*oamp*8jicB=lFDg%rAJviwLzk^QA7qS&W~b*@euFc_x#9iM}Rso-T@$ zJq{C(x^9QP6$3|Ewq1^diT8$Id$U7AAM#D&89GGCdaL-=6T8Z)WzB4%ngfmOs38S+ z1&Az{E+^PyD7g@|#wXQ=_)Up}LX}Vv)z>3sG~AdOr8dO?%Jl@#ekj?Z#91 zU{}Do*6^9j#K>Ylbu)3EV!HMqy=BBrV7GQKqt3(k7u`E+=zt}JL)%?qckbZE?t+Y$ z4G_1^WaD34p4ob%i8ronG^YP~#f=73fei%Rcj(@|h)Az#-oeu9**Gk)^^%&H8AJUW z^s00pLGOGfw@&)6*JQh0S-9qH&HlGin3u6g;a zO#U-cqu>(*ZujFW?w>l!L4V>Y2NmR5PKq-sR#(xknfe&5Qp0lbz%(g&I7I*bSv8}!+W;D(HZ$*4IS zf$8Ts@Y#YbZ3Fm#0bEZ?DFB}>=LUv+f@wxc5DTLt(446(kg_He4@@M7vLe8zSPkJW z!gZBuR?^q6-N;z3geenPEnjRUh>!1vfthu>I+V0R-!?4fWp$l>!h;N>)hv{D)0?1< z<*l;_G=r9AzZBBVdgu~#55(E;T4BfxDr=)chEGX-UUkKL@7T!k%qB>pY1;PIQL&JV z776XpukE5axXQPelQ3n!OQ$3O2mOQ`RT5^{3EI^E)UDh23E8!dASuEIO%E7H8`i3R z=kZJd^nGIKxRA$#7%6r3Yqx}mgFxJ2?h*U+T>h0qR}yCW3{HdWp$IA2r8wd45=RGg zVMer4N6)C>JVsP5zS-cTC9$IXnvG{8=5VXMA&Ekq8t`2!AsIsGUoRtteF({KSC6NM>z;@m)?WjRb#(Vq2Z2N%v&f7K4F{G&839Pf+-AL!4);yUK^%8cp-;I;PL z(JcragNY&`$pCnk+YwObG z4ncpmdd~u*J=3n8l)tvni-=nmP73>=F;5adxF?0dx9Q2Oe>D5{ACU`L-3hrda0} zZ^{14*Z#}*ME<2kM*IwA_Txi-THnsngcxMdtt-ZT_}s<%w5K$tX02Rz8$jIEAk(GK zy&QY)^*iQ3l3;v49;Ikoon9JYfEfv4^Ny!Zr zmr|@D^JKOs@vhTjApkso=wD?52)LT1&c;sx5h22!1reU7nA$~0RVbmKC*0lFhwXhh zHzQ=7Te!s!T+)gj?$KP&3UvOA3jqu_Vz03IxZrZ8_*Pp}-No|T4%Ly}Z9mv%Pt|cc z;-3rIf4x7zn^y9Su%rn=)T)0_Z^F;<1WQfrxxRirlXEG0kiUgL#`_=0_`gpkL_{U+ ztWZgfLegJ>1!NC_4-XFR%Mkn@=-_yKP%rwMXULs|6Z(y~%{l?P#dI`S*{V_!Azu)t zzOOIGRO_+gdsi(;;a?x#1sUK=wc!}naFQb;gSqt}G(*l1zA7 zH(Wvh5&Oy+n^(Q3Uu4Hdi~#66C%2V^j_u zK`}~Z#NS?BnTbRYAuX7!7B3MSuwSL53PfaL%m|oRS!z9z_SyqDPcbnVSZNHtN8MsWmkHbb zg2VG9??!M<9RWhit(<@}*+`=qU@tD5+RA5`flnG+5J)&q$`EEzfCOg;Zez63dKI?l ztFLqP9!albnjZWE0ZafGP>x^1uZ7~CF6fsDLV*g&+1M%%Wx|vwWw0>)mPz>3@2?hb zH_UEa_nh<%69p7rEUa1&7K~tMN)yiAjmaC?L$^Q9#x-C^q_c4=U$%HA?z}rOrV!HZ z`c;d$pB!p;+%SsWam+Q-%1izs_mlYWud10JRoy=#zBtOqT|!(wJ?tKhru@G0lEm=y z-NB}7QQ1^su!)v{v)e$Z0fMVZ7b>TynD5k) zWFJgaFz{C?f_&JiVw!mqTUmmoG*D{N(NAN>~C z6$OK9#jG9&>@b*}{GehmGVDG?_>ls7yPZFw3o=Nf!;TWnb`@tRfQxL884@Q~#WmO<89^OEofnJhbn>n_;QkIW9+0?zaYg793{uY?`AhQ#jL}G7a zEWXFqU<^55a=PYBG8d5bIF>NxEJE}_Y_klDEE&*O zyNmy$wXSzuGR+@f$5(Ryh$tUG1~F=x>aj&M{gGITZ@N~v^*m@Ii7%@B!#*b(`l0F6 ztuVX+-o4#f8@>#oBJ1F2Tzju6K&tKZ@3rjj*EQLP;kiQH^r`xGnZx??tA?X~+cx2a zqk8f>*7Vvl$IJeO`-`LI#_6F%+m=2Mla^1~l@% z_ON%J+y^Z9FwP&=oqHo2P8fgCI$ZmZfqxkzel69o9mip7si}1nBD1nv>-d)vYg2dB z@7g`HFkZMWWU(RsurGX=iRY7@1^5gulM1NAioJ4f9w=)@0JZCXHP7+-=`ge~ATEim zM^c4~HJ5~R8eqr)z=_pKC?$DHH}O6IB>lb>eQU5S=mn}jIytv%rk75=Mx1F)za`l~ z?C&0x=dV9Ky^P~-VDkSEUNi8L0FkUnb!o!l&{7$Vrl*Ac1(+s#V~$kki|IV6@zY2e z^hGqW+o9TFD$lBmy>^r{kD zOw_;B@Sl0_r*J*{)h+=l!+FWgyQqPLXeKE>qK8d)Ou|{LMKLs$@rklVBl$IXe+v|B zAde6r;_>nRm;Z6SLJQRLM@R44Vh~?(O~30L+4`Rl=B`Cr<89w4WIY0g*S)rfF%=h<&_5bwoKb>G)?~pQs!it?00J5kj{yat zhcty#Mj~@cMk|B!oD6#uVjEU7Go{jrvUQ*CYnN~RetGC0F92JjK@=H!ivlXB&W)FK z>-hV!*9TPeQbYQYd_0koK%$K|0^UU(XP+F6Da1dtZgoCaWK*&KJsQ^iWoZ>ldDFvb zH@11~YgUYP&3o&}F+V6jS4;#JNT}P;5-S&IUYEIEZHEyY###XF*C$f`O?*2LE>?0S z!wBDVHJk7_W7TnojFPSiLZ^A2N-Thn16!m^fxNiIMV)fBRkE2{J{`dGBwyr#%wjAg zPyc0Nk&MNAEuj}-`G36Gg=?r7ufo>@u?T@Q%6*EvZX)D z29tsPxZiqx+d5ydlBSf@l+XZ|Nem32J3h0;7O~||e-JhnGUJmJPbzRIaa~eH0B)I; zwOE$<=HUC{E9YLBgta^+kJebNgkrZJx)&s7URUFDr$LG(Q-7e)!5`T_dCnP}I}R}J zyV4WMk5s7pjPTvPJ*6lT^k){PG2G~?uIhZ-MmvKW1;3C}U=bfHh_?ouzVbytM>93$ z0<2zsTcq!l==cTD)0;R;bjbqs8}Rt%4__d{dxzRkvxoL~SP7jickvpGf|P;@j(hvO6aa zq@@Azb$WdNa-;FG-qy38Km6m6#o`TwifWacTO{zl3GpH8={4Fb6~ya&>5F2;<~EI2 zptqkcsRbE|3z*`26Li%&5aT+ZQL)GUres~3jse;cT%?nY!yb;r#r-;S3?{&)7Tw76 zmr3A{|4O(2*P_mw#R1_5(q_B%^_mUWVIt~CO|hd=oCVI03yygA_W*idUBQd`Z-z44 zFLyV@7AnuDt!06dY{onZ{gb}U`m)}l8uvRt7q`!az*x}7hdb9%!}Osw`tgMnMEK5N zpQ8Qlq`k=gCnfV{KX!$yeerv#hGSxY2+$2&++N)r2K$^A+3=3JkbAJ#gQ~qw$p7n3 z_J6L!#u{-I0s7&?Gc#be3hf+a#f%u70At6LAvaTbzvpSCN)D}OEg|ZM73c@ zRldM6mAbzO(TDin_lt~q>r#6{F;Cnsb2FN$6QKx9tF^hxgB$q>H1VN38jx>Pu-A)F zcg046JWJ2W>sP6&vy0&r$bRx#_1ayaXVN;6Mnjyy>A9ep|KakRtf6Zzcu-BiU} zTUE&hQ=2Jm4w2)}0*K?Mph5d(BT!9rHfhv%fwTvz{qaiY0@VkrhO^*?#*KE~DqJ!+dShRs(Ro*?p`7H3Uh24bilR}Jd)Hzkx1ncWjl_ON#y7D>FS{pSMk@Cl?l5sXT*m_-3f=W5$_zZ~Rxy zGc|vw!Jz1iz3r&ajJjp)+Ds&t-h}q`8nBXi99p}~hIjLOZ15Kx z)M0IJI!E8W5Yv5A?=b&!0-YTcIMgKbf)4Hk;$8uYyd)e7e@oEf9Hc-xI_#*sf-$v} zu)!s!8xRvfMBw2HO#CF-r-;iI>X&2{eY<5>u(Jx50;ue{9!otqESwY4Z)2{H(AoKl zJrM8|Y)%{@p+Ojoz8|(A#DYnXp90Mo0B`ggk$@Km1j_v6v# zERGRtIoWMZw99EA+jz$RO|__)ym1bF*VFMOIJM~s{HI~>Vaq8mYHscy@fYy>EoN+a z1zK_5Fq(9!sH`s=)9auG{V$5Pwi#g{>d=F8FS;5YOh_clw_AsdC~1Pypwkh#e;D6~a+1|B%f_ zc=6$eg?OE3D{?)aUOs&{8}CJ9rn$g+?HxTFECl$3k#P7A&%5XwZOjv*a%oedEc~S~ z80ZuJ7{HOT=pYo70i#(E2xVtqPP~DG2?9j@&~HpxM^fdf+5|eLSCKyDpBBdhUMZ zI21>381jiBG6YJ;I{>cTC$D7SX_dVZ`tkwdV6TsHgGbpq@vtPUoeluKc4UPq&izrk zR|%u_L9G?P&i%KI(`;*NmH(H=`=L<@z;~z|?{d)%3=9Bs@6rWdXPHwS{zBc}jO$&p z&2B|vL>`cYxFZ0jLqvE`Feet`cR`H6uv(<|EYXZ@hTJ>gAH1Vx5SV}|3Tn9H#$Yuy z6r9_z)y2O8G~8-}HMSc*Da{|7-wya(Dy8y|N@DTw@LaZjwB5--N&R15(qEfv(->+V z*82Ggh?t?LDV{288%5TD1G8eBB)ROnTH*6S3oI3M1k5c`XC#oardE&k;zq5dL}`e7 z4t8wJa-7`ZZ(>(szt!)^Z&AD}F`LO+3#3I-3MU9MB3j0giG?5}Q`ljKYYvBP_}+Yh z)Fy6|bP1fv@c3SbRN7?UAgSlHU?T;}KnZ+V45U$>pU$PPV2pdeMn!fBLS!l@d^cZ< zM>pVfnc9m5?2tOsW)k!vp98ia>uEFmgy%_Yd?R*sD}Joswc19kd+1Sibm~8vQGS@DJW+q`0Kfz))oy zdaItg*W|N`*yKs~gDV2mzP-vbJ|0l&ne0vFSMKl2a_D&mh?!xFLVdRC; zwg$L}ba|T_1bLwd#Kt5ym?Yrm7EKt$0>r-{fr`9kQjaPtz%2}in3FH6n>b!xZ(p+~$qSP` zOs)+ftck)G5cKVW<9$yA^>#~q-qvF?*22@t;+ zib(H5LO~9*2mBR;$O0vXm|nsRf0_-^t{FdO*mmQBq-F3)uA}x?>?lbvMGCc4=yQlO zhA3rhYJhigs`u&+!T`#M0^WUMe+)TemES7y1{sGva~F$;qNq%~uFtJyLcf!sGde}L z(Jb=KiJ5WSlSlF>a3p*{P@FT2+eWT4~!PKpKk9Zw60=uviNp4b6~E7+jn z!@g(n2A(ElL?eMj%A(<{cTa<^9IMA%>OPt4J8m=mufnai;^OEQPtDaz`i?`vYsW$F zE~29l%i{k4??3*pqT+F!=0hhfudy|4+mE6+07$uONCn)v?t~Ycd-+>G`n@y_rM~_) z_Pkt4Z=bzwLs4J6zZcW;T3f3zn!tA1OIg>YZG!m#euNglmr%P?4)oUSM4x9%UZWgb z-1|`Pyq>{pTz?~SFr~EhdS?3j|01ED9z?L$FIxn zscE#M`N;Bt69 z^Qw1Rg8&6{r1WlXqA4PI{+h+wc&hMIS67$aO=R;z;d%w-|09h2rH|(k6Ik=IJ=&adGb&gJxP~C73;IZZ@IwueS9V8 z#*L27a=Iya-@@-qV@KNv_{mAB2A)c_>$eowULr_DARyRl-S~sA#kLU|`P#$4n0h^W znTyD|3_U|FHW6qNN>kk#89CT{UW%FN5BztMVoXo)mh&5I|25dR_rh9_J>0;O0z>S*RC^N& zCXVtZ5e)L)j{C=QlSN<*G7wQOHE$`XB;_ znXmBP31pHEE(eM&WnvSL+pi(F1_E7y8Fm6KdOiqnD3w4XtH zD69VCNzU^)>^IS){!66o%&14o$wi4AQ+{KyYAWQZrX1@Vi14Cc1_~@wCZvA;{YNw3 zik--wMn(BS5ix4N?nz3}-tYzPR$+p=Hi$huD<$-|TR0|AQNfjrk;r^b0}7~AvGyob ztnmea5g`aO=cT7e#^Bb|r=)xbf@%OuCsE*4(=Q|goN%bPmhVxq7-g8=+lClS3q`e0+BRAqvGv#uZ$nP18{$VBt~5YKa7Ipd|BcbBMNU zdx``{JE+4VVH9z=nDhMk9Pq5szLCVl(npuZw9e$j(An8e6lcTs@7~Offfb=<`IuZRZr_C5cPV({_`_=fm z;AHIRZ;vRS5~9}LZ_4p{fgJgr}^1KDpk_6aTbBeKDy}%9_d=2sj9{BAeyBP5{7M=6qD%Dk5JRkaj^mI$hX&VKn zk#O5C;raV28(_Cwc;U9&b}8JpHvduFt!Zwi{y29vqL}>WA7QuZ?cRn)Le>85m7*& zA+=#fRM)fEs3$cR;g&;oAp8FIT!x=RP4SsNx=L!al5k{_aSK$ApBSoYc^Zq*Vrf}2 zWESLBdF7ZXy(3mA))nb>3%CPl>%uT%3dtPI(D#*x5<% zi+7L#TR{&T!XGO3TJ>Dr?e$Q@I)WsPVZzX6Br^!8&YXC@#1;$1(~f=aHr{Mcq5jpC zlu(^hT@33~aOG1#LJ$gDQe0B87mv;YF((u}#H}OYPyPG&=%IU0Fp*&1*iUr&JWvaG zwKcK#D-XN4t~Up8A3f@ZyBi6KrB(-0#w;dgWbLWFp(h}|YrOMccoV{A6u~;_)Cu~r zQF7@t@b`$A0l?rPDzgEUESRI3t3dBr>-LfG7hDhi}$G^nljq z@h5GVuP46qm`IXVp@N3Zc-j2|#VihMi`oZA?bd2qF@n(nZk%PSwl(#xu!b7s2!uu4hM{am6}^oIGh z*M%1{=&SvzJb=Y-wDXN&g{JspqAy?k$f2A`I~CtjYP_9MoSF5Cn4J5$gMw*Zukkag zilv?pl|{>(Dtv}X5_ONXwJmU6)^reI{T4(WW2iEbQq3A``A2diMU{^!GZe)A2G|55 z%?HjorkRhEV}UL(#=>PI)~34}Eevfry;!qK5e8yc+DgcPqB zxjdXmpe4lfxz|KmK{pOm^)7vTttrpCIt@^73r)v{6T$^D>sO{JCs8o>4wvc=x{dLFy zswl&G(;z>R5m!6}W6WFFbD)_;&&bMh>$rfPn*`zWX59c!#0+TDr?1Ht?BDrMU;AAn zN-wbzGI~|VSWo?`C_Ft7s0UJiwRI(?rnT7>D`dXWe$aAVLc~dau?WOCt{>g5H9iJ} zSDCb{LBUbfWa~>1CLnq{c7zk#2%)(hnpNo(p!Hf$BxkD^c|CB{l;%(5<+^SXA<^bg z8uI3?#q;3vd3gKBg#fw>n7uDlY3Oxr)Cq(MAkzULZrCOTqjFniIYE+*+(kTkk`<>1 zwtmXssi;4XwRlC$zT0ujZ#>rCq`Qob5hYI78(*aNvQ9B8{Zf(s%-{3pD{mmg44 zICQ@*1)lc?191it_E@jC=i*qzxJvSRt`3NFL@^ml(VsBn_vXX@P{v>rMZ8T+(IQ|P z4IQX-_w_FNSMDu%mhsT?`X=~4g!0KV2^y+a*T&0UCbAMFEk%PBi4I>N`0;c`mH3zuTOm6Y z!iM3Yvt#?wNQhz^Rz#HosWWKcz{yeg;LwR>8KKoUyP_F42xGWnT_kyMuC>h%cTaCV zf&l7mU1f_o#xuCWVQYBhQpF(IrzWVE35OUq4^@#5PH?G`eXZT%HR&{vq$|`R?i7*fSLgz zI(S@?9^9WW$z35MiVxW@C|fZ~{B1zD>Sxe&a}flbU^fvJnHmOihfwWyKUoU&a>G7d z`?Cv3F@sl%G@!y@qJL(}I z=-D6jMA`WITtGJrfOm&MuU8bJnu@<`F^|%}CyTw(OubGy#k>;VBF%r;c`Y_$KGWUw z#vvo3ykbfH=ZJrcOAK05MqmY-d4i=kTl*XeCecq$luiI~BTq=iD^0>${7EKq^bs%> zK4mLvBMGR{wg-;m8vrgrXl)Dr&l2epR&AM~N~Fx7N}-?|DeP6~=>`%us7y=uCWCo5 zkus*t5fncd@oXRp&;_QHIL@U3Q@aD688MSqN;=w7U=_pd&ZQp`hO+D8ljq1Fp(l`? z^&JGven~p9t;7>5-Ja4fHonk})t&%$(fT)H{fG6dsC}ZEthU+jGfKvcw~kF3^!lBV zF{^4u3kRg!UtdSkK*7+grSH-QWB7keO%ieqQU(_jRf5w7Gg39JsIBb(yvuSP=664* z)=Kf}UJi88&8p)R`s^u1nvk@7aUu$f1HWfW_dAEIpk$r7rJw?AhIbRUD}1CECcEQGmXW-!3(n~kk3o9+=X zy4}1muZos3|pmVM+uyWt0KTH_~{R&@Z$IgGHCe5K2bup+2Ri< z+4@dvXyCqJBP*8FrT*YUocRmji`IqReeIyXUae ziJ2KaC13vl6ImZa=OjpUfRP}?RL^_+nGONs!7!xNAQmTJNEm#D7g%PmajAm z#}D@}=4KmF735Y7vuc1Mf*tpIuwg*@Roe&q!=XPo>St)WwP;FS%Z6OMyd|_JmZl7k zZrAC~CxB>ufZ%!5>$9LZq3)RUA6|^u-e$?39r3rXs)YG5L(AW3G6et6cXBy~L!Ucw z+jbf8^G^z;yBwaaCr99eoAUop+G^6e2UPG}&m4*#+#5FB=5Ux>zAAE?bWTW4wtZx( z6^V~yW0&2ozj}4&3g256P%d29AI{fLC#n8t-baFHG7V$%qJGA)ep}$S( zjRW7JNP=iXo}(8Klzpe`dy<)7PD&%UsJTdo{bl-qrDbq!al+}<28BJ_{ zYSECD9EQ^~AN#ow$|Te6LbRH3*D}bb=TU0hzuMH~d?%jmbGu9>hnd1;s`pMsh7*Tf z166Y{TO=}@mGq!L0`6`~n$F%(iPf`5AZctv3n1+J$IOn-H3ngNP`vsek#{!`%I$VNpLu4K`D#+; zXXI^uif%WF#$T?Am^CYQ?|ynY%|Z=%k3`7g(~ji~OUsIpoBVd<6^bL%n3qRAN%8vL z9|Eqf);14nrlreT%!ov2yZ$2GVZN&}ns?X`-~K}bTj3w0j6s`cv!7B&3XL~ag_$!- zGT-iW{6qx-gF(PFy~_s#%!zHj{#y>g>g-4>c7cQx4`kX1NvIe#It&8#Ef$3^@e1fa zvp^(1Ig%W33j=lSp}&#cQ&u=2g7vsM6k0^Jk)a+V9A`#C2jYcxR_Y2&jxw|jYYZ-s zizY??4_|K`7InLJ{nIc*Ge}D+A&r#e&`6GeN{4iJNyh*R(%m2+h=g>fbV_%3cgOGR zz4!gx`+0x+egE-LJPw^>;+peX=USf?&g}9 zvvK0>cMr$kJ)et^C->}l3zZMF)lNjrCL$(y_=G4Dgs^e34fQ{gd9AK)kyFKdw4y+q zFYP+{sht_}!x~`F7KJcitjPgAWP)B}qwc1DbXdeitz9O;tkZR#!$c4t_!3+%iKmPbtomnEof zttQ`ZyAOGTDL)Rx{a>Zm6b}hX5eJHlhq=WIPnvDSMq<&&q#DnmgLJ@^NctzgP>;kI6z!D%jE^G2I@a);WV*PD8bRQ+F>1auI$$HzNr@58jmL+L?>4tL+>FeEGkIkE1{2#@+6>%*p1z`@g z{tjwVpDa2-bdqlrcP!$fS~@p-I!I^l ze*G+43e-whg0mr9~x!qkpQ$q9%*63|O{$SHUpGQNl7x2fyIdR*?A> z{`-L?xd4cW**7RWHcI9>-MP!od*W>NU7=9`c{mk7`8$NL4$L+X4i(oT^J{|y<8;7i z==3XA0g2J#bjENF`z{F;d`)fe8IGYt{Uu!0pFp#wFFSCftkZKs>De~K(jj16MQd5V^4t=2Srrx*Eg!$HUlQq=vO>1c2c-KLm6tFx?pq^0 z+0dDb6*pm^-IB?bo+&ZXW@F30wn9zP+#VlrHmI^M4=>c)oh^9S|3ZLsD|FF|184>n zl8=;N14dw8byS4=wOwsA*F&=g;J4)G5;t6(XEHYmH?b7EWD#F3Dy_e2L9;M%JNY)k zz1b#{(MX+a`psXV&{qLt8iZ4)Q04x7JEP7Lufg=`r zQ&SFZfzMgLIkCQc`Cw;+0tkkVIls;SBRpU9r!ui9F7jXZ_Lh#Qmb*6Ehm(Meo$20# zRW=Ve&VLj0m0!H++W3MBHlM#)h5nx{vN${DzyKD4LJFL0B*kdV!LYk*ifxC z&on-$rTfUG5EgOq&w5|q$$o+5Ummg+Y5Jqe5+CH|EBWSzsB&#*UA_Ae;^X09 z5u;HSWkJmBKjasl41fysk2ned4v()GM2r3rN1yt(6_zyOoSvTU2M@!UZ2xwi84~|%B%kMpOAAdpI z{0^>H(q1@Ob5SseAVQ9tM;$bJ;Pt8^gVtz*KD}sr1r53C~Ne{SnhU{pXi5(O( z0zPljta|t!o^l`uaVumbX;Mod_j78SaY%f`$rvUKpy)NR&w>)O#ZuziGPr72vF}w} z_<7C53AL1p(7dX9hKD=-4G7*l5CyKeE|K_^ZnGduE7n$8)G?BwN> zH@M}25FEEePTA!(S<&`Dx&TyQH^V6evje&Ziz6?oN8n(6UD;)Q6h6TBrzjD&s=Xja7*m^?jB*?4|LEfyG-HDfUL z=Ibs3GTF#Eq6N>I1nzW;#Ke&7Q@5If@1)v4Eh*mbRT+zCDh>{f5#~IYBk9NiX)HF> zZQj*|kQ%+c89+r$O)<>3GqAzwy4Y|3iHr`Y(Vqg)?eCVvBs*x4Z7TZ8o?m$BOxs}+|N(k z%9VM54B78J_h>e;7{vaJ~+G!>N3sa z3m9 zpGA7@(}7ArBOh2srn)XHW*>9RxPB(ImxX)qKZ~b2bwBZ&h+3bMg%mB^?;Suo%ZfAq zt@JLBk$gFQDsT$cAR2ycPh&qqs{~M%y<&P;&}G()dxCHZ!ReTV3-acVbdO(E?nnPm zJU60Z=6lfIY&Dg#*M{TA@w>X&`=Q56pI_|F=Lr+S^Cro_Tk60X8@RC~7&H6{+j@Tt z#-(b7q@SE!kZ+9uCFWIBYbJ=`$2;Xm6n3wk(7#vsXBUEY?V;>@5gK26!uqsh0TdMD5sy%J@lE%*S2 zW(jE>P{XY#Kz6@mNypI`2*X~>eK8Pk{ggju;XDR{(b?5y> z)HJ_TS1KsIykvYSNgS4Cx+MPH3x;14`M{HuQ9##C(jBsgUM0^JMtCFgBmx`B53Agt z7}&uu{NP|#H=sXKd`cbD2OxqXvkLG7^C9oH2tN+MkHl5^L<%z+sxWde0otod+eWHX zy+dgM2?96nY0BhJP?SH~zOF%4#y$cLlj)B_5)p-Sd<`r_q5*Uwfr>+VjOmTVI5`PdeMH2NvYQ-D(|iq&#m`e?N*JMI+2 z2b}eGZJp%DSD4Qo5qEW~dUJE$Hmy6nv-=~UvS)LhgpwZLUQBZDJ)kda=CMtGDbT5} zO>bHwP&i!p^0R>H3>Q1gRv+O$AV5e#@1dJsGyNBQA*1f6vIY6ym-7F0C=m1dm3ZFs z&Rw&+URu$lpIyoPS?&~@?%O5=4P|6>-HP6A7nck=rXN2Oy3+(sC`uMzAUxnQcacWr zQfUtS;7^aip3u+bv?uz-K&V<^N-Vd-;WiPTT^Il@+<)otZ=8QX^zU^(PM3@nFS zVzflkfVWm(SqxxvwGwR%2*z1{t?XrTi|u4C2ds;59m)~lhh9VV$I-;irA1HPWO6*6 zjd+|?K3Yj=EGuHE=lOj$M(BOj=(F?xNUs`07~?>UVX#lMvCM&l@9^GQ-qrOw$630E z3*HM=q9SjTK9Jz$JfkoR|Mt4oaU{q~Nhk~}f0&6|*Jz1WoOt`Ce*~7MEU-QR*s#+0?eH@JRmiX566qt*%OB%QlUvSD3>wjz zb!C>uXr^&>(Gay5kcfi}x}gS3=qeDk#J*NcWH*#2K{P_*pq|&=>nlh|nB^L1n7e1% z^s&XWVS;rMMLT5VZeA39`cUU(g+ivyA@#n8 zzc?xK0QVFAaIYKJZcV_ib{o+ZXUBNIRFcl=kj0lVH`NfB8*Y0b$`h4ZS96dIDR6{F z2C>3OB;aVPPOevh+j3NBSh;a$fT5O4X^O80@F>_KRdV27X^bJicvU>z%E6e)!`WS4 z%0Y8YL^>qHOH7^lutp8XS}Dz-kOpvo)CUmn<=@PY{pEZL9*VVFbNaN~ZraNz?HpUUq{8LA zQd^@WU&aL}@;>dn$YApNJ2@!Lbq>~gw3hrPHS$T1N6)S24<2;IS>zunTM0xU@u}Ao$K= zM}r+4D-p!ySMbtp?d?lI!*mNH9I<12hAb;LfmPyzxkH!?Jq6me_QZzNF+XZjC)(NI z*jW3u#RNir;K}N+VV|rkINvATX>S+1EN`qH656$%ZvJ_`%MEzPQ}Wi`H7u zIM=InA@#L&?qLP}BLBWaCd|7dv!=!uh@7gua+=hY2FPk@!wAc|C|CBDd9Oq91Lb{6WG8|DW_0u$h-(?TJZJ}!qyy=!u^$ABq&&QB5D%NL z<0qtbyVQrgZveY!uufw*Q=RC}zY!|?7VXMzbIxun=Mg&=DZADHH0qqb>g4K-%K34v zkb^ewv+GEE8uqAugNU7cOTi+D{3EY18EaoNAy>Jsgg*Y!5=Au+i;(78IWZoEA_eu|m{`LDCL84`IS0?;gpgfFXZkRKUT z81h}sLItuji3RwAzmhP#M9AVan#K z#@1di8yodKM~MTs<@-}-3y2dr2Noc?po%Xp$>d#Nx?im^td*&3I(B1Wwb11Z6PA?V zo&#vs4PR{{ETRpE{B?(X({la%NQ8E)_({<;%q;uuOE+e`IdxO~a50&nuV!i3ThFFq z5ShrUUYGArU~M^Cqf8PkT?=|KU7&tg8}=(F>$K!8YDn1QP;B(CgM)HSm^UsmTIv(@ z+dKfHG$k5Xo?nwTwG;peNqY6MD*CoL4e|CxM>S2=2os^Zk|ho!!DBe(fN2s%!w(e* zJU5Y$8NGpt_7b?%5&)w@CLHWO&=bsY7)KWXd56kigxtUNN`+yyFEedo47*R}mduq# z)oh-f6e;h z1E^nkyoTFS(-V16DggqA+5B}b2Il$;B6*&IKAt&uD|~dNC-zHfpZZ!=pHri;V|#A9 z+js9)#%s&%e+|tN1Dn@GVn+3$g+Vsje1pj!&2-p1y{C6X3RjC#|HMhRp7uzYml@Ux z=3_$GQbmVgG|PGnxKy3MeY{r0`-qyhuEq52TtEg*&)8C+ERcZx{hJ?vU=Xp$7b8P{ z^?RDw+6Xi$a1vDaTU<+tkyTm>fR#xjWG0;l*wO`bhbgVjD0!!QK{dqXdX`NtFEL<@ zd~*IjK(YYpHE4C~*QBq3!{U0AV#kH!_Nt4|&n;bs-Rd_0cHCFIunwK&(R6u~9VL;A zTQC%;{!}DQOqaH?!}EqVQ$`YM;NB_*bzd<7F?_g_MCWZ(&+iGFAkR@!U<1Zyqm3@| z8Ng?xGC-Xv8nw05u2)SPq~7gjx>CbPHg1SDGSZbUFy1o&6FM zDu0@S${F_hKCBs<70QJM&ec0Ujv_qk{MrNNHyE8w%2UsF%qi~@8O@s|W*Ih%_h79V5Rora=u<1|yz!7JF4?!VaV?2E_{FKq;c*yQgfVVSTuQwRdW((dJox1Y-t+(tRB5cz{@hhif^AGJuQ$&@{Uf)?O~KHmRj0Cd+gJO0 zL(1Rf7ar54NO0zF_49sh?J^Ooqty@A%5{^5l3Q?I#!>OCSX&&Kf9pf5BVmf1H5Y?u}r2WCPx8>3lru5TophS zX#HeOF?)#!U%Y+5J^K8)(bqXI53W3<&WJT}u9_q1smAcnw#ik8UB~?2qYgJ!>ltxtFkJ3)ZhsxgR=f zl9FPC^w>(Z!yw5+g@I3_7NJAqDWB>OWvo>jteg!TGtkQIUN?MBggr@>pesXeD2EYVa8nkhA zc|#T0f)#jC+VDtUa4W#F+!cA^1YUvAqRQJ<%B6z@fH!$|l2tD)(X*6+xB0&eBlig- zo@^f2h;FVLkN#@Pnhu}Kdrs9K%vl!KhBnG3&XuIF7(zL78SVVCPsv8|i@x}q)BM56 zZ+5rJ%kv(%Txu8dedqHXwBhf1`BmsMOT)g#$pK{Kj1T!7itm2a2VmmQRLorlb|A$E zxnz&=eR5btnaphhQTKm6F!iI3P5#UyL2>G@9g0;;#0lG?=|{s5M`yz7zi|0xD$B7= zPDJ@b5|EL3zN0c%WN73^>ZvxK>+}4Q$DgGUyzdxdQ%0{S6|pXkf5 z>3TH#+Y2By*Zv*}EZlO5n)%E#TCN6*RbeorK-IcDJ)WXvwOraE-8H;wBar2OAe~P; zb2xo+T~i!q#UYR-0Xt-m8t7`nYz_2m;>}eeF~A}Ot8^d!=0OX92Oji#aJE=3Y#NHR z2Xf0JVp7?UV^LOEV!hv^SiKRe@BFRTO`CxoIRp=TGGez1Bn7n$*k@Av^8a}6$TNf>aSwtbQauA$G2REp$;nQ`!`6am({RLKNH_=bOn z_KTj5(A)IZ{;T8;ELW$354dFo^21IwUnXS^;B|s|EHMpVp$3|;LorvKpFNdIcfh52 zQ;QkK0L_{^0>2|!ES<${C7I-oq?nf_Q4hZH;Sb)^Kcu%ma|dLYlbk3JTtj0$W#>stP9WShjubL^-pe2Z+*El>Mr zHQ!F92pR{6O9Z-SP816Q2xgp3Zbl(y(M{@EDGo0LsQ_X&W&c4fR(T#J*;A>+KAMz1 zntl^f#){0`eex200Y&8ay-&a@!@=&fzb+7Is#zvZUnsw* zmT1Ss4801)b)jPP+!&>dkP*3~A?60)P+Ads0IRCp!npsK6Zlh)d9JkR{NMXXgx=SO5BQG`lZ`rx=D;-DILDrT`-5TC0Jrvg z;j9Gtf0IQukp3d8d|A9nQ-lnDrF4JVbX7ZKmAtNT=nri17k<5?1Rlsgi=~Hq^rKi) zq540IrFE*i2f^c@$;PUp2^Sp~DXmdwN8q9RJsxlRd?s!@3aa|hxI=^6wX*U-YZL%h z4WJM{tXA8!lP}zb&TCdy&D7qszF*$eyLZqU-I4s~8|pvRT6x^FJIu4OAtRKOXsQHp%#6);7cd~)4fxu zefb%|)LHsBi@t1oMHr{9tQk$K%o*iZ=@Tn2*6&;vl6VBG(rOST^(URd%@8D7F<<5jMy3rU@w%>- zKW<-YTa8R1R@wfN)g&ujD>810bt~_heYq{l8MEbWa-*lf&aW$0Zak=F**%tnr{B?D z{fNQ=%__Q#m*ZC{u=^8-mIf72z3J)ZD5;ks+HYFoN4KX6TP(*jLhHy%W4u(%9^vzI zXokWuFc<+#EA#maXx3(EFw_ekGz!fM_O0Sv^^?vDH4sB4{LI#!ECaU_M}}zTM=qGA za!6Ye3=H}Q5-AfZw`x8Gq&H5eF z?OwqcyUwM7LyrSn&P*RD)_(WGY5&ctC3Z1gD5Uq*G%XwiW9-()BG3dtVed_IhCK(F zU$h4j_I`%IFogC#GYOe(lCUkl`rKDb*$x=)MF79&hQ!%=d7uBAOgrX1sT4<2z#Q7@ zV(%yJVdn%8t3l7##M>Pm`9B^u^1ENz0q4@uA1-kqid~T}!2Xgx;nBnDsf;<&#y+g& z$P!zcsZ+Bb=5)-rPPS0Z9By>rQ>~>0WU~}vMkhW8q|=^(=$1eyiIk;4Pe)6o?mJ7Q zJUuXN0%1m`@Q_!;M~_<{a`)d8Sd31#FpMZV446$~4u%{*+^+xtP$_2lSb)7yde%=> zz^FlMTsb!}{#H&NWG;x3{#RsTk{)yB{l0$Un(@Bz!KIQKL;$4yr}=RMRu>e|)X{M` zVCw7%qzun;hQ;AyEgZ2^u04?g!da-jB8AiYxpNTL108J z_qfoXTn{8XXx%eT@B_GAoB&tCVg@MoT>hWY*Ocvi8AoJ=QQksReM*JM=CyfxM zY&Ia-J3eiAWr*9kcS~!?(HUf5h1F?jwVL#^%Zfl;yivCCaNOgqv9xrt^?Xu z-3MQ0_-j!CW9D)z(p9j}jmSfleuJW4+lPc$BzR%pX~e@>;grwP(GHo$hpkQFTl1Rr zpM1DE`;}&Der>s`3tIp!>v50VO@BxNSz|!=F*(6-xBm?KPezJY7wMyq>nf{i8r@$) z$pn`ly31jwWBTJtCB=H#>dnOB-4mDnxmqiq-JbvqHdN~cP!g7~Zt-U_emuK4m=87; zxkSC^gAGRl_z9}D<#rjn+tKvjKFFxp*M64|l)4XP2^ZslX>9-JeFu<6^|?509)2G$ zh3(Y0N)=qiOk%_`O;|W+#=}saBSAW` zP(XbfBFJpsnE{Y01NgP-`W~%%2%F_ET9i=C)Tu(Sow6UM>qAnCsK- zm_%gx!*0kEPWm~eQov_QnrYHVC?|{v>;xc=#(``x5gJ#H4H{;F4ZgPwAV07ouS^RV zR7AG6aX)F`c#ZE1h90~RA|iOUj!(ZnX2n9%&q3YKv9!4iV#_gI1*mHr+%N+K_ROJt z9A5`gO1hxutzdbSWwQ1y)E-t292Bqn#N#2XU(Y;{2tN!V z%N8s&l8ah!+i}>eKwoa$=u-gwDTv@6wxwf!e)=x~ipbw;Mrl-;`xn}}U4B(Eaqk8a z+K88nz`0p4S1hlroeAL>bPnvE#i)#glHs`&m_4KlKtHP*U&oAO zYGU2k8N#05>a1Xf1&EF~ytWMR^ho{@xDZ=&JrsY}tbwAq&?bN5fb3Z$wuor|SrpU2LZutiqpbn2cT3TBem#WN{3NZZt4p$WLA-41_Ah=>5L)`&_Dy6-5=m;qYGcc=^!+8rUiRV;f&9af(tos2qc%yY$IT?-wM`b@%N{z&ICVKqC z)6(ToU(Rauu(x{jWCVBbtBBJC)x%~07yxUL8|`}m)!o8JU7VJH#Fe-&lW*s~ z{2F3#bvMtIUafYc>Dg;&>IJ33*RK3Wse#9TJD%!RWHJ@EF#{s3+THd?I`O=5KvdkK z&I*(mMS@Ix`<9!Y2rbZ+DR?I_JvPR!_bZtkh%=1%G!2wc{plEQ3c;1o=Ca#?mz&y0 zeFj)p3f<=cxLh!GrZ^IY9|S70^C*kgB64Dp;}>m#?9d{@{XNHbsU_wN!EEca{_JN1 z9em>lbjU5lcK&0 zzHUlFMi#dDXRnXy5AP-I{{>_bRk;bixb!?4y_4~gYL?5zc)T3C=UzN*($oVJvNf*p z3c#*fBOYnkv}?E{-(DsxTu2X79dFD34PM!Lj@Z~dD=#Q4PRfdMSQqnHg! z=24!E2$7?s<9#r!CDOHN`-|J8;Nlyoe4*`%yxs$N;X(fK!#Q?QHr!PBl(c47l}b+v zQ{dmUf);6c=;5JF#q;l{|G^t;qzAeLWv76q>u3Rb7Df|}kcaRwz;hh>+uT1yRC36@ zFJO_BY7?q%JrqCsYua?+3oJp**+o)G@VZ8^?hIP4eb;kKHe<7tgqd6iSjd z0`Cxas(46o(HPcDCm@C*>iNUh3oCGcA~`=e7Nivm#Brv@+3r?_mq=Xk;R>`2KWn58 zdNU&)nS(JJNYl=ti2pMIDbOlU)|F5y?Dy}NhcsH~i2e>BZ&?xpj0|~{W=*(^EKOYW z7-NDjhq#;%F|m}k$_oQV7|mpqQ1cM)Cn<0TlG#gyt;Qb3ex!07BgWWDER4jm-Qd=O zJua6CxD<|`M$}VqsHpkwkVY`dRv z>1+Jra7-&F^D1JWuajQ(diRwy#UuV{^po<@QrlT^Iof8;6WP%S^$|nM!%1j3gKTzQ zW>tPK9a*)lp4F5_F8LR*Dlk?iJ`3Ggv~u(skgqn@vh$EqoTQ%J?qJg7gmquAv{v6E z!3U!^oCl{9W>?)^_NowmQdpL+`rBLg2a~FikY{qpfKjFBf$XRNF^yCuHe>&>SP|vU?&?$tAsGTpVnCsMB?F{Y8#ruz#l>do5aDcUiBx!w zRvdy`$=ChLk!!w8-$qXyvf9!=Y`1{c^_$O_x{dwBO#^LwEI;*~a}Vw2eAC704M-g8 z`!cCxbAdGV{Z6gxwLg*0FV!?TzOOc(w6^$)M<3ZZzC{4tmTh{lw|cZah*XCz&w zH&C#j$i~)@KF=vLC*vvRvo8n>%j6PD9Y-PTm1?|(Bh~qG-w0OAEM*l|2$mX}qJ^cB z#YVDEfQm4Y&_L{|uaJ?z)?Q0~=p@f`9Da?N@xEb=oB50i2FgLOR1y*4I7&6i=g~Bx z0lFhiLAD|MeCAfOM2t?Bg>mezQDfFmUG1d=aY8|Ld@*yF>3j5k0XQ?EPU$x23>Bww zwtV}mO_8V`er?(x+`;`&C6)%Y7ViXNw{fx0DjEH*;b;rDSwn>B z{pIQ2g6U_0U4#n7d}Fc)t6w{~UNt{tUc^Z12;U{~mZVd}e2lP4(_Wfr;0+i$_Q(@bSE;xpk|%yDlkD zmjO_Obz?M}fEaATkQwU@uyK2gbv;}NFcp22`e*K~Lj*5gQvL~BZCgqMeuapAT+vIJ zt99UG)l|k}k@E59)vzyDr=cY%Z2b^8yb?5B+-BxRr>o}+1=1vVxH3l=1^yc&? z5|iG&6aK+vnl9>^q4VvXR@jf<<=d}>h)++q&lV;E>ytJoK=)-w@5?`NhezSr5qDAl z!o$R*Hl${@hqT@a-I+kdzS20Cf6D*qn?DUD;lm%ft@)%PXJ_D#!9@F!my$`&fSO*6 znisJV_k}S^E`bER>LNIZgsESyD5yg7Ne&QZWiqezuTbj+%RPUFMlD~!+kxbDQR}1o z&WfKTB+{}hM>9+cAFJbeJ0`1=S-fSnaXKVn8p(#7%4f$}XEUdnLhTt{t1b5uPs-6M zi7tiX{LMji)Sh<>Q@wqL80fNEGYkS$-p~GV8krCkRd=gGMg>OSIIu*)vDl8gc`J5O z%A&{k{Gh7IhgDgd#kkvg#qnK9?`jgkHz_Bd<`4WCT8J$&)L82=j*VRV5xi=yB_ zn)T5U8gm6bIy-9DW~6od%ULW$I3;~ANIDyZE|-w*P4Bs)*3Oj3n~sZ5pT}a}w&0RH ze+H4*s-lnak*f@ zIh+kPM8^R<9b*804W;TgVt5NLJ8A$tER1w+pqeL5e3Q$hS_#8-Y1bCpw&f0;XvVdufzCa;c zfCrwnZLZeU348i9?xPi>lqCGb8_rQw$v}=6E?d$Jf z9wJxUZ48gV*h_9xfBq9*T^|h;!R`sOqJlk!z9)zPzki;4aPN6|aC?;CbANfyXO~3d zbHbqOpEhfXHgciK^BuZ8^4iuY^XPzTE~4aqyc(@6S@;B>Zz-4EbK?Jf+D3S-sJe9t zE>^~W)ppTtJ{wvL*SlW#`6DnC{UtB}X{vt&2Fj^M@*($!&|BNgOd8;EiFTg@8uZb9 zb3{U8PO~$h>{A6?Utd2+FzR;;E}ls@?4}(uX6BCb9sA*Wku+axJ(~YD*YZ8=4J}oB zuZsT2Mt%BkprHQO=b4wTd@}^&*MF7O{ws%|3n>M_#DSpXpT2NVd!Ts`p-UawU20tb zLG-7{EFYYBsnIA>+PffMK7(PdkHa%k8XjEdC=Z{ihOhfS;*f4^W%(V?Jo%Mcw@V>2 zkx`K$TyK*%-vQbd{J6+9R5p4xd$@nP!(DVIOan)I{wy;xQv@xFOp!X=PyI-Ihn|1P zmLPeuf2Z^}6t4>dgTw0e)90WzZmCEW)_MF^)uxEyOT4JB;#HDNy>rMJSb>a^u!1(z z($fA)z#hSzuq5@|Ef+(V5b+I4L}uHb*C$cB@fSS$6uU-E?iu52&?_XQ5iYKwh0_rp zB43R)>qAmz?mjh(a<-|VeU+gJI`m>~?$qs`Ius&R?o3KjWD&8*Se{K*=TnbGDa%Xb zkjp)3%S)T$L)(t2MY&SZ*FZIv<^+`bC)B`%v|GTUc~ip#t9^`+*iWC^yNspgDzVU3 z;wu*%-b>H@BBd0TCccvpiH5JD2fmARH0}%10hp!t6pUENSAGqLDBytMVACLL2{cv? zGYc*uvOvg8n^~Gp5E>o#6rCP(ZO6=Wmz#c%P7vuiVQOSummE`2Hi`t0VhCzO!Ch48 z3hx2CaKL1p<5=-tpg5aA{rksn`8XV-VNV;0CjXv=l?>Wq#Q$V3Yn!gu-P27uqU*Su<<@gC1|#s$NLB0i>l5yGUJ|zEF7VO;T;<*sT1a!o3$IxnsumYFLxhbDDR=U(hshd@vGbb+&kNC>P+3M`9y_3bN1xrbUT6=2md;&Ek5_v7g#ws!9n-h!?Bg0o{ z!F!3;i)=6wvP_O{Kk*=tsVOQ$&PSJczc#wtOH=+oeYqMF)lh>XwUq;KrK!HS7BM#A z$pB8%GEFo0G7|jyp-}Sr!9hmX*9iKycR12n;^)3dZ6Qou%bpvBsZ}Rj4?DX5j zY|sOy9?eqz5i9M^{~X6o=Pb-=|4KGZyzu+lrLv(~0xmysJKS;lXM8z1rN#lnl;44hVfi`ah@LhQoO6 z$e-+jJ}cyE+3Ru@mEC(?W2#|BD%=fUm|ZMZNJn& zbJf3Kz}Wh9L}ri)WNxs#gU?Vg86%HSC*nM~fYarv*&MMU1|&FNFq zsdduJy++bbk?O&rgIUul5WRw?tmYaS#zi7fneyaiWNb8w%#unusAT+uhp!WV$&+?l z-sNfxRx5kO=duJ>uiJqAmjuEYafX_B4z&zC4CF$OQ2@@rz?Tjr`W(CzoHZ8Z1o^Oq zP9p@k<*`64Sfs3LHt|JBZY;WQo+219!t1xoVR#auL~O2shM zZc{SJvJEUzZZopTIVwOl{Lwh!XK04YUOqb}BAelNqxQP=5WhD?9R`+Va5oXwtI3I~ zD9p(d>JdLemsRrFG@_&$Rbs=`v`~ASCV06cYidfw&%-sO@N~k72J-Kb!lJ!fm6>5Rm}We1JMCGx|Jj}tN&gxBBl z`v6Ll;rI)b5o4$5OS7L!LY-qz9uj$h3pgW`WWyuxf%4PY5(M~0qJlby;`@=dFS87# z)}tXz?b^0U6rI0hpFqCyD1?o1ywbGPdOmOL$Xc9YSsOYVvI?w0mU3q>VQ$NN8i*}} zX?;8G9+xXMejpHI&Vdb-#?K_MsuCGqhD;O-vt!#)R>DJ}j4gJVp32s_Xqgj*B~l$E zIHn{ZgJ<2vlhD0bl`<0oPN*HUdffIq;u;gaN2uU$81dUOYz`3^Jb@qGxJoS8fFYw{Geq8+GM4mU_s*hfIfJG?q=B1 zDf<(nW`fB1ux(TG^>(8_20d2T)&anu7}3QVX?nwUHLEATUlQK|TL1B$4XhaBz#j?eKVMPSa_+ggn6rXw%H{QBDoCgEKu;p@);TOdr?wrwg;kt8Mi zBUsC2ujSVncjvcnoIl-@>-*y5h*~slkPEeT1S4S(Q?c~*f(KNH8V`zt$1un)ce-vZlL<%=Wx{%Miy=* zAQd4M*aB)$cag)`v-30@}nPrT;27dNXaW^^EJeK z^`Gbc-_VvSkkAFF+Q7xd#agDWf6DuT^%3jv>ReCj{b8Fu`8(e&7H8V6>Up-hb2N(~K* zCY20FcFrKJ2Bi$S;kma7xuQAtz-B7&QY(@whk3OZba^}b6&;#^EPqhg31_upFn8Kz z-%xpqd#ol<{xjB7zAH&Qe7a9G9Ai2Uk&Z-B_>dZzz8`@qD@V$zP(xH2X@P{Rhj!6L_s6GchUMGUH+wMn;&gThr2LgSi% z!S$>q5<$oht5M|_b-K(v8uwYRF*R*B$I4-WQhT>AoJJ-31|tCzG>5s?SnzTwBD-oT zL!+j+ntsFght{-%fkMj<+%p;)RkW;VXGtQJ=;aW!7teBz+uTtc9j%QI4h{_rhIF|v z4qDq5gtZ?d4cZ8ARRaj?7W^=u%$U2lQ09g-N=tUyK8q~Xym<4;Ggh9mH_9mkT)m>r zG5$`I5)qEC=?%v<2;q z=-`);BUX*IndKyC6^pFv`YdpQlNaV2@3Fx4j8m>z`+ZZa6An@9hVOk3pv###RP~G6 zKYS9mYr{C5t`v|N*m>=D#KU5Uld*^>vxnGz@!Mzh@OUZp4GFNjWH1a=V2{Hi7?+coG>6p zcCio8gzWyX3XGvNX;_epxN#z?K&Yt`ZHs!=@6WX+D2itv;=?=;!D*22xHQI!j2RYm zAV^uZ&m%dBB=b`c3;}zrO$e`S<#(*0uTY~v)W~h6uzV$0RnxdacE!Inl z0)Cm!DQpy=t(<5bydO^Vsm_fNV(*1Q>J_J~f2Ws>-kuy`^tQKaFk#~w8qM-zDJlyZ zL)uh6@fZqZErq&>6i_4R0wfL$rV8S>wR1Mgou{fByqUxL@J&-w`*8g>)o(c>USueQ zl!A6pUvbq@Mc?FBP{AM|6a!*ZcjWi`|BtS>0IIT4yT7HoySr=C4I7Y<7LiWr?(W!t zf|Mv7n?~twkPwhYlTk6)n+($U#pBS0s9Q*eO9|VfWo;O!HnmN>k zX(*oNfa$wILgnEh6I)BR!uk;tSKvLTxew#-U&W{@o47v%2Jxa48H{k;JDOLAb&&qm ziS@tVFjI#(1zjOsQw801pjq4OFm4m!F5O3Ho7%gZ=d3l&k`@JR-&P#my%~o8u|F5 zA`k(qW2exA-!M6y_}Ryx`A?Z4(0l2@`+KzUpZ__Ul>^UB-BjLGMyIPpm;7{sz`FZo z?#W|I|M+9aEmeSp?j*S5Ec*#BaF@y0Q1kz6M|A$pXcE%Z_&J9JPm5VSo*#pFmj^pz z@}^WTDLzZhN@D~znDCOfZPPspUHRY`bV+hsTJMm))sF(rizC+o$zzC~(4#SKiseFv zmGLILt@JmWJQ}EAn#k41O~MJ;hg{^s2uT%2Ex|!SgV>2M7uQXXUv6TuoCF&w^IlCJ zfFoj zjebI`4P1}`hC16FK&54s@S^J0%J_k=YKB|X#xnE{wMttWjYcnvC2CnWC4LBH*NBk$ z+~Oud?#oK(pKq1*3PzujY`+~ZGe zIg#)!@2dAJ7cELWb2=}HZ7~aHM#gBX2$MGIIav4J)xhIh=9Jox0=2elxw5DvO0g&^ zghEZSiL6+5m;HtM^VA{&{U=}I5&)K7duX3oJDq!KT#-_M{bNzWz~mtTVdrziodNuGyDR^wW7xV<1!zWD?=H9`hEV6awk_3|Z6? zKTkq=O84u(sofg0gX79c%2*4)XyIPT%&2yA)*FM4boz!K|n685yu%<3e7U*7Y zb1X`E?SJ~BEJ!8OAk*Ncp?M6`y4>4Vp0>9EJ}8en?70%LZy;%(ugtX5h^S6Qn@x$Y z;|rNQ-GlqVkc{WYD=jTTvP(a+h5+l%nb2!2om4Rou6`sNQ^_8&~wCk)$ZEYWL zTyHFAiKFAY3VX-fH8)!2jD68Sv5>~ZptRXTXEtD*FCQ!$9O;3uBh)8-5@4%$a{yuq zy`gz2SJmyc5APr(IdfK*;lJB-m&=zf@9vZ73B$tu%?1s6S~`Rh0yYiy)90}LyFaL@ z4iAHxspnhWkSsk9y1rzJ*7&-fSx!boz6NT*9K4Zb=;&HYFADy9n!un0p7bN*;#ES+ zHI)J4&1|&~s^r-lFLYOYZNoWttW~eT4)2_UzARU^EY~>>ZN9jVsQ7_fcUP+w?Kd5$ z!}S_0YX?p@snT<;q@-_vCKrwZua2iTIYve5T^m(*hu6bJO(SiiStvQu;C&Q$38`o#YZ-Hi%WMyYDsr2VVZq_(w=Cp0yr) zu?2`a)c@MYJ_LoMux~WlYdM^cBRb*xed_VP@M-MU;+bh9!pVn>`h77=p;Z6WkL>~1 z{?ndAW6J57`>`(TWld-CMRUXAE{uG8e!iXSwV>0A}x=X5EONR{*e`w&eCL`LQqg@uoy_-%+S0rlW_mRAL=3eY#W zT_^#t$H=Vb`E&F$5s$*hIi+4KqoQ=0=meytAQ`j*D%MwEkSR4_bb!g7`Gq{1E$_Y3 zTEewFFYdKA(H_uvzT95=2z0n~2Cmofqq1u>PF@NcAnY>aXkDta!Ch2Go94?A1-BE-B!wBngF;7<+K4cw!!*ez%-K|2(jNyX6v9ifi~ zsIJk|t2t>fLK?y`2s7`m3EDm#T35_HsvXOkRT8VmU&&Y+o9+3<8FYme5gw0~Ae5*9 zUjlzLA~F=tnF;*(1a`($ZI)_w^y#LToo%6^gwy(*UybJU%QL_2+!^iXmV;@661 zz^f2K!z^`>Sn+$3wG`d#mt7c+4f5kdmK!mc^4I!fcW}NV_rcT7^J#ULr*hk;l8>OS zKn`!Bgb7@z$0!}DzS$s5+EC~KHD83}4w8Vh0ExUhuoEJM1BeA5*Ip6_9 z!QpZoj&P{57yLy?h#X0yJSPok8jZ|f9Pd6mW?wM(u&C=Cf0)_`t-I!ScXDaMgKsHa zKzr97Jiy6%AX`Wc$>gNCU}Fl_L}p3C1M4kuywmA7+#jJ53ZG37X0r> zWM(mUEZTkvq042!f&PY-~Tgw9k_bB{S36Jqwn}uw!mhXYZTC)rgR4 zv4%|K+g8W10PEy;DkLr!Ah_(r-`Oe-=`5_`x0G2XKYjXcL za`hqPEJ<@Ro{KAY$M?bI5!cDE#eRe3nbWOiNN^B+WpM$1$gVoYXFZJH&6BmQ|L0&G zfPY*i3ySnRd>HR!TG3VOpiuMeGDDQe`ep{H#=I@$)xz4m)(jW;v_SBwOmP0`8 zcnZ5ax#+n4qnNv0vJ`X??R7c1B)1E|1TPx+kg6neoBU7CvP0x0PYW*uyV){;L_;#B zJsm9Md~g9^ELb5T3ROg!U7!lW92ovT%dLO{>_<7cIPded@%+evKQnvUfj7ph$&WX4 zQ!|<#+2qg5t@1yY+rzf2NbeOTuXQwOh}__&pS6|MNA+-Wj*852;lW`ZqOC zKvsC(>|&|+E=CRMzhpT8FzgGIv9sy(e&ET38x9PQfP-lM8Weik4C8-(d`X)!CZ>`F zr-jL^;6uWfgTZ0Guh_%ZO>KeoXYMM~+muOK0dB%=eI22ncURT#(#MZiasDCw^PHm!lFm{Fu_pko6sAdriTp*nS+1KtT7Krb{(fd*wcp_B8tNdwLw6%ZgVBuB@^f5B+O+KK$pgHu&!zju(GP&p2; z7N%HaAm#$Z17U2l)zzjHc`_M^^K8&7Pn|_lpP6yrq)zz{ok}>=8{|$|oMv0z^cKpy zE4~PIwj_0mD8Cg+>HtR2&12uHcWsGo#+V+9(m`y?xOj3m3c~dn7)*13rC%$#P79_X z<3J}+Y*>F+%^y2JjfF9DKmCwMLDDA3%QzF2%`>GuX3YRt7=ADlt-@oGXZL;Q#URfx z?EF3hEVrtSW6B_^B8@b@j(2hW&1wq=Ak!inGo}J-x5Dj9#3ug_wtm7v3iU8>a-?3p z<+!ys`Z?-g>Di)&ZpZ>~V7i_Y#=8{S;XGE&sXQP~8H^<42fh^SF$I!lK$7$*4nvA^!L4fjc^^7xgN1Ddc7Ee(7ZHm5j5N zFNuVdrOSbMg=11#Sm|_WZ(OUa$ZqP5C#$DC1w5YzZL9ws0&1MtHT9Y?6D9@h3gCy{ z+Tu+Dv>uV^Zt@p3zi35L6FyP>XqwHD4iid;bM|DlP-W+NJ40S}aAinGLac7hX)-I9 z7Pqe_wtU&5cm%GU z7{14_H5}xe_gQ5}PopZ$b0vZ5D_X?ZFGVDzlKen%VEMf#M}M`FfT<>w*#vfNus}o= zRs0ey&JB!8Tq9uSuw)3kz}7ISf>ae2A}F(-c$ai$4#>;OiAvD(kh?#F%#^ zE#&sA8TcA17zQFpC!LWq*9yk+Wc)TDH#hU-1kYabQ3wXl+a=dK`nm4BfLuMO_yCx7F79g2CDMl3n64Rg&^L{8zvy z!H$);#AL%y+!o7phFf&R@W^sPK-xTk{s{x0FCP~2fYf9Z+!YtN z{op;0W;`|(BeuU12TRifd{)56Z*F|%z0W>J!W=!0NWSy;zgOhMrD*s+#9vw5%F*OA zvt@XE0hHwrH<9m3)CB{Qv9HajrP1!*M^l4v@x%?Ytcp@stvcq~d2411g6vS%2~A?3 zxCI-L(fN9E;26hw*Y9_?r=&eEMR!KOBSU!kx|`JBVS*IXIh)Oi(hoXnJhOvF=eD+% zFqEygUinAe``iviQNpH=R_b>k@e8XNX~r1YqJ|{-Vbc9P2EGs{$lgh9NR$!; zrgu6~6lOT}aT*lwfYbeo9*In$x}XD@z1dh`m?3W>;m6)B9dZZJ2~KdNp^}J;G_NQl zk?DiACs}wNN$Kydvg*e>#KL^NQr!1_xAdvqBJlx!K>i^$oTr>KYdp1yn1~S9z`)ui zz!VBWQsY?epFxbxuv;xIK>^v<=kqCZ5PoD=om&_#-pd%z9Zt=iBkK=kX&m3Ur_pu7 z0IE*1t#5R4;?<=Ag?ljwC7-nz;AYOa@JqT|dOOYSvm@X)D=<<`Wv)?Ez3KHC;5M5Z zmX5J!E<8X7GzT)e))MBlwKZ5N;q$M)nMX9TriA=pC zHft`V-9`Pqw$abAQ*GFrXi9D&i=GJsM8%t-Nounj^kIM8^onFl3Zxs|`8LeZv%$pRhw(A{$S{8et0RD6gJ4l|W(jTe>;dC9sfVm3oI@?DG zNf>lM1~MF>C?(=wQ5Z7B1I1)eOYX+n17M3NB>)H}CWUl-A&IJWLKkkG*`*30>(Ifv zKa2aP*%nSZq_694LRR7{Z^YbcLa$tAnrZf=FI`*Cc$p4ei}LIm+`{^K&SxGMFqaUh z+?|B#JX)}!JDw-fL`<~`G)wVNJh?L^oJqBkXZx;9la_3WhHR5w_fWZE44$gf+>eVw zhq-5HZK4$VPMocccpjQ;z&|;Hm4qnnq76nn9^HD%MX@q}Z=TCP>5u!2Z_&gWpT;`n zCE9FofdVWp?aC#36|nwz-&)bUCtBb>O9Q6Au8|`=838j;JJWXkQ~Rm;*#22IHhbq^ zd+a&o78VAJ$|C>sjWL_j@@j#w|8ico;S5;(@&T{H8-~(zA(ryasH2Sqkb0|UqQEPL z*-t#Nl%m4%1g_r2vDraqc1Zux7%Hpq#_n3k{BCyV|N8$|enIiy88rSr7qnwsy20#6 zsoiGO2Y3?X8*gy1j(k$s#`6FlLqYjJViHGLRQE3c>jT?g&aw*69A{To*rmIoAZF%| zpZRN^r*XhFoc9V^R!8-F!2mY(6oCABf|p`UZv=Sjh6D*S7Ndasn6yHZRz3(w(+`M@b)8ANhizhbysJ0z5yIdqJnTfs47 z#|PnK%FIMaEcDO*9uyQe?hdO)5KXbV z#93o{u=LGPkz;5&u09hoyOy=BBtxmXv#jbvV@n?cm283K~tU~^z6QV zRh~f(wE)|in1(|;xHueebv5_!ztXn>I6%nZ@WhCr1U!1%bPVfbgWC%ES@4-46YCJ^ z-Aim>D?Xlff$xi@5#zjoB2o3c&y#mP-Nwlf@=a>N@}`V^4dYC{eCP|W*@aI~UNAXG z$(j(Uh+?3v1i8d?RnG{?&Lzpi}fo<{2vN0442z46Avz@0&!(;7J~!>##ZJv6j8Up%s9VRa08_~gY!4VBwp4KWNN?(=Vt~`ruf(-*f7QfV zbaiHL8VahN=`S#l^Q>5C%suWl+XME#sJK!0wF1GK3_%Q*Hi%o}yNYOGWq>dKoM4=y z_`>6UylfiqE8j&n@K(h4IeOvT1`F95&FXEM(KP^F;E2Hd{k-2Z0MH>sv$D6s#E9HN zmFi>>nnd}*P~qdVSHV(U`>(>U#{delKW4XZEgj@$YoT|=5!EIA)+5!PNX9kOu?F2A zxZ~X!0(U(1tGUaK2>oGi-kjm@+uPxBfeBz+7Ei-rcTc8`o_e-K(>ge)8}0$-HWHh7Y};fto3n}$!VAWgv@j%PPu$*avan7m;NcB1$&1@{)6gaM|;Y= z&@u43`?#7&+)w34-J<*{5L|;_vSL2HSZ5NaR14b0i?(;|1!}-^j-d~c#}KZE-VbLw zj=|a;r?QQXTlE{hbLvZM>W%G$Td6f$$Kz@Nrqp;BU$t9bOaUJ?uXk!W^C+IOgBxhq z&kMS&b6SnOI%0A6C&OSC^Sq~*IBVh}N=ulVc2M&t&$_8)!YB=J(E0my#$=5#2dmO? z-r}3vU!P2;9uugeGPqBCMZloh-KI=_;n#IxA>&C=(7lgAgPpe8gYo;UXZhFz5IhE5 z`Ja)xLB3u<@v_nBDb-O&NN7asY)F@F-3O#VZ!amE($dst>+n4tRS#O$Q|o7y)}6cC zbjtUZH8WF{KpJ@~eKE?b?TkW}f67ZV`+m~uc<;#DYN?xudzp?h5U>5DPLeB^#o=GC ziY9P>d56ckCUyVe9cI9*EX2Mr$wpubjtwInEBMAzp+Om=Q!>k9ZV;w{>9FmE=HEUVjqr8Dj2#M(z3(b zm0>MBGm73$zcc`X=jQ(TG6>B{f~ZrST83}{nJvaF1dW8t-lYN9$eCbCZ(pHFB~s@n zDykIIG@;N0cZN+Cw&40QL%=NO*a#C8Nvy}+xX7%v9(rYP1*j9Q1kBp;U%rB6E2WcY z^9$whQFQu&mw=Zv8hQ=LjO%*J$>D$C;DlUPg<>^? z14H+^+ao_w5-h{#Q*yvv;1aWv^IRwlo8_ycs#jpEZ$q_UzZQ_Q&~HV|qjn*X)Sd;* z`YXz5w*St{MP_ax7C&)RiY!hF6(csKY!H#YB~YyMPr?h{ zZi#x#Hxwp=FEQ4--zuYPgCsOo(gdaeIWZun&2dof)4>5ba?i|nU4f5s3-9qxll1n- zL|$e^BEX`W!JwuCPnl?;ov#rzH^8(@`t{*vRW74|p(Fl1XakCkp7{)&3ipS>8wUDY}`TE3qv*du@ z#i$1Z8#xjNesiBD65Y@Ug-CE0Ix(M}y<#Ly7aR&1z5mn;hzeRb;A)$rJ{q{rNuh)I zlk2 z{Fy)MQ~+dk=$R3Ry7%g`)DINEW%2PLW6gkM0%80^CLXFb_DH{}`4_dm&Ibj714NGc;UW)Trz$qe_#)!IJu zT{`-@UOMF1*GFVdNL&!jH`=X$h(BV!@cYE&lGaN({K$rsx-5+>-9(?OdQB5zyCtpMG zrZ)5J3osH#?bKUB^I;yov1~uHfwuA0*hN|7gRL2fRx=UNyWue@QI)~q@P+uUeIlCq zXvSveysNCfJ&7mU@EOcvN>Z}!{vP;b0EZS6qXtrMwAs_LpB16I7()N~+qUABUC_Us zY0sMCDlc5rj!kLmAH+IukW8dF4)M&yvc^^(_PH*5ivQ;~+zvVS>eHAF%A#f9}tB zKuczFI{@l^#2bnfyIww|P1AKD1E8ux)#Y%dfP2FD;b+J9EeXjKD)U|bk}dHo;p_E2 ziFv!D+3dfii%$MT;D6C3M_4;j_-`@8`6H7_)wlhoqoiOSDU_Wi`;buh`n+U)G|Fid zY?AG$~2y{Xf}vGr2A&?mFjORFQMWf}=eM7-#mgMSZb?ktm{D zbVO9uff*MZHFyU7+=G*yN`UB$CXyDoL*nxTJ#*`1AR(s$ud=RZsdt`gEOhdmA{8%O z;`P%6=GX@_dXC%HUVb_?G&C?d+&LYYCNjH0O5~J%my^J<+rmqL2VFS>p0;;=zsi`a zh4y*^7l1@y7ohA)g`y@W?b*iDvQJ?r{Y1`1HG^nQ+%glhl>Si>ho7_sH=%2?>b_#L z$Y+p7Q_@IQQh5KtgdJucW9gHaf!_=8b@v_iKCmxCA2g06gYV)fdqZY^2|3^LO(9vS ztu-F4#B7=VfwL6Hvhv4z^VX!6R-NW^6)H%0JtV$s{A-DwM8qJcs)7PEQfrsyRRF!g zuiBgI$0m~fHldKVWX7tiRr)D(d|IGjyxx(Qt3L->3deP_r@`^+U5jEg4 zz=b2p=Cy{}dGM{`ySz(~*3)(^9+8HKWq5cfdj%f6TpCw&JeCpgbsdYprMr)x5|&f8 znAs=2sA@Vi9aJ}R$|mh9tYQ`Ts8vAu4OPoh07HLpdZ?5n*=*b~6AG>o_gwK~FPQ)? zU!#PiDtu}1c(W6UF$0zZwpCKZIee-(O-whB78Xe91t~-|Y@n!|S{BU2H-5E&sio-D zN;Lsb%W6mZXkpsqv_T0=JA=Go|GL&^GojO>5kP+jL|&0aAHN?ad$$pNC0{TVs4Xa75a$cbN+rB;P(A-ERsmO`-rp>1;hE ztw4=Ay!M0SVF0am*)fj>WDQinHn=8%WKef>MyGQ+!$}Mjm}!ETglI0ZYu|pQCDpLr$!xe@)?C+Ti*~EV}GF%-}@Et$Udq0Ajuyv@sZqrvd zr$XRU;1AQ$k2kB?YSbL+%+l>`+jYO>_5{lA2pRM7P#!B}Zj6RoOA$E?FqzjsS$WNQ zG)LCke?Q(FCa$&^B%EYoeEoF__|wQawRfx#yv649jUhTMivW&t7QOo57hEW{d$4rk zhD?S;Uk`jF4F&i;!asi{zxO8+f461gu5qkv6#)$Nm3Xblg z`wE@Ut)<$gk=@Ki-zN1IB=I+`cjbZquTrnf4g*up{R0(d(K7$4qhQE=Thj|ZL{Crd zHKR#$K@|IrO5a4dlc zlwy}rpOeV$kkF~|zX)m01Pqm4OEC8X+U@O(Z5-9BgKo_;;u zrC+B`b(B~9sWhW44w3w)Z;s(8B$0rrY;Jj7vQsri@KN)+|D@bB@LCOtad zS5xJ!HmINEbIMEPj>)qmr77xBN|U}#`0`cvqaub;3A*}wWfdJ$^I+-6(wEC{6Tu9e z!Oo4H^1H7GUQ6s^2ALaQTvd%M+pWip!wlw*Pwk&|R{aB+TGIZMNnXn0@UknGcC98ctzFk$;K zM4+rq%I`z0X~O$PAZs@9pUpth*>)i)-Tf~B?g2Ff=n$^zLT4Nrlg@b+-OQjsaDO&%J*>0!X}TDWqGW0Tgt*>P4WFS%C1ddgvV*; zQ+~5VHH}~pX=xOgE5h4>+Bi4JBaQR}g$2mf+fWw)(qYCtYvAY<`$yXPbR=Fnl(y`( zc_v=Ok}Gjl^vCH7ki~b|DcGI;afiW7!&E9Pw_*5?Lf@q`-a%y-SS~YC`c{Bzp~PG# zlvkx3g|T%~A!Fj*SZo#mnz7%MP0h<)hcWD-5(g&>fG=jHmQ|NFR{QEsG zo;#9A@$pKcC=E1?6sXfk1@81k2Dn*yW5iiPm?eKy`;3*G*`3p1edsf|?Y`?LY_3eY z0JPnP^up$=plMXIpbAt>Ec9nTh?S0x84K$SLSzLbvnR7cP)Lr>Y|t-X3LhbN$G?{T z>L9oh28bF1KTGK3o!6m}AisMdRVvX4U1`)f3Yh^|$Y<2ujp`Q=DHG1A*(+hsc{W3) z{R&Zby-Nx-K6&jO>594DU^h30Y+{L>+QBLDfx2nx?C#V@QOTO4Gdyh)`3THr!Ss1! zmhDEY{=A)Un zKl?YjW)>*d-y7@Sjo#(zu(wF6+RWY*Wq-|2ZCzNefX6{0-~IJ8Wsf$kUbUxnHV{ws zB^FuP0Qw~;@fpKo&6g&AKI6yLuyCs*cGl;(7Z40{e)GPiJ?c%-DE{o}zvI!6`lg8= zh>+d4Yy|gRqiqr`T=f$>&7^l!nqTnN^1RQ;i~{)OTxE-HCg#N!pAsaA`}xFx6}}6o z7)tub;Sh!SK2P$-ZC}#c+~nIIUm-ax`_0;a@7*CxKn4$qvMTnk^I?b`D@?QC*}0WC z7S_R1NBLHI&ex~Y>>x5Ozk%D(6W~X}v95_QL|X9(Y3WHoPG0T<`ds z7_7o7wNDZMl(iB*Pdys97J#B@-Cn&`%bO_^mHFabELpuwB!#!Bzyqdwimn+UhWq9( zaD-!ebm8cC@-v@-(Eb-7(Kj=^HyN)b^4`Oc@=A945H5K~gkp<~&YZd3!K;yG#D96S zsctR=xT0VQP!*?FVfBF@Je4J%O}D?Vj_IStj7eio49AH{Ep7Bt!}?$njHEV1Rs+G; zXJp0jh-~JqAURykgj);F_a!)QS8(KrzpOws@(e=cK{RbeN5!Haf~jG- zG)`#%yB%D4+X5D~xHqWsHkvO>-d0u!@Xr$#jl*E_# z8?w5PCXWY644#%E(SW79(_<*9&A5ecGulF9wmPekiWUkwtg1Ew)n9Yy4LgA`gV2Yd9sQ1qa zs%4`l#aZ`gvqe$-(Js&YXrOsv#jj201i>E{267B@1PbzWu?TW@I5A(~fbyT4xikt| zq=fYwuS>c^ch9h^S$ZP65e}5|)GV9Xxm#|hk)hs3AW98^^h|Pyxi^QHZ58tYa`&gb zrU)r?4ojfn6ZeClSs8;@M+-4CIlQX%pV~_w{neCq5tyQ7JO~UNMA)4z9a@vN6>RRA zp$C9L-3Eb}$I2JQ-q5DgHa48Ot4kq{Es`0RLCU+K6A4LYveW8=)}D7wt90X4A40k; z6Ia5+@h8$o!8Awe=;|r8r*7mTu_wpuqkwH>r$tLC*6UU1IFx7iGmrp+D)ubIHJPGO z)&o3hAekXL=>PdrL39KW!24Hs`^T=B_y2cIIPpxk5>?t-c3{?X+dS2HP zwHTIe!aMMTS1jDzFc%N&t*hE**Xi?>p%M%WGQZMaQ;^Ac_$%*LaU&w`z;}oDGT{<3 z3~^3ty#TyJ-lxZ=8I>0+-9q(2l7QkdlG2O;2-5J(^!VcoM?x^?H4=>=s3Fz7GB1Gy zoK6dH1SLX05R8P6s;w9~5;^&5C; zQHsb)4A-VT9y%XDZ4RQ0R`n*fgXln}#QE7bH>*xjw_-XO5z#o-{J$skKe!a)Od#f9 zn-U*N(yuE({Njc`8vpSzQX&ijk%NBg`IL1`EVj4PKs39lNH$jtrr9`N#9D&f+(16d zRog#3o0m-nH%lz;=9tBS^j!NF^O~8Sqk!dKaH+**yuZ93?2IJh>!+&6r6{PDfINwM z#nc~rt;c)2rJlCGC1(WY`8F@f_VcBu>M?r}vX(O|phSgd_(4HWOm)A|Us5{mG$U_r$>%l>e0!RH$vY!Tz2nFE+>dza3 z9xx1o7Rn_eIRin!B0hRPw{*jKc>R9rubgx_B6I5sP8{p~(%0M6va8lRuVcK5*1T*f zZT=X5;rW4!?0Q774d=*rOZ08O^(^-A2b;NzOpm9V=@*uq6g+?wvFznlw(tRHrpd^$bQtBq=q!~#IXKrb9 z!A^BA`b@f@@E_sYrpRC<$LBN9zY3@SSUrozdK`)?Cb^pSN2NlO-vr2^qHwXlMb}b@ zf=Z!bXdoL`N=u`C5^qT$mxx*)lcJBra(OU0gN^NR6Cxd5QE2!;6XE9g|rv3JvlB_ap0k5CWJM!Gv%S%0OpRwzY7q5gZNX zf*siyTmc+s6$NMt5g;OP#}Z*H5MLjw41;L`RK2r8P*E&~Ounm{0J*PPN4|H|jOmH! zKj}Bp?vYnsVJItnD}x{nTS+SmEm>u6WdldVDRDVe6x)19EIh;j`fHc`b?z5FzrK*x zt7ujQRiCDgmAPRbEIW|E_$WV93vl~YZz%;on zx$2ZD)&RJhs*&~TI%GPDk+ck-L+?-Pe2Hr24bZ2IO6z%aG}4#jEM0?4!0i4o-22Vj z)4u+)QXF%7&Hd$FR&Wf*EYfR-76iup(PF~6UdmrzBtmT9P-|ewg?~^M@frxdvAn*f z-GbfJu~+Xx=Mw1Fq1Wy-6Bghn70OGP3&;!BBDHFx6AwJ6M}%no|acJ{T;`CS-+laj!mmkTbg%Xvt4(FeN)~(F2Lg zTlO$%6P&^#Y?$!T8x|szaf-Ec;vzlJEfO9VU=+?)*U68sM~lWUcfWOb3WG;Iu!(L_ znZ+BOy%zeao4S0slFCu6hOH&^-NZD&RRde3ImEQUym`AWQ7j}Rmepylo`~6$`1DwF z?(ma*Z1AO|E;o236_3eea0ZWQyB>WPkrCh@H0M!Qs)$~f7~Ef-C8i_R0t!i_Sk-=- z8mHGRr^37vqSuhCW6BcsiuIpfwtss8(9$q_7lc0#70zWzH+NfQb421zTy0MA4wV(} zTPiGas^A&MPpDLpGv%Y@6&nDqkd|5fR3E1{D~ADcu*K|PoSph$*b%NDW#Ep36Z`{o;`jY?oTQ)uC%CJr$;bcvmof2n z*!0xVY^?UeN!K?Y-Z7j1XGDi!eCeE@C#Sp%p94us2E859t<&q(f1Js@hBUMzba%^W zy91YKcFxB-L{!Q9gt!&S`%Nc;zb171RV$fS>#9Wnrs2H_Kq=1NY3O*Mm_PZNz5jeB z1j1z3+kFckYPLoQ_!u4p&+4;-&>pjc?!G+;j%~&7Au``z%Y&(%Br6OB#bC<_#aH|` zjP_ud{Q=5ml(^reQ4{u1%F)B47l?<)zqd$+>3@3z|K~koYuNL9F*?Y3l7L5%f_`#* zEn$2QJi&;YV3)|UHcUq-Uoq_ktC((ynM0F;2_j*tRVM-f#_Vy6Bb2KJCB)2KnsJ2R zH(g*Vl;Z7Fzeq%3qgvFlsCOWdB6DU~CJsuNIu+=C95T_})4fCF8r&R)$=n}<1#M7* zMVqay=A^?%gfeYxk%-R7frCdQP#KY`?e)l0J0^umLd_?v6Z|o2pvdtqQxaCG1j-DK%M_)kdV}SR#LAE^@YAg+l zd&$V(#ss+2y5KdHuG)yKh#CB?jhv;wCc~qWuq1VyFl49&OP2&7qQE`282H< z32C`-oX?aoM44Epg>`=bh#{}Y*HIwqUtycCZHZobaD$1M&AmZH(lr6nOsf5UY&8Ko zm7nUPE!hB+0zAIPf}(2}gn@i=te4qF zomFQlm-8L|z~z0c6d|*{00I*|-+46{XlntGP-9T>C+HOlOe-Q|?F%j# z$Zw5cTVZhBO9j*5zNK0MLHq0;j5((KMS%pEtm>wUZ*@*NMe(!VC(nYmbD=B@1U|gi z%?)|`W%P$Fi{4%&4(aJS0VJEdO!4BGH!QbecS8xmEeD#u3&ZEL#-mki>eFtT-*&2u zUpg|Z84L?;=Qn)DU6f<$)bO&4YrtDHvTlJo$i=q@8h<0H-xC_Ht<4NBV#%gIuD9O( z*^kUhmscDUn=^F5EZ5-BJ9h4_kD|n|wK`RNkEysVtN>m3bMQXQpmO86qmv1f>c>eK z(%c|$i1s_BAITIO;Qw5G)ZG=X`3B{cy_eeZj!L!=6y28dei#D}_CEi?;9bKDJFda0 zM@86%1#U2z`SxRV*jY3&A%1Q5+-3EwQRj?JL$`>7xdN1=qpeDoziiE)+ag|imvhRt zcyiC+>jh1D!;&+crRQPKkVi`+V`ZfPUy>pAuihpIi{F6k-GBT8e~TRdn!DxZutZ$L zD|Fe{Vo%*$dTr%z^N$0lZs4##Xs+-5>61hq_1S#$;V-iW!2Yody5N|92)onH7;uHt z{9C~Ye0!ymOxEXn8>mjb*mKRi2)OYoigep-3pe1X9@wp)B%`L-j84hL?tkndji0C0 zl>k(?*G>Q}IIiQI$lLh|8d9!SadScxRz30GP!oGBAwgG1OOUvr(>HrIiC;1ayHJ)M z(VV);0&hKt$;kBUpQO%#XD64Ea(?yeofe5`?RoU`8QijtjtBjYnXR#2tU@6B zm-_gtq2r@=`)<)+;x!;?NbC$qM&$Ly{xy-^7Rn8;Tbu&(o)B-zU4iIH_U*O&$^4es zw~8k99Bdsfo$XkPxf98!%ZfX_SdsXDUlaeCMFhAQ{0!jujLz`-+IYTEI-2}SpI4P# z*SOdpzoSJ4J1gv(C>(!9zoFe%GP)eU0t$&ujd-K_Argbxm|he(cjT?LJL)5Mr+T;M)>Nm<^?2(aNMQ3?c2YQYI%3o-*{++7HoCPqBwXSq(C#TRAauTHzWr9 z;XbT~B+?{!&RhB;l9{)T4=G?~479$^Hh+ywo4jVlE#5M^bdpBD@S`kVAb&|nb=4a_JDeJ7v7B!2&CfObTyl8zHir;MU2yL?_7mfKb-mO6;8Uy#4P&FyBl$?tp zh4>U?Z~@Xd19jEK`3}f1yfmU!b8eiUTXkTd$w)9|UuEkNi$YdP?xVS6eItU&cx1D8BxfPX&(Y%}XmHIn& zZlIe`qbmyw$XGd9Yw$b6KMD~;frJK5Eg2B&qT)58EM>GMAC{K*xMo8w6GL5F;gny-GA>%MWvjzziFv|8NR zpSs@#!P0nA4fOl1HQc~K4(Rc!^+(~LZ{Xvq4sZvB88THd0g07qGtby_-TFLtiM5MG z%4l;`cEFBTq{+qiu16&l1@8ySnhw}N&V@I4EW?c6wSG0rOCbo+dh=1=(i@F{u{c>q zQxS$0%H@H-{3UX9xiIrhqstw=Euslk1PaA7-^awEItbWPXRELKE4PZ9FB(b3 zDyMKNEXm<<^;$pLBBIXm1q#DJ54x}XapqJS4A4@3*(0s)do+vQ?L`oJrZ)_(L}W6( zAyH@6US-C@$OFi^xW8p&=Ea*GL?Z;8ejIPwpgS!NExb+*{4O<;wVqGcp-@94&Z(80 zUFkzx7HLYRp&+!v4U39J-pTz2;L=5%6{)U}fBsd}lpfsW+cY16KY{RyOra4qW9+dR z&bY@?5T^MBM2TH3J>ZL5GMV7vaK=7u-*=P^%cv&eonX|Pm*WuRgOB8QN+g@2b68J~ z5jU|;M(R(LzpHCLUr9XSB0ZtM2-x;3j*HC|;0c9}zn+wryA}@#Pp^0Eo%{l@-4rd@ zSby7cUW$S(I`$ed|7#>A2c^^k_GwG>YB@dV3d>GdQIpN0b(LYXH}-(nPGpfyxLPXM z1gHwlJDz{aZo7N&X`S^5@>KCP_EY!B{s?2i+ZXIg$oeE91IU%&D0-eB2K#%Xn>7zN zCv^c8M;CQek#^zB{byDfw`|GKP<;UVje$ttTJ2N{w zJ6Wlj0c5eNDqg=!-aP$md(+_)@d9$7SAhk@2piAJ?`9|NqqClr4cxysIoSA>@w0+g z^z`WfBln39xrl!$2ZiWS#)0=vboQ?=_1a&@k*FS7+5@g0II$8F_0&jL>_xO)7S&dT2&MY@A=~BJWb7g`D@$Ou5|9Cpx3P68b2Vu}+%m=q<&B3%ksd8G~P#lOh*$edCZ# zQ~z~VDt24y$Y-?BE?^YlF~%h)m|@Gu{c6VdM}xcct}qJ`Kar|bPOroAxKJh4}&5~4JF-3cXtn{v`FUwk^&;#C84x5NO#B3`9ALb+vlAB zd(H=7Ej~@G=Z@>XLN2f!#Ns|GTm95_83KE@L&ims#QiP13=Z0NJ4bAOVXT_SODCYC zDu~ZXo8Cm`iOez-kJ(i#eVKmp`#OEcy&U5FeTtAVC=&(t>lt+9piI06G=#VZu?g~; z>QR4=ml=ne3TM7xNtb2GR`U>y!CNsplaHii--nBfM_Y41B&nIwV;q#haB@s==9q#h z(g;rn!u9y>YlYjfYZkZ;D^4-QPDUe`++xC8HhHhH`&Nxos`ty+Jti0hYc;kC;A&xT zNhak^CJEsB>CRmSP(z}{L-ln<-ApP^<{CbAB<&Z=xe?fM6;gL*mOr|}JwhNBguav; z%U7Ul^Mc{aCl*+YU*$owEzZG^oWYN_<#7k-IKPO+iQ(7M6J~5lim7@g~OU zGq;aag$nR40-LgNtUOfWCIz^-r6wxiCU05n4erf1UCxU^x2Nwn^$WtEcVC!HcvTfI z$Co2l6~AGMTBvKH+)T{4W;ecN~^#>J~rV0E;QdXFn7h3#r z#3R4*1`J^INk6@+MWpDQU$3kuTNee-u%b8%yjwwKoE!=We{F@wKS+SNn!fU;%6_=!}wWl#)gi3Dxs~i9~brR0?X;$wHdBYRo=- z8YE;>U~b;pyz1hVBiHx;bhe}ZQx<#j+veA^+es+=CDu+C`|DCD1d-N;R+Xb=oLQWs z0xNHHnLr0=p*kqc*{BD1z1wJ}k^DAB$zw%V0(R;Ucgqt_uPqG-SQ)v6jZK{6VJvQ5?R$o!KPMl zBo0Fu3Vn_q3P}^22_CfA5p#S)|G2>-7W3Q$5@4$1;ieH~IMvB>{w(jltNWo9r>K3x zoz!5vBVsc^kDx_L{|D5e*@&>ChzF+u|0*hM5ie|ehaNoAf#i#c*+{qeJuUK9#2&m9 z!FWdC0OroA2esp1 zb~vc7UhzBh!@86@cGkD7S_Q969|34jRrQwvS8-HWv*%H_Roih)@}^p46}jZrj`y#` zVW(Sv3ylA61OL?&1QRH`cCrcA1M+OH547|X^F7_&5&mMT~g(W z$kM~SV$L@_jg}p}%0A$SN8G-(O~x7Cnj*NP4m?O3u`Z>z zLuPBao~-Ozx*swYnta?)ai4$X*hl~PGl#1_Em`T2HR$fu)j@rG`7?*tO#jD+05r&s zbQOjQBo{PZ6$(D})X%C6B}xp~tiQ##L&@EdWS<;I9rM%TKH+~ZPnc0Ia0V73A`F&) z7M40ohskw@Yo>5x0!nm|z$Gr(`f;Gh`ZvhAQqYZ0!90H;x}1MB(I?V(@%3o3qNp<5opD=) zFG}g6FLGJM3*IOxtT4V9fA^yr&f!)n{~4KrZQ>dBatNSumK^mgw&l?XCtpXBA>e`b zT)G{%fMXPUMsod6**Fr{ij`vE{9+N#wz#YaY?f-3pU<{``LS6@k+^~rcE3j2gO^h{ zbPdbyyLCQMaZF$pgjQ=>o2~*L5tYTRbhQ#XeCRAQnn zE0QXbE0*IMG$y64C>}y3a!iC~kwO^1^~~UCCcZnl?RnkV?(dXNu-B6XS|4|>_0feA zTbO6lbu$gT7IQ%^nzo`BTdN(Laor(pIs*kXZh9_IK90Mg?D&Kfh4Ckb&G97Gs&>?U znmKH4goNhTt-~i1d*Lp3_Fi|fUQPB@-z978SFD_(K!VGYQdZ7XE@Crl205+7W{Wz` z(x0D8Jmjbho+E>(@k-N9GR@m0e11VDF+n>NFSjis;`Bjm1#+S6HElLC6$p);@L0wA(>U^k(C zA2-F7LNTjhPx)V#;`Eb%wilX6rI?runmm->Gb;83cGRjzotMKjw2@)r)biNZEGmG0 zpIE`$;>d`Gr2v{j{5PafR7$vTH<2}g0L6V10b-q~ZN)BWJaKZr9liXvL(YM5Qf-Ve zTAr-u#@(VfFYUm@66S-&IPxMt*|%$sM|$Gk^``#W;J4cc_DI^#(ED>F*ppKs(*t9p z;D=8rV3riQ_@SqXlOEiWA<7xNyn^8mE2d`z1g0kMcTL^TFLKN3Qxv_NcvQ>qt0wmX z*=GkD{%5(U;Sc#@AW-kx_B;9CV|B+)M#q?We#3!zPHhmf-Ln*nwNZw^`x~qLq9VIz zR?Vx3o0OnO3YMZmeeoql?lvp{B%1tJLnyb({bo?HYpj}t45hY0TlCSM*QsQK$qSaw96!?y# zJjWskXe2}9f^G)x6ip8NNu$tP?zt+(n3gv@H`yE%|GfcBJfap3Tn7;R)cnun%!>`! zORt`m<;0eh)P@|^x(>O!yPI?`Geks0#1C1L**6(*udUBe^!D~rvf5v^nw2F3FYXKL z^}*2}*mUa&7f{}nHU6AFT(0_z1gK=_Iko5l55Y*O=irgdG3uYIkDDePn_J>Rzc!Fy zl}*K_kU z8B8loZ^cv}WFoXTmfY+lT-OwxI_MudCB6mB*A*t`r+o;#OUzk*#?y-JPNR=g85gkr z*1+w2_kppoHa_f3_TO*RBoO8Fw)wl(e{Vt^O^OU0oSE^806O|5AN-Ey^#(IxEE9Vi zavFXIPccz(Ix=q@SO5?I)EWOnk#ob30hGYEc!&n%VDO|Mx3#!XE7e=xv`_fBw#6rs zR%2ikKNUqKUAi@IvF+DUU!-BzhJZ@n3u9`H zP0AqjS0x*!#qmfYtx9+M?Y#>mr-h8Qq9NodD?Oz8*3>lbz49f*-)CPDHm!wi#}Y7R zXUj>xQZS{&o^*T0tcLM=pXq~t@UCcZp914gJ?5^fW1?DR-x@AoU34;E-7CStwNb&+ zia`~I%nW&*JpS%;P3cYpjMO2P)FBkNSu`}Qjbb#gaIPuJ8A(AAuT&{J*IZ$F>lax_ z02<$(LsOw!%Fo7hsxE1e@9bd88bq7Nkns@1FQl(pj!`Yl||3x#9xMMC~vV zs^a)yNX%B1q6UnF3Jh(plqs|RJo*%7igHRza&6@-i%Qcp4(tmq&bv&oI(m{gNBvpp zbeV#mNSRXcJqljI$_teCzt4Lg@NwO@#+J0eL0;LBT-mnqU3x4d`^!`eX+?R~oG;3` zP&7!v*7fgNm5b(E<`~)h&_AmUhqqkg1ugk;C;A#0hEFnz@S#Ehnyl&`_q zYxy*KC?c9vT};rZ_rne$@Gli5ZSMx6k{zt<0uQBe4!a-c@`Em;2eRhLN?fH4hr{r) z7BC`kN$H5wa=u*NeX=>a7z4xzgyO7}TI`PbX@TKU48!vZLzH|{eT79;*HE~4T+F5J`WsOZD>X>jlRX@aFx)x4d^?bNz9K|RVEc=yFb0VBPgJi~ z3T;WLeF$f3G?|JWA$d(?9;{#%V_x^v76=9Ynb1i5^#@NPuqxbWX76@U`3dm1mh2e{ z-(*)lvoF8VYyD$?uNdW6@~ETX<=+NYsC2cx6zJV`6@yLfq=$43Wi+1ciZ4x~%k;D1 zNfRG`!-@Mo;dt>*K$3uMLcx@f?Xxq`8lL{EH4vCt`e%kfG{|ZG!g>WU+NMEK*5l{u zUPbl1x#;LZ0EB0UNPAr!!yeOiW7*|j)iEZKGrLynQ>mL7UpS7~;0Yw_z_wX@VtAG2 zpu+?MeR%lcwj5IiUyTo%)crl1yZh56wHH}-u-1+Owbji7fj|Ur z$*cW+n!~HRX_u@1s<%SA^|Z*Kd8(t(m?IfyikzE2+SlZYbN9X%0u^0f+V2WHN95;H z{tcmsq7ua)d5r`oJ&$7h3mA29upA#=wvY!Qyn@<4O2zAnBm%>PqUr&w%sso&aUOND zv}r~m+dAT^{<58Xq~UPKAzjXV2n3zcQ918zdb}lA-l+6fM&Q+0|1JGTSND$uIW24B zT)RI{GsNs}J^fcN2+R?i2^%7B$5HO*e@wTZD3AIa!5_UFHV$}-IthZdmolWuzLMs~ zC+E=R1?*I|qg4h@aykQ86*udrmqh{F@W+lJCvQZ$Y_iQP{q41C{79LMGY`*y0HF?L zaNAPS!9OkFFG@6Jhytk4)OBQuw2(M9y1_mquYE|0w~0s+yu~_-K54^DAidZ^{0iOC z6h!LVu^E%rrolg0zXDaN6q~A1+O~5eG!IV$-&7#ItypM|Eo)hRfKiE`A(|U?L zMDFYu?&9`@7G4>Rn$lf>NNNMq5QBka? z?Y^5hD8ATNw>};|>n<_uaFH$eM#Wh(V7B?rO}Vcc496cq3ddMfOwmTxV5t{X2@=jF zX)OvCK_@xAjRrr#c)6#Hclk0dUqURn;))^VaGhaw$A;O>&0zIYg&YJs;73By?o8yX zGBJ#nALByHJ(L)@*gR;+5iUqMb_8^)YkcYy@^0@xfw~%^*(w;`{9@Y*=0(-y2d8QY z$r^7i=KMsfNm@C)=uOl#h_qPDw3osBP@4r9BlfL_>hwzH`D+` z0zrjE6Wb~bYb!ANP(+isD)$1RU4n5Z5Ut&na11WZODPbsCK%4mM@R%M_Q1pW0O&Pk zv163;WvctLPrOQ%Xym*OFNG|5WQ|@NSj`d7~Oiiy@IpTh4%kdrr(IJ?(=IQoQDf81`E72tJ`;p zO`DcCGc8P)&kRgQWxbdLu_~p5IpR~sI!S;Snm1Hz1xxgmnr52Y;GQi~Ds6aP5ei6Z zAsZP~5<4WuuI{j2O_v`YKBoTD3dO*;6U)?{PkzRAtAc!`BcV@L1N*w5DepnS}%G9cTM=H2$_(xX{ONc z{9MA9I1(b7%mc%13OW;gnGl++1=nxN8p`pCw7q_81c$~9H?H_h=%$@bhwWhSJdH7$ zF8P$X_`LZRKZo}mR=qe!eNam41XT9%{;SQxp64tfZsGV%U%cjp&m3n_LP3p--_c>& zMrgD4T70*)%_iJRzk(TQHLjjM4R&%|4h=Sih?6yLti$C}vr>yWd{9X?5!-AeU>)iUOn3nCxrect3Xiw3~)t69|ixES9jWC&%O}IM~%pg*Te6 z^N5#&ws#_amAEZjjh!462`q)Lmz3y zr;fzXE+;rhKWPu#CZ?dzvA02x%WOa-k92{rL~tU#x6NZZ;3|&(;j2US4FC5hBCY%A zcLcF}=g+_^bNbchYs6Re1Oea6X*o$wy%Ba!FJ8T>Do5x#uCey@o_tUZLQm8fA8@c8 z+d*eD5X?)C`-V6PxVlSe$8PGixwLc6C2(rFez!-a=javqyY1im2-pMV^uEIW$v;cW zfl{t|$?}|)G&LqZjs2E=!2ua7Kxh7q%9Zp>^r(xKC!kAM)nz<}r#t8VC%t)h#N@RX zAt0GH?z~)h4ES?anvvm@`%;4&lkKj#{c*{At9fZWFT18Nt*uSEWy|pK{a$vUgCdor z`!=EUNr{B>ZJ+dq09DDcPr~{O{jR}XgdE>CgxU{p_C1i{*+5>1T`rJq7UgOrmoS!WKjt&#v+~TRR+A$1o9~bp^F?steq-ymzyBL%$r7bT?kWCqSYv{>5zT(h} z-zy5eNOBvpgZpfgu32P5)C*~&h{X38nNxXANC#8A->>A0EPfbX59TubiOejprm$I< z@L5yV2E%llF*cYjZ%&TJ@6A}0nfKTGo?|TO=i}sR!Q_Rt^uBl1?9$4~mxLSsKXeIP zhUGq=FK3V;Mn3%s3u?P2};4Hqtx|5XTel(||~4f5oQt^m7-*mp9R_6y{q1f=|J@*5TbVMO^))aNvpMuPQFI zi6<(3%Y{dLI##h!+%Lo{`|$MSJ*4vFCn4|rxNp?`G?~n~=O&0=>6plFdVEm<;m9h- zJjWQRTcj*4ymjZEWV83BLHQmMf~}=|Ob66)@2=63MSR;4f%B>Neuv+sih*dp1r>IF zG6r|&Kf7*q(m@ipUy(tEqoYVLG~%~i6sE=B^ureCY$!UkysKKJmoLx!&v-)`*Ox~{ zaY=jmPAPvy9?Skxe%AwL>weJ|gVJ{wi6~Pjp!aVu{DB!|R=FAcsz$s#5 z(;Tiy=jSh9s zp1P=s&Lb`h1I2yb75=i#WkRIsLXpC2Vb7;IrII_aKVL6Py7g-%1d~>0^#1$H1+Tp* zqw_w{ehOa=SNh2Qt*?PwSR@0|5}F2l0}I9d>?}7?kw?6#d2Dh#DrUak)Onl**I_Ok zkBN!mPtB8_VU=2kZOERo(f1Q8Lpw4SkA3eh=7We)QBjqLN({J}QU?x=ui#IEAtL=9?K@MuFdh>&cId{x0_YO`yZrSJ0 z&(7RMCPW=ZRQF|qjqg^U{PB9czgoOs>Kh5XKtvQ84kbvt?qQ~5P)>6|txpFn_`T=e zsrJ-P8^n}Vw4iJq&4hGzexct2^tll{{wD+8OSg|6%6Y$n&LZ36mhQ8bjE063Ho5+N z%>EWZ3~VdY$G;LPUjNr|8|;S7tE7Xx`bIA$4rL<|{1?zEnHyn1W_Lh)LiAi=#EA2U zQmY_~H6bJp48f$Y86R_7LZh}EzrgiY=>OdFLy)@{aUAj^7Z!q%a)s@kEl|d=j!T(a zih;TA^89Uap>tYn>B>w^UT>Am>`oG`+5C8r!E{emsVrLz^KP4N_0O+d;}s8LSyO|? z=hNKjMrOCkMpLElCK5*lE+a-(AJQx{ZMLNX9hWRuqqkJn&QwKmEFDJ`1W@q^=X_4@ z1mAq=6Ows_MX?^W%rpfxRlO4xUk%$s{OI5GcBO97)i|LE|5CMRIOvdzvcye z+*kvrvnp6Ktxza@AxMbC+EljM*scKBjdqD=lNz8k_r z=)UOaM1~*LUOj^31w|QkiCQ?|CSBPrk=X`%IrUY<8Mip4xW(cbKaYyi|RR*tB;f~;G{3`L-AF#HLWNc%SvjZ z8yo`@PnyDX$(r&N3jonmmim^4?x;sM^7a}$GwpY-AQE`dHy_ixQ~b71`aIohkbj^K z&CTiIXNelz89uIe`zL)s0*UhAVLI70HPl}_1#6h;xH-%pSIu| z8Qa7(jYp-9ijS=U7TZ_kC-a1lO?KZGW$?fLfN*0H*w+LNq+u$T1w%Yg%a7}?yFvSc zw-FWRc#u-pJ)C`ciMH?)s_Q;lRFd@jp=CGRu`%g`+if~Z*~>!Z?ODOl!vtl?KYYCJ z4PL#{VzeK9-!HGYMGe_1>|2X+3B{hoqsAM@qh1Sd_F6-ljFTDeUV9anCny9vJrg9S z;wCIk8Ocu_U@zcJS@Rq;7IJ=Ul5K6ynlpbz%CTb-wAAsp5f!>dN~sYXbR&#HD)_LnPGrw4*b6ZfAYTu{_>IE^#2+7 z6Fb^i@mCXb?rj&^Z5uwd7GUadUw^?lDN8Yo9v+TO%Jk6RJU^-r0Ohmx>CatgWV=fn z9cLER*9?6Tu3HR&p!|8*xvyuOYGk(3wvZ`rIqU(ITzHRRo(l)Lw=poK^e(jGyxOc6rtbnNy>35pJ>ii;*EaNdChRcx{^3FT?DG7iUH@>lnc()~pgX(OugY)4 zXn&!ZfKN))Grd*XcOLWP%&yX=blQ%V?Q1=BVZpHBI26bELf7hq?`Xezq^ozuRAP7g zXQHV86&60=w;zUyzdF|E3H;F6apWYWZ{y^&qNW&~4sZ%dq{aPntg%TBzI!;dsp~3e z{;!XV`I|RNI5Eo;!bls_RA+v!G3M@Y?4Q)Q#Gk?~vujnW7(&Cg@0sBz+t&fcvX;?! zqkb4boRVCABpNW~O0N5iB5o|jV0C9dN$|>m zbFdGG_vkqu?b)cy8)SZ--8bP}p?5L!7ph&4QpP0hor zhPr2+@Z*W8`wvZel)^aF>b26r5^+H=90m$NCt=}}Bzv6T#bUD9P(g*cd?fnq2QcEZ z2vrm^JixV);li&`1SdAXikQwtuwffg=f*D;IJ|ymB8)vJJW*$p3t8+s-&L8Z`e0rg zSA3v18TVQK_=OLWs;00`u`~BiK9zoS-OsDR0R(^!ig!ytHQq!T0@{)4y1DDn5QRs8 zl2lFO)eQG8Nyv)dkU}X~-{;xpLeBx*nvA{1f%@@Pet2C#5}c2JA|Uy@xaL7_3>D)S zC2ZFHek5AmTmi+}NViB3#m<0vfk<3J8x4UMnJe460NXl$|JHW{YTU7oLK}y2jb=+* zf=sR3YPU>YQTSnP`d1LUJ`sbbDH#G z6L*#$+wSkj(%wg6vwVHAb2fp$5^m4A%M+Na-)Ei)VioLT zKj;^o=R$_*=9qNJ1D?=Zse(|e2Q39>-_@#*F=9nF22fgJAq8tb1Ghg@wVk9slF|*O z=E`_biUrsC~1{*+N=KqbZ#_mi5J!vn)7O~csTrfEI* z4*uQ5x!(fc%D?c`@`sTWIy3HFUqEIV0x&K#MUX6wZM27D?$%TeL_s89xfiHK;C^eo zO~Q`rzolB9WXVxOw|C{+nsKfx97&p*Wx8UVPEuFeryZ-i<{bicK32b+EI&9(2Ch00o?w)V-<&8Y6Gw2$MD&fa*V0^neG4 z0LNVi3U!K=2)sJ?i!8{15c!zc@e3XRntdWvU4J zkM7YAyGw{{4?J$k$3Fn)5+cCJe6i=X7zR*mD&NQe$DE*jOnkad^7b1CB^BlRAWL8T zvjOe)lL+m+qGq?AO?H7#|CgmmaNwSx*cxN8&TVNK>*;Lk8ms-Zso4J|5iVQFjAgUL6TMqxX1h=7r!FM$OY@$gXwHg|zw0Ps9`2S{G`2e0p&=C?!Md$e2gMCpfZBtkAEzWtSR1j|Ptj zC*Aeo4NpaF!A%L@dp<45ogbm#B8N`K0aojTy}989XZqqBncJSF`QW#KPBkbJk+HWX zaffwvp$;!z;EQgn+dfii+Dl(;%;8}ut{0L8W8&HnC&ybw%rJVzH+Gi-b7d(@2}*F^brGLUqm{ zAQYt}q5`ipC6BMhW(ozi{>0i9vIRfxvi+*&z+|s4+BiCbvElAPg#x-HR_Rw*VVD+p z9jn%*p4n-TxnhceS&Qh?VN4?fmKzlcLa4O~M9OiQ3F~u!8UdRiG#3Z&_u3Ov+!q#7 z^4QZHrl7Dy%lNUEc;lwEBf4ANFdUypFBxr_>WS{g0t8=o4{kic?@u_vZWxG}iHx4Y z?B~Mgn+=D1zw*mc*M1ugx*C#Od=O8l#p{ljdAIo^~D^8UZx*QU4;;D9uX5py({9e zzu9oKFE)HK)TZyo?d)#kSD~En=WHgctAkxh2Zy9d)Rf|PZA2Hgxwtm5dIJ_zVeRtr zpU6@j7h$%vxz2j|;Xr_WTB-?QG!z0@%?=JR9!nA`^@}p%QHKL%SZHoglri8C$SW?e zV*tEp1&f5j{B_S1!(fM7QOM?qRcA-gRW()azLHE9_q%F4^2~Z2`oX%E8SjB>e=$UH zQ`$t9a|i_1x0j)E-`E_3=)pYVq&gH>o9H}Cu8tl;fz{p`PmdB7yW`{K+okR@%7da> zTkA-C{hnCx--Nzkgdsv8IaQD)rc~(t7Yq%0Z+~+7sEeaFdnIdqPlkt|W@gA0>_G@~ z*pV}@qNujG-tI3d~3&JIgNdW9;cQns&we&$Y!THa!&r_-ce%1W<;Pqb=#J|@@J>}&Jzo1}Dy1TLT-NnKc zJkiTc2hq!YhZ)fpQ|0ra1Ka=+m*r8l{XezjGC}U-mX?p^wVe&gGwEJazPO8qD2>H81 zsms;L$^g0loKz=ZirJoGDwz}xYEA`dmP8Hr-Sk}moU$-jFyng>TUeO*K`*64(dh2! z9isWsX^-l2^8Z1W|JTVQ8_8TU_D^rBDz*X#*kH_KHY8WQ^C|X_H)BgfC^H8tb`o?A zCK&LZxuOGADy|YN2f@W=W>$d8T4*-+EW@OU+L1;^7#UMXN4gLQNnB%D7#ewRKaw;Y zb|w%4!w>|neGrgPzvZ&%{a_n9j$ub!&dRhxLIkEE+lRO8aCw7_$0i2aAm~u07)D-} zimYN2-j;5VUCFisD=iP~iN+B-dTFW23*ZP~XRhkg5j)E5q`S1*<)zcp|r(QU)U|i%K zFrPCF`U-L`=wU>6sV$YT<*X*~Bg4Y#_RDZhSbmuagLuiU_^2@@mHH{Ts$ceCu`<`h zGe95!lbiV!Yd#k(2554N%&XcuHx#)n0YVRqqZBrPa8}~G0x$x7VsW#0sL@j?K#M6~ zLxO?01?BO_y|ql>hEbMOgr%18ygH)$Umu}!$^_HAkJms7~3G-_A~d|$4d8!u8(8<^~%@klkxVEA6!BdpLh zr0cmH48*M2_k^5H2^k_G(mXDTs0^E@_tVcidgmfjU5hj@tgh6t}I z=}k#}ndPaYW%^4IlAe*hvJ$kcurLGhhQ$t#k^c9-K_=i<8Qypln0p8u=Lq3X{7NV! z{LQRLN0uxVHp}{VH`eUY^RsQXRrS|Nu4#6tBq7&|#{c0008$X!2$Xa5&$6IB?lqL# z%1)GpjJ1~nzf(4by-;>n$FI$P_{)>))~==DXk88v9{S;Z)*NKv-;4_<0JRhBp3+yJ*sU5dr;umQr!43YfNG58cI=~N5#qhfcA1?$>BIQRem zkDXM=NZ<+e%=J~9(CkpG8M4H%6pntqeOK}j_1O4AZRvexdUj`aE9N(=CFK3tX8JGu z_urNMj8&VCC4u-yQ$M+>^7h++`tp8pAso5+OOI)z*dl`(X*xkWOlZSX&IiG?pS9Xj zc-nWro+%z4l-CE5y^71yIdvb%TJA!Obn*ZfQu}%FPY;vh8^U@5_W)moZV7>w(|xf& zPjG|tzU&^50Wk)ss*J9`B+rZja0$$714k`$Deo(`z`OBR$B8*obsYB$_6U#4oAWw{ zzOwI7evSV%Zi?m;ovv&kZ@T-bCw z?6jQeHtg6?56r$alPyn<9uB3vhxQg{{xZ{D8^J63kK;}&oW}RQQNBz=0A;>U+Lg1S z@ut+0g*5kD$%LN7m;-$a`iOtT9dh|pAxHUtgVO&)fAuvAjfPDD*^ZBJ7)cHL{br^w z)qBej@3+%uvoxO?aCx}h@HnCq#QLdWKN?JgG~ef<9^)w7NfMGE0gKTV4cHl8j?JL) zo^kSy(*Nb;lNW_ge(1$3si9IOxFyI!NN9_pT-CmioKdlgA@^a>+as+?7lhG*)p63| zx-%x#@woCt69*!&BCTFx%iRDS$f|*OU=F!bDEzt@&(+YvPl_Nnv@!`fY2ZB&dW7P*a|~-F|G;!pcxA;FR_&-Ejrbps|jCM4HgS7N)!qITkBB>KkwNH4l)dc zFW8V98Sm+V0V1Z0oe_L?HXZ^JBf}J}8D%`0(K=?}sj1>MARKEudivoHbre0v{ELHX zUs6;3$~d?ReRrYz^-LW+F`;XvEwm!a-`^CbOm8kJqj345>2|COiRQe7v9d=<1{b>B zqP>SVna#P%2FZLeCBP_pzHNbSF7Tw+Qm&%UifNygYpvfRHMs=Z`KhJNJ!`aXlkwPH zxx1~;F?#m-UPz2(tziF(kav?l_|e{E_eO*J)mvMWLY(N|+v>+0PRt#?2wmqnd8!HF z80p1S_V38?c#^%BSgZx6q1YPxpFK&*>&xe42WQy>#!q|)GtQNG{qRS(q&z-CF}2Z2 zb`d$S#I5!e6d1UX22KR<*GwsTmIES8W^|Cl1lzVCgNMk5K`LyFmv9WW0%7Z*75i-M|}E{+OVJ5Js8UR^kP~!<1M*>zoBhlp{Y3AWF8D3vTX*loO!+| zS@6xXaSv=R*zQy5yEYlSuA*&qM^?#V&0Wk*q zdeoBufz?CQftQ#m;;qeFY)I`?Z2Q}Luc~t1&`;Ce-)~dSMpwWY-5q9vhw-SrMf)w! zUq-aeEN^^SLy`5m(Se6Rn3^*Dbi;`Fp$%@V<}iW$d<70t<}+w>1ws}Hf?cxi;ZQ-3 zr?UMt1jo>6E=n{Yr0^)@K-|_{AnWp7=q)+YpY~rS-0XOeVmD7&$T>`{>vBsAYRY9` z4VItVET&amT2Flk$B}C~8MatPFSsh31K8>WxVg=haf;eOGSg_p7X*0lAa4rP?ruo~ zHnIa{emR({y}f&4{K@}0gH63gQ6pI)&Upag(};iHOerp_M$LF7Y__)g(qR z?$?(vB2Ls0^>L-iH(wKVJwv;o7mYso@Y8c}_p<{L;d$Pcj9bsv-taLheH$vPe4pN2 zWEpomiMFweuHw9bqd5@lj_Uu|nG^)gsM}NI4kQ|uIeLzZf5zp-_twD|;0aO(RD)OU zWv&?8Zd)Xs z-!eM`C^HZ;En?#CUh^-g;>};EA}nCH(&&RZ;2*x!L6rmO(~|UMy%z2b|J>b(l>fmc zPaOxwk)WHc%azKsZ@fW%XHZ51)z>v4LrLbBL+oF}peW z{)n=CFQJC{KM48%+a>%jkXUP9QU>7gu_t!kuzTK-aA-0lUX(w_wPs*l z(5@~K9l6VBE3Q`$f9^1ts*8j40Ux4(U|s6a!2~6i9wdgMT1#%p^|84#aAbkX>q%HO z6-*f=-y66aBwdNRuJBzEqmj_5z1zjQ+hM{bdCMWq1S7>GE!8TmSH*KISuF4=6|{BD zzf@?6vZ0hhr{>j3S`9xF=+u^%s+li0G6*BK?Sc+NA;!}$QSS5)WZIL zEFgxK_Wa^=q;v7_ux?Wx{3O3dX+9jK!Dp##$ZOSn)3}zD^IaWolsO3yS7HiIi*L}* z@H-9=Say+l%d?v85v<8N=Yjd4tZLRtTV?LVE7!{D6kqjT+x2J zju67hI2+^VpZn!MxUdYiObRQOS!txiJ>NhtX2({xp|U&EA7&qkK<8qU4a( ztM*gZ$~1RO@+k^bSb8(r0E5a%goIUnX)Zwxuw{s^}r#D_^>3iAj^1`Kxq> z7t*9-@re_!{7?!X+ljZ+(^kuyqO2u-0#$g3a@uD`C#7*^cH|fO3vKN8gr%jp>f96CZ=>;@H_soJ|)4@JB6i~_W zlV7qRjc-ud2r{NZQ+b>nDIt4jhzAVV3B0k9VfvUFz%8~5LWYh35-`Al&dgk}n@@`2 zG7njsd|GEGE`A9bl2b0U%yf1os@Bk`W1-kTUTg)i|7lJEqSN-jiYM#>8xsb0qco{X zaTP>-nb&ALFFXnvM&<0ry|91!*0^j?rV7xB4x!ILrX_2&g+raQ#{6^yBAKh7QRO<* zJul(`P4u)hqHB}i)p!-uR#$G{7tSVCO+-@K@$`8cyK*~&q@>u+E}oYAjn%&sXo?WY z^Yf-K?Cv(OauqxLJ~S2_EzMOV58BynxhTcyc<7@uPmOnh7>>jOh9Gj@Ng%KXMQCuB zKT9_dUf*b+9QTSWe1hB{#>i|c3<)n=hv#w5^MJ2jHg(j1it5GKl&_`M{n+-G5F8)s z@$0t^PPJ=0JOYi_A$TPCj(@Bphi=96QiMd}h8*Mgv;Kdg z`G24a=Oz{?xAt+BMBDrPK9zP%&FWcPU%#eC;GC5U?Ptmu3SAtr z_W8}b!K60^s;VO<_Wncw;m=@P=0!Pd8n%@aM&7$i_)dCFeUqj9zVqD~G1?IqG*5ERkvZizhfFealbC2Ci zL70mt&FyYC+`(rC|GRJeMeMDuP&U*2h11V8w$-o|Ai>MB+=7yQSd3WDYk=*LsTVup z1^%Dp2O`FN@L70c6eCfp{77Q87U7KPv#-|-zV9Q26cmsQP^eT%SzuRgLV;aJW8WzI zwob04Fa-qFLvhH}Ti6HXWo*)4cPrzL`WW3vWQZ4%AOyfUe4x?<8ONN5t=tIPYz!v% z_*RbRrOAQ{Nx^4gLE}xb2pX|U44#^^P+gN&Lw4`cmzOkWqg6`Yl3~>1q8WPlhG(}` zDI0f&1-$fh5N_!Z1NYE9dvBVDA4c99k;}^T5h5NG4`-&Ie<62$9Qhl&CM(J)!2@D1 zSW*)z3^{Dl4Nrfk24>`>pb9@D3aj7oFe7U(e5)S{xfu+a7@=wr+@_PfYJ4h*_rzBg zpDrZ)nj5VV|EdvXTDIan>V^?bnfsippD~bu75x_c5v_ED>+ccoDIUqGr%kdNs{5QBG!TZrr1R8Q z`Xj$aPRSDe)LPoLz97(dV*75B7wsp-wOojmX0k3v6E8=z5I)|DL3CjYV)om=Oi?En z#N5|~XnKQnI)W+Qbu|*6_Iu~!FmsSpC9}Avb={;I)c#KJWv23Gih?Z*;u#Ue%XcU7 z%2Mn>X7n;m>S*#wO4X;%KkQ%;yYT^li0a_W+)EZk+tek_CxeAmau;HnYK5Odx!6@p zm1DUJbv-z2bnw3hp}f&;*ASUFwx2?U_Gk3ZA%UtxB9(HhG+K?*9eykbmohH8Q*Tx0 zx@D@uyGYuESp7Y?-3f9*v^C@NhzRLO(P zh6`wDq-JGrrvD1WBcc0KjR_>mcf+Oppy_F9tm>Fg1x?@~n0QA0i`8ws{Nu+XdbgX2 z&S46S=S#s6n`bN8kbP%b1!vh@s3F(=-c z6mXNS_-$l!<#Tg=12SmwB~G)C9_+@0orAQ>Gz z>|XCiL^<~R|Mev(kc>|M%I%%a3tlmg)6I2uw8xdk{-tICY-eJj*jagP)oS%dn)B4x z8uoshrxkQ0#fS4`Z3f!Yp*tz0j{2K)jdk~>msxG;(r)FZvIrp*WpglOmw+opLV9Q4 z(r5C#ZdagIuF}j)1eBGvy}i4-0$|NIk&$DHV7UIx?X>_^97s9QG#9)?l}^1hZf%@g z@#epnU>#{0;8wep4Wq4`Mw?%s{?Y6}QL5dZ`CpmmbYJ}_K>Hp+)L_y#>-`far?yl| zTq76)x*jlY$C0`18P*zV_S~fHLHXG8)I97ks^T7Nn%ShrpkxBQHQOJ!^Bp%%m-n9n zb!{;<107VNy>xZa3fRO3jVd!79JWN4i#Qjg7azv13+RmPjva&a;`kB(bGQ!~N} zuH?v|Q~dTY!RVN1vk7F~qkqZlJpLiG+bw&K`}RM(ML2@I#D~XZX@XUSGJ%*}f`d$2ZI&z{N+pfil-_?Ld|vT^}O3iJqZ2msJW)^0Z-Lu+v75$CP;SgzxhV z%5+F-N~H5{xN74a7^G#VCDY&M_?sqB@Nm6Bb_ILWG+wZM9^-m($n|*)aIxG>36t<|>= z)gfi+qNoxfGw{;;hPiR>J%C~N+_vD=?l3t^8O4vyaDyG`U}NuA3FS$weQ2Xj%)@#4o?w;B_EoaRJDblS+b z5In^tPqot=?n&qAOXubcq&Li5juw`lloCmP*5u^EP!vNhoo7iWJzB$TBI{hw+2F-W z{5Y%$8{vEGBnWeIT&}W~{YV(DiDKE-CnnV^8C6G^=#9`+*G*~?i#r*860dznw2bPh zU&niyU5bA9PHVv>BMfvbb?Ldj4xkt41t8D6WUsE|t>m*peN4pn+rx_c?zrO9@Qu01 zbK@%uMMQ9&S~_&?HhtJ2%>o?3@ON+z>|~V?Y%Q&up&kxh+f&&Kt(o*T#{|uV2&pCSZa}K?v1cDmDKYN-Y9f}+ zR2h~2OpBIk=R4X*Ek16@QP2p;;L}rm>?o(Iy7s0d6PwNf38R+c81r(r!&0DUeamK{ zKj4f6yKZSluMfa-;6UoR_KAIpaq|o*6PvOx#)^o&Cav~1ue+{@i8fiPnHI;QOnlZO zhWe&Mee3&@*ZRFw#1d02~t7yzT-VmuIS}kzR#HeX(Ogr2P$6Ryu75GA#!IBPJ<5WXPIU zAwhgDHvcng`HR>y-vd$ith1EAIa$wI<@MoRskjZwbX)t|ojaSnXvY6M1>c8}fYn)zjjz4$%i3nVVVmg@;NRKF1 zaBfD|w?YD^e;*SG6ddq{8d+!J5Zx%TGgozJ@Tlf}#(OYGCoy@Di$_W^;YMitzxzJ%kmi9T_DVs*{T_WxHuqCK9vgmp8{qx#S?G*;?N} ztvyu!reBlQ)n+q;awnZd+{Uy7CVChCW7RH&6o;4AKQhth=;|Y_~y?PaZh&r zqJZWNsu5VVsC<5hd;~DyAyM+?jtWH8HdlYflAtMu%==_}yzkVBJ90ohd?2o>o5*($ zT~XXu_<$s8?KiT z_i#_;sk~RYUFfacU*R%B{oCo!iZ1+ObpVHt*8bRmJ$I);2B{)~c0BNr>iFd580&5o zdD4kSHI^S!_E(!dsps-;CKLwcjZK25oE{AW6SQ0vz&u&VQp>58PrN>_P#UIiTLeJs zM`m+0;61MW*-QMqtgoNAM9QgV>zN&iEhP5oZO#ZohK1J!d=mBW-K2M1J_R;d=w8Em zunXBwTu9afX8N-dSa_FIj9Nf>?q5ebS5aYt!2^?*?I`wl$%8w5GdN^>tVpkOk6u7&k=}>w zX?%75Mi-MBbn-{d2YA2UsUZvEMDHuxu>zFN*m6xGr*PfvwC!@1b|!lU9jg6tdwYIV zEVxVSp_LhmFy7L$?Nq=hRjf9UcG9&b<>7*q*RRi4Uxqp5DD5|@f_#!R!MRO71s`Hu zVKT4bE*ldzIW0+(rX#O=nkSvhzWm^^HT}W;c97-mi1F0zudn%@+eRlI3uRmU<|+F- zQ7SaK`@A*pTyAUOz|HmasAop0J`74f&o}Z7Rna}y?@PJu;bl;?kT0sBGSke`yfj|R z-Sh=##hf5$$6IVd;GK1=AY1BDwzNmBh=4QqjszTld|H3Nyz?S;}yO&9d@oCBEJw z2ZJe3zsAjF8XS4NSGnkzqhX~!bagx@y<-8GBB_%pi0Jo9sQFDPc6uh-b3Ho)vqduV zB#rWQ0kVQ88cxZ1f?VzZSHAE@Q3!|PKM^8TuG6L@PiNz%>R*T?Ot5n)4>Csqs& z&3?lMn?gdn?+G86Ju%LFGPTZ*z$scjj-ARD`B8_*MTG8f@8fw9LIf*GhK^{uhC`*o z#@aB4W%T~71t<}M%5feKE7;`rrV<-$V05qD_-x{`N_Y-J;y@k@PmEO9YP)pICV6qxXd8=lRXm4=h_-H#YazpRcn2*U;G!s0In`bZ)IsGbQwNwk zt-nAm)&J@gDR5lRs$~!iIecm4<2)jYtRatXSXIpVHhD5sw)Q(N1I@F?fo7B+4&nkG z9o7&2hI`olq~>JpGpF;eDGBwRSHSuxZz527yM-`KCQGyC2FD|Klh}V<{;vDz;Tx)dZ7GLe{l#w)JF!9N z|FA0%*|Ar8&SKiC?oG!)N|{%x`H>drfNMSWTxiY+rW(OFO19?+*THj1W=6~AMkiX# zr`b75e;hG%I{oojG+T%iUsy~yW@D{R)`-X{#IPlTLse@S@$)gBB-Fyk#Q769Y$G}8 zs*?EG9A_Qu*aenJ2*{D0(dH0^bxwN99m(0J-gvU^xd{C|c3LbD}NxU4jLDM1tfdshhGO z40xWsu>ooJBeQye420w9$segbnMm|X=(R^26v^Yos85PqipQ2|>k?U@cv#r=3m2F- zZe&&*`0|-CX#?FOD=C|FPhdOBupNb>{Iu6bsO6*W*Ed40<%SlXl~%kIVyI$giVv?TCD@6 z(NPX%-TfU=2AuJrUOEngU*X@?B&DV%j^rHIpC+=n(2IGl%Lc{go|PD$HdYdQs~*4X zm@M-tNqdS!J40^G#KeY8mAxwpe0l^1s#6Va?Ysh8ln&JXq5J`wk8Qa(DIWbm;02ttlE0eVB#S5`!{{+*>eY86=d>($^2eQuPH1CP*5?J5E1I=N;l z`ZW<)Ml=)+KnJa^LA`eWMB%*@9W&d1CGPGgUpeQv{qfB0w^&XV7N^-fzuwb>v+<3L zFAm=(IVYLYv+7)Ad~l5JWv9y98uE%>6p5Km4dst1!It@_({Ota%0}=tG9AT`t|U&4 z@%XqDacY-~3ym#9s@EA2r*Z;Cn)m8+OYf6HLABhAq?)*YW=%G*d zX@gXZ0%vEB-j47xClM}y@UHTBrdOcu6=}uSPJ4GjForio; zXO`BXrcNokP-^SR@LZMcu6IPYKIq3zB8fmi@g5VMU3fCMYY&dexW_;PQ8A{DLo>#H zE(V_qrCHm!?W8m#z7kg7x^xxe?iNATsgA4@@)Vd_j7o7bM?uY=Fj z@_vSE?hb)Pn25Uhr2PP_oV%MDW3h9ip_>8f-44Q>AWPm4W_1Z+{xkfq6IoeBb2?tY zp&vwYYokWK6aJ0WsBYU-qVG9px*^+ZJICjbRSNG){no&fu<}x}WsOp>3kA6^8?tuz zmmAJ&jU8>3&JNhNNd1Qj0bZZlVNn{jc=6-wvb5hq>vWTE@0m(?*J|~ZqwG1t|K!u@ zc^^F*ZSTH}IT0?RO>GB7i~i>M`Cr{zj4%+BjbYd45B`kY_uadh%NH&oC@v=Z{|O-7mdCsd^}DTpY6|@y`I;BG*ZUS~bfJ^?BBbvsCvIR|$UzBkDueG*A6yi!ynVqV*a{Jp$>Qh%kgPX|u2 z5eb!K{9r0at*F23#QeQ007|vV<_x}Z^5SON?lA4)J5e#Z463* zPH-qTB{__SK`Gj{OUOJZKgsMPj0J%Yeq3(TCCq|gCq_#?OH!a)=!y>qeN=pcPhqzK z?1SuU+~G#s^3O1D`s<|lGq1-F z+z?(}1chLSS8qtab3*~V1|T`rzw(l(4o&t>O{J+?7FZ(rJ62>tjA2gvxf65GiDSK> z0h8j;*pb1LoY>2E>pkpEN_#g$Z8M_*#*ttut~%nzE%E?P-)*nNpnBnn(n3~g@uXDk z@=%M!H{LERE_cN)0aU_~4+q?{xe1tcz_vEY&Vyu=-+s2mMeau2R*C%SAdOw^O-t`TN;D*t-9*j=X zF;-xMgjE|bVEzNRnKfwFny=fh@Z&E;qBx)?kBL&50_Ew__}Vs#1N2RFNom2IMwU8= zY(I0^;$#fs>j8)vNr(u+CE}7{=)Vl0h>H7U1FAqxOiUM514Q5LQ#Mh>ScE?|RjrkO zybaw2e8Y&A>P=-vXvmBSIucLIYt8A0O%ZFgUJgks%`m&|i4H;^rqw2Id(ZWRL;XIL zzq_MLPoBNs6*?h z?}y(P-o7Te>gMqpz16@K3#;#FO;N3;n5d?3d9HZP9+8oRXHM+U|5$rXq*(AITM1C_ z=Dv6=gD~d+M7X75s+QF@?QQKly493_rDkZ_W1xRxtn)xBD5$Y$L@FpSnHO!O0KvGZ zQh2E@@yz~T;THcbUP4FGB{Dwz>w(aU*U=Pkhtf8)13tby6Q92z#{`;_uaQ5zJX=`o zi+pfzAFE$kIhNzS6wq+@mp;`-+l+swqlxBd-6(VUE86&MdQVTs8UO8BH!5_}P~pbz z=2|wRR4@_K<+pY7ao4{;ZaMDpn~`;|3F48yq69@=InRvT|3f-ao&jmJ=*?Wb%E95b z`0*Y!Wd0XlU;QKVvvTpWb@g#wuNf)QYt^X#Zwj28K-F2fA!G^NE|%?kIYpC`ZnCQ+ zwY;{7&P0r68UFozj5ck?MetzT`kLpsR`H|YOXiqAEA_f`hwykU;H0seqW>tJwwx4p@Oz zbH(V<$3IL_vuL!A>()`Q2Q%+NR=SO`4;q8_TJNwi`MtBEjxC&z@X;1vE6Es}x@nn? z1?I=6j3>mJgqfd=BjwPYPsb@!y5&Sf69Ph?_?3Z;n)Ds>ACuGv9REh~kXKS@?UEUk zpfN)KBg~!NgXwhbX*kX@b3vjVaQwMGZ7;X1eE0UkF&0jb2ZC(P06@UH!xmpdD5rox zXfqCjf<%o*WG?ne(T(=lIBt$G7XOiSLQTKTU9F`c(ER<#?|=@q7gK(B zGnP{UMUD=R;?92THpXNCTnO9Kh}ff98?fV3l3(=Vy*6GF;NG)YzeL9zk@eaH_h60> z@%>n22sI!L3(oi&Sfp86(s!?IlBzcux`ox0rb>K9z=1v;UJ zh-)$#nk|H}>P5s#?RVRX2?FzVG4OoYKY9wrbF zuNNrKH=99{h{h#nX0)xg(FQO}}5Uus~k&(mMnn3rKb!y*$mBVw%(4W~;W z-Xok6T$wa^3|8SU&Igo(mM$`sL~Jf4$e@t)!meNEmG2LNo;$S{#+kVo8`M6wc!O&u z6^r#j_xyTWZ}-)+N4{CN<2?0W)delfZ666JiWOWYKT@^Tn|)UdOA+`uy5*Srb(ghw zL3BQZvk4#9+Y-BU3T&ybLS0cVDbk|s>YrOU)YJj3qd6MbroaYLmLx-vKv;=;eyI_t zcHeaa34cJ|LG7K$oGfTAr9b+c4x4MT2KBIdJ|7iz?Z*XMx?|WFa7-S&=zlix-9axm zg(bYJit{^rCIkavK6;uE$lwz}G3~7Nf_OcuEJz6={?4S8W?S}`%tRlXkA%o|Bld5R%$Vlb22?%l!sTRv4Pxt0 z;XUVKJ<;{;w4taZE#sN*51K!BM=@zr1isEin|Lr9!+yw`MYGUpdt0NJDh{eGM%-IJLSmpvp%^R~+069wkd1o-xJ~Z80b#!F+`apOy zB1y|YCmI26P&pSsq*L z4{g*|oQH0_rD2r(`rFjgEEJ1tUbOUa3-S~Lq{m+D=x}&;9*k4};p%IR#$jOR19`$2 zMxRD^sUN3bZ@Q(Yl_)SlR{pGI=-48`fTd$yzB3E|_i1#mvGT&Xn1QeU>st*8aP&d= z{ZVx*Ce!Ut&S{p%19tpC0;CuJ7Or#H3PP~=>)rCN?YFby1L$7R%o(zr%bl!?YWe%E zAG}_%Z>9-hsV?;m=E+$=YFe8B)Vr@H+B`0mdkn%n$2 zk1lUM9KRv@KR&B}e1ILgHj?06UXXqvJr52xJTDOyNyni&(m&z_wKKp$u|!{yMQVq< zw+zu~(^ZQ2Bkt-%c2i@jl4qz?{tOxO{YWI5o(|)Y0#UaOmrpfw6pnx!nIW6nXeS7Q zlyXI@h!uF%lDpo0*~GB#M?t?wR^Ty@%VIy^wmxPE!{rf8c+sc{szRv=AYqDBV$Wdc zS;kivPOvF`yY@m7i^Go3wF62$(x~-o)M}h+$`5KE3z{~DS)IbI~hoc4&%Sp%x6!@c6 z#Rdx0;c;Sl$r8a*1VI3LX28i^PlVxS*iOk4FqzLkQq?t(68zLj*4W&l0qK|SmrP{f zTmQb2;gx=%?s^3im06lENq%v@R8@n+J~9w2H`{#e(&?&s7N zKc7J+7ul<1blD@fo9(9}jHvg@P(?uJoJexb`x-EmFlO@QM1`S)hl&rNYZ)MO2x4OR zO5vmvGoQEmJ(k}g_H#F?6T_iYS;@~OdY zV9-@FBJR3yD860^27*qa-;p2-A2VcN1O|;l|DFvO((vm1GoUPD?3 z>l0axa$BKwv_r}B5aODojnsyn-`&!n1#^l)XIvV$!r*W> zfj(H5o7{*v^SPglH(TES2eJNxUlquXLyF?VP3iqEyHY+g_cvHc4Z%!SHxcU|dd`PE z7G>d3y?l$(KUw#_(hd&mU+NwhnP2$K(03i@+(wpb{Wffp4;%c|6epO{tZ##^LNwSK zTP{9GNm`t>#1(k+Q>W?FLFN+h_-p-IYn8i|}HZOSSS5h+f=(f%|_xDm3Clp1^6mpnMhJxRg0&7FTwp zDee{}(smwelux=U$|&-Wp@GE$B3N&RSP)^r$O@j^&I;+}7 z^SAJsoy=nq8s1PT0CSNsK(h_pn#cTru(0-0%y1GJ&T@B|k1eB7{&DtGNK)v)QP0y|1fCN|VT(Lti*bk3C z0yNBHZl;-MMxqGJxa>zi03JI1`txwXL+s3smaw39+Po8peLHVEEuh*N4VqS@;4)>qMXKFTs2y%5y|)BK6JUmTX(q>R>%fT+b|bnahGkrh4i|zWZ~$| z70Dt6-=fc<4UB}`Y_QxHjqmVtm}9DrL6AwWIn5Bj6BExbAU-W@Aa%Kx!B%C5m|1%H z7g$++jS2oQJqE7F-s1mE^{U6Q>zcTpdH_Ly<=z~0$TBYJA ztEMPORMw8(CO4+^+x`40@>TEGrEsWhg>idO&xwlDvuQ~`Y_1&)ZPmi@pXaSOdNNGp zJ40N0E%f*&+bF-2KeN;JwO=xCAHAY3n*Qly$lujzM!$5O-a7MbhVZ5RJu}2s@rM{O zx7(J*=B9{pjps3jGl%oY3 z&U8I7yG?)ljyeJhJ9;o-S4chxvUEFtP1~f$*|(Wg5=^- zjAOQ|eOmT^kxOkD2~UG#Ve+n>U;Y9HN7`#O3v zCdg2BFV;NY@}NRtr%K#O17?JunVls)OIf!&TmRY?ae0Tia&?`;1QUNh9HsDj0Ova) zA(x;)UR^`@QP-pIuL&hyqG2+Lsc+-cQ>4$U8!A}3wnzauN4xu6rn&dq=J$1hbqx0h zwr0g#??!KvJ5-$~q>nXP33?zvEmMJDFxDe`jKWf5wgQ9+syCBXIic*~ zR$iOb*fJ|YK`Gmtwi;g0&p7NmO!NjGPOsc^O`h<)RWOr2B1C!-Qz*ar|GD`Gx&FEz z8U;g$7sD>nH|hXDi{~ien+w96uK;#_%Ws85Tx^DGYt#pxOcyu~>uX=@n2hu<>W!Jc zxsL?QxxDesQbt!GYfKwPoT785I{g;U8Gat=u85K!H}-#{Q;j<%GkB)@=Idj-J**pgw_kB{ zN^pR?Ch8moq~t_5=2> zjo$l8bC9F4^z?i2{smv?9<_h~gr)TS)H9eu{*FSvJ^-q&cF~W&7}F|C)^d1)ep-z;9T9G#=JO*r&u(7O-tCo+yEglN-QkOjcIE>e*71 z7-h9mA_ij1{P-I;OGiosf;*n#l7h;p1QnqgsLB>nM~=gLB9;s@C5=z+?k7uzT>>09(|A$6wU@NM@6`JY!N@L$ z4rbUSK~@fY-n1BC3Dky&v*f?<}tXXJE>0NW={Fu zp|K9B>3YKR+Iye$CYY%unCZrXEHsy?^tVC*7ay8)*j6eV?Sm=Ou>z9Pf!trRtkyZ# zg~ZIeCU+hct$laXNz`7NU%?tCM|#;YI&!X$GJ~zkds1N*_951tK;oLw<5r}J-MrK9 zc)E$L-wkGp3IIv#UC;VS8Sp{7gZ^`WRKWv9fY@QY`c#bq$B9XaDvpIyZzu-C*n#3O zGb(xG21`5v3;!sd9m0|{f@2;{!GW1$`T7=zZKx>Rf(QOF2Ys79=`|ME<@_1Q2*;!> zNbaRp#QX)xsewVK;~)qvT#kxqxJ&-C1v}yrQ8TWY0ca;-CScMWF!|wo%3>8};18Bv zbN~%GUNV$eD4v83pTr&#vuZ^m`EM8Rod8 zJEQzu$kTy>2r`$!7%nX5F%f(d8U#g?O_xb>uH>5!ksoSnes!BasikB=Frdq1zRpfz z09gfNp7$#p&ymYoWTX*e_11GYCH3w!@h(63Y6G!)qU4LuWzgzAOroXL z@2Hx4mU;Q~D$9z)V1{>F&bl(5!EKavF&5N zo|tv3@z^-c7nM)yxs>GloYnVdo<2H>zO#{n)5}Quh|@)Eg+RN+QtrJSB)4%AQ-G0f zBf8wF6CY3D-RPB1k?!YqLJl{L;?4+%J-WQ+%;LaU10VbC4xpA)X12_YZ*?vLLvNPP z)d>!mcw?TUWMPu0IU90~|IY69%gj<(qi9+gfwiw_Or9Gu`x6xhuE5YQ7?>JpFhj- zI@#zsRMf!YvY4tfG0f8mxe~d!G;Vh=be<6*!<-=a_$7O$y7f>Co%$=^kZr1NHON(C z2C=O->&dTb(ym*;!6jh~2FX3Yjv1Yps09?Nk^SFD+reJe5r^y&OU{;hU#EcVl!AOQ zHLfbmMG&zR9F*Zvjn_(<(Z|qZ#%|~>W;k9@z+V%MjyUIF)t3HTG4AMOGb3u!4~-@h zK2?*)mOZBpRyhQWsyqjbulFtmLrA5ug(eSadXbn8R1L*QXS}-NY5krUfX%~Ld0H0*Gg0PU5(Kxtdb2@Np22#I@nKMkc^7c!dIs`sO5XBP#Q%P&k0HWEB17CTW&jw18*>jKfUC92Lz(o;)h*9z9-< z2Il@`$M=tpHZKchzdso-4Jhl3!c#9@$A<#|dyaf+5EwL?G!QtKdI(AeD=iUuvZfi> zbVXrN2-75EARJI8q$PNg!t{EF;skmo?p$6ha7I_H)oRk38o(rhSwB@tJ-L%8g;QOJ zSC=yHsCFeoS&u=R>5F&rEva8@cO^Q;*HcYpBYpVjoipm^I83Dyao6jWOl=>$k`eEa zX&sMPiEW;4sincJ|LrR94cD})Y&e>sR&x-fKbmJ0iTnFV#+|fB;~J>0`caQ@Ic}FO z9B}&TlXoUT33&9|8)pWB%E6vb5913NKFAL2RJvqNxldj{*r(VF&Ar9*D*y91!2J=r z5Ck||KU(tGKgm_pj7qP+b_#-Li~$;#tEM8uhdGTuge(~bJ@;aQ17i4)HJmvL0=ukf zTC8b@DT4(cL&Rlx5%Ag}VYZ}K&eVPpRL{iKG4Y;e;P!=oHsk*&9YVJr6Z722yeUV< zkA$$cc1Qi?OSjC^CIo2{5^82ZoSw{QNd-}b2#1sir7mxSLuB;uMFxjR#Dgh%@df$g zXL05$yFwgJ^k0XNmcP{!0Tr90EyiBH$NJScaU6SQj-IfX6Pi|NxH3Mff$?eKF1VgC z!y{itlgZ6ny4h^_V>e#XYf{O@7Kl`gne=`;IHFU&w%V2aD{J)(l7XOgo$POoZ#kdeO>&=cZl8jY;4BA7 z?$lfei(e=^GY&(11b`KyNDb+Ksr%X)62VbHY)~TSUej)aw7xW4_~>=?_yiwFrQOd& z#N}jHQdKuh7M?P#H*9EA^pbFAZM zui6y1_U)A{cKhFW|2L4hWnn#coUa<*GB@_&HvK2?q8{lXe>U3+yH_M}y!VL#mW5BbdVs&8`e4iUL)7m4P6CbtPS~}(1v-gA6PX9 zkZzYHaaYEuDJzjDM5^D9SmUiox*_=7%N+m)0z;7$JBEHS+CLjCmeSbma#Sx)W0#$V z;y=57mVNy~ts77dAmm|#uqXm)KNj7@sT5YMiOs1uB{vr2=wc}N%9-nQ6A(KkcSMz9 z!SMl5C;CqOpe3Oia1xsW;e_M`4x1*~Ja}+e!%^%8rocm?=Kj<~aF1SSk(v$60>`Hw zG8Uk=;5-myLi|5V2!ltYfV6 zSe;axaO!0KPihiU?H~BKyKE9HO3yl&g#^fL2v`*6f+OnL#VQiIF02rjj6K91Q9KXc zR&R3q=tz;8N3LbR;4frSzz6L8)HCCO*|L3HK32D@RFs>-#U-L*FK@EGGh^w}qy2g6 z(l~GU`%pL1k@wiso59#k!@{yF*64lDcP$IG70Mh}@2$AQcgR7mFW*?Zi-2QKPZNI0 zuNWU_iG2ZRt0s4m_I-cnx&B7O)cba?iRrgN#J(gxp{Ju4w5RA3hGHvIX@>2Y{WDsa z>b73w8m%c7l{l@Y>iomcD-G^=GW|jI!+nsLQ<3QXH#wCNbR0w#>9;&Pz2#?rM(-4e zISrD#(4}M+EiXei(?cd_ol;DwGybv(-$;Bo9o~JB6&J?ZR#usn7m|u=EampCef!oe zGXXCWcQafm1{*8!Q1>H=*at5UNTe>8ErF^N65$#SY8ppS`2w_}~UoNYjY<`bP)1t4~hE2Y>ZP9h# z1qPB!T1RnRlk|_jhrZmO!$>{zZ#&{{)SA_P?2Ii=OmGAF@|AklF2!B>*WE4tXtU$v zxaRYk-Gx^Cj9^Aaic#5TvP{Pl6X~pv^LK7eh?J8UdVX$B&`A5J&rTH6!qP`bDKlR6 z)2>W7NAJ=$)#BbLK@eB{MPd99v1VetpSC6-O{W>1N{55zujDWe2|q-GTrgOewnYn) z*V;bZpZO8-t$@`q6wIt;lN1p402wEYD7Wh?aLr53K<<`yCM9=(O}ch*%6I$Z@#*uB zTWAF;`Q6uF>se>_QkrT5(El1|;ad8TyAJ1xg4BqAEpwz`Hlem;i!JA&^YIQGsT9e#%pZm__zrCV7lDz3Z&WR04+udW6lJmc2ka+IWkl&!8 zgg*6uSou}+Ey(x5lH|w@<_`VbC);PLz5jkbK)wR|*P9o3I1c}XYhR%7iQ*9yvF8=> z&9!lR8)c96$usiggUYb;ul_^CGu4fiSX}XG2g4&FjMc$Yf>sCj9_an4m`+Nf$i)Sx zJi@qr&4;0HvEy{ckKiFCg^D5rfd`fN+^kWL$t9RM#f9h%-b9fkg+J6)=fG+S@%4fR6P{nTi_l68U z#lxJlUu7hz#`#}syAC>#36OTxlH<7-FAw3&%z|@r`~$<9wW;XsHc<=@xgv);eltxSP{lK56a(Fj+hz zlwKqEk}4tNWyw2P^l6-3x13G4lH3|1rU?g%ZM^o^$JEkMq>hZE<-qU61*oTMK1)6T z@TDu1Ig*A}r70jv|MC)ofA?dnflKSJi8$CYH60bg6(75g>#%MXVvINrjw(iw3!7?7 zzsA*9Z`yyTTclDr2dVrGNno)cHgF}5j~}tKb0AyRCv@8keeva6=+l9mQ3!PUNhA=U zry7rc)rN%$j7?H_s{7nqv;dr9LqMZ&>eSB*%e7M>4Nr2wQ_~2=<`VT%oKp69AHC62 zXWotL-Yll_ZLRQ$&>x)3S+8OuOUJ@Y;Nx(pvAI5#X#|yUO|s}%Xj0v%?Up2*fs!cs z&(A7C)`bQcY>pLO)h4H(%y0mIU9wlvm0Vdq?Q4%#+9s#8MhsP_gD``f!bV|C|KTo@ z1<6gm49ss;6Z_RPyFTY7WbUA0)wl=fG+%6?_(6eBDl3vL9L-nbl zZVU2?p1Yp$a>$&@f~KWH;-x5-A`vDq3)@Asl8}Qo1GvWQ|f$D%O1YOB?L0^4V2ievJ#(bb;{Pa+AETI}QrIQ$ND)4Ge9s(vb` zVE}(gFaN&x$FRQozVM52gCo4lT{LCdtZLck}|? zkEf#~p1Iio#hGi}EAk;bmH)P}vEe`!G?wbKF{*HL!)py^3LAT!JWw!Gn1!Bf&3Z>d z?g)vv=T%8(o4o%BXP{*X`IIC__ZOUUvBvFZrLT2y1F}1Nq}& zXqZvoSPi$Q;upkb6A4dKdhPb85RRa;QiUke)Z~6)ae5C`;!ceCBWC*BpmwPPAf~FT z0z;2cG6d=5Wu6A<Kuf%hzDruaDs@dLMzA7 zI7f0M9E#0ZF(osJR`ry@;}i>j4S{=+!veEE2G5u?hT#x|{lGK4vqw|X{V?d$i4f@} z>MQi?XA$t0NL-UmmYC(_XZt+m^z&ygfb}e>6WqfQt)Ztce981{*)?4tOZ`9jlzZ2a zJABH0>jrjt+haZNWdCq*P*O*_ldIu@q|kZ?LJk^LRrFQDY0Foiloz4`ysKlAsSIck zB*Ka+*Z}?l-Q0F>I>V+vL{czl*-Hp+-GKtGoajjoGPqpYk#c2b(&t%8p09%R$-Eb7 zP%1wNOiJn6U&G$WJVDI^PS>O3>9^%c{ET-~(x_As>r(xvEd2o43|;2J;T6>}qLcri z2+EuuSWs`%3)M(_IKuw|^R<+_8<`LsG>J)5te-mc=H-h<=Hw056un<$4~_DDJsgv zdJJstHzE{{_Kc2|pkwcf%<}2zj7&Un!l77{;!|#qNujlehi%IA^w$Yrl51l-vAhhV5*LEk{y(I>WmKE{wmpnXaCa?G+@V0x0EHHJm*DPJ+=8@4O0nX> zrMSDh7bxxy#ohnuIeXu;?|AR|^p25F&mcgaBSCu9V6H3W` zeupAjcvAEpecBbq20v!70AYCw8G>y!isAaWvj@V&Jou9P=6-;Z?6*-Tts~)0v|+l* zTKMs#Wv#(>q5=n^iU6n^k?>$Q5qGr4N`?nn))LF_el0KBU3$VY?`+%r(#$$saj|Um)=|h2$(A99d zs1)(?^4;cGj6lS0lg28!dd;&x|L1t;M)C&|<-HD6ROMt2!u1EH+amluEaIR0y!n0o zA6vhZQklvfKStsulTv6nn1z(881+r$8=Tr0IVaG?Zt_+z-(O^J zcA!XdN>GfoTd#FUD;PdH2m9x^mqM-BJhZ!SqX(Y%^)da0Mk^#XQ9cY%cTv2ZD1n6H z|5}_4&oIFOo8XA}`K68h_$v1V5WjR{K(2L9rHK3bA4P)QE5t3Vv^o__S&hFS+X6nd zzE6Y%{U#GK48~l5MzMzP)!_}7S=}ZT{Xr8wSt@nX@CJ#JA6(3nL-}O2sn^Ye z*f0Ak<;PJZ{6rQFVc%N;O5Z({FqyH^qGKX86F5B4{j)dgO}1rQMzslYf1~%g=s#$9 zu~I8n@h^Zp%%ohVeySDlMA&kbxe{hm%WP5#3_2`pCTxFfn%$_|74ckd_kxZJw%=|I zd-@@YJq&3XRG4bPfJ>5{O61QgV*=pXZ{^xshNcgb>|Q}tm%}IiHxezE+hU&w2M4c8 zF8RkJpYtCG#4e_89oz4{w=Oj^&HxY4j--CMcl4(niXg5 zgOgs8GP|f=I#1@!G9ND~yrxnE$kf!-mMz>ndrnRcyW5|K#WpJIms~ohb(op|I$Zn+ zV#ht}9am*X|3=WTDr>YF)P%AP>UwXkPkQmwDxx)WEbOy&fZ5XP1h#q@CJD-Eq`C^; z?*f6rc>G_Dp)Gw3sT9+Cn6?uMD4tk-kEXLj6pr{F0F)g&tH%{xbtNvKDLGLPs(TM^ ztjOZc&k-=xF!f8!lkml%80@P?M^KbMn*C@s0F6%bCRHw^ z2Ja84+}7fF&ER+?fUdRr!c7ckoK3U!amJvP1{GzRLdiCtxstiLu5ofh>=WkzvoCq_{VL1KDrbhAlNuYzZtfy+n`U zo3iM>s8o83R4832qMLio43^g}tM~9?My_k)0>}hUQ{K z903DPJ$#l#c@CJq9y&B$HxHZ&oAfUMgQiN#ylGZz>dQy=inD?ZOi=9vvSETw1#1UA&vu z`H~?VS@{*`OU#$G#{rawlFy%wH<&oo*=k4?!f<|e6&ZsOrgIbw?NfZwJs;WCN5eR9 zw9-X_eSyZn*TOEmsL{+8G83OY-S%Ua0ko%*^*%xi<0kh!P3`sY0Bah@U~%wJQ9bre zVBe4pZmm#FN_WC!KWa5u7OGk!7}Jx`+{#1Ad=VDx5K#?Z-$|Y%*c*z;ML|wZZUGsc z@yZT|sdnU$7IZ5n@ax~=5R173cy`Bh-uPX!K5C-P%OmLojSb}8+>Bk7Y47#A>b;A0yVjQ*?eyM`qDAiT2imRSA&lnmpCKe)Q)>0Zi)?%$PV zqD;JczNcG8aV-d$s&8=W6)jGnNOQQ;kp?n}eDb(C2Z{oMa)a-ibV|zJbfa5lXzXL1 zK>#4jY0-VKn5Bj!5U3^??CAe2XVhK9lT%W5V)6RYk1D45&Rh67kt|lR{$<1X^%Z11 zY4sfIM7&h(x*m@2DkC-O*MW+2i)tNsj;*M(Mm`CFs2p3- z@lF3~3Y;SUz*Iw83bs-FKYHXfTJ^Qxjjp$gFArE=vp&y9!QfVVk9u+;H*Ck-8KXX| z)$2!hWW1C*D6ZZ%d|J7o>MAjOA|)4dcG(_SWQB^=-jqv<-d8HE@s&5|l9095)$1Uamv(^go7%+bkDC$@M#GhqnE`vg%b< z@%59(U#VxsnE~d7{!>#^P@elmuI0ku1onySq}T0e(UWB5;n;HxM@!$Ci%)KW_OEgd z{&Q66F}C5e{rkcnO-52397`}jOKxxvs2PU$i-g_EE?Wmym(L?IzslGcM8S6c=1?~9 zC!=g!FrH08d;d88H|2+=I_#QQYX&PE;b<5ybPDxoh!1It47B1uowH|IONKekd0 zb3INPYxzLnFYmkIql-K*6crC3@5+cT30hvU`yV7e!fNbN7kc(xTE0TB16UO1QXAdN zJdgAtffOF?`-2HF=O|&^dyNw*?m&D}=sAb6pCjo($~%w+>;^*4ifDolDjCigZ==K1 zT#jLLC!%t6c&-~QMjM=f%SE4vO(mE>fsg}Fk?=I(E>mGE_!y&Q4g7xd_QFOI7=Xq~ zzLCOPxZ}v6AS4kbSB5}t()M*6#5=?h@}%Lt9lS#$k9jpL`_FDm9+&Bps!0=EU&gmi z;Ov6|U|)1={e2hGYV~GvuPn9u4bk3Aard z!ZBf;@}+UsZn@;mi;=2Ym9&y4Pl$)2=kw#wZtVu6nNo+5iVqxSF2CVr>klHt&8kp5 zOoGJ|iUlI!pYwEMxYB^x7{wumBUX+U3(WJJS+QAN_(``WHJ;yE&x%_G8XS=^DTuo| zpWZrcKTB-6S|j0E{De-{-%_sPaw)<>LE1NGm6S{wYf#W~_H|5}K#;5D*xJ(m)KG?C z$oZpi$`w)yhPR+{qTpI2!mV~ZjIa=KoqDn;DU&ROtnZ8h281AG^;73iIo&`Y6^#vD zVsV7>q{UaDpJY3V947ceacPJ0xX{6{d#T}3cY^l3Hn{j}=~<^b+o`)f{F?45EiRG} zb14SC>b~RUbU14cQ!h`8ZAtaVNT+DUYx1lZ^6Ryt?N47cd^jWVa*kl8=EaTfPIE7u z`46snVFx&LiyxjF@v|oE>$XD|T|M52tm>pLYp>T85-Hu7^Ad6w^t(KO-g*p1l}>*s zt-1oB&H=d-;3Upk)B*3S+Wyr@^43}QW13az7aae2K}J@xb4-95*>1 zAsLB^0(sbdVH1SIw?L8K6Cgb~Cf~TrKHRY(h9eRh&x!34P^NiV2tuMtAxdEkjqTa; zW`$>|2?zta#uy4y*iwh)qIIGS;zn?;E`Ih^!qS5yyuQAwjDkbuX(X4V8vH7@KZ#6X z@zM5VM$xdZnW{GKpXBuaa$@`{4upM8A?N|H9FHpHYeu6L@oTGgwSsxLKJIKA_So!r zZY4sGpCHhN0jFcs=kzPBue{u{D>}X^OohJXhs|g-zbxPYQI!2k8J$EY_kDGtjwwQz zmpAKuWM!RKvxbrK46Qq5k84Mse_*`EZgoN+u>L1cwX4_dsk5-#7Q$FMm65v-duS+h z>==$I3OB2NC#&zjR)qmCqK~30OYt{T@{j*|P970O{r1|k<+B7B5AR#5&LyIXrjint zgU_?;*#?ylO~zyHYlqv1gOJq+(Tt17mzwQ`$9pn=g7TNVS%;4ziN$|xdnYfGFJ0|z zPw9(w(ar>Te`Ln9M^sM&h7b2f^M7Q<`%2HZSf^D<&s$-$rq0edFHZrFlq(NySax1I zsRrT!MpTARXksy1Z5vQQ$@ao0DoV;uyo~b@GR@MM)n}R|w@uTgvCLmZlC%1&?oAGO z{~}fV_ehjt6g;*DR35iiTM!X`e|boKb{JkbxaII(M>}nPd8+3WXfQ1)q22x`ll4!j za)*byvCbZ7#B(kcgAT=P+KzFKdYQ+!B3kNm*B){lnRs=Wrd)3x=QWRlL{JQV)ekV? z*A?_1v9Rym$~Rp|7}Ak=oGJU1f=NF_hM{n8#kB1@vIf2QVzAPE2=9-Tr27feiGHW^ zVez_#Su_Dc9Wk27#Ic6SiQeOcESUww`1lixK&}f`o~t=4(t-tD-%K2||A0<^$x^VU zq1auX_RjjZF!Pieqmlxo*uFGTDxCrrfS2V1U1>L4RF$j|H}-1ZlK5Tnrj`RB^tBYIrWv!1Xkkc#wCS}YqM&#ojNmewAJzGH2SiwG9-+ruF_DyFS|_9 z>rtvd4zZh+CnAk^I7+$%!qyNHM8dwx{c$PY;ngd_Ivyt>YbCv%x{@4%WBfxRWLw^k zVEhv^W>0h+m!N10*;W($q~|?2M1t<{St*gck!kM0E_H4Q4Oo#zWdQPV3kWdSiCUJy zDB?9n{_d_l$%HYXc@n@N)=gRkHE(<8IT_#!dSOM{Kg#x6=Xx9(%)Y;x)@-UhCL>ls+@)zu(98 zhlHa`^eLT`DxEhLhg2f4s>ATt4#tWBXy}&Fvts$h{2e5|f&xLQefGLYTYG_@N)^2- zQ*>NBoB;ZopOeE?4D{V|vf5(LY2eanfXG2Z&`>179|(*!yY}w!704#4ov~eS_b;we zemyeVVKS43T9R%I86W1q-zIXPtV}R2F80saK-o;{uPH6(GP&+_`ROqJ_s~^mw*=5+krK zVH&-=6v5ImxRYISTGp6rcU006D#O3~TkHH`GSRoq00!)UNJL2Jd@#bOm`>6;sP>B5 z_!R6v*$yEW@rBKY@{l^5d@;v6bSz`2XkGPz8 zRrnYc(+K;rZO+jf)4C?}b<|?^)o}EAp=ODI*HupaV|6Mt^=Gwz*y#Fa^g&C)*Wcs4Xe2p6RsgzX>?Zh8Z*TUxV>KPAKn?3)rBq zGzMxF+LpDWUR+$*{&Xnn$-LbQm+%4BYqc8oLzRD+3in|rNQSFzH>oUe572$o(PB^4 z?H&DMcSRUK2K&tYmTPC%0-h$R))G@47aD+cmj9HP{HGHW$8T^V_%rO}XX#=!W`C#F ziFC(99&E;_O*5e`4h+WTj!+g1K0T|yyK8<1xR^=2R|qEK=RJ+>2-_-_t+BDoW7|><=!|(WrDX8Z0xNMI?Kp7vSzF{O2i2oX zS9wsxj6#!GxPQdz7Z*_Zm%Z7FE8>;Jz%%@0@bk6IO`FSmb9m$MFtD^ZF&ScBvCV*S z>!eicig@FKu`Epx3aX*3N1J-*-5{d;SR$ujb3{=icfN&OGKZE*@w9UBuX)@x&V8l| zYMn3w23+)f^iI^&Pj5ib{SfK{f$uQZOxO9$l(7gz3{BQTkQOX?UDrZ2>rpC{!Ed+t z^eBDE2OuC6QseCJQ=ckvwj6g_X%LHjz3RfOyxC)4zV5{z#n z4vN;6pyb|Hc&9G~xknlXep{>4e{q_MS&7O@D{QP;~F-K;%E+J@yU4j5@gASNX}c)Ws?(alM`f94Y3O`24nEL2?rCz zWuBu3hJKKN1ClC((rPEVut${hwp(bMQheY;)Wh?xO@jmn8jw>IYi-1Y5rf&$7~Eh( z6s26rU1FqnJ_phyLSUatCjxxEocbV>9pZo_;T|@wq)oT|tvyr4ZFXZNifIa$Hu!C| zD@^fkXB?&!nPZx*+$7N65ehUa9B0|LP&#H8hwD6zVJwx>RgW*N4>Lu52)C`h&(hz0 zvU|HM*k9?}ZkKmv7RBO^;xKz%SCxwjd+LE@oX ztA$BN8lEk5|DrwqM`rgQQ+ANMR@S^7$n26_F^#luZ~lT<osK-2X>NW+n!(&D8{UdLy(AeYAA z6aN*cA828D(D-vw73$I~-A?kb(r z$WrHAk`F%UZdtd}pK^2u+!)`KNz6NH;VS&E!S3JY6GQ;Hm_OW!*NxBVddyOEW#t)L zVdpRWkKI?Zo8cLEZcEoKyBkf1Wy>iaU~Ws=@5FAa+iy$QSTg-Pc5OZVV0~_mm#c)_ z)HplaSFSQ{Hp-vx*#tbFec!x)BXS&a6M)uh;;6MPx~VhXz5Yt3=|lC(*MKLC_ORKP zpSHi=E@F(H_^bw?jQg)(Ia-mhm@5XndAJ+*2WU|W)u>Lp`x!DPoL+mjp#?m6I`(dD zZ8hz*PJaJtG46XmbvnfnK!WmRejf|+GQ#4InfcH~G~4QpP}q8tY9wt`*-+fFdYix6 z;{$CtH1dwl^T$M^>9g&}d|vA@&k?@S zEz57^4*sc#`G>9DE)tIGGDKT@{XihF{UWswmU|5tIL zPt_(hRB5Q2o!7i0f*(iRV5;e^;^2w6QyW{VgZv@ z;TcG!`Z1W0aGrE6W60J#O!`!EZ8|RbmSSsifA3R>!K5b-3a@tAFJ+LPrH_jnV3%RX z*}-LHq3A9SiI_Q-rZG3kOaQ9D#>nLaFrwcYeu^^{2P4TAhD9*!?OoSN+%Ie(tcm8h z%DRqzL4|s4s2C9V-HZbt=P2+TeEX@Gb%W60;WC=AG%=S8ApT#byA_W5KL46JvKqZd zx$=`I;X>%Z6h)3Isb**5m0Db^{H^_kWVq5UwxO=qj9koU!)8LXyl`pzER>mwIp-CU zqW)qcZcYxmDcRD#`Uz(STwn3kEJ-B-S%REfsOeD!p2Wl#Np)xF*wL*z_dpnKgHRR-D@ z^Y8oK%cz9m{RBdm3xmQ*0->V2WFfJz^9{J63p7Tyk!pKBQh-|lk@0=MEz;V4U6Hg- z2r8S|xScvu(=2SE@-AddUBaJTeBAE4b2&exf>CGDj)99)bV_>i$g9`1O3lc18UO?S z#3N7kp>*Dinr2>CtrPW7DyJ4|DHZ1V7`h<0CK2|NYCI{{PeN`Lqy$)xM}Yf8>M2Q z-a(CzNI{vkYMQ?sEMOE?wly2+X}bkW%DapwD(49&kxgGx?Tt^MP3=U$yg8$x2gyB3 zO}!__!-MC^yS8#HaGPjdZ>I!wIziHa_j*pu^_sQV)%7>?!p{?=Ton1_4>a2kzYDm= zY!l!SYdARBzbI1`vT7^G@S(9xNAXy*O-%IUIB&rKP^E~2@!n;mULw%S$I3B~Fv~(? zH7YKqtaAscK%gZZB5;^$hiY9$D_*wSrFHIzJ)r`a2xYi6) zopap()(S*v82g$4cE@6PChRYl1p4}3Pm7`3pG(91XfxsX)G9JW0KYi92oHxpL=SK) z{)4gST{i`WO?}e(Z%2OX7YsH>%WU2_zcGq=z3lBeYfT@-SP=*J2ygsq*XiIAWh_SF zw1FXT-P^F{`FOq+?~lP8il(0!w_Fduw{C4SicfS!4l>CrjGK5{5JLSe$tmA^fB$~W zKahH1n9%y&DIP%Ofqn_voxp@PJvXJtpIcIOKQhVxJ&XO%2?eXt!3^srTM(jf+&*PgB2v>^va+4m)k z?>Zmx&92q2JRUY&oy9DEp`EQozfU`K&3u7dxjVjDLo<4G`MtVbW*cN+aebcDiXZS8 z-o9$iytY-Oc3VmGf-n|i|BSUekxb1wck(j6D$Vfixan9gea+zH?#-XJqLqH8;dfQ5 z*G1||1eH%`jKljob*o0z&T!F3oR%9us-D)H<$Ck0z1%ex_IC1@J9-X_de89;#kkOo zFBu}ASG_JA%UN9rGLL^Zwq<}?DMPR4H{?mg0fP)tG#3>vEC~L?S1L^fl*cyXB*g23&3b%xW%wc z$#%}BSqYIy)OOdnbnw+N71D(|}SR|yUuz=cf zJ3=q=NFO3^fFcq`%gS|N-5juYx;B?FG4Wkd%=ZWBGh&>azF;tbn_NnQqR2?&fp2T_ zU{9RtyH3s~Sw#d!j-Aybb3+<5?>;a92PJc7;uL|;jzSH2k&4u?u(6Ws(k*Pn#Je}*5@ku4=I^2pwZ^+Z-XM^8 zRuHaGATT^s&K5@k#d=v6Q+%kwa$kyK>^g_*nkcHq{tIVEqtFSvFa zcTLy^qH((Mej>*TekG0Y&I+?OpZuFN@}j3*Ov7F1wK9hC<`pqORNJwrSEQntN?#Uq zJ3%36NK6cIMZtmr!xnPp*4nqj5+EUaxy#N>$nIiWMEhLJ+h7Im&{bZ3Wjk)|n&HP( zZP+?1{{}x?bwMYfk7z$>^==VA@3Sa(_~W4?UzJ4RtPpl1tf@K z;qp?x9U+BCG8nr`E{`>qQ;(7Q8#JAIm?nL=l3pBCrYzo8pJV_{Vd{PM-}3PmNIM?P z95b61Isjt5^-?*h8>eskk2L2$O1(eeP~QtMAr?PAHp*i4bA)wghfujlU4>ce_OmKM zoyK-~;kfhiXL0-QZYk|3mPfeH`uyE%62UZisz>d*?(0Rb`BugN(}(Wz@}tHU7GuCp zi=Ca1P9Y zW*YU}ZsCW#LA8@Pyk2V2EiZYL`!Q3;m(ph~nj{U0?O%)bN5{adSmdh->95z z=rJi8F$y-&X01#&(%yQmIaF(nE;cOLc&>z*)nXM2 zNUN>2Px|?Pj1{mEe<=m+ebGjp*%_+uwQDAnplZZ3YCB{pJZL97;ZEg~E@+3e+~t#CZx|S$d*wj5yNSkr5M_{&vCW$OaeD$)5-hy zH2)a}|1I(V*IT>+_<_Q#j|5X^_>(I>_F1%{h_w@mj37$`K4qaYv+IC)N!KJFx}AQ| zN}whM_K^bt4&94FzT6}OrgJ6E3g>b(h^UELa{G-j5Q{C0j5!cgk}$CpM7Nt@>;&;H z6Axq7mpH^f6c6fP)c>lc?a$nf{FNM9|^9i>SdCB+@0NsGwwO@=!ioHPb?*;kmOfXcKa02qN2;%L<(vKD9nec5qt zL9hL^+T!~r3W{K)<@UTKR!#^>++`~o9NsUo(O7!w^${RR^##GmND^1Ga^JMRAPhdc z=Dbna42hGrE$_VMp?iD@f{-0|VTGoeKUC3_($lHz_x{GWF{={=Wda7R=;eDq9xQq> zEAK-OB58`RTXOJsk}SvvywTxoQ}on+reRJCI~^ney#3W}ad1dw?gXWXKpc)8(lqsEC;Q%?od0}gz z_E|tg&Miu4K-U7;s(pHiYeiB}ubbBOqnX05LAf}K_jRyYP7`o|@+GlQ`97JH7e&!Q zC*XZqjDB{94L-K`x(zg@n-_iEh1zs0lai|cvIhYwP@fay6OF#;?oOr=14l)frUaTK zaO*1geX%N%24jl);wv)vjiQtMtp$J=fRxpP@elmsLEz}j=;?zm1X!=!Xnc_1tfo?H zaBMrm?upF_+_1`~clHeHxBL(s8uXKDD55ExNRJpWbYoE?@(ti?=Aa7Ptk{Q$Sug44 zDB#xgSCzxvU_^|L2?$&Ne#uw_=1+D6KYf}doMCT@@ed1iN9|nM>>n+vYoo(;n>VGR zV2L`cB)hPIu8D5EC?;j&biYv)3m+EQRMPzx9-yNQw})Xwnc`E$p*rW==@XBNG0AeW zFc107D(Kd2Z;xZ(347Js$!6Er_Z*AiE1eRT=onQLbInBE{n4P?J(ca60FW>Fs0&Gy z3(4pQFk8FJR8aTD+CInryx^KRAc5}M%VN9ANn)3zpNG!Np6$1Cff-EpciRQWZh0Lf zs2r`>2w*JifvbZIgtpr*U=tNsWInIhN0DCIsyktBd`-rR%)n3tZh5>BWxab7li;DO zN?F_D>+*5N_<7upa^ut^v*jY-~Zj6TQoz&AgJ%_&1m;m8V zy({iR230tJN^oVRv+wbRQOEMl%IAlZ7fk3Hm(#HFd3bnuug6Ww&#!UmuHP%IT_r!) zX_p=4!IUQ5_#Nss+_X8aXZW0$z7Y{=rAZKW+oN{yTiW!}7rrV|>daVfev?Z+sQ&jI z(0&hnp`P^Mt-oI9zxk1*3bK76x= zxg0^pWwJ-LkeI{#MyPc^c-!%)Vth>8-1Epaq5#d5 zE&@3>efnt^^)%>)h3ii^s7`rWEqg1N3y}7j4-Uq#xEM0p!|HM&RZV8%9N_#;n+(E? zbR!hi5sNZo#^6Yh_*vjld%;|H(N2bwH38S0qJTv3^Jxi)_<5U@a9D#i@zAbd=R>m5 z598>y(9Z-TNyX5qsdBMu3+a#<3m&ISD=K}#IeY4`nG%;ZW3x`ryA(yl2@q&J(1=DUuhO8E?IY8B^z z;9;P)JVRXyV8!|zZDaCAh`@`h2}uY>`fa;Ef=`NEil3cWM{eVJ6j?W4nt zP!y3(bZX|u32W^y?2rW+-iTojYkgyZi|7|?Pr)P2z!_GxzPP8l&c&Sdi#hj_UTxwq z+&EKtc{o`gR15}m=xWfLIE>8+gQwu5(Lhv+ohU!zFhb_Jm>onxCkcfH71!lsX^A$F zG6=gLjHha1xJqgI&{H$#rN-`6w<|a75-)_Gv z9(LLbM8ZF&GEoKz9lhFqz5W1D+`}7HW>+yL_>&MxkZmu8i}u=$+USpGG4p%p(#V%u zOQnpixjmFVnRi_N9`W|(&MH(xqab}YVf5G2$k0H}Su{o}bE}|FA=n-$47}DKhdqBb z$RO?xlf*^b28G;9-nC*tU+hI3~3 zr3)TEq6Sy(vx{Q{W36FwMTV{IO->Ys)&k8jN0Z8&OVgE2^vbmla4GjK~~OPj<@V>DP0G%k0hWk*E$f)PWKY9CHr76Tza^u(Q7 zJJ>82mH5g%3KEgcSW7x7JR~6nj;~Pze#ZwGzlh?a>O!mLr)Ce6{^utF*DaLh z_3rS5ms1d{q5^C!sqW=r)1}-*Eh)U>H&R7eTYv;0ClKgU&SF{XycR-Jm;*VRdgP;q zF0D}zP60ej#|9^Q7YApPY@A3`RcNJru!A)&v${N`}-vF z@`|B-EKl}ZYtay|&wq<=7vtp#PhCUe3=6_8!UUk72hw+a-ea(}qCsM7z z>Hd-=O~{Ybatz(n+XAV&x;i;E-8A5}mS|>wLOA9IPD}$FGSwBM2l}Vh`(nj7xitGi z7+5ycgAXtpY6at*6;2iwggnq^K~9cFMnM)H(fuEa)Pay#86^lZI0McbfQX$IceCGW zleyCFNafJ5?hV}%Zr}auL&9Ij2)p#ZZc*iI-snH!Cp3<*%DAhcChP%19fCBG3qygF zBDE$CN6+U*tb@UY4vR#Rl%JuZzd_46qI@@5%sfFOiFpVJ91s_OEf0@{I+q(5PP!6` zVip^LGKepUhW8#0*JjW74{F4qn_9rl?a!y@ zey4oN6XPn6aB)TGxP|~!F>r3uhBw0#K5j;gR9rGZw390F_w5l96R#83P9?DgLI}Jy zaSbuQb_t(y=^JHwJzL`xSg^)O67w&`5q4%}njkbef)Vz3uKL12a2^0EX)&_ogaqO7 zC-3GZ3ng0TtOq~l@BlpE!*EhglN(@P@kG?C6HltJmiGjse?P{9kwuqMPI80kirj4R07x=}Hl@lnt zATY6yH(Ec54@^J|ClM%vr{g^pCwTv)5V*5eXl9eiOV07lvbD^(2%VNryBLU;T<)`A?fRP$)dr7Y;Pdb-NPMXuRB z_Ce{C@tcQ4i11xq6Z!%)c#V1slNKG%Flp~PfS<~#!!7W1^X;8=zlUTm8j^9$ZG?D) zcB@k%L~hShdVM6N#hegzNFW(-IK>Ue{A=%G6+- zYb*5jJ{dzaZSJzsPr{|Y%~dq&DLi9sMrgpTQRuCGt{ADQIL)Y^{RKSyz|FVA@beqf z)AQlUddnDh$rxV*MUhKv#cw{hAag2jl!SR5x>N;^jJhSq_ueE*#doCv>r>(yk`=&Z zI4wCM!@V~7vxoNx##R|6L?5IbcLZhar$F~!dDM{zN_2r)&PDjEM94@Ji>u{n*I36Z zqw9WeJpzrvX0Bj3)qUQA*M|jD!_V0@axy3-u>(C~ z{5Q_we;9~m7N{=Uqx^gB{}kP9fO?5W{E#^k*-SCtL-O^!x)4}iC`z5VmpxtabKqZf zMK24cbSB{gf!XkO6{5GQ2Fl9(td8L+89=S9 z0KzLS8Y7Y-P|;xmf>3HpIJh6NFc4wsV5lWv=lSKtb8?>m#&agnJ-m^0-6#Z1;76$j zT5K9vB-FKCmbt7XWE9op5x6aA^ylE0U=jw@XBov#w0*-MfQELqXbv^FkB>-&>Vcnh zN@g-m(B^2#3@%U5*g5fs%l-y-2ujoinzWh6Z;kcVxAAP4=dK)jftg1jxw1u;H7W$R zM#XuS<;2>Q+mdx2PH1dN?;}upz85g2I0AyY3%|M2n_CibiD>n)2BDK!$ewmFA4I_b zeo+T}+xOLXhi5jk22E0&+5!XK-*<+C>h zONb@V4-}b38uL|TeK+{pokiV?*tqI zU+hF^__^~C&HkY~93DU97xl)@o_nMOcmYRv1_m1%s@w3Iu^j2e#tJ%jk8JJ^?6JTj z5sSo!%4_f6BN48+T$%rI4r);loA_)8(IDZ*)xC!XFGJ&&?<|1Jimdq(?i2&fuMCyyAKhys!mg7`%|W{y>yhM@|>n?|L#w z$ocL*hTHM^Z5dW^{7BSv^rPl?pT0-h91`~I3@rxMeSq!))t*$`$@fu?h-{zM87!4x zjD}TBftQxgSm!ZmVB4u^Rd0k;mhx;gAlytT1FMiUlMmTw7qz6yWXodgSKEdsnq7&! zJ&&YL#?pxeoM2wb#2_8dab0We?ufUTmd^mIW>gc0cw>Q^1yvNpmgHHJfv7Wf%C0@X z7SCidn`43gJ7exKh0m0@W~gl+j63DSpn1`pvNs}6PkT&+D%7)!pEKG-p$KQC51|8Yhf zmKSX?{@-NSe}1^b;$P+d@}8ANZ${ayk1^rdaCWJ@j6vSu287Q zp=z4*F>@2Vw9-|>v{g*$13@kYNQ9 zsyAXVu1lT5!i}yKABu9}9I)}rxN_IXi{*((!?wT}?`XNN0h$a;99!*lilM8A<=FEJ z6;HKD3WIbk=WP6YHP@AKsdpCAGi-q{DANU21WV@-aCv~*Z?y;|&L>xp6c`q8_BZA+F>OjN)Ep{5y zJk%LK!t`F*IC=PaBW8a2Ya1)^Z&bKV0bXpZzC)5kh4N8pUt~n^G8apE_L+a`9q7lm zq9QL&JZzIq&i9acM?E4OU8}_pxRn%muaBv6t}#E{?W{!AzID2b@EOk@pLwgSTb-9P z5E34~KF^+ne0{sk4LoEUY()-VIu=e#isaf+;w8UKrOF@L*sVf3#SgkzQC$!JnxV|G zBd*!trZ~R(;o0aJy*J!n@xYxTPVB90&Hhip`MRo>hS=WiJXVf!;gBn ztPf$BpiP>cN2-0ZvQz~dcSA~$bWqqV6vdK<0B_^t?r@&r=V~4d8Y@Ypu9z?Q55YXI0L))Uf~c z;pK>Md$W8KmWc5U1~vA-Jvw~oJK9g$v|8-a{{H=e2%-jXuOk7gOWUXK#MRlYOz0Ev zZBPVh(ijs^=t5ESWYJh~&fMa^mK|em*HD}+cOT<4qq}l|^G_dY5HyHb{xdJNgeqz0}0uLqiW0=u?ZojuM{f z8ZMV^JG3U~CEz%0IT#EcF4itBziLZ(AUNl*THvi(n64_)EseRY3#+K$at1A@Fv>p} zF|ey!Lz~XuJTfrWOw25@D(LtG!=x^sgk^1^JT~A%jNQ;H|Hw#V>5g+UL z=&zUrE&2&TjYT+}*Y`E=<3? z7U#ZGQApqYhP8%6yrv5|V}0#sz2n1vqp^!`ku@D9_pCM|tDsN!zTbYpp)NTq*3EgA zyFfak{)2B-zE>Hx(z?g)sIGi&Hhk1tAL=RBy8OtBuMl-5^b`dhKH1ZZ<#p=9dkfr$~%B4%i~ zP)7Q;q$Ua%B+Ti&L2J)M@LR84_UycGaa`BS^^N1UD#C03hH-%gGDI-Jm31nS+(zosGl)S;z3{zNE$bS>N#a{Ig;E^KDN4 za*G#DdBBrK`H^?dXEeg}*klk5pmGi2oFge_U6#T!Iu_riOb)|6XmG=y_q~1ZFMRug z2di1K@%k>SS3+aatgS~k+Wz=8(lho`@M+Lg-?l0zrJh|M83y9tJt5j7_ER4~N&^=A zb@uZNF53P#6@(lV8F3wxs|i8#slv-2Pj4%Yoqiwo%*wQ3-My=RX%kw{iee@ENdBdo zh@afxESXne>nMTbkyw$}2YL2)5M~00@I~hvwR_l%XvVwddXkD4rj}~EmON4q%>5lt zLk3K&I|&nifA$7K9k1u#XgZ?)td>fYwq9r3TIa)J&otvNXJR5!k5oT@%yL6(Y-snQ zcK3QrFrDm3ZpfJ_Go~Tey99A*A?Q*f-vnBHz3+qOa zeBZb4;Hmi)JLSPe%N@}qFr1Sc+_i8F6*1bI#CO62P}k>Fv-#ViOmM^m!WUk=6GuE??9KEGaW9 zN2^4fjekXt>T}FSVqG1Yr_cM!`e7Ve99eFMW%nBDtyn6lCtoFGa1CAk;FshwkU&bV zHFqWAp6H@YdaF5Y9$%lJF(8QE&wV=SCQ0cgV3k9ra4KAfLWC4h(TYrXp@O3O87aSF?0<0|$s(DuwKP`ttWS~LVu z(2fx1dqW>!%udDo#iAy1T>La174X&*#Eyr~5zm5VfSXJ%_8nt3H;A26Ju<5tn}%=% z`eCEDThL6gcwJh?gN+|ngT2o{&6|`|TY6NIJaK{pbSgA43T5E#dD@=WA0txJ8OwJG za}rH>xxaB#|8QGRl`**5=2Kh+t?p;;YUR=F=5G7KrwZoRuyFYJ{yl2&s=$c;3R&u& zr{%+0my7efr8aN+`f?-N+o_kw%=3-Rmw2Oq`+~>wDW&s6v4FVI<<=U7SN8vpwzmL^ zvu(0KlK{bC@Zb#Y5FkkKf#B}JA$V|i0?Z%*g1fuBdl(#o2e;rZ!JRw#@7?{s{kQh3 z-MzI{P&G5WFjY)ZoNRbI+h_Vc5AF^bm{uE z*A6{=)QmsAiR_)mH`^xnVt(ZYP(zVW)^zNd|L<}-YjQaN;>&pRYH(bY;Deda6mxwEVb zG$$d6_+d+eh~7v#hL4)elIS@i2#&M&^$t<+&88l7b-(6hd-g3Clc7c77`!|rz_M8h9F}F zM=8ygHa!FzH=>=|Ut-xslMwyac;NqMsrViYyZ^hK7LiDeKlN?Q$8=qyH)oHDbkm&HL4$R#38hwYi?%Xg8}3q>mrA|>6%4#j!CW?9bHd)`z9`q7>$Jq z_!&Gr+#!py7^t!nQ?9FN4AhlIWmVRvGr?6=y0$oP**ag}&b09XWa7Zm+DjFEOEu3@ zVJgrX_xnOuVu~}_9R^y&!5sb@-yz`G;_$v$=i+>(`%R4aF=%Yd zG$p2ESBvH5wkyrSh=v9Lw}Pie-)^h-NW)gM$*NgTosrqwr!o3ooyP4z=2*={Ymn$j zG@yvGN22=%oHm{ZrXA(9G?Z2g(WW94lS3jj;C0fKvlzlDooxnz`eyCeE{K7JwS76h zErcPch?7wJG7Ey_3$|F21t*NnfWU{%NJ3tN_t%Qt8M^DS^`FP41}z;mWrP}RKfaCD zCR){ZHmumYY|yi8+6I*cd2s22Wu_T^kH zDIde{F1LYiC4Oc}a8J(;e~}}?F}cxfUj-V43z90bWMx6~&%SlOyF_eXw84ITg+FoV zMPQq2!A5`=L`pB-u$(bfE4P=id08vSVAAx}v~j8)RRyHe={m{Huh$uq1=x!SL)Mdc zqXNRi-RIu>JX!G$G4c-lL-4iT*ySy#7fcYAoW^EO^z8RM0uS+fd@4pkdA?h&rKZVC zI~~9^pOJ>Arx!q;>Q}Y!@nbufmfAJD$&0r@;)w3Q?}JARmKOknr)mxquyvR(x{cn;LF$q zfGjl_%6K>}_mk_U)w&B(hBo&yO}sCe^UyMS$wo&`5c1Gqw~>@f_`b>T=TPsM{B&Mn`?X9;t(6#$=39;w*IQ^<~wL> z#1IP4qJ+kxcK0Rzy38|mamLsVV6BTMH-XKE7~hj94YwI3o`H*BcaiV%Qj#p^|VxT*s^6 zUg0yi@!h}Lo$S&bccVX!a#YS{@xGcz)ed(|FG-tJ%iJbJY@0aY_ zsm~8=R_MGZzDlM5UXgJq+?l1tlDod!%v^C2egBcDfSjp?DyWl+|HS>Ezc2A!$}Azu ziw(k4O%{alefjHnu>t1c|V_G|L?8x5rJx;K}oTe9(;_@|Prg zj^v^=0PsznuN2CjAsMZ7A=A99X;cvR1^cEA^jD>$1bBkr%w9Ns$hcXJZ*BcSS4=kw zQ(xC$xOZ9|gzTT6<$U)G^H?kfD!hPsiPNFa40=T^@_+Ru zkws7KjWi03?G?Ku8_V8lD_PUgz6k_aBWk`rmXE@>6x#{nbCuKORhiHC zIb3eeW0$t$n8;4##51MyA&zLz;KqJtPd4JXc3(_bM!tiRo4{51)2nUyM!Cwd7} zgHhjL2M+!0r(a|G+B8llBl=J5z~iu*oHHU_M>ZFYqBSbl+~fKL;e(<|w7Rk%xtq%B zqwe}h$nTsPfa^(D09=Wr2cM$k=~XW*K~W=Ti;Z2vbZ!>-LA3J10?TV^h)YDB#%%mRB=XKEHUU_~+10!QusZ7ez439Da_O~8W z2rHvAY8sgiNI!&wiXQ#GrmG3_t&v;Xp09P!6LxT_5wTV9C`CW8IT8Q15C!!*QfT@6 zBcTFTY<@KX_^a1h*C(8OI=mmBx2;+qUk@#IBn~fnBN{`0daa#K`3XZ1BP+(`(VZyJ+Ipr!iHbd+!{Obm>zsx)80A-H`vqbulh4sWV}C%b}J)& zA)}Ee;q-lL830915Y6=JV9?qO1dXB_HRI4>HhG^pjOzeCZ)Rp=HF0pI9X@wLcMw%@ zcXh}nn8X6fg$VTI3M_ni06dDgzsc#%H5kbby%&$(?5|?8NBh6aU+PnREew3IrJ%DZwA+8}!!9-_*{bTy=C#4fE? z&g8XGa1ao9*sKt9$^jNMn?qjxCKQkQT8yvgvfDB@CbPq)Fcr1=7kgM?(d=;ATV*#z zwYfoO66Z0r&AtpdGYhz}HbFfB!oV8eqvUl`*RiS0*=2W@1i|a^`|6#S$OYX(Ee^?N zc~z`i%Os~&SD7x)e4e#Vm8i0BJgtIy0~X(A%D>EZAtl6=_VnZ~6k31cK|?3AV)o)+ zv8#Af)8*_kH6DXn6^j6p;3XB4c#}O~!i7z5OTi4zQgY#0gOj7|!k%~4%?PNhcEN0-=oyiR%-uQ_iW^< z_l6NVHm}H+0H~scl_8e({(HUpemnh+xJAv%&Fw9wX0e<7{I;9h=A{DX&Ca;iRO0!H zS(Wlxq6!$-%!O2(PV5&OGCsz_NcCeAd~BUi9d>%xPq@gsE&~@&>0wQ?yG6$4#=*W@4K(|^-HkwS z-}}SLpBO`PguSNzt$K<-2x2;xO(>gsx|dBD--#EdM*Lii=yNE}0yv5dJlea9-Im!z z^!v~<^#BY#S7Y(a5-x9k!x0)uA&JSoaqI$M1%)eZfm!LrA0EzB@Q3qZ;|Pb2#lVHB zi(B38;e2G|eyvssqIHi8fW+RfJp-Kt>VGG`{Z~b@oR8mc2|Np$P+CAK>c{5Kg))F8Bw6wo2=D+WBQ6lWz@Rv@@eNkHBT zYXAwzi5rp;^{pyCy`Z@kxI_=+`RUrou`oT^;4~i^ij1&k%b42>2{)4^WEq9|P)5~c z=sB!Y`2pD6@>KGu*%a&LOLkWC8s*meebAEV>6RFIb=Xo* zop(f??W;p5j-U|K#&{HzlbLvHiXIv8xWl^H9mS=bc#iPL>h&PMkz#Fc5hH||;aYOg}B)E>X1q`9np^lWE{_<2m<0CD?w z=++&6?qdT;dw%R?WJfkFgPP~yWA)BQz6GO2I%MpylbOWvwS%u1F$9I@7?3P`dIq(z zh+$-`g{v21^4bN%uX^hu#YHQ3K6`o2M4@UoG%mj*d&(HqP7Oo9cLZ(DHF9wteMc>D zgm&Zsa;B*1U=%(wVz3wCl0i@t4t`LU{j7240y5MtLvOHFa_8*Ab8jvA;W60!tdNa- z(9J-9@p3x1J=DcQP>?+}S?*OR32p(0>NBUOh`=q@ksA*^Kuxn0AUsb>bZ z4Bbi1>tM6D|I9~s*;fw)X)D+yrGLj$e?O6frRZb2!HZV9e^2rM{J_7F`j2cy!>d8{ zmml6dB9Y+XBm`|0p#D^?^p{4sj73KD!Un}-1;vf`2Bo}Si(eK)s-L>UvNNqKHQCAO zj+VvLNe&G+tBEbv=SUg0cu$c0!-Ok6G`x`Ln*`4M5e1)rBEkxsrWRgC;!0rmR3%&3 z!4Lu`Qb$bF=f+sqMpb{qBS&^H02xHDH68Va(prt#-K#U&YXI3nRIRCabKAB&KumsB zjcBF3!LjqLKhOjs6}$^L`q&D<2N9X6Vtx8Vb4I*P4S9nm&a1+YfaiQ;qXH0T2lDVgyN8pe#P*SF%9OZKGSU!rG62w65&;_ zx4i{jxQ9~Sfh2)xW~ZK;W%5k@!lZd@v|Jx0j2$kk!ogYKpD3;*qH-d15y~pd-lfIC z)ow0|njM*xlZQo2>-&V2N;`yVP4}W+V8;df9e50p1LP6_`v=5o%;xms`lSjI!^8a)$YArSKzlW2OG> zrHa0gpKX{5D?P4qb7CkmOs`O-2|{&u3r~9lqrc>WJJUbX4TYHL@6%1; zPr5A|yhQxl-qh~HpM#Uhx=j2p9qefgF_ta>-)ioA89RWufpVjH zU``y&AYf|!Vf6!DVD5Pq+S_KnUpdT8G78gGMQm`6Z1G{qoEzJ|xsEVSa;38I?BF2& zE2_b&&E}PFsj2=-g}O=zFI0yB-?ky*D~#3A7K@KM(v=7j(#{-f=B=V2I1EKrx3H*z zHi<|KyQeJptNCNAh?&pgkYP+>uLKnLFM?8i2GcH;r@I$1&0Ln&`rfR6 zJ!vrf#nf@?LoPS_RJGbAaG)+YP2wDKc!2d5g;xAbd|Mso1Dg-11Grf z!nP+D0f?@a>1pHCkSmtoPh~YLV&MxQ@er4nF;F>~q;)N-s-2#u+G<+S>@e(!gcgXJ zClYX9;pyz?QzAgWBo6lZpLBXs8wj?m8D$44vQ822RTjQ=Q#fZxuNkTSLH>`V7~m)S z+HfY{2w|wNc&y>w3}o}W{h%-4$6D#@!OE8TOxgMLpxEs7sBLS|5wkd3$yIJ+HF2k& z4`gMQG5QF(^qM`k2D*u^X~{2l`~v?P=c$PT1dTMep0vhLwexfL^9@9d&3EO{-3{N# zZ|?|{^n9G2%3M<(z)?6Zu&C;q%JjQlq=eODBb<6Ti%C!v<~nBv?1qH|xv{_9l_ z-{4X7!)lnB$T!4hA{xCjqHs!>QDUGo@DRpes}B-nAO+r(rYw7_-#Eg~WNAo@Oji|2 z$xLu|Q{Br7$1^(f)>a>FN~npv(FxaDCfn}gAppD;JH}W-$|bdw#)|iRYYkQ=I^8=) z#vDikT;Mm#q~`cgoSdAV<$-leUG6RD#>?JhAumyjq8!j=WO!^5I~ekH6cXq@V{(j) zY!tKTq9R&4mH6_iBjuw$FZd7-ompgJv*{ecWrI;*tP0uAPSJ|@&EgaD*KeQ15vteh zjplVED7?Ha()aH6BD1S#1?Ogjx++HUT4kjnYpxz2T$Jf9#kvAoProPr9>05UqFa%1 z?evw=f}3#A`7j0)85}pBp7ts>qV%;5-?s|A*K@?uXUHDKT+v5fs{|+*utuV`rorMy z2#_s{w%nHM2Bekq5s|V`0eP>gDa7u{!w-3 z>`5Z;Rc!v8z9pLEwytM?cVOD=#0a&}%2l9ohx0e)@T!Y$8}<&>g;~bH290$_l9ht(-)9yo^@3}YefqAqDTUHFhk{!jSl+Z`D?2@Q@!!=T)%GKhFemmX}Yc z3gkznYv2EASo%wP{hNh}{^gTTA`bYUmZSfCwUGR)hx_Bg+ZA4`e}c%H!++2u$2d15 zXkv0j#`H<+zvx1gQyG|n<|*0Fxcyz1 zp?W@3t|u zn%Jd}#C%PVBTO|Oi;bZt+T1Xqm26RkgVAC@e^sdKJBI_@#_k@y!EppV>bDlLZ{`Ep z2b_p0CQC!fxM%o;q*ZAY-G;AN8B5V!BkCRmc&iFzS4iRFW{rmWOxad)+E1 zvU{?D1jtuC;41QK+S+!n+TzqKz$I)UXHKVc)<9ArocWC3HI-Q*B?t*gU2Yc$4q&7b z;ODIV@B{vA23oHm(YpG@RNZqtV!; zf3`N~=xTZEpA`(i0cju&Qa}Nilm=6u2hf1@;5e9=1f5&0GBo5X=E@_hvO)`lN$! z=RY@wjT^=&e`m+Fpn#yqmYDpdMIwfs!xDh`t#I|pDBB2|z%$^Q5(!4^tP#=MD%F_c-( zw}hJhLc)tIJ?a(4dpXmy2d%N#ZT68h1&KG4V&o=uoKZNg3F6-5y+^hd^?q@r8o7k)3-T@dwNI)t4E9@GeR_fY%el)2j8_u<_=+-V5iNPrd_F~ z8z${rmxqpq6B%jST0K}`UCD5%fnWThW)xmW0`Z909TSXC7%*#3u&RwNhq)kvj5H$2 zU={4-4Zij@S!$L&^(TW{tUhHLevYL4cj7@$x{PGQ)Gpez_#5=GiKGSb$FeowO=OS1 zBsA=a_05Xu+&u*?C^B4CH}2dPxJWtjMj>`gT=1cdo5SWogmsw3K zqyg}aE|!`%4oer9=CEF`6Bjq z>o~DE`~62B(>aFxxuw_s%?qF+D-CB8|eUecph&K@3<-}Sgd4UNdJC(TPj9RocU4V zb^IriiC-&jpG|DzsPBi%{bn>yKI<2)vW@%H$1+#*IJ^#%c=GPGlfXFnu31RWc;N|` zEPvw48yCf6is@{Ryp%{u`lL_p-Y2G?0#03tpn&wzNKt9`^L~aD`@%BL zlQZa}*OLOTh5F%&eY`1H&meh@%vq@B+?KgAQB9 z9b!9}HkIt#$6Ay1T8zPBPxf;8Et2ziTc2whjNUele5RKijbCnio@A_*-eCifX%1nZmJa*1@mqWU&uC zNNq7|U%ezp?%%hNNum4+o9p&bZB_vT#zwj{7Gh_|(6zOeX0L;z_g>Q`Bexd4iinKMGeOd3_q~pkxSK$TQ1&rfdw*+sKoeMMlspArr_fJ;}GpuA_=hLfc zre=>@4n0_hzkvF2wbI1Ljjr^bAN}A+g9g&%s`WyU)z$`2OG`(GS6zOW);^wyHZ;4d zq0SaaCIhG15r&?t&{lHD9LrrO#c64L6*@wNA(@eH`r5MFSH+LcHo;5QzIx?Jf#*%N z*n+{hBu&?9HpK6A>9y~;S$2EiV#x3w_PnZTAWiM>P!~*T4D$D=f^nHPm+uu}p2`+i z?p?>T4apzNaR)V>ZILSX?R5bI?7Y|C-gitCwmb=~imN0ojBE!q?3@tfe4j9(qyqEi z$Xz^GAW>LBP4@NW>V_eX1Ck*PGx5tht+b3~DX@>o zrIz!f5!@hQoY1!9eAhV~R!YHqU8L7#WD^^@W}D{_%I{Lyh1QL*R8vs zhnqg@h$ON1j9q1J+1MJVVB5uED~gZzZOTv8BKS;bV?H(CkN3P6usxztwfq;R%%PZ0 zhrOQq$mf<(nLNRJFM(^$lpLg9yn8E}c6YaE(l{P-c69fH3C{{|EP89b(0UL8y;!q# zg^01C`uDy#897lneThHrCr?USo7TOGVZa9W_`$08XI65alC~4Ynw8GI>!E8+n!G7D zX{xjR`-FLC%IC{YZzjLT<6^y4;rLFU=MO_-ALF`uF_GK;#C-=-Or{8bD$Iv>P_I{7 zFQVe^=R|ew)=0Fnyfn0br2*@Mf3{68w_8(iwRKKaE!bXK34rUWia%SHXhR?&Cngs$F z^ULH(#8eOX9w%>ZCbVEau+vNC{IrLAbr4sGa&hr_b`LH9H`zYZ!cpjJ#K}IRHPsE#S;oYF6m9ism#>vMoOdy5qBnr#}hi$2BL837jj6%@f2?#41Lqi)QJ65jx zU7uD~8Y0{{PUeyRPA&W^we!Du{QX4s7f>1~;U?1Ia`v6G^0go$o$9?I!fIjgE7&{$ zTxpB40|hh+gEAuz@;L+r2oz5lMCDP>-O5Fy4pw%9Q-+OYu!{T?N|B)84$K2gf~3Y^ z@B}Kcfd@9vn5i@7=*H&|V=8orCzK&*`401XvyjmIHu4mmzy2h^9g&iZdYvn%oHY-5 zue_8|#2!m-)oj8grzcMLsXX;E+0T+QI!hMZ(p*nR8(s!ygFX|OonddVpV;%E{Z?1k z^}WIB(~|K1OHa7m@vQVyp6qTP%+ajnU|sPKMxU-qP;kuVc5Hv8s4RH}fMRhmXo6K<7bgFf0Bv&Gcq-rgsG5&_)R zdH*bc<-|ANZr5^0OCasflcH%z(doW7a3`!dEpz0Z01Rt9KOM}%@OtI|46if$-A<|A zo@ZtZSaT#48{mYHGtfU6dX4UkW5FbZZk;Q0wXR=gOzQRVo;uBC_B7JE?sl)bVZ^9O zc>t(&=K7kEUA)NjaiKzkmSxGBtBgkn56?LJvxDbE@1#vRf@rqPWKFGC3=Y0^Ps&cJ zj-Be>D4T2E;Lt@LSlM>`^$@`@-u4H&m&X#PSyfe4$=8j)vt9TOn$&oN<4tbqNZDk9 z166%>@w7-{pGhBONUOSbTre6Q6I{q+5U)mwySoBEl3-(mAn`9oY)ggTh? zmoa{uaU7H0tv{kK@O@B0!U76;-nj7r^8$guZ~(Sw2$Fdd%zMs;&qygwVmTm5vfh=Q z1-Pascc~XRrW#pPzlFhXpU<7KgBe*$kbF#1GvVC&)X2ZkHTK$&XhUxw)!Tn2}zxnis0ezl3NmP{lkND^G35n!xRVcyNyx5`){jK35 zGW>xAg1-YyZ|tI=4v50eA)^i?3YHoluFx|qngk`{mXuX}eLwShGstDxf_O$F_pIBQ z{75XT2wRL8wa+SB8dc2E!r?7nw#@VoI}Rf9sJX3mVLXIp;D<0Op@dNictuodF{9n# z1-WnJyx{fp?K2V558U6Plgh>?yN!2pM`D6(-1$Eez#*)Ns97P{ux_S6MO$x^rs95> zhSB|)(yeOJd5)jBaYgM+#luog7Z0pV#IJ<+24rG3~?(}95_P&(r zc)p8%I34+PyLQmeNY^v#ma`@$<}39}4-4iI(~Ew9UnoA%MhHL$kx-V%q?B+Q0Wk>4 zR#sOA9*@dpP_RHM?l3e_W^1Re<{s$`=HaQ)vs2S*(yP2IX=G&pOB2GzJZ7~y>&c^$YuEGK|O z2ulK(|FQjNy+%M3uf8?e9{=+xyQ|ktgZ);{O8N$%XyJ z9HDP+Pa8Fm`WN&{b2!{V6fb^WkiwfJ=yxB%5q_lQ>}i)7ZX-$il4?^vi+|QdG})y+ z<4_4J?B_G7GBgm4K9itQ^nFo%B)ceSwAn?O{yDtmfU;+x8 zBRadl`B=hBoUiBfVhzvoym1~sX*XfJ^r-c6w|6p9eLE$SPTn5G9?l8~_34HbWytu^ z4f4ms4k9{d>1_1uO4Y;mK!x$C2<}0Y>oN#jXjZ^)k483D=L5sp&Q@!mI59cj1ri)Pr2K(+pyyTlDfwoyd4`W!7^wrB8yMu&$h4J`K6)NVFCi`P~= z{Am5|&ia=a5R}9p( zKASzh0^D1v@(q$>D4-s*`@oxUk z-X1L4&f9=?073~li5YsS_Xg?Zns;^7ym2%%tdt24JHtS~;B^1@r*`4amPvpqYei*j z8sH3O00Po@FOF4{+Z0yl*e&uL{553Ty#As83aU0p{l~)l9~(^afEUjl_dxnu%zu*X z|5C$YPu;KoM6%mh981h!2ZTfh*Tur_-2h>WzR>uqf6+i$o zY`Pv4WNbb$|7ix3hRZ}1kbv6w5`m-xWnrV_aW{RMXhIa7N?j!42ycxB&mF%%PPTW) zP{6!T9*UD9cT!&m6SRJw<0ha{AIUf7;OyKS?9Svq;-ANz{XA|>^%RVUNEb^5XSIDI#>v6ZDO>Ak|8j5fXuCg4 z-pgfKbwl4YpA`;0+fvod7&g=hWF*PX2tzKXLJ|k^zI&TJB)6#X^l*f8d$*VW6$NYk zF42x`Sd&aLb|tfcZ9aiR$jKi z9J@M^xy-Bs$g|f>IJmZrFtdZ+V)>srxy6BsUdj*v_v{RQkF`Uc%PfJ}uD(w-s!=u> ziLPTVvM*nO$6zK;`JQXyunZYRn(w z(AqtRJa=WXo*2dstoE-+Y=*-oUz67|+(%dUP64X8s2G?Uh}@mo@KwAsa`u+@etz&C z#LB|)P6>Nb02j`_W^k4B;Y3RblPgY*Th5~y2Z%W3dXuhnS98ZVt%1Gsk<94MmSUL( z>~ROP3Jp4!V0Zm?W^BqF*`cLHQ(p6~zy=Mx29oM}hH$kD3n$hhv9VIa2c!NpVD+mN zyp76>Ld;OK!(0pbi%R<(fV1=0IQ&nKy;J_gtKxaP!_R-Iy#L`T%tGXd@voTudny+1 zX48O<$7)9yY?cC0wEn#%{<481I%^04h+}P1Utyy9juE(2(pDuaMq(@$f)X9++Tg;X zQ>Xb(o0|Y<#)V0T0FV1f;oR!-ISCVC2&acav%CGy@KBgxWS#b%+@7`>ZpFLL!=#Mc z^2#)+$a8ANpG?aMHeIqE$Om}|sGzUnO!x!c+qiHrEXh44mfmm?MNAz%kyQDm}g?k?49XkWav5f>iHp^dMr}WSQYbq(Mtw9VQ;a7gY#9I z09Rrg(N{@k0TL7xXm5=MP<{&r##OoSW&66g-eG1I(lV*h=0=D2C*4!V{+5Ep`={IO zJ(wS{^N(}K*R1A`*t)rQ*(~7W%sNJv-Z{VCKS_NW1RMME7BkEg`+a0awWnSA4uc_E z8cAL%I$OMzoO}+ zfeu9`xCDtmhalSML?ENqNnYK`Qu*eS=m}6FWQ@fD;4;c!Y=<^9F7L0=nnr4st5p zeh+%IosfS%F>bRC{3jlfGR%be5$Hxy{;oRTaQ%VencH3)MSn?>zxVysFjMh(Zsl0st#U3KCGT#RiZ*OCjmZ7li}1fN#SE29Vay zEY+<;+>uZ|6Hr)@_UI*;ZRwUYEJ{yNvJx5Vp1!DWRcM<@!k0>UeFj2JZFpO}hT-yq z5FQhibyt(ixyy)7fW#Ck#zX+>0pP4hlqe}t?UDNmv!8%}R57KAI~PZ&@F&|zeDEGz zjFknHKQD2cIML0%GE?_qOxRK4SRhTR>fYHWI0(fdPdf7=%gBfwfItv?rSAzP?EWV1#LV;eZQv`5na2_yN_-xkLd^)$2j{Qg+f_&@IDmD z$pJ_W@x%;WTgVH738eF7vnnBdN7wIG(!$+#i0x3ZK4U9^G;ZxO-HSHn0|>~jZn1E;uy(3#i4!P!ylox<*4rXyx} zZv2%hxu#cojT+4%$2PSN`us&QT+*w+k4D@lIZLO8xeW(YtJ%7gb2dkhC~%q%ZW#mI z_w+bR*ZU?M&;d>yH%HRNH7(3}UnSc`pTR6y#PjP^6EGj0-xwn`N#rHUTGkK}OsPs6 z?+uKdm)inI!Bc{D0UV$uML|-Pt~l=0ViTn;Sl*2Hf;|L(&R!{vE`}>Xf?36XI-t+Q zWaRy(GC<`M_`8t)*D(EG5svOUuk08F)Eo%Gru$5yO|dPOy2dkGq7+4xVtDu|KLM7& zcWBW@aiQGm?nu$u2|QRvu&9pUQEB9t4|BCan<38&!U|R!nUqkO&C#3+XIashJhZZ0 z&`#0&1l$aQ-CbuQ+hE`Ptz|X}#`Ki2einTuDnhI&-JXr3>My0ML_T|tOeGc6V+O~e z7%?2olrQ$XAL^Rla*>)!&u{t%=P#Lt-&fTZ;!u(?Y?L2ZaN5c5j0}SPk#$DtU+c&m zWYnIx6LFl7&E1XMEro{nCui9UsC-;=R>8+T<_r2dna%o^0J%VUa}r91!(+JK4vkce z0%#KhISz+(lod4*qqja-M0_Ob(6p>*b$8xbJ<@#j_6JY9qUgYW*qE0A8Wg2LwTNC*owoI&n%>`QFem#7Xv*U4(ScO z?3%^%$h;DR_cOTOokwtob=KchxhD~laotf7%OIUEt?}hJSA@u~e0%ZO5rpXVqh5ti zklKAZ=2WS@$MZXnXg1kHYC$Zj@wX<6aSVp^xpDo|095g?JIrte}+(8+7yQ~s|a^nVcM{+P1Al9JfT2gh4qe*746o3*DTn)&rXJ3^93988ZW zZ5Z?PPUCe6Zv2BO=(`2M-Xe--Ix-6JyjsK%y1Z^kRdmVO_=h0CA2+28ifVI7!iX#L zv@5mx7Qy{rIy zDmLarfgl7;#feo;0GZhl=BlPV7^ZO=$3TMLhA9gLMJs2S~B? zj9+K_q}J=lE6E9$ll8QYM008!0$h+BuR;JcT0}LlZE$W~OkQ48CsxpE04_T2GHDq3 zUFkUjM1(ybY-q2=x}W#5DSUkDvNdB9e4hiu+?TDpFh`5;dh6E{Pul%Mu5alRyL*?( zGANa7hoA?$Rbf?zwakvT1Uo_9(L#XMebS1lb2_&bbXwZU0) zEk#7D9Vj_&;z?75hj_(61DpXl^=o}(mK&1=DG@o&Z|L{n6=O&{26dU+DiJ>f+f6>% zvFOb0g}v&U49z;VE}Rk6sBjBGmNuN}9If{ssEX5w!2_-HwVjTtU+qu|_iS(q7zP12 zp~!jQCSCCm_Ca_(YF<6&&BJxdK}3R`9Y$bVQ`^tFTG;$r;?9nSZ8Mkunq%=bBYoP~ zhu$EvzP4wU%I9oy1zfx*{Fbu+OY!j!q(1e+p}&2H5jqXZKg&)hPPG|8CXUCoWongs zAHo#^2b)|j>D2K z`nB|$k_nQs(KVm(B!l!5isgrucC$y?%Ek6FuDDG$q6iKeee-ujC64x;tJg*JEK5w9 z-iMDpF^niqj;rlXDP`3^O2+L9rGMy8(_3brJ;lNXk)U!?NtK_@7l4`~T(zI6u3+=617p8M&pXag8sP74vctU|G^ingUXXSCJy2lz)84wPU~A zo{tysa%KhKQTANI5OcO8^rXX^dez4=2RiBXJbo~A(tcI*j42Bn_re^$&)u_eAMi+p z6cm3BExo$Ad(xjNjkiA5tois4{9AC3fCYpPO1+eUjdBj#U{z>H%ONQPD;g@6*Ovwq zB1Wp9+Hb;~mLyYHyEDjJHL*#y3l=7eStltHhfRX`GGkag?D$6($s#;;KC=p{Nt^um zs!9|6(JQ}uf3a=&6V7@09d8BYF$U+YnJ$rdpZMyY2*sQ0Zu8@G9>WKr@v+nKFRq?v z-<#aF2c|mgPqHzrPLGu^YC5qt!Mwoiw$%+EDl*F?OnOfLALGOFVsbFczxi-J1u3Wt zfC>^R$`KtvBtUJapcW4ikk?@~-P^LqoPZ%60EHF*3c>n`)iFs7Zb#{ra0(<24)}ju zCU2OLNzOjU89tDWq7NVdUzY7J^n78ZCK-a0*VSrms){cuG2P(kCNdUFB(|OTRNU~X zm{AHvQbqmKJU0^_?o4a>&m)cfW!g~8g92p+potAotPJQNYnc&wpN@NMHMk?>vsfnKb_)3BZkcN^bbgmy!j#a({95mSDtSx*l#P zUQq*GO(Mi&@mPGPT;4?aJZ{tg)qJX2j_#8wN3zyKs(B(SUEBESUar&aTB+pO^px!F z*>6Zt)7jWbb%A#R>ZAG7I7|rd!=d0#YSgn{D8Pd4klCMZU?c=<0iaz+uh+DvxJ&DY zkdAy=7B97jZMg9@=uwTaESJePu4*? zKllfYLSB6m+a>9x&0`vb$SwtKU5x*7VkW>LbKnvdpyG5`?}qsGW7Bk>+VdiuJI1^) zGqq7Y!9d;<+m4hDIKQ;g?%8($jsgXJ+K3u+2(L*XkPzGi@BjkS`UT;G!XRJKCluob z`-y)GPULd>rM{!wdE&nG>rWo8_}g~sKQ~za^H+XRzruuV+KYGFkk=zqUoIk1f_$-| zqZcQ6RWGG@_I&{y)I>pJt!okDs^+W}kzYYUi(8CUDalA@?HbQzLvvXXqhU4SyO=)X zUnhUyS>$8Y%3u7pAnJ{$hX7TZ$QyDHDboX2a#hu`(Zf2y(APtIa+h`?eX@PAOk*2& z!bGwhOm9%YTq04>K5bqwEsGqeCu4VfQeI&f^dXZkou$y4SjOr9q3x~Xs@%4BafOAX zu;>(6v^0oxgLH#|(v8yHuxJpJ?iL9}q`N^$X{Eax>E<^%d+&SP`|PuS_kKV3{yrQF`n^^XN)=KyZCzD3O1a{^th?X)pZkok)8RDJY^+aW%_aks> zf^Cdo4nnfeg9Ulrzg9CBVSQ5O-0+yCTp#Gb2{Vv3e$4p%8EHEDgq$FQBto8|8A)$iSj}##oB1dVSrFiD)A3aLY+nX8%>t4$onAuXg9^ zWzIbp?|qiq3DVl(tUC7AB(`;0c;@GedO}+by#(3Qc|DxinUOnoUAG;tRX8>H2{Cyp z|O;F$4f)c~2j2(=s4SsgjZiuU1qoA^LDNd}IuAnBI?ZbE{rO&un z>t&u@>jjj`01Qb)$W^~<`wiGKmwyCOppVKIcm$q_ns_X++w)LrOU{8B#s`wZZ9&1( zrxBE2g}z1!p?9@koT`X;kn4Cp^ZmsDXVqy`&b0UXiTBk#l-?x%^3LySz`uWUWp<;M ziHwsj?f+E_`$bvvV7>XPz9q$dzQ4GIFg?Q3wYCY7Iof)4k2G!yCA~&xdJv2j<5ah+ z8+4X(X;kY?$PFdXs08@M$_#~Vet;d3%1=ER+S-Bvl!$<>Ad~se=&eq-U z)syVFq{~aHqg^kA9xsM5m&HlT`sNCgJ|;d}$uKgDu+@;_x-cPQDCj)jI{F$N8bcG3 z_QXv1TgUEseg+ueB-_u!4TI5mQr~4^PEsO z#QU;n&9FYKpGE{utgT8a`6n7E#ydvb_qr`OpAe{5!M{@9v`v?O(O`|U=MzabKRGOA ziUo58P7cl#jQxS(F3nr8#+%yT{me>`K7`X@dMR_mMI-Bi-#QYt)8Hf#2I^xeLW2iBNeDkhC5CuIl=x42&YCvGEwzWX{<)OoU{mPN&saXlT@T1U`;1>Pe=KZhk zX<9+thxJwuu=N+mrnkB03R)zh#AaI6!wn4?jv`AbnpG^F^ze^BZV2=DmX%x;7r{q& zBU2M;1FX}|%NAz3vO)s-ZhAVVP}$p|$l_GI>B*8d+|fvFflw$Ixo`|rFSBYIDt|K@ z;gJSVkFm|uun|khSQBTH+X8z>5^6Uwb&?~- zx4vcK7WmQT>optWDH0CHYJbkJRgg`1|K9h#U56kg@37nXwrDhVU^cXY^sU7CyC`u& zyyboV5!|Jy=0Ys*7Qxl0>meBGq+nog^+w@4ym7=;OB|>ZR_wHK%s@c|vD4OE;_M49 z)b~OQ0D4ayva-a{>Guu>618-hLFqXY*5;=xQXfPA)D-+OAQa6u^;<4yy0S4x>%+(| z9%t|;FeeFwv65l|lML$oz9doWmw1bIuuXST)kLc@R)~hH-h>lXi-KBJ4H)0y2FjXq zFKJ~!&&n}L;ggbv#*wqC^{prS(5JTzI-uG*dFEhNnOOp;>pw#=*Z0`7tYa`|>WRqP z*PHUSC~~l1$hn7&@3ks>o(u`FzE6L^BG`*5O~H2$ZBNZjX06S?LoHI5^~nA-BNTut zaWxtHHth8E$>Bn~ouem9{K$yi;c8@{nfyxPYj*4p382&M)GmL{0yuB!gT%g-lBRNi z%f90A#8i@Ft4uTjj7lEu`+6=u{1EOl&yh>-)#~o6Ut9I3v2M1$>;y5r_^0+b__5;6 zPRbeoG2y?O-mlnOM{%?H<$SK|$zQNmW>x}+;eLi(4TX!2TutO+t!q1LRHgncRQ=#f zW{byoW(MMfn1LDn#(|LypGfnQHb0P#{U{Gbr@r@dD<}5DxU9D3Gs_oj$ixQGXCGf~ z)Z$XdwP$%HJn6w*P;1FSRecwN22&}sBX{G&7o^L7_nPv%zNwp_R-VX(QBo80M?Dh$ zW<#^PDbI=)1sRn-TpooMCPto}9Yl*P6ZwkEoNH;Ih{0-#yO9D|ZODF1MdR}K)!XGS zVCr|KzcW8e@6FEJk!}}?xJ%Hb**GPzIlfQGoQ3dp`XO=msU2PSDVH{sz-xa~E={N* zB6noPO$a1ex?(CWHz8jEG%>?OXu#GxB2|?Wj=I3N&KS8TOfynyId8Ini7A6PhV#C~~`_`(sHRQ2UP{643L@~Hsd0j8py zATJ6TJ1fO2w!J;WejBAc3}{$gqd@~^u5KZHbI*ECg%($Ew9ItQJ@L&FL!a{SqxotW zbl6=Bkxze8SsRBpP3bXjUX*Bdb&85HJUT>6OS=<{o=(x#>Hz)2Uk9Xud9e)|0xY0g zfdx>t7QXG=B7#0+?&MC7VrW^oS9Ai@HlA+%Wcpo(jSE9bzTJH0&jsyXUd~@MRXCQU z&$aImGQu~jU(NKduV?T5YmXv_3in?cbpDL26u~!jVz_82=`XkVS2E|)2kCl4mj(NhFq)YSbF1ncv|GMTDQ{@IyHxtt~6e6%teWY5i+|fY~5SJ+uKZT@Bbf zIkZ|E9+EZtKsLiE z=DtbYhR*eL&-Cz`;y`L%f(;jWr;AZmOC&nFBOWV5*!>g}TNFh1Psiy`(;r?-mpBD_ z6d4lkiEYpi3driO@RwNZ=?Ickbl4%xX0as_b} zA`n)qs+rlv!Mr1Sj5W%^$8y13C@3(_9$?C(*>}Z*=QPxU5g{~zP@I}F_Ae4DdC2if zPxAJ}I?%MU61IcZSh;$-*M*mB{e8ifp|tewv9Ux-e;XTDr#MIeY`%?bWG@1{*MvkG z1(u11P9Kejb$h;}J>SqLf}LVtwCm)^x>9!^@4h+N!Sv&Fi=cnAP?_1ck8TW>V#9OK z{I6E}3uhJn7c{Qy7oKMS0~7FOz5&p`z1D0(UeUXu5Y)({3e1dSv57YSoVEw;5mo+B zatd6W3K_pq>q_}dG>EpOS(F$qD)*=EyCA7eictCD`*2*0^hxE`cfTU`Xv~*d8d{^` zf_V!?;5b%PWEKqDEHnZ~j5tC=G``FnbN2|`vj8uRES^DVjljW2t(NHAH-fKlJrj~U z2IqAqNx2j%xl7#gztw$Gk|jEn@J|?ddrYz25J8r6P|%dg$$0*=IQJAXHsj(itsPfO>tb9)YwNTv z5=Lw5^lGOD&WgE)s2p=fzE!Ab@%#Bbrs|qCH+oq2et=dQ%i?c@G!`d~?MC?Dx49B- zNV15AOefro2@DIvpZ0I!7}JzF&%&VbwX~>WMbjwO(_$s@2jkh0wFOZ)VC#We?Ee1s z?AiX0jZ4IbJF|mfvSbzSlD%13!raN|*2fnE6yNES5xJ>Jh=fT#XB8U0D*$$ub)ba6 z&c3@4g$fm3L?4dcZDdGxlA4LRe3Z(VB5wOnb%LO98}U%54emghjtZBX(J&NP@$5L-;D&nQC+Tu|S}iO+@Z7qAaY< z2ZoLz3z}$gvn(h32+=`!=X`xbM>Uj)IJ>8m`mKe33=6jfj6K9-m`{wPnDjl|ZEvoP z35gp&OYjP->*Q}M`3GD3zw_4aeH@o~;mC_q@8oDYvIpmq=X-+D%K<0K3orx>dgZ9& zL=@$8D?E?uxGGBpg;JiF2X4Yq7*F4A@uD)T=u4IxRB8`Hvz$Y$DlqI3)?3!8q zTH&0qr9=M|N{6}PJADiZ`5|S8^5$Y%*SoNL)88935v(a|OFk!{J&zLi9Bi;2vSv~B zcl3;#Vv7eqNqnPV&szk zFb2shBf+RsyempvTqbXeI1MUr1lw+vzLNgz-l zg7z-3>wXuRv^78Itv=rrrS>4gOKmcL{4fNFtQ+~O%7J|8$KSWUN*-OJHy+|QjkzsB zPGlJ*2@-ZzQnFBF`?ZXUxxuD7t6;Qp3oRY)^_8sVF^f2`&w$NAtn^-Z$_pHpnqiLe zIdQ4_K1g_Vp6V&Vv-C61iDc47N427VB!|Be#sB&1Ci+LSirTc7LEU$G{K)!3kF?(| z*wrcZ5~kJe7WPeAith!IWgl+1H~MkX@Z1vLp2oOk!4Nt{~R zXspA2E_1fRM~gHFwgOhc?o&E{FwG-&t|1$HT^P)palZ?L5z+R#Fr69HtI#oO5YIJf z7krhXAopTV38^arsG!Qy`$F4>5Efl!{9x@GvG(V@@iL8P#Bp8WWnj^3R3)p4RwPjE` z^~$Hv2AP7ssS05xEYb}7btmPHU~{0iHVx1!0ae$ zzJO8nR%KIB+wri(e5d0B^EBds;^r1ii(CYmV-1x&(k;pTC@%IvhoQ8Dw*GGa9yUpT z*I?dkpK6QU2nCy@*w-#-+QNCqn%5kd9e0k;h=Q?HcaG2M){Z}2;|qGsUe~7uUH++G z?-w=ae{MJbaS_mINUJO>i>|Th%8))J&Kf|fu`21Xb45J;^fe=DPqrtRZy{(?DG%FI ze9k~n!(NonWS%TXf{!=;ee)|T-DXQoF*l5Um}x3GTjl(~p#v*uLH5#6L9?1DcNr@n zh+ij~E9YqN!QnYdg)Dnw2~2(iRtQn4+bl3t9)vvqv!J5efAlO|8mtlY1=>oA5Thf zT@-EApw*1p-(Mz3rih-it-c!zMloEYnMm^hV=Ut}${{oo6(>4{eK7#B+8~V9)N;@S zY%Ex~Ob!~uBKBdQEuFwfMZQeX@Kq5WC;(<~btp*M1)3GK;t^o2{AfuC>%;E!drv}NmzteTile~q3d7487|5r{1GH> zZZ8FJsij0@Syvqogux!J4oec}FbV;E!9JBI2{~V^yrapL64l2Z)kjZ9%A=~%ccOX* z{WudYq}d+|9|wb4sX%2T7$fqn=^L9USw#ED%$$uoBC5rD9e3Z=vA&uS)TO4(v;&gQQ& z!!LyUAGrAc(OYkzykFGqs@!zNk6GLNtskTn_S)LcOW@uXCfLVKWTK<-pj)vINk_kO zYZ11+tv8X7pwjwdp7V*AzH_*?9Kcw5Os+LO)<;~}nVq*DX-^Ww9(PNz?!P1Hu`gF1Uqg_+76;Qf5L5_e_L%+SQO2q7Qd|0RF(HP)TE-j<_F9VN@4|Q_iSfzaM6E*A zTA{>QnDgzz4v0brmc~~>bif{h=&l;a1PF^h<)yM_>eRV7)Y2JQpHV1T6avXF8 zR5Wq_-O3qEbX@+Wd-`J5nu|dg#UHopf`rJgT)oqB$vsXQ)e!>nk&E{AdU4r$+;x^O z6Wyq4_U@hiEP|%VGxwwOcfB5+L$>DI3tt=f2!zCTJl;l4YUce-~YZG z!<+e5G?SV&e|ZloH-!#gO9#em@+V;yE>4r-{yE%@{C=B$k@;U`hF|}b!3nZD!{Vgg zd;1@bwpv8FedcU$M8${k*Gn_V*y@W2YmCEGf4V?oZX$T{l&q6)8XUrvIZC zKuUGmn_7$)ihqw_Re)yLS*C!U)(mA%^gVV=F8+bQl`bmYymE|1+F2zIwIB_7p8stu zRLbScN@_Zns=JS8U;~nsReEX9X6I%!1m3J>NE>k|Z!lmj&0Q^rkdt3sx4Q9SJ`93C zaB_BK8xz!O%yn>4_{Qt9$Tef(+}H|=!bfz(R(BuTYK^g>V)DYJ%{e& zLvEwt5kQP9^d%`}g8MH?uO==rXT9`JxEE!n_*>v_W@j?Jb4Bn{gD&-9&2>R8)7=un z@aDSQtxROkX>S26E9rz@S5(`x?c-|~9KOc;m)f6+GM}1{9$wFlH%SfYrFT*rZh3Vq zo$pAv?vwYrVp$ztjG&U`Xar;BV+$Ayx~dy%Hww(d^PL16*C5zaRDQkP?<&bF8T3gQ zMzcSLb6py@f87gPvEh)g%5NC$!;t?|ND|G2&g$AQ;%!>Q{Gu8B2TU2@W_a^(i$D3Z zdi9qKEkNAoYD&DhSL#1ej~Nw$ikoxo^z2c4L72SbO27A=keTHZh&?5*IDq0R# z0HxLH@D7gJa4=)d`O3kFP|EO5+8O3up=*nruO81h>CJ?hzronu&zK=2DL8Zv-xqA;~7?tM?1ljzM*&w z3WHJ4o@ycBF@(uSEa6gT;4auhrs@y^dRxDnYgHD%4A{WgU}0kHg-jwuzgaa}Uy`V& zTkO5R{Ps`rL*<%j70!A+78+>kEhMyerG}mUs45xk`WXeRh7bmo z1dg(TUk8m)BBSiG@h&yd*rEKzFn;~ekAFiEoQx8DA4~2RmO|+FO48Qop?>rW1v?U?7?tYRZETsVVSqGXVxZ9f_|2 z_kaJc|Ia#iI*ftA_AHGpjs73eiT^u)+!O&U=B^kA=|6H~(E+O6#_RJ&yCFrM_l{?o zLF7?zL}Y?b@S;jhWxb`Y*ugwi>akMOx9hKhFz*>%ocy$#v~4FoygZ)qj@NGud_T7A zkBXc9qS8ug37v81`rP|kdA!n^?Q_G~%jeP7jh7X}`ReqBQzzgiBIZ*y?+?Gii`D(O=TXd?$g*ziU?w|C$8J`wv;V9zC+^gn%g8=^`RT9|beSPfR?T00t z@FP85$4z$2P~kt<{eSkGE8s#h8~--?zcYjmS%fi0l`7{Q9a<%)!|zYK6HU|1zmXd_ zy&5Tub=>&T$x=CKpq+*kMeB1)B;Zsq>Aq8+A8Ppp$$4v>R=dU_5LRtSgPk93MbjxJ`5%QM1Y`ztroj;ch^2e(aEby8CidxK z{7)$V9~ej|(v6e8HeaUvr^{uWV0UoC#ie6Dd0$_Zcwc+{zD8eO8aQo^!rn>0jiXQZ zP}{EE5hmuf$$0E)qLkLOJyrW2JPbJ%XuiRhP)_eFk9^g4fwqRPeiTH04JYlcu$<6H zjAMDx)fR&LULle5t0SJ|R~iUfHz0RAuOR9dB>9iX_`mx&GM+&*MD>dkOfdiMa%h1~6j!@J9(OOV&UcW8cwhX{ z(T4@Mz+t#p|M+9DFYR&AfVeh&$)+gLA-Q=JwG?Llj7xk(&w<_F#J<-Y~5MY2=!3$qt~;U%C3akC^4-ncyGZ1z z<)6v?L{Ue%>2Dcv3&nryRoP0blUfWL==n7zye-UD`bu~-d6G`$+0K`0#n^Y$@GLRF zFtRw5H);PXuHb>WVGPW2rC%EUMxzmp!v$=oYY)}n_-5TQ60kc6;4RvM z@Wgo-bt4kzQSjanafqKC@1+0GM#EFfD5Bhox5O7gz;I#lvhT(I?cuf2gUIF)SOAA8 zpc;J?oon_(UJB=#j_^D`k_C>a5ELC}-Tvktr*RkF+Gz2+7?u~@YWJ99$l#i#CbF*y zSk&;x&kAj@$3}|{m!{o!6u-me8EPCi;Ebn z4y}eb5&ePj?c33w;YS`WS-`51q>`z%JC0UpSzoulH)48H5CN>D8~Dkv06hAGMn2W! zk56L9%U_{G(%GtJ5$Qwrce)HNBH2S05JQ`l=<$r+0B5F~%xPVTN;@|DPg@W#r=8$Evf4vu>2q}4#!5$)7 zVL>d<{mq*D!1(&=5*!k%i=VxfU2VVe9BG%jDIYBTUa!DT9wTf0ff|0B_j-P=Nh@^d z3@V+$t~9Huis{qyq#5ZDJPIp3~TzJp0-#wC>|vd>OC zhs=Y}t3U&d_UUYpau>#^W;kClOhS82uR!@CJ>LCz`|(brve03S#b{Bp%kaq?=ap1v zxs~2j^u<&{gisgdW@lM?S{9Yy{afp8?lWmZ?&6wc&Xcx-1)2}mrfMreq)>Da4(OMo zG8%30ti|d6%A-lIn!$e0X!3X-WvGP;ggng~w$Gf1;`HmjxF9%=t==Xh!*Mp!q+B6$`_KCQ7A^;amD>DgQyl$HIHwCIj~A~kreN%Q%=iqu6(uk zp&TDTv{obm;NA7shXjS3+`fkcz9~o zCVjt$9!he6UJE}B&2frg5sE7X>EJAGI)wyEi6G3_Q~~D?`lD!gg)b_VLaJGw6^X_+ z9*w`cuVQ8hCGJZ0-+6g?b~spKEI(Fe9=&ed8hF=uZ?RLY!Q&L;kl(FbHQ*WL$pg7Yvh|@lrHQUlTjuB7AndIbIg|@YRp(^$gL>5PTzHWvv-}x79=W zqm2<|n`uVXEXieLy)khO7=acq0;v-{X)^`zmk!`Bl__>6^l%x7!qLO=ef_dWVYiCOUOJ6|g9RXJdTI6jL#*J>He9Bi3KqTj{$rq zbUYr?|2oeFZXgEO))#{$KAFGqlm7*W3|;`zhS`3Dw4bF}v)FI%2|RH--cIe}GU*|z zUP*HgXbh$8JIYcsu%W1nTOwKHdB>0oW> z#+IMkiU;5Qk*_XYShLQUuTx(`<~XdnxAD2L3j`hG&M4ZwvyIQMRuGnKP&FqiEFaNh z;u|Btlz82bEDl))nUsRhEFiItTNJ!DqEc_kKTRJy;IR<+4cV?sM>qu z?zj^3A-c!ez*jyr>@9UCKT$Q>`|{f|RZ|T{FfA}}q6gkn^cPL>q>scb!uiwPQ7CT^ ztZJusjEjI|N*_V?q_Dzjig9bAG9FwxKeX@nL`SrME?!M*=GElG7$)ZEUB4AH^f%P* zs0rJEaBZea92KnMbi}RDHgw+j?~~6usaPg}gBW*2z|^Ykj9JW3?pRINX)b}Frq&>M z!wlkey)-{O{z%}S<#oD5=(?O}YSiR&+xM7XJCsSK%Hz~-4Pch=&sKG1p~r!k_ZUAt zFOO1tFSqpSY_{2NZ!U=9LxWh^KytseR)1WK%0lIg7nA4Nfvjir_hLYj`SH>4zUufE z{5hEX%@WN*Z!`eBzj^1Ef3=GeoopJ+&Y;2Wh+{F74V7gbUbx%v`9)=+UK-;KTNT`? zyMYfVyIPhc>H>eL+*e+>Dd3P7nmMDAbA7kn^^_@l8w_Vi{;Zt&-21nSjD8chT2X3o zF1K5fGY8lphlgw+ywLvkDWT({TIj!=!!E!W$7@$hzuDG^?e-8LVk!0Z9clR52xGf- zf;O{07$jUWfmjr2cW`#N4cp&5Ht>`tcQs-#3PyfI{6Ol4RkB%s)~PoFSAVYG*dK~z z3@Bg_2D#v~G>^T`CMsJ9+D+0oRpS`FHk6xNep_rkH_c7%8e%w>Rk7;&4+UGGbio)ggEUMWdOU(9@zaIT^`?7 zRuaFZ48SFIgXD6kK#UU-}h(}Fnz!BOT9>)FoZUP8n4!xo0+bN2M#mNDa zz4u0(k@$CC9BxkuAfbs1?!uRz|FH_D|8*5E7NeE3fpwSIE_~&RIB9U+sXrzO!R1Ff z-k!QK&rW(Ommiv@ongcevY(f~{1NTAJ1gdTc{sAvaK0V=R``se%!3RrUN%&qSsDX< zNaClmu-F+r)ZiWHh`N;-4 z66pZsgz@@fMdUFQ^;s34mg`ciUF%(*4&AFgLTXI9%SSey=R1x6;%?Vcdi@}=&`^am zYuPj-+3j`G%L6O@E@GNuE45hR2q!Y5mum`D(&|qXh_-k+B5lIb^YS4-3v>Gn+B&rPB7K53;;`<^r!P>bbN@yT$tUBE&CTv)w%r=84R zf$`NKLI5%*Sp-|diTJn6APTQMgaN&M0q1Rna@Q7A`aHh;=j9&&Oi6=OWzXZH<*VP*D({{c>K@gHit>!c7rE9hXck=PAbzSnU+vb+V-)D;&hgB$qcS^Wpk;P7se= zfteV6|M1v+7tp2Y+K%+xM z#*D$HmddVqwNdK}RRFQ<`xqf=L(QYt1ouT`3u)CwN@l7S3Ny9lWW_4A5<4gF1$_e< zNw2oRYzNv~QEovtK(Ni%rt95F{67}f$kp%6x@TLC*SVJBth72~J14R0`_8(@oBO7p z0fpi*VWwgdS7%uQ&Q7a9y5-(E_dvD1DKU>#3UHh8D!D0ldbH!!0g2sD3#;$mau=c# z5Ab+YQUJPr=46qS<+1bdQ!T^tJ5hbrl!r(ExH$(PT1hkr>818MqfCDas=px%LlndT zf#l?M*&lkJ3K66QLEd?DG!udP261CD)Kx@am`AgISY6+r>fU2e(HMrHVXt!7FDu}; z%?CfAYlh{$@xDHv2|X|E6HcZ>BQ&9h^3;Ol_dUS(C(RsrJhJeXPcJGNVX`QGFtMhW zK+louALObBDTf@xH`xj?5tsJojb8PF7rU*Tj_bqyCfJhGfP@;if>iR!>FZ=s*pKDc zYz+*3g8N~SpWHLW7mJ;DrsWEHG_si$vgd%0Mgc3l0W9%jSnwvyW*ej#ak$EY91gwF zJy34U2~S9iJ+SWhNv8?RAFsv)ST~mdkmXU%_OWmhb9t4$t+1{?d_o;p^V3jKEVx*w z-YrsfWBu-gGA6lTo+NX781cLDN?BEl(HyU#y3s~x6{@}z#B01KIef|=sSc2X_?MT7 zcRaXX{ZJrxnY~T>jEsidybFH%dqANi1?h8gcxj995+l6G{)yNdfz2tt0=6-(xS|Gv z_?gD*EBCejOg|h0XG~J~)?63y+c{RGm8)4Z| z6PK0Ljvk;l?mN-Ua^liksEaoVjJ*jXAM|f*>Nm^kAi2@ylk)YX|0b$ttlkJzjA!;8 z*f)SL%8I><&KywH50>(SWP<|av`zs~0k)G|hqb}yT90Hipj4*7MKuiI6>D)XtRexA zlnp?`4|`43Z_=B>H~3k%QD!qWkhS5&fL7*7t&^o;WF8F?+8-GkY8PzQ9mwhk&79E? zOg-cyCEu#&l~z*DUQFIcl^ zI#H8BAu_Fx2=Oa6Z2ut2M8D>b*>bjSwbBGfloPcB6tZ};H@{T+ExFtzwl7@&zD)KU za0hkY*C+?9kS>)Xcbn?hk`T75Xgd;tE1Oy=@0g|?ifMv;mMAx(-+c76 zKL<4mM`%XD8*E9sG!P1JV)r~17i%L3^40sj09b+u=)Ev}+&< zcY?%B!`Owbe%2R#5ipYIjlx=`8#$Km>SQ5YrM+HbcINu3QuJivi48^S5Ngn>hs(6b z?Cy^j)}52p_Dg`>DcJhFMZCgDVS#lZ)5)T)&XFAfjjFrExO=!!lUmDcAY&PJDys`3JX@gWri|xJ6GV#CsNyIhGshh%Y^Q39|j~ zeY_+3+ct%@bAhCbG3rXP8|m?~2{UMpNod9XsIw<`2bIm*C2czOi}jijH0xZ7pNqWF zMe!8?O#QK!QW^ZZvOcE8VKWEUjFZkpPpoEiyN}J^P&=3J<9fEqlf6>fyV~Hwh|;=beM^<-ZG)3|rN^ z9S-wEZW!e1XqTC`7-6?>Ob`2!jpgqmn=xsaNp$7g_Aa>B6 zaQhtd)6bnlS&v$3Y@>R?AruaJKF1P*ro}uiTRSc2Y-L``T*T3a$1Z7e6F%-(Hb-`K z=>{UsjpB@eYn3e#*Y>m&6~6GHcqKp##Pd9Z}8hTdHxwhp*XfkV#S1&eD_47_cd3f;6-rLcTk~OMAtjK150uIp~*;ueprL+TLvX_{DS{9 zPZaN9Vd1UFlNMi;guxKL#8535Zy|^hXNsfFqd2h)g#+8%^<3hnniIQ1We@}f`J zH$IiR>m%8;3(BJVpYG+VKRZSleH1}12}$vPSZnf)#Mm8$v3v?~^3>5Y=$l?DHPJ*W zm>{!gXc@<#D&BB1k8XU9qBSxGW|T`<_s8eo8($m>moSyc!@aG6(jp~Fiy%C3Oc}>u z50ay|A&W0qsszWUkuQXqDg4`FUP z@Aihip~Ll?Sx?AMaRnN)>zd7yZnZvm(ZgFm1Ao`6az3e7?obzDWl>E(3~171zt~T| zE?94QfZjaib%by(J$vzpP}|54?)nGJZrsq*L-jVyWhk5-E}oKaJMBXhp6=Zhj<}Nt z9SFQ;P*7+D2bi`r-ucOtqD$$88)uWVJ@Pqyk0&98!||}04aN2ZIQx%vU)(a!^R3E{ zrG}$qE)$Af)&Xvg4NND8HcniMywVb3&=o40lt!DJuhl~|b7bh(BLIdAE<*KDO<$ng z5jvUmyHVm|h2np!Tsz8kWXndksN~JSHjkPRu^5U4)KxnfsJO&ZL#U|m=~nOD7C7Oi zS4e=?R5)dWJQBx;}K4risC5eO_Pc!n)?DA zjcX|aQ1Prri^PEVu3uJBKmUCDYC>>D537p(jv+sgx)nLHmrAEbS~kayP9f>E4Y+fA zAM*@t?m(^?E?TPEIt~!X%CKgr#+{Ee)gx3d_j3*>mRl!91$RT})7Z&H#+zz!rlYOu z4}bLYHC2}0Sh?f3Bh5V0_-&k&-c*6^D%*v@A1@PRh<;$51(yduB#w(JX{_1;XyqUN zZQ1!G@26MzPTTAe=mJ5X*4(ZCu}H<;Sm7}9?q@i{%;oMUR))17@iXTZgMDtKPGYX! z=UY67skblf={F}_wkbRh2BZ|%c-N;xEE*n@Jk%0R1kDAR)6@#nekrVDn=hvILGj39 z${o!tbQI(N0iW+j;&f>b@|n9S=%FsSB0^dbdK|c(d+A*-U3=(nfkw zW&ROm4QmE5f&=t1hP*72o4a4Y`j1Z^{aeZOzZ7}RI`4S+GMyo-)?`5R*&x_%0p(Dw zzzky%Yy?Pu!|8JJOH5zi(`Y<~gE!Qm1Uh_62GSx?hb3;f4bc~eY>V}9$Q0aiYINN< z!GHE1oswq^(ZTb$1kL~(-$m!gLNf@eqW7Jo0X4giKJ+k|2al<8fgQ%_{YD z0$40WFn2s31sue=8r;nj@v|R7x}U%40KqspXkkI~cgh86<_%vf@MpVNZ%M-poUXsM zX;rgErwM%E`2@;t>-gtq#aTNC3z~sVPX#xG&sN`+dE`tkj6aE}VoVc38MX$!K09?{ zZPtaGT{p)MMo0X3g_RX>wU@DT5Yl z({dmjF545xSp*kV{f!ZzOL<;9lb7}*F? z{7heu>7yo+cu&#(o<)P(L&ZVg()Zo*baf&q$Qot@wyPvIUSO`{UZm~%0a5sS*RcV= zQnbhQ*+?TqIG4e_MEx2x4rQ^flrENzzf%y%MRrXC?Q<3*mRWB z<7Fzpqukiu?*hH5mtwO2B~;vCl*uA`tAW6%4@%TFx$5y)|FT8rXjol2-_+l-#U9Pe z%i}c%_SX0BZy(-}1PQ?MOzU#8&Q>hjO{2U)5|7|*G5qu0-BGMG4(NlUbJivNGKVvh zGa)%10ugK;?Nl);eJG#b+i|DM3}xF*EQ18q8RY@iY3kYB!0UYIP%RDIAG8fS zvlPz0GsN!c+b=={(%n4+Lb~ZL3zS2vXO5{S4(GkDL=&Jp%2mUiwMws(L}3kYMOR6= zaSp@ap6Dx7b?M1yPBgFJd-7k?cTi0QwE?1Di-3RZ3d-<7 zg&-w~2cw8}u{Op#tKQq7zL54*bM?w3;^za~MRqCK1{yjbRzWUefq*2%9Z^`ta z+eh)cpHD#~wX_^4orSMYH+nasQA+AXMK_g#t`hi?eh|tX>04O>V?7k>qTbjj@8tF2 z)3&}|S&)YUo?$q*)(AI|-6fzO6>l*hY+&<3R3+HXfkcmVr!5fmd2uI`&_euye>`CBY{LG`6z%I7MCbkARBDBDD^ZvH~ z>|$=Z*Yg|I`5i1v@aXWS(`Ko0{liBMy%o^gQ1Hq0Thhb9DqBOiURrd^kMP1QPzBfd zwDRROVXoJmS|Bx=2hi7@W1>yDZG3u3abLTpY#@QhVl-p?AQaC)xQNY&la|B!86y7# zDoz{*j<&6@0ro5r9ns#;b_yn#|M!nibM-^1RFY+T3zC0XvqN zO&oZs>wnMkUcSahg13Mo8meTl&2(52rJk>UcB^)Y4Q&#w-Dsm{9(1hr?|H5-NfuN4*At@zngJzTxKY=TvVfcSUX`#r}~OxVUJ zLpz~AS}~Q*^BubhnSoA$s!dnSsuw*wR$=+#lXd^jxp>Ns zYR!(3%hTrbc2Hf43v5-U!8niHCQZHDU9{s`Q!`5#Br>%@QEqt*K*3P>+Ci0&p{)`@ zE3gu%SzKf}J4(simTK{wb0sXJ68*xd2c^>{R~LKRlhx|=pGCmaGuLcsC|_M~Z8!t^ z`-dH(8uWk^PTPjZbQ~th{JT~d&E^-lJo+;&n%Fb;3TZ2Un+zD z*54?Jfm160W#*5zyT5n;4o(Cwc#b|?x<;Q8iroyeQe|9p2mJay=zW7z_nE-OC-lrQ z4!YSIW0I|E?(1e;)E`fOL>LELKlW`q==@nK>1LHb-v`ZP`M0KUXyu4r^n#8}dY)+) z!*RhB=V{lyE~d{NW2WhrTFS#=C~^|JK`-)pxm{jv{P@I3ga<#8p=;$5t-DZ~^uR zE}7BKK#b!AVdx#D3RftlMzDU%ohj6;*C>;m_$#jsu4UgKHlIRA{PcVU;O#f+j34A<~c z#^1{m8kPZpr-tj*4`!AO((AX5h3JJpKBd#j$H{X`Oi~f z9*H{lw$y%5szRDqgN}g8W=;*|?Rgd6x8iy2Y)Gf(H~M08ZA~;~#ytMFrqgQI{blp- z5-1BN3vUTer2B(FTkMCK>%-69`46!D2U0aY>j=?aEC?;k3XQvW`fYoXHqdLW&Jwiv zVxeq_&aQ*zwrGC(g%n}aUNTy4EI6Jur_ckCG~>BGno^}$uUbxewfIM}N9T2U_*4_f z`ANtrKOKCvxm%cJQSs>JYFnOBibHZ_#C*@JrW3GIHZCP;^=C%3om2U{TUc$rdR<>0 z$GS8=-Ib{%Xt{W@`Z^!ltt@g$11fd$j^03nl=JURr9@aJFmJO4#U1||8l-C{dhJ?X zrk3=@^6>TVA3wf+a0T?1kBoZmySQhlR*7h`iEpMH(BI5=51nG_i$L-HMLJT83MogC zm89=E#x$9tQ3!fy)s%;o@SYngSzL7HEPP)=$CYR$BH^}RxT!Mj?da=1t9AOs3~Ef% zpipHw1TA)(51}8{`5?bLGb@f)ASQz8wbddGzm$o$Xb4$_pxF-KvU=D}JXmkDN3{>W z#&qXyK1QOzyGVwf*wYuFZ?*?$See=i<@_A+g% zv%AFzgIoOdsP5dzKCa-SbC+!$&%^KQngI_>UX8HA^T<4kwpU7PXL7FA7_LrvUnxI5 zx=}2=Ja+;fbf7m&YuyU)PPhF^{DC(uXqBh!jjB{-+U(PI9^pp$4v;_SA-e4NY)#M! zzAB2F?A$?MPQBE>J_pvm|0$heCq&;pvi7Zu(v-*kw}yHDqIy!RDzV+PI};Da`lb#i zybMd1($+3&TpxNmmu$TN$;ZUe)Tu3Ill>m(YnSufaqz;b(O^(YRvP1-VT?ALDSN{{ zXZ8P4_7+f4r+wcz;-CYF42>dE5(0vv0@5X-v?!o72uOo;!_Y_y0@5u?H`3iD-9tz> zNDuJ8ey-lnKKHx#{eRzc_Uz7K$35)Kb$#Pgzbv&%T;bk{(TY5mt$@0d5L5+Cd7)!<+r5@d<`d>`lKj5`O3y>>gH((U=zrIt51_9dL+E8{3&Vq<$ssSWD#Bqtf zrz8HF0A?oomYqqrP>2Regm`d+v~ij;fo+6Hyn)YH$C$E4P9Tz^QfJS_u%=aqL22{#Et-NeX; z!32-#1+{AK4!P_(?{;yuUjl)R7*3Ha2tJ7T%hyzbK>-N9axx3(&j8Y$*Y8rSAx zN54myvO>m#S}Iunm%JZ~4VLXQYX=aaxL%6daNNMeg||z`@jTS9M6|U$BF5A%hvhee zb&tt{-xh+TkMDPSD1~&#v1gbl5M-yqaKg6K>=z47C(8}lC@sWmjt1iLL&;`Y@cFjf z2r&k_E_jwsFV@}=%IjtSboe|Oo}b7chbLm*;`r241BG7bF=^lq7PY#nuatX!iIW@f znDjdr&!#+GG_x7)cr>PczQK6j}WwWUy&<&M|3)IeDD- zYE3ho9Y3e7y33GuGMkA2R;zh=Yk6tRt850$uaM4Y zY!SKag{S4nG4!DNw$;kOQ@^HiqGJp#dZ+vDn#o-5*BUR!!9pJdEEo05bd<80zaoZN zodc-rN9N^#yc&_a1GgTJ4oj_FLosXs0VO@pXQH?(1^RsB)0;kJD#|A)?o;J&$abgZ(hV z8bxnDdS#!NZyenyE^jbW*wn0u!;_m}uGgz8W^o(^#+wrMJe`1RD2eUvY@#_YlbJ`T zDo5K@v!3)*zuoMcZe=hg>*6lPlV1i=`F^2sfCpE!l#lu}{1+2O8bH;?i6GRitT%=ih`A}rIRN zBs}sBW$rHOGncHGShoRX`Gj zx2{=#&8kOR!2(xJ>8?J4Y!up_T=*AbEyI=9G{DmA|=JpzRCc2E1sqDu{J#_OxcjaAr`5< zIM;B*E)`Bi%d=%GG}0MYFUDuJ_~1~2x)Qk?{S>)%)$_1Q-&-nSxXM|w-u9u{6rTYw zC44nJ%!Y_fFg^WzJY$=L$t|!qpzyrHW?e2IsJo?ihI;dC+8d<6hXP+?4_L5B=9< zGhr^El^1E7CyDDt(MY_2JA<~byfhV%uGx=B^DK+y71ks;gQ-@}$sl$`PI0D(|X3?i<{ef zBi>TkOgQrH_Hm(%o@(z#b;3gjA$d{+}<+V=2TO)C3HUWzrzJm!%Lk*kH-gp#W z%~Uue^_JOC*k2ht&!(xG)PFEaE&n8=t+iuEYV^lQt@X&>AX=ZB#6YGAW1rk^T%%6* zJGi69{PpZ*9@fRUD2w#waBy4Stp81uj@uRFY_Qau)CbsJA&bpke-VzxBgJc_CjC1u z$OgO1wYo0=kDVj`QklyxQn}1r>$OXm*&0|$RQ7Muad(RS*9!?)4>?V6b(J+UMiKwL zs|yqMV)c1pQDE)KDp4UT30_1IEewPg0^u)22+O6RL0EoIevEVr zPfR7!yPsc`$YY%x`akf&Df=3R#80}X?jjWTcqeZ-G+hd5;ba=NX*+_Tj3n8zQ;FaIwCU8^*vFrb?6A$yvC zs+|M%n}Wy`Lh~KtfJb3FfW9f=_qsn(lITB6M7R+7ve&u3S#caKHi{(F{pmj;Opd3Y z3AmI6oqQnT{07Ja?F1DoGO53BWop#kH->G~co}1S*Op+h)NDty@7WhB5~<;h0KgeU z+ha}2F@PJ$C*Nc8iI6FvUTBys3rn?J0T}N9PSCQUq!sQ=BQbC(IWn&&OopfJ?VZ~5 zE2Ic_%g=f5=>wSY+fplHF(2pk)VFArbERBC&jRU*d}Kyhf9I$BAKk)ukFec^F>oV4 zj;KDrFvGfbzSUtz)QC${wosaTj7^L@g`|l<@skvFiq@AA9w9r{<6wx(qgc7OCn-KT z{Gmu+5XAwb+s+m`Fs>&*Wy|y{C`}abCDsmZ;wvXw!$mowt4T;oT-ApK_AmL?cw;}R zXjv{TiDcJcQ&P3?8p^RYc{1u_bv8-u`Yz;j6sP#uFTs11s(liuhk!ey=mDqvzNN3g z+<3Io0}_&$N_|gL9<104M*U_|CA&KPd#MR~3?@E)UwN&CSWkfz=_)zTCjTET07=&a zgZr$qv1T8&tQof}Q1lj&s_*>x&e6Ngm`gGm0_SMUWx!7hB#nVO@?y)RZPWf{lX`*H z=2Pvf%9sD^C4rPQ|E&`?MZ0aV3(T@Fa{Q(jg|rahRy7eYv_Etgfx+qI7z{;vc`-vL z+Sn5f0I0*f^qu0+y8ymW4oK_p)@#UG<`)^%khE^VR5vSDh8oYk4)TSW_OlEq1J0M^ ztqM>pp?JW?tWV;04EFN$d0{sJBz6h|tcaSMRNGbt2lrjP@ZSD-V$w(n1zUoJqbKghw2)?|>(a@GRT#QapuT&-Mb#>j!V|lP+ZyVEK~mVn;sh5( zU9Cjig(Jn5y1o8dGMEE=ZDx3p67cRkGBvBv@{kmOoIF!z|B7F$N4eA;Te7$SA%k&q zkgPG9^BdxVehrY*wyup9_Lm#c2`#XdX*c1_7mfi)>a{b25#7qSJ0O^&3?oT4LEx9V z`^(Q=ch9&qFwExa$nRqbqG+5XmTD3(qBN+`V#W1r4tKrR!{qhxA#s6DhGKT~%RLtn zXZ7<&P#foicsD|T9+W2AWo-^9$zBLpj{j)cj5f*%1yv4v;c+AyhGX(=m916S5%Ct8 zHw-{9y$82y-b>b_3uNZy_aBB!kHuS~6buJ4lGau_@AnK8)C%~TajOg76$=2S2$SMc zZaipAUg5aC_D}}tEII<3SkK^o!%(ErgM89YRwDIUXDVuY%E4t@SZ6M9xW@^YPn3>2 zG|p(Z@>f12^hR}Iv6e5s8QFc zo=p38R8zMvNv9i)eyzKr*Nk$lcO+!H`YxC5!L_|tT4R&YbzRSSUHlBYf2EOra(+7p zucEea4M0>62ooO~Xhbr0m$qk^jD`1OuOjhb$n78s`em_zhF{1V#eO`KlQMV)EOi{f z)QkR$ZNfbj+FuQlv;OYb{{z$c--Dd{+I&Yhc%Uo(hQ>VuiF6V5d!4Ysz8eD@C_f4H zqaFp=mdeV&7b5!wE95KT3)1D&*lKg|32{URkj^kdom-{OYAaZ zWfm!#G85R^uFAOp=;&9>#%0DMtT1Q(j+O3(6`Eu$hw-`81Af+U)BhfI?|zg{ke>&m z;;T3WGq=`x{aO4nF+B!*hV0Yf$mQ)qm*4QDFJ!@E8UUYiG6ZE85mZ`~UXkaDy=>gg zDKo{bZ!-{z4OnZ+WcYNVpo@qGIb)+mrDL3o*y`8Joad3av0#4x&QOMUX79D0e3(bt<{MISjRQ^D(2-o6a=WS!mNJ$~s)%v%Gu8YYx<9gt_ z`rWa&yJEPw)?KQd$|X7L_mu?Q>+^6qD+5pX7p0tLH_EA*xy+}9uHDlXdrgGT|1;!x zH;-6XLp081{|dn{V9zq&M;iBm^_|)EWE}MdSnP4D>2ae;BDiJZ%HyrI|Cy*J$ncyz zHR$3Q-`bI$HXYW-%d?(l)kog=rHDc#8PHW#&Wq3npZqk47tDBwpbzS<{lx78l zq`tAv`=bof%8StMR-O;XvNCGZ5a;iyItY6k!*;2ooutPQ!#8q8*X|M48Q4o;3k2zU_Y`;r=Hg(GSGSo za}q;0p~7G*OQV9kC4@$FKyZV&bSlwerCE!}b2)q5Muwo(c~m!&zBpEN6`N4S8fO*R zi6G_&R@vL~$(|*Fe-!fjF$qH6rI>42a8)eaeou^t@b8=kePVvqXFyJ*#Jn|G6vTa$ z46AN7=uuHD-E1V-2_Wo^{9&g|_Im;#hCv)Il4G3Ooe$HcUb+NR?yBs#WrX9++1Sz3 zWZ!3olYD-dwEFGV@qUW?LTe@XPH~iKObd>-seZ zaGkvE)!qV!qn0mFAF|CRWuxwObFukkM`EAxEj^Fp-Xwp@Cj@>w$l|5s;%sZ!o9IrM z)5w)7gS65nhn-toKSUq^}+ZQHlOnfo{lH1-vC~dCl32-UmBw)u`!+O znx8x^mn@W%4dwWQAMLc#&2i4F2z0BH7c2CC zmW>45-7~<}VUcytj9(tQRh>OkaobojGUNaxQ-reQl+haYSI-+C^f&S%Q$y$r*xy?w$F2vN=ro6 zCu&xNFG@}M#kMatZ__&-Jucp4I*IPL=|jep@S)?SEe@0dWETrPG%Nws`VL?b3-?jr z?3Wk`M`|40X^6w`F+gAB<)05%AUrqqw1(YN=i8iU`$5POSC-^=_*QsCqxWo$@$U7X zr|iZ#r~4^+W~^F!q>Y=0%|CZK*gN8Er*4Dt-#Pq7*q12zdxoP{Iyoh9l1@48N?*IH z=~j7uc5)P5XFCCGPwHR65crl1oM~t-C5D7H8{WYx&Q3o0ll?`g%3QW&cw=g$yASPtj&Dp9p==iLHdb6v``%RnOtE5{Z$W;o%8IMRpUAt zy)f}E*gPTuM%w}Q^EbfjZZPPH)gzxMCp&2)V|`*4e)QlDQ3SmMhb z1Dqi94362q&_AM8T_nw30MB0{WJsgAItQ zD4=Kn2^US8JKYGI2Ix-^ic zvhe`SLiF{jz@Ums8X1!$*H^jlDz3M%&931%XkLpSbW|yRtXTUM)@uc zU0v~svQkjTHg4XiDAmlp7(eUOJXr9%V41~=_fC_- zItP9$Go%o=Z|tMnthKB%n$G~+^jSmx8nyD`LX24ifQNmwWKOSIxI?KWV27XsKZ(5G z%`FNBquVa-^;&h7#7qMzrE&en#7vE?)WjpnkKY#w@2?C>VoiLzNSKcTvVE!SN4E6> zV3Z`&IkD1*?7X$j`K~emk;O)iq)W1W6N?7{79;K!F6++|du_y{{))W#Yv@blhDx{; zYz9sKt9mzr4LM#4P7J=T@fG8n508%SMzCw-z}{D4FP@#9o^+%H3m6XGa=MIQH<~ER zhfJ02K62!3s}+VrS)U->Q!&|Goq&GwXo$B2Sin`Wr&F96M-MNbDlen&YPqlskUaLq zn*Xq0l`NxxN(d4Tw-CEc^d=MR!;|IUq{h^;Uw;w>CkqBV%^ zE5{db$0|&w?#+VlBDdw>if<#{O+M}yQX~8}a9q(^+@2=jVpDz=$!79id>&O{n@jlG z5pZyQ?U#>it;r_bcELw3g0lEPd?@B)GMr+Hf{67uzk7qYwyy{jVDpOws6?rMUiFY} zc&a*3V!0?Y-|tfv%caFeBjc;z41{_H{l%^JDH~p)6h%zFn^kt4qCdyu^31wF-G2f; zI|F$Y^C3XaPCh(wJ}6wy7f)qvi%2RZ=VnR>4s z5C(Pqy-6IH6Lui@5!aBzp#MQI*Ig36%U8%XS^dlOdu~D|9I1G|R}T%YdwN$|cu}7= zlhgkpTR(5g8+Wmjw|^mW_X8$YBG_OY*j%0`iD1!l+cyIIC{#C0C`m?@FwSKu$!7<9 z`qP-5a&?(D(bTcY;tR5}C^0v!k+KFC6!0`#t&PY>u&G72<9x6AZ7YvBzTfYpwSIK! z0{qcO;J1C@wg?=^AE#N6jMC4+1cv1}@su&{&4)?mU?u8{USW1;Q8u*Q)hR}F&x8^T zG(PSrU}PoW8Bu_Vl>gZ$!k|oI2$oZWscTD_kq}V}6y8NQ+}{qA=1GWONCVA29lD(#q(_ zuko%_lRjW)I0>PmRzV#D-wg!5hQ>c>4*SvemM&tcg%+SsOU!J>?#{EcObV#aj=qO% z9%h)OlQuEagzPFaFDAzTh2RlDC8+MayUjp}t8iiF)-e9Dt_rHipg$ZVpO=0nczFE@ z6auH)L2BJL0-&zlt~*ml@piM=O|6?T&j+mEo)~xku%#KlH+=$&ns)R(7&Y9Lewd{; zemam_4eH3skj#kPEc)h8RsWr}qDhwe<9I7)t@Ul&f3L`YKf2Is_fE(HrdL+*pVg4R z-X_9;;K~~qKh1Ctd5WGtDbv~h%N4<)(|qL%=+Hl2^TMmQaqx<^Y6GV(b8@B+0}tuZIsoo{o7iVuk|w zBFoHhVxro}L)e24GM@;QAFk`iIzJf}4|fyjujcr>utR7YxKtNexwb)=hegZmo}ukUu_~rKrGG=y!r7^@PujQw)os8 zEQZT00t9=t1ErBd6sW`*Yys}MV=?iL8;|zzn&Y5wB?Ld7B$k$|@TN-nqP7JAQ)2}+ zdZo;24Ro@0u9s!#6@Z?RY~>M{;Kf*mp;Feo81@)Gwm80uQ7{V$(GM>%IZ&wKhERZ|A0@R`I#D8A)Aw0{(l}a^6IbcQS;j^Ip6%QI9{db2L^=p zXCM{v7NmY=Nw5m>Q#b+?Czh~2l&Qsc`m_HC*^NZj(&BH))!k7kVR9FO-J(%*SWbg- z+a3W{HZU`u%Pjr@NUZvZ5>M=w4d{Ll(v=%FWkI76g&_n^E4TLLlDZ9$3K14W$-6*g zU3qrAiZvngXr6fPnO z!G0gyip0X*DZVz$aC&K`)O1$B6?nPQkwPp=Iax@*?ai9Az1)=qx*%@a!8q=dAXBN) zn;5UDn;XUd1yz9;gujmOfzWIAhHM7Towu7lK`&ZvARhk1Em_c%PxLY zQYV1-j5EZZPW!k;$unRXf7nu+`49qGeY;E27sbYRt8R;`!tee@|Gr89FW|M5`0?4} z(77BM_DH5V-{%Z(1(E~&z$qY&k7wU-AsI5XAYlq9&wa#)D?)wMb=k$?s;Vn^4N!c~K;!Gz-7t;N7`>oluJjB1+_hMs6! z=;I6%XgX3{&Pl-ZIx-*wg968Sr|3UG7=PCd+>+aZ$lk5aQQF@N&_63Wgf1YUg>YRv z2b-8SL>ENvgkq*zBUPqJKFbh=37H@MP6|6hc1d0AlpeEKv|leChMLkBLEr$1A-vAJ z5Tz6FwGS)13YapVX?8!LA+K;fKQkCLIhirRy$}S&c+HWME>Zrq5ccbjYudu|wYn=W z_yIp_IM@VZv}HRE04~;!I@{+0`4pmK2|itECMap1&oLED^`96_u)2g}-NaPbepl#r zKuY$NXXBm~T_f8k&eDf@D`vKP6~ zEncnnIIJ@d44@#{CioX9F*uYVFPi5Q52A;jaxw~@qYH7i_DZ+_oLDC)597J3FY0|o z{=BR|>YmOE>O9`2QCll&e-Vtg$waOHE9;5l8FfxZLaAlRV%9BG++5J(Max|xV~)6R zZh-{gtP2A-YGAqNVk41kr;_x<-t>#8)K+=olc4fk-Q@F({{3&MyH8@) zJko8n!g>}cMI(pPvomx&QI=p}DX`nt-pf)4+D!Sv6770~h%u0ej+I_UpM$g=Mo?0u ziaj3L*G%*g9V3Dl}w9Mx1qWyUwj><((tP^ss= z(Rn|ffHN}k)4O|JWV-ARvU$HamFr6kSg#K=LW2ghu&l&?D=CQ@Pz466`YFc`*Lhd} zyPO&f4-!zcXxMz)*x!rE@7IaSM7`Kj)V^MRzvbIF9zxIEtz=M^(us&VF(3^706wkP zvcWRuxbCWj`aKa)D;~UjuK|jDLC75d`F{tz5p^O6pD@s*5-~NU`#~Q|1?e0GR?E*{{>UoqeokuyWlm&^SP7e1U^-aMiRjm_ z1OT3vQEr?8gK#bi#0Y~)jUf=MI&qA-l{@|r3D7(-c@20P=z;U2Rf)561C7a%;!l6v zrIvm%ZO&iXu5PsZb~iCN-(eueHT@-tK9%U>slDYFrbMj%9B?5ow`5bRKtX|zyye&3Z&y8#1bWe=_=p&l-q5;`Y{yU?}}46WbJi4mwsU2+q7+6WMP7)Gi(a*d<;^*>Xw%5?2*`#~CY_ z5~Rj;*#*h3<#IP}GY_0O4vSf_+Y0Fcq0)}`kNoHbe)ftUp~XV4ZxQ#6)`O)!mo3_y z6Vo04j~3v4F#Y!k1s|%-nFb%p8kbXTE-y0-s2OK6zLl~V_UM=UK>MU*pHg3=L+o7s zbG8}30%o54mG+@XinPGgj_F6pO?k7*ZEr$WD~+3TCLPU6*mbjjDb6s{lG$;guw-kh zYr3=XW>=cJZEsHBRk-KnVRF8!8Ng*8!on8KNBFuy!f7=4ZX@ojjS?#A6V}XCT|L_e zPEJJ?N|y$D?WomysS-ymt)Iof{i%lxmQlX1Kqlq|HeYBtB;sT^lfk^DDeiWrc3-CR z@qaG=nlb(pe<7T-L9ENF73k=d*SiTcaJa~)QejG0 zSf9z|B=|-LwtlLpaY?qRKdQ)QG>&Q&O!3&B zcGQUKlgVCCOt`%86ZmCox|br$9V_Z9UtQV83vU{%QvAdzkeV z_hfiT&3eiBj&30~>0_<9w=BkbjlKjRJWPMwDt|;Cp;tmKIGEtCxsPx zoIg}dws++54)Lv4z+c}z4010T=L0m$U=jpIE;U1P0|$$|KPmXc91!JWk2&I$Pm53* z=)q-af7J1XZll6-B7doye~W(-l)g|CfW4Ev11M7Z#{*toG1DJ%V5Gxu2iD-*&{W5> zTG7MOO4yX}W{fd6L?gTeqzER9T|(I}QVy=AMXEC^q)UZ@l!k{z6n|*YxZKG$#arLU zLrP^2`G3oPEKXZ3hC^)8&~6!kf<4)*S#k2=+)rH%*3eLQ+J0<(TM8savJ%@~ftuD% znkZ|w;o#SIV+NnUxHhEAg8A17iGQOt$VahD1)e?%%+Dy{4_!0U<{HE=5ERzF~8XH%T0{9BF9Q3Nj`Ex)G zX+K(v!52iES4+AXpX3lVNgq>A*a#L=ipHW{W(z=!@dqC3mBue)<;KL0`CudE`S@oe z1*9DyMhX9+9sC*b|KEtw4>+lo-VyIHz5a-an5p|7&|mvY=b(7M40#Sdum=Bh zmxD{HG}KT6qN28;i-;kd_{rTIu4#b`OTeeSypRv5pf}aVS{F=#<8JoX4f|7GI0Z%| z!Z8v-f)Cu28XT+4rt749i;Qx#!UXxTFNJar$568N!%rTcpuxJ zQHPLU_z5SsVYJ1_JL#EQ<1M#%jJN>QA34oIi-6>d z1eFlyC7AgK#0ice(OC@m*q;IU)UF>f*eE;tfbFzVddyKTV79{H&!Ad8`VP7E3S>3+ zH|weRkOdsn?bRU%~fIb2il5CRfF`x?Gc{*pmAhU%(SMdx45leOP zXjFs3)dnougSu2?s^;#5U0EQYcuYTEuj;lxJJ-8K4pm@`Q|yi2vUz$C*uJjd@<$Nu ztR!MDc%Ftb$NjZuxL`KQvv<{VpQ0PJdLpEvVdyU42K&7KjycN@lDnD9hpk&aP;f4p z#63Np;i@UIS;Nt*@`C46-U=KM1VKQmf|GaYis?;r0e{@mKLBq0Ds8^ zV1uWAkj%9$_Ckk&8W$UCQ&;X7<`roqdP>Ws!AO?pfd3qj8Xjk0Sv_ zI{n@GU82i)58>~xSTr$IN{@DbQMkGK8O%0E3l~e?Tf5boglP)H#z2a&0x6qnRB%1? zzyUxtlUnT*RDlNgF$DXJk=SoK z9^nT3x_R%rH@$3~;pVZ98{dZXFx(wuSM_@0;}jKbr#wR1frE<%#NT{z0v#AiV{Mmz zLYdaR%1QQu8}G=^UH6$&%c^DJo*KlRKu;LZy(k0a^bz`SNtf@MM+M)`FjY$kL8W#x ziLhqy=1@J_xW2Ok0H#}yA6Z-a3wV#_106&VN_bHzh_=zdu*W5Gy^+AA58D*Trj$l0 zVY4AtNPULRhUR&$NMV7Mvemj}1u)QY8qxYWXEViJaRri9hFFmG zNvz_e0z&(BqDSr>U%U01;+HzruOUGfT#Ox1ASEUL9bx?&fglkLZ9)v~$N$j!63Kt1 z!ZnBWBtcIR5F#a%U=HcN_WFf;J!sLmjWGSrs8I1Q5QYj2SC4?jMRXactNxl`@r9+~ z*iLJj0^V}|xdf;_p`ETq1P4}BByD0yB`hcC#$D@8DZOIOu(9#F?~0{n~a zo`f3RTPj*F^?W{GNdd67fI@GSeRva78&L+W=&$lL-ObJ$F#CY4XbGA6{U)*!5k+>3 zS)T&q2*jSWnv_m50GgnKOVJly7o=J0vJ66*o`qmsI2Nvy6JRyJCy|Jl{|;n#4jDq+ zlj_qyv1t9^H})AQzCWJ4>3u9UB&(W_ZB}ElIB+}I?ZrsG%o`%s^Rt5*7Mf>k!R4Mi z&}IP5%?Z8CJ9M?HAeJNq#8OPz#qnM{6=Rwp5tbu%9D&4}FKZI+>WqxpUU#RYp*{sE zuN_<)dDg)^=%F(xssXv&@sWj$`=+@M`Guhbt|VueDD7cBfHIC;TF&->Z?NN+vs@MS zX?sniHdlt5lLAJY#Ss1JPuIkSx+YdwEgLZf=IFa_%&ouBUt9I2QT#=&{`a&u=G=WB zp)pO*{Sp$xayI2XJ!4Bw8@r^sr%IX=JU81NBbr7QdlJm72)z3>1na^xlC4~-iNkh{ zh{2N}=>EEx-Ok|_OU=_Hb@%h{sgAf?9{{iGV#iIr7MHV&aQ-A?phlD~4{4uURFHYS z)H;4Ujw#abbotWaV#kiZ-?3lw%)86JnE^QYu!c;W!S-*)hg_qBUt%QdOt%Ya1X z8>3l%xAq?f(6pVM4EhM-6EgiIvhr}Hs5a_xB~L-s-@_Jha3JK=UpLPqjJj31M+R=}x{=Gi z&!#(2K5>;ZwRTausE*`tdGV`!$fGl(bcvjy*2q-M4sZPd5(Q%Y(sc$63dy`5{sbG! zQy?zh(S7egLpnst_OqVXllNN_Z^QAMV@Ggt(@h%N78$SJ_;{x}bXpC=@jVyTx)*S1 z0QoBS+N%iA(1HQsT?G5jT*-fxul~Pl|b4FV{R zu|;%wl4?N1lK`?rtP3?$F91Xno_9^`4Dmw&EF(q6T9{rk3m_9hHk!+f4RYZUmX8Db z0?2sdL?vZH?SSc*1#j9UjBZK%z$FpMS_6p$VI6E0QXnb& zR?XRQCLx1ZZxWTD?UVYyuCEwpTnT_kJ`#F*DAP0^27xS=7sJUgp-ZC_YiJ;7`T#Ux zBHi3`SLnB}OA?&-KWS#ulx+d!;IkMynEW6>@jy0k#Qq|pqe0LG^L=?WkeAORYumw! znZ8o5?&dZJ8F;>l-W>-23>Qj9P0-i@ub9Oj#RDlgntewbfvnJ(7j(0`Tk8%T!M0{@)Z`25`3T#PzqM$OEg-n0sH zuePdK*{CxvXjB~xzTVCKWc}0dpq@~o>L9{<`d#deO>2vU_tUNIbkzxg_Qhj{ne$9v zc0WIO$DTD@{yNu7ueo&SL=C-}%}4xIk?QqPPC>jR?-_q}u)m{;Q>nVkgWXaKRgKkM z>8jcpy#+~v4gPiVi@FGEAvcT*m@xRizgQ2du?G#23ud=s3f~PIgVDa!V|7C@HeN$1>uEA0TV53Zl2H zmeV5jE`+3|OnDb6a-O~zPt?e+0!%?Crw_=1(K-_3D!jnK+2g!!q|t#%qrzXm3Q~f) zkQa4QT#nFz!+QWVjhs5$FICD;e?6{axpx9wy3sLJyG5nn&Fh&8l`wHq*!M(mcF7|W zh+;OhQY zw5)e~u3KaaC4n2}3LGN^#R-7NC`fbAQF}1tJf}tWadk_@^qVf}gGUy#jnTyG$LeWu zG*1F6OUA7=jPy?~R*V+!DVt3g_bSh7R@mo9qmL{Se67!- zV$$et-XdPz-&R+3!8a{hd%a8FbE27j^#N~!QCCe_WTTOZ2OejJ5ouDA52ejW;?`21 z*WQ%Miem3HcJVPWeu%%%QC9KLYrKe&Z~YYC$QBGT_JK(Lz@_oVQk-=-u<{nfVpt!kF9m1a$=+{SZBcEvZH6xD6e&Sbd>;PD~W zav%5VWn(_EcTKU3Id6VhTt#93`^EfyRo%OB;7n^-rDOlc&HeR;L{ZH3F$pC@Qt4udYynQAZHIw$APh16#y_zPYL4r3LXOVTyxyCVm-oW zSEcPPr<<3K=k;dqGQ}WKeEtXH=wgr;v&ftt>Q=uM4-~C#d95Q+#B*T>hfvn8v5t*# zrym{X=SjP{K!dqJu5J~vu3hc0jaT|{A5Fruxu}?N{Q`x6$kpXKm#OL)sKUIYa>_=# z8oDQ6O$v-`F21P>T(`eO%Xy_mykVqB#U#bnn6?~9uMABGz7FlfX#?@(l@a_16%Fi@ChKwz-@9zd| zf^c+?vu~8{#NT^H?m7YMcj>@TnOQv8whh3f&_+`9J;$y7trhv>$$a!^2*me3p>I)tN@e0_EPrA-a$%=@MFp3}#a(#(sP_9iE8hA8IO6Zka}U{v zsJ(f-!SA$6J8?^(^f6qaSS}Af=dW3OSV@`lu?2)q$M9z)DZ(YP&8wIghq@q+_~+C? zwQZ{aABY}$rJ-}0v(rjc+230JGF-!Coms%>vE%N|?QcBY!4_2!WeI-U2^rM37eS4O%U==oD@|$@lLX3~AAyFeCNq2&vV3UVjd+@^{ zWjYnx5l{?Qb6iPhcJ(W-(sHv8oiYb2cLd(^9q#pK$>E+(po`iPJew0N=vkhxvjYx% z!;<~y0`Yqb@2cGDGs>K@vkT(xkwKKG71-;QobNo=eD1mZ;}ub+O>p4xj)13L#vT+% z#H*6vhcRS$@KO2l>9VYAGyn$pm*QWrXD#Qq-0Z*%oUMLs->4ce+@=>}qG&A>f!4lL z__|dqD@Dv)%X9LfgKW;8W?E9afqtv})UXZjrqQ~wEB8Z+43fF)yJv|WSHHGXCTW5s z=*U9zD#P7->T@;ddG^A+rFIMylR3z^Uj}7W*a6fDHB0UowFI-3uGIF&qF%N`j{Fh+ z?=Md^9^6J950zdTM9h4#I5&O}djLi3B^(cYe$JEs#u&Ooc9WGqE)%i#&@#`55XLE6qrRp~&X;SW^iB;D+B83_7oA~A?DDKSVb3rdJN zP>(9r%2%Xv#Z|;*3p^sQv;~(g^N3QU^VH5w$w@=rBwKLv;)k7*QY&>(Da2O|=Fx{L z)Ki6-SY|CJ789i)RHK&I)l89h_83eq`lD4}(888!mPTHbk&%O)PPf~uK9e{O6aHhf z28VW?40LlB1^Rz}gU|&SbeeB~S;VSj)G;Hl1nQ}nXh2immAK1E*T@h$(s};{xl(Z# zpQyl||PJxX&>b6~A)5##(HJxJn zNI;=ZJ8+M)xq0Ma;g`HYX~F!_+nl$Wywj49ZOo#aF$P9oP~di21V{@(fH&Jop1-{f zP!pyC>!H<=H|p)KmJek_*Z2+{=jdZ){o)iwAuVHVQ>!b$JUtbzRn*}uy7&p@G2Oq@)w z!jG4ka?|d~{<*la7VJjVx``RZU73%oO!MdD?(EyW-hHU8?W4+f&S~mGT3;%e zj6k}4s{j!Y?g`)uxdLaEg45N^y-$KeBDAV$>Jr+Zh&-R9IvHsx=$RuJdd|$U5?mVs z$A_{bzIIPm?r{~El8_YZtbgTDE|?M}U#a3=<&ULpHUq_-Gjxx7$sx6F>UE^|KVtbVAz4P|qWT&$m%oA*56gmj}tXHn5 zdxZ-S;L)?j;=%t}>eGj_d5trA$G1=HnPjREUkq0--^-n~bUiY9Ff=v$a1WdTzQ=aj zH@{4hN-nYQ{CE`=coGG)JpSP9S{>pFQz@eGmcv9GEyYsHk%13CpGU z9S}U8cJV4VHI&)(GB@s^zjFSZ*4nk;7>F6dSlCiTMp>9&9llFy(A|D z=QcaQnE&j(!{(j9F+A(D9uOqWnrfXP*Jd-wHE>YXH57WFJ|b~+PyAl++-c?*!5qcR z;Px3sZZ^nqqr>H7J3Un~G7+rScz@Ys@Pwi=v?QY2WZq>=%DGFOs4inW&UoI|KW*ok zD}IxH0KW5*=qm}s_+iG%>`HOY2jY(aV(Q?JcNa=IFUh~hITJx;&k1X4{tnI~+c=tlqLZ16 zIsRSy?NPmk9l9QdQK)1uGYTF(Ezx4;%xcoB=GLZj@VwA`X%_yI+2om73!)zzIv3k2ruK3stXtAc z;Fv6w@~+0Sxy45hZ0krE#g#{)r{5Z<%m67GM@?V)heu`_f3yI(BbwwCFSS#QJ?SIH z9};=?21yI1X%^VwiM75CaGk%D-i~LmK7w&A=0^iV>`jNIq@Q5LxRWq9zstH{e|sr$ zEJ_tX3a{*RkG4PcI5DUJx%^8F3Q;WLh<2vpJDh7!yydHtSzntb@wt(agDxj2`eDN~DjWD}x>=tm+0__E?a2n3c>P7y|Hj;P4OGbt7=&V$! zbZo&xkW%qDM-so!8pc!Qrh%-MRPY?HTjOsic6m2mr8(NFlE+*IOU{A*f&0i0(t{n~ zSm2!Swz*6k!8Pqgrrx@M2BC8OtXYZZc*J_J^cg`s;$TL+g|){~gO0q*c*!W$Y4Kl8 z<7cGM{e%u5Lc{q&ODFN5=^CIp#eW1ZMk>-~+(LHFnk_gyDMmyaCMeOxqFuqGtc|>9 z1~M7X_>oQ3+ks}O@be7YM*UsO?njKPqEm=H2VG7=hXF9*42%aAXM!AAI+gp(lz};x zimR?wh!h9Cw4MT>sIe;t>aokPhWS@qCi|8uFp{QYnXHa0)&qv3@&c|yxPF~;8aS*f zAe&7=w^rj%#i1Qxs^{q#X5A@Dvyw@f@w8AYcGO0126pc{(138v?-D|qSx1f6ohL5G zJY+Fb8larX%U6ukH_2B+WftB4!L(^q5qD5*QzF=$GFZIF2mZ{WPApmOo-0V2mj>R% z+>^M+EUr2a`qGoSyNISn->TV*R(ms-jvZj7?dsqi12e4glJLDWBi?)GJC(T+V?-#? zn~rPwqoM=R{My~?0PtQ0M~6~Q3@rG1tC^J>xvr;1Lq3O&xkOJ&VZ`2#opKTQ5B+D#YB3YkOC=HYO zPlEuAhn6}qkFJxM=FeLC*E>&XH{( zxxbIk@A3G3|G2Na(|Nw%@8f+OuRWeG)ek+5YHp6AP*X%eBbE)r5uOfZsNdAwC;=U5 z)uIJI%DjtN+qY27`yNd=>t~Yt6w8QH_FpJ&2QRjau&IaS#49<%M>Tsx#=an@iMPlT zfTB-z(aVV^6f)P+XH4bSUGt9?NODk#75?;1dIQbAYpS2*^$&L#zv|@~5Co)8^Tcem z%brEmdE%_+|GL1IRz1sY0gh4G#U2i!qg+m&)xliA=YpyU);v-IL6TFMQi@IP+M?~M9XUWrgS4VIKW>= z`1F8;+s|RurH%GKaJWlLbH2n@Xn6cI?VyzNWY1@$@KM!CuD$`3z`5IaGtH5HQtrxLM+gVsq1fCADQOoD~!_tzc5v>`MuJ7~Q$ zvDh1B)bh;P9BLc0r@*A;Hzn{xD5?%+OcwFCJf_>(VrXDKTy`mA&kHhgSMY~wpylN5 z&XatvcFD3B^{Hza-{W;U1MuEQC^qgosizdm9%XV-Q*pzKlT!nZ1;KtYKPR@l&eQfk z2Gpn1eQ+e^3)>bEXW3DnnF!xAMymY#pJ+c;`e7lhr?Z<2;s53gpN+U7qWG=y0oka< zRs@k%ammf&>B^UdUSb=jFke}pm>Su?u zenOwkvjSf2H11rk1Ig#|I9v1`THVKaPYBA^K9SlR*W!9OPyGAOsRe&v5AqUATon#? z+UsBp?Z(PPTaSSEgAoU}*mh<{mGC;Q!l|MGro~lXUPq^WzSPzx6SV8(`#w|OtaBkw zpRbvNZ)Ndg_&|E{#QEVzEw10+QFN|nAG$zT=UBEDp8?az2QsnwQ3>aAndr{@iB#EL zaw10=g-E>^%*MAj$U0__GH+yFgYxx49@7&-&fmYJJzvXwIyhUT$-3BEB;sz(i|u2O z`*88BL$Jh_)AoxlzsP0pyCR`O(+DPUdB&;9TzMEj#@ViA}MXuk>=ufX968?4Maj50*~GLg52HH znEEza{+t=S5S9oa9U~A>=cTwDM;RN>&{sW>UhgmxF%tAMX?8McE7)Ay*;(eMw^UsAeLp4)Tt=} z=~}zvYMt3!ojbB1u;{Eu*Pox1o;uSeycw%atI0fgeD}tOKx)8N<Fev(Md=P4TpN@w(&02LxNpN+4ukmj8Xf$Ki7L$=SdeQoz zZ3tl0SXEsoKlsHAbIo0()GaGF2Iwdzg*nX|8w9Zv4y6{!X0b8eb(ZTE_Lk+00UU zPHkRxpRlCSP~1tAB~(OYQWjKeW>e1fn{{vp(Zkn)fV~y!C-(J$9V8CWAMpITY2hJItt<-e_H=(5bX`jD zggmiCmjlb+YVlm}Q@}wFyd8oBSR?=vZ3*$1>?RU_TB9rx1wF!B6M%}d#TnZ!Lw}%- z_!obqbNX% z5YB_}HPLl|T_{7vsx?rPafNat3Ix}nId&mBTCV_qta=>$;xwqB%FJz8sSt?CD0jd^ zbGZ%?(+_~*W)A(ar4k^3+_oYCZVp9-R#~?E&xT~hhYE>mpbM4sq{O+FX8=eZ?_Fw3 zFt>b0YOE9c3F4meP$^gEZx-<>@{?6JJ?>_FIOWs!_*mfL`tkmwD+}x?zdLbIoq|u1 zk=d!k*_h^CiO4{zf&~gpyP?)AUV9ozU!_K5Jfc`nsx1hSf+#>hi~?@tKTv3BEMWLB zwOVoK9A)%F*cQ!|F0QgOUUdEz&i@R`I}E)2hJqcCOA5{Sgl*C>fb0We=ec=>@3 z$0ZHgAu`Kktt)vF+1E;7hAYc;hy~8AnlhjerK0j?o8j`(xDTMopAK3>Lzd{tTAqMa zUTps9EGR2^)aoAotmGPOIU@OIKdj$poql`U4zSH)0CGJ&Y69B8#E>g)o|HGH2v7r7 zhXcu1ctFHtLdZ3uP52x#wTxq!GJ#`^XIgacIP^0sT=8lWdssntrS#A8ZDQ{j(J9 zR~gPP0 zqlDrvlUFWGTOTs=Hhfi&-xKaJCv^RcVc@6mU7L+xArLt#dClA;$7w-$)u#7 ziLa2);yYFOnoOY3B*gOae4Y!t4+2rH7RR2!ET3*HDeEDyW*l`O9OO77gej%45xf`(06p;3=^??@5yP(eQi8R~Q zq(R=vq;tCe+=atXKduPrdj0F3#U%zsdrhP6sVhQxw?ZTI_DLCV&RXxigNU|~eLaEK zx8%ow*pX*t{>{T4Qee^G$-I}UU(gWPHu~gziHA8Q+kb1zg`)<`kdPc%hC$0YfYJwC zB`S(I*|{CWl)|rcw)37Qpe4=&5(kW+i^$TChDb~d8gzncK+g?AV_ppugw}xZ7Ce&) zb3USX8@-}`D#NryRTREKszvKUI$f6rQY5>-r^wqca*P~gYtQGvOvh?H*ZzC*H(8tS z@7W+bw^%0HtJX!JB;Mz3WhEB|pF0iE%;x?c0Erq7 z)*u^b4TuCFhpZ@NODM?g2IxX1DC0X^+Y+qJ)AVwV{@G(N=>lwEKt7dM0iSp-wZ-cc1M>7UKWQUhqrd7$CL&J6gj53fdw`4Rys)%rFmps+e&xWY0KC_)S2c545Y-EofF<1!QB1~B zo~tW2c5d-38Xy8TJ$dAMHU&+dJYK|Hiz*jEE7-QKPe`VcvaW33lv zN5W~`xo@ya<@8QQJ^%Gqm_Z=M{^pcgK|F88xs4rX9r>m9Fupxs!r;q~>fin`IXWuL zrSKj9@cM;aUgdIwNMd4ozq`*|{#FG3Y2N*tf5Bkk_6%kMLSA?eca=r`+nYn?dlz)l zt=k&>|MQK;w^7{waC<^3@95<;i?!Ow2xE3}yLfdn;y>m_&ES2HE@y(?Tq&6s;)n3O z59TH!sVZzOA3l=e29hHFrlz#~LKh`)2wW`pk6yvEnOF~6U=)7NgfM)I83_VmqQ`u6 z<`1(1T9AxO`(;=3Guc)Oenl%p$_F}L;DpP-vQhP5Zw<9j>10(iRtHaRIgRH-V2JRN4* z{uOVoi^3GZbMJL5SW;vCJwNvn-Cq0xBU9`46LF&C+QO;)i1 z@onXbhvPZi#jCK&$i9ut$XT|f_;zgZlKXc-^ zBAPt^O3nPhFH^5oY4Dv~mkRL9jM#w73XVs=HP{PhLLHO1!aB!{bfA+i@&K?)fo~cB^)59><~F|TA+SriR@}Aa%N%)zM9=hk0JO(*M=2iI%7dip znRvJIZ=^1+9UY)@qU`dUR^}3Ve0ra26laQOKgdQhP|$=`KX1V>TS}uiM-qr84SeX? z^yfmcxBgnMy7$=kp2Zr=Ki~g<@)r`gy(aE?CH?ZZ`D2g&;WGq|A+?~WmxpgtmhQnn zlRh{MQUgMaK`Yb%zBBzNZy>LT!L%KE8}~0<_J8_v^oKx_Vb{tXU%p;UCWSAw+o^7Z zLjJn`=4ubTX9MIt+8oMu3I-(oxp}yQh@`p-dl>MLRI}9EiG^AKT6!R_ixW>)xNCXa zfm8ED9!<*qd2dfl0rCL?Zq=#aTNoG^lU7ML9{%EIYOh$bi##$){)wbP z1_o@yCPkJNsu`V$DkD73YZE!uitn2F;q97byj@l-W9}F*7m!g^VN-8`@9n_An6w(d z8P1C5?YM(L4n4YYb!_=}*j)_Zo9tqhR9X^2Uffn(5<02Tp_IpjVqI~8p#Mv1TpWcl z?=<%O5!?OeJF@hJNx*s5ti)FF;v{T-{!w?>$w{;?m%i`Taq3gBEh%u%L(RRu1S?0ZcoBQskA~3{1zm+Zogi@UF>Zabu4z5@SI%Rr!P}PRs zvU1D61JMa@w~FKcyXJ94W_xbQf9sE3-wv|JjPsGy@;Ar%kbujzisQe&%K}cvU20k! zUlj!y6(Hn4Kd-S{F01Eu^MA)}UF5g_;XnRgUSv5@F6Zl2@**xL4WQ2Hl1mm;_^}SA z58yR>a8qE~I?OWm{3_sDaZWQkfL~-@>n1Jd__@bZ#(+K2_Fhe7xrpo%M2_w%j{o19 z=ImfpfT%cIXpblAiXnwmu)`Xy4J+71A4Mouas1bJ2_yaZPlA~tbYLoqSqLxRGi)gG z68=f(6-3Y9NL{;=AbMWERa=qjbWWk8)54{8%(G21t;@eNg^pdx!H`77BGgX&7dheG1&9E|iQ z3~MlWq$)9)-HA{21wZXk$3Lnf38>F~yFEA(YF?$4f`notEW2NodEJl9UlWA*^xd|WsP@#M|^;gm&61oQF&W0 zCqFLPUT)js-ca6zU$xn&@hq+f>p|D`)iaO7SMA?h3h4NH>JV={9uRwFClX6;gk93V z?p`?z!BQQzQ?MD#JZMkn7|_58+#2eeamRj(%8_7By-|my2n`D^jvykEO%bxo|5LdeYx;w6OjtgEa=Yql^$WpPeYDE2xe07P=(PfdQeQKCz5yv}rOIR@G8>%ik zcO(se=@`B}SR}YK6xZp!yZph+5AOft?6-Wei!Zk9ADEA@LmA3DmNVP(pV*Mh5!jRF zJ}z7`ncyL25F)CQASqrl)A6lvtp9dP=WlC?G_QCRkQUXwRiYv(Zlg4;99~GYic7u>*dab%S^plxGyODX{ zhZL&J0J%pt>J!+Nm zlEECFeHcnjc#7;Q@pg)l*&X?W+H}X7_qheE@6Ck11?3bE{e0?zDtYE87RRRDb1iiU z+u^vSg@{4Yc`ETbJ9y?CGD*&*Bh3|hKtpcOvTjk8Vl#`Vf#e{2xG#gp)AoUbTnRNM2ko^vCHJCiZ6DPJ)erB+w?ONg zh4{~j_SDKED;G1RRF8X?Mqo@@G{VH2`WZ#Pzu^r=B=x=?KW+#*MCHW0Bkw#Nq*J76 zcv2hv;FA7C(Z;7@AKo}_>ge!#K3*_8WA~h7wv38cJtr0?>dryKCAGrg1z=O%s zeMOl|F)FYtri^#`tw)wf56U~BiwrNS@h-gU^+G7$twG(;cF>bh-~2+2LO`U`y;rAI zanQAO>ii~}gP1lnZ!#Yc`=SAcT1tbtL?vjqk$lcj5k(DfO8rner~SS-I2vbukrR5( z9rySg4T16JYOfJwOuAUAI;Jf~dNdY*M1ER~Of+>$2Yf9L30Bv4A8iVFWzq<^(3})$ z@tIhg$Fjk(5{{f93kH3cENDgDy=Fm27B#a08J27`7dljG04gY$)qSKYI-X#`+Mes{q*@PPSap?h zR}j#H-9+0JQK|6=+OoQ4RnibxCRQ3HX-O}|`z+aakkPo~$Vk{>KDtZ|ENBy49@t7B zdt-&>j}60zRhOONDfKN=3(#{hpX@p)qrLH2Gl3VN2Wd6V!zrH39MI-Eo85808)m61 z!pz&VIljtz9~3cdsBhK|TJY1qs5R7s=`Nu=k31Axs+!P`x&>?IdKryHT>H{$l)E4K z>{6_{?{e@m9V@g4@zrYRz224EcRPPLWH1s60jJUVvfkf z2GxQyM-Fbb**}&X2>9-`YG*;xX=4oI^#Nn6wL+FbN9?nq6s@{Ozpcv74(iwGWs?VV zKD$12%Bro;A4|^=;gcngXmV-S--#On*uz8mKT0%E^I83gTn@AE4{gg85XO-$i4uZp zY{)LF(`b3~SVHeGG+a1pybFu=)CI#3YsdiAIqwRuudsqEj{M~?|pvUiG{Z-#AF3ueS#N;N4{L|p`^qYZ$2<*!=+u4leoDPLx!hMu!= zrMguD@Zn4&bLWFV0Khg&Cr=sE3uBsbP*`6d8wt`mdKppc-2IhTi&R=e!#*QH7Z)4E$ z$s5W4wN&+BdPY*pD<2XZceUS(cUC%{oWMlA7a^OFsi8HUFI3i z^=+4FzcN_l)aKK1C&XBRbnTX7n^etU(APd3B6Go`%)Uuow&zs;Bs2=Cd}EPMh&WH> zGS#1{l2Yde4SiHSuc09&RruRFy6vZ1FF}VhT{rX$D}o+N(4Iyu%BX`l?b|EnjUF6A zfcs<7g<6oryKtADjP33-Vv%BHO@}6yT{1C3W8b8X5l^W}oGORrSD8cKD#OD4&RE{G zfI;NJs5g}$Kwih8#kmuDESQaLha3y0bVa<=^GmOv|BTgfg$6x;%Zb6+pMxVmDlSN) z*0oFJJKe7^%x0ceo!r#{xB(@Ju_9M;%zx5*T(n>(AaqBSGW=I`V}83Vh^fJTp1{@& zW%1lw;OgHr27f7rRwKtOuX_t_KrZI&UlM?2R09%7;OZ%8oKQk&ZH)kVRtt^GT927*mBS)!4DJN?4D2fK-Wiiy-p4ZjoY z1`<<&?yG*>*vnVR0@n<39uVEltNc+v`*XU-aZMg$fRRsb!MrbhIZJYHMx&rYGX)bzHy$CWp|_ue}g+&XO{ z4n1C}H?G$DLEDwc48U9rttECdDfs!boa1en>P)b zL6+pYPN)4&*kTmeSt;phTw*D?eA7Q6Q?T1#jym>7@&nDoGYu6U_dh@1_3cw&Njqxo zL2wRF#(sp`S)~%VI@jTDiuXM3+oD`scj<8Nrxd+u_zl&WsdZcr{S(sbwJ+@|p*&M} zuIkYNEvHuKULm#HRx| z+wlEvXzYJ7%$-kh)#gc^w^N}S@wP;02v(b-b>BNXJzN7Iabj=S^Vxg`AMq4i;*r^% zf6!-;Cx0~IoPGcFjuOc_YLGB@p&e0(?e9Yd_zd_$fpza2Pi&}l2XF>O)SZ`-o!mm( z(d6*avSICu5-OLJHUSZ@+Qx^%CqWrk@m_3y;?;O>yc4w?HadO{G^OtOx-H=3Z7)%V z8ME!Uq1c#EMSz}pje|b!uN$$K5EyTBKLX9udZXX5_NAEyF$;Bitli_X@RaAfU$GNz zzrw@G#58j)1zGB(X%ZI+ljd1eqrU?BT5CXM?D^D64XAW>(9rxoL?X&3)b0D*vqo0N zhuRuU!$M9O#Vx^pSv0Q*2%C9Td-w6*h;Ngh4S+62KN!|9(md#q8E)USH@m?zFT4)N z`C#B8#jZoM6E|ly9jPW!n_n1ACLv9@^!idLiV&ghJO-Dm!QWh=$?oZ(N>TFkRr_%S zLklCm`5eRA4dsriUuL?`hD34>H1i&R7o|N%;KAcl@n*#c2iBeR*rqhG_l{aHO#Hma z7{Gb7e?PhiMhdW!t9!V8;bc=lP*&O?KWy){Z$+wOLI&J76QU*W zwcG?)CJvT<#kd^{<2|>d7Ta!^g}|y>3_>T!^6rz30~#JjFVmy;WOKk8V@^)lDWdi= zI^n}7jebTy&mx!btM~eypg}yTINJgPoNl}9e3R^u?~jw4=$%j_9a;QI&|GUb zs3rVFmS2BzF|~7?((dtyFqm}4YOQou)3?m;+=Z!+wgDaZLBQx0aCMWWf)-g-Nn|^G z)7!r%XHK*lxeK!fq5eSieh)zc*Q2Tl!d)&jnXza0?>*_zVBC$mgojkV`NwD;4;8mR zbIaRORVV1159u-Y$(k@_+Hy^glQ8B|%RoPmltNA9QQf6GNF5_5uWp2y2bWwmm^$Yo zA+z%3_}Y$x@2)UgWo@xce!e!l?=ui3!1mP3KYgFBb=Wz~0C%a zDpczQ7z;7FuuX;O=)f}!!9;U2E!zX9D20%e0cqv9@QGw~!*r3WbwPCLU-blo2{r;Y zo#hjx^=m-8+^>Vi_Yvr5=T{1q%>L@#7>z@=)1PFEr6!*S+d?ty$2-$%is@ccZej&NyQNB+{FaCpXh z7c;d4F&h|&7?Y6Ecm~5#CW+%_9kYXoLp0cdqNt0q8_-8CG+Gx^)sTV@Pmc{lGIzb% z5r{h(>6PQD;lw9vmu^Nj@fk~E3zOq|&R!`;d+EX^_FrHBta|r*?{q*+V})_14c^7_ zq6gGJnu$W!x7}#1Mhw_AU-q!X-eIER_GNzy0SQSd05#|iGz~>qF8f^&ynrJZ*T}pP zt-W!EdF1_5szu43wJqTj$i&FoWrWu+a?2poYWBL3%S&n zvWWwpUkYZY3SyvN*tC^v8o-ARBiv!}j=RU1eo~t}wn^&L*ojMS7)@Kl*o6ghI3te1;I&NDM~ywc$(uWSNW6Fmi@)<3MQRO zZ4L!t0UK1b`I^knZ#Ns{pOH{7@bVj>erwcA8U#bUaOXd=sA}?E-KAn0MD?Nc_?UHA zjTxrRIxR*E;yp4wk0Yu#nLhkB`yqE@7f*@pY&%T=$1Nh>{UuQuvE&@1YdF;W+1UfG zazwJ1Y$LYVhs7BjD*epCzM14Y)l~+{s|Uz3*C_70C2{d2F-6CAQtiH5y!1cGysGbV z?C_s;iEg$T>lAr3 zai0{aj5kXs-npe?%c-YBer+Fyof${F8$9K z@jC|RQA^RyEB^lb<1IcYg*sh9lPCA<9k5*+G*eA(L`FtVP~u=vBN_=BtC1Aii`Clj zfKM|SGdVsuSZ@_c9~rnIOA1B+dmn`H8@jG{UwIjCP<86A-u7d9xWag5a`fq`m*hv~ z28;c|v|2%qoxXfBM+&AJaRW=FRdlG6#F!ko8cIP&M;E0MCjF?rlCT(tKN6G+M1N5hz>69?^OZ+z_4l67BHGwCQnBz3iB-Z^gGwE#0vxZKW)BppmJjS=SZ7}U+*JPCII`ErY*57-+>|Yo=^5lHxkYV{G+FumJ{+$G{QMYi) z`wn%R0bIdp2%8>DKU3?!7DsX!;59N-n9=xBaMgdLGnac70p6UVl~tn9*$9lghS1f%ZOfJ|UBV9+4uL-^n0K#aw-713DH%tbar@-ovI zw%Lg*2$SIs*lfqpv>@gwQrEG*TQFI1lH}K74P9JvQWEvE&l_vp46ki@4=69&I5~@x z%G{^MuH};{$k1V_n=e&Xc(3KoFt3q^UUtro(g4TWgS%uA$&m44Fk4i}R&oW|WhGA; zYjWmoREwmx>`2xSM)3gU#h$knoPWKmoCK*HIkz|GtEFh}B_dDnviSD-<*_6^sn>E_ zaH^3wTp>3@Q-)=*8_Xm=59fv3av6(j2H3~H_H=ibertc-0Y>|6&VzpR_WY{3`flI6 z5H`R@Dv>l-GyhY^j@`EFty2O#$=yG|^FMSeTvxJufgiK}GH@47j1GRz@q70lcsArX zD^TyQq&Qo&N%cWU2p^28IWK(IiH4h(m-j9hc}!DeFxf<)uC4#_`n5$4bgE{f$9Qw^ zJZZ1=JTmiLI=(T_V5D^MZ(a*^Pr^<-{Vp1bGS7;C)6&I ztyg`+`KNF(r29nkut;7(ZpG#;e8j{8W$Qd?YNDKHiO>5#fjN5b-Mb@!QmziMH#B`_ z5T&nMw@!MT(i9UOf{~0Pcx{Vm%eL+O(x2ISAO2raPfzbd=sJnvqt6})ZYJ=uB3H9- z+O%oY(=)X^8twHQ#W2Nflm4}9*G`SK?oMR-%CSI@>?I_ctv9}}i=0|m&l*A-zGSd} z6_k}7=-)8#d;oU85l~Do(-c2-hPjQJp3>1Z+@&F}B_S+k26*JnSpP?3z#K*s1;o@Iemv&^2#{slomLmUaln|7_*sD~q{iM$Y}-Pe0E^4Mw@R z2ktSxzFF-23#uz-Si`}VyaO}6?_=AaQ2pW-Bz{gQK%7}KNa@w@<6eJ`FxR2~HjG~j z=t+ho_oe|=LUNT5@ofOCb({BOR;5~}RdxphjD_?`3BXwliHV6x_wPT936H6PT#nG# z8ui4J-Qv$uL129cu*K2}k1@d=`uWd5fgvXStPAmQrwfFPGJmk?a$WZO7?=GE^MQnn zdxT4PXL%}J^2V{ZHdVM_PgyFicO@lgMs-8J(Wz69AenUH_U+qW!1-LyhpQ=)r3%@- z^F(^P#ziW0=Vy|VW%^$R6R95oDE?@-@MBJOY;`2@*Tir zf5qj+8hDtvXAa+vHehi`&HUW_6|6a97!mp^^c4u{lU^H?L&Vkg2nt5Q4BF>8r4@5( z;`%zolh~!Ye%Y@4{TG-AB;*tn#sK^ADqx(}@8Yf)T`JRkL5Tl;zC4ZzFQ0|vg7MI& z=g}m#YH{kq>(ZQZiv`FR)49k`*Pw&LnkQx%x{vH$3W=Cy1sSvGR8 z+-+zGDrD(MZDNM@U2BWCf zIVT9ex5)-Y?uawU`bbgxa$wYI8j!Yjg0#C`8>bQ6BCjv>9@q{B=S84VD92)yS?LNuKf9dm_9!}=RV8Y#ZmhWHS*Hzebl~=(OW_W7R>Vp0{ZEUOnbJ8p`>3XiU z@gCyD4dphFo!IaA{fh#c9BTF$Hy-X~4I*vN*$)D-TB*?yv9)UoV3_#iNh4F~>oQmD zd+UTRt`TOe>Tk>7cNlO4;q#*>F6lH3Lp|W-jU}Y$EDPo$T1%N+Bhkjpk)NweMBu zOtuEXzaMa>upP262gVQXo!h}a3IMffy)HO!?fl2Po6MICCMjoR`BYa^cIuZeU*5n# zurs+Ksw!J?0w?wj6P98KyZ}7bk8<my%f}H#`47T_VzW!SXRdzWl!lxUjO2I(AGMX>s zy$W~TO)CTtJq^yiR=~c}ndA)I8h_24XG-qumdhd{DQ?zN&;nz+0rO55|x5l$X?*^g7u1HMA?R)#$qu~EQ4lI3-s%^;^W<9za)8ou;1$I8x z$xNJvbaen^XbVRTcIiFiMoc%{S#cP|DTHUGkU-1^Bx&CZ3^HWgc1pT-;adA5)i7<~ z-R%qv4BX>7b~cRJaj->KC@UG-xBMg`U)n>eODO*tO(ubtcqo7YD`ubX*gXES$2mya zJ?Hf4(|fHd21o+<_3z)}JUE#xa<1hhNWkr*z4e(N={r}m)o8ci_|4D+W^k^HV`O0K z@0iy_zlG|9FF9ez$cIqHPGqZP1Ncz~1TO+$8XQUsMZxfFkA88g55q$12QbgAGdr0o z+q{%#MqWz3ef#!ySlFO#3|yiD$cJr11GCaIfPVHJK)&C?>f91(7@WG(1|7sRE$VkU z!w?7eSM^WSc4|F6eJv$Z?bwyI?9wwMHMau-I&FP`l}aHoaJVNU%?GypMI@L6yTuYH z$ydk@>{D8SsR;WSB4_GV)XW;}8wM~3pLSkpncoh~2)UW3fwp!~ynaE8>3Xo$??4da z5KQhpNo587a*qrh9enEGfL`|5-ns*d(f_@(K1|zIVHWS4?AT7P`f;;>foHsSdP$5D z9837+ZD2l}fatG+7)qOd z{fY13HkLwUtk>2Vmu{H3#r~H;W;NY(vi-eEeL7gC{kCyXQc(K6qqGA8hYmF)RjMVB zc_|vy7A5d%y!tWuMQ?o)Gyloz>=Ega4jraff&TugBmE%d-)iW)Z_63S>4Ph@zL_pC z&g2U3LM?!pZFHRdg*d6*aRJKN7v~H}c4fp&cdnT{SjkLxz1Lj$bb_g*iD<>yEqo*^ z0MDpRH0r>@31Ud%$&=HPQMVE#*XdrXqO58Mp!NHMW}H0v@zDVatsJ}9CP32lu>4E4 z>p{aHAs2zyg_&OcZNkE8B4L)c4uKiS7<9z@x8eDVXfRq7;658m5jC+b?C~!@c~Ns% za}`b|N{A-TxqRrmKC-@#nHAiG-~$hf>0W9}dmQJ`-{=suyL<;PuaGPmDGh6%#)-!- z)tNSHgv*i?jFEAtDV=qGbjPy@k$54N$QTs1bicXbC*Mti$l5u2CCzgXqJX#ZT*oJM zJ4JA+%+;!;5YhEnYtkq-@QnThRPSsDfGTF*)CJDQ5^{6v>v`} zS6-i>!v)mhCmzYavAWX~isYl~vw@6WMJtE!fUM5Av}YDTAm1h%7W>+}4z`2CBa%OU ziEX;Db87M_e=265d&8}Ph}tJ=rMF&!qKt%rzE7QpO~QIdUK-{UJfE{e4fG)jHKqRW z+ET4D_mcaFCy2OMX%{$R@!)=)BfB_q)XJSB>gRW4Jqw{Wee`oIV9<{BT7zgi5-g$w zu05TdK&W-r7CG+;FP61Cc|hHBpR1&MNKCK2p{5pwL#L4?Ls($nV5e9cNa{T>nY|&) zCZbyy;u{bax_<~1mvOL(`}o87eSuIJ@O>v4Z;K|bv*O8P)sI^vw!0fJBEw{$M0Gdkkcjp7>=v} z&`E<(di+?v+Yg){AjJ#F_Oy6RSr*t5<#ioWaR5%r-uKHeP)!pE`SiY`k3^>N9t3ym zoEnaTb8vR_2+^+5C=Ap2z_74$E@cq?xM6P>R~$bWYAY;c1E_=5nde$@6$bDUJqHfD zgz@QQTwVJY!{99BGk77|kT&y~D-I{$e5Z1wXEO+xj3d8H?@z6S#Pr{{{$VU)KEO%E zBp{8|1>8(sOCRy(#p(@bS-|&s* zwV3jZUB7OpPW^-r8`GXx*Mm&dX7)~B-N3~{;e)lCFAngVW1?zlxpAsVRUVNsF^5e| zqTd5UB?TH(=qFbmNQZidji&*F*u%0{&u44~2$4D~={y7>+oKGi%UxW^v9Y0!Ho;M0AJj_R~QrK!K01Qlr$)-VWYA@Y2`@p zH;}p1{t=COJUunmFaIG#?NA8AW%K81K$W~#hWE}l)_d`n)mtjt?0jM zvAmpW!R;ez-&A8-I%QV%$jh#~`ha%E*&PV4cK_>S^P25HU;yz}e*Ox(&D!rporVk| z^wnR32YBPrhxmBjn&S|Fxc_MCOy#2(%n)$N`Jqqyv_SZo*_{3+$C8?wdV~E9#_(o% zg*4x4`?HPT`p0+UcH=#h#`bnymHTerxf2DqcqN{wH+)5AdLMEZ!2}V`J2KA3z^lm) z<9(_2k|CM<>X*z_^!WPIomA8V>1jRddtg1*E9uS-f`H6**aIVXY!P=K@4HGcD*cDK#ouk#t=F+uA?847yn?Vaa=Bse==qwMp z;qDDKPAOQRzMlKttNa_nD|#W3t5cPC4f7fdGT#7}!2W|>_BmIoQj887xdH5=K+q&K zY;3zi!*)MJ!jWlQ)b@yi!YwFcI@I5oez^DOCuyJv%sUA3F$x}ki&?@FEA;H&e&o<% z*E|$nlW*~<4VBbZT};K05_l;a&r~=vsXpd2!y249^Ta$Ww1#!&^Tq;ysEt#A=_{>o zz(8@|Rgc@pr4iV+ zeWjv5Gz?KG=HBLT)=5GfWNu%QQNLfaZ!kF@OGH`%u386_zKZ1C&}!vUe#i~Jh^XGN z(+tz5F$E6A>(Ud7ie%rGmE8-MyP}<+R$4BVS5c)}42A(JJbtKG@=MEe$YQqKBv?hJ zF51Sck!I9Z>z?;JIL)j;I3x}_LG>D=rP)zUSy|ai2QqF-Mfwq9WdE8y4!^6dy4Pdc z$r;kBU(oz)04#oUm6p?OQUXSNzkTy2dGjSLOgO_27$Z!&%%bJ|22~D3vtmcXF0iC}%cw)5qr2nb%~gcS{v5G%LuZjOOBzs@=H)MxiKoA@7?I9S^`tdnJY@s1dF$jr~tw(8EDS+ zkp~N7&V@2Fh-xsb8&|lq{q2VoBV(|gUr}7OyV3eKoL|oc617nfWXj;L@h<|o@&*d@ z7^_p0MEhFua#LbX37dQj`Me*w#C{Ny^KE}?+OTch_URZ3 z0uNwdfl;Ovq4EYH41o<&U^nhGb`SmNDQkIDZU-0SJa%d%-kqLy(+M$e%1WW!wC4p` z8%&nht`ZIwvAq4|%jqzYv^N6~`$M+zgAB_Js*m?w(w^AsIB*i`Z#6D5Y&;`?^FDRP z&;X24P5LAIjM?-uoexu9riSa-@m*)|K$y>j&79{XEPh4v|ARfY`1f` z|9~7Ni};E2=g+$}Is+!PuW3 zKAP%(temnaVDp$T)H{E=SK;$kIEX@Runa?2^=Vh(;6-s$g2DLYps*hZ$U7_&4sRfG z@TD?b?!Z19rZ;tI=Er|Ptpg7T;pr?qQdPLB2>tZ`r2 zS5Wb+^4DK~$%Y-#UVNn$LiW)i91e$}W|cJS+lEp|uf(4u#=21JzOEfT(Ja?h8Gfl_ z@_h0Zl+*kb3Kbc!o@q)58=nz=tlH@S$<|k_MO6_8ed@}jToF{xp1MAw{!QJ7Z>*)> z+$AW~tbOHi>l?tPlpmX}lvu?|1ISB3B1}EqNG-S{aF)oeAid! z{`Ix!v7;kZ&MU9{bvRdz2$Cmxu}|LHfvGBD;S-4)c>M6u$z|Lu=hGT2xFSuSs@-w}~N zb^+hc{KtP&4Fc!uezeH=V!UF7H280QWS2$yuku>B&Y$P`@2&d9ox*!NHMVkc%6)wo zc>HUz2TXQ4jWXZhKJOW%U|CI`!5d&NQ1pR$shw%XLc19R;qCYD-(T&b2>EphdlT$| zx6a+MfBde6DZuxBZ?pa+sD)bsN5F|LX3p=1!v)4yD-xDC>~o8`DvSk5_Jb-mIa#32 z`>oT$^(!b0`vB6hqF zxqE|T@+{gL>gMgY;uJ7hB;bBq`&6?oy?6-~s){4`+fUGO-{NbN@eVz9{@rcK4LvcE>-t&?^ucGRqm@%uQ3<$v_wK)O zOduS87Vhxl3Jd=o+Ff?r6Uf7^tvT4aa<}Kt-w(xnRu#^70in=q8}Z@Pm4pkz@xmwi zo2lgwR=F<&G2GWn>on!cD}SwS{Y}_`SE~wVMRhot+oMtTD@8X5M^Gkl-6qMa+*c0W zxAOEJ`juDyqNb}mkuq6TI7e{+A;k*V(&4}QZ`f=Fk^ZZX96IoVZ$jBzuB;%v|MtgK z^~Jwh;VRs>>Sd4+0WM#X5czMv$>RM~d63*D7Zm-?eE+?JS^C=SPm$d2`w{NAlGyg& z!$8JtdQwMIlTpnG_Xm5-vmiziMU?+Bm+Zd}+;>ZuZDj3=UB^CV0A2scWwJ{Wzkqs? z0=nKt@(1Ng>ty~$kF-J8KMs`^$LmO9_Jgixyj3F`vvfC*{JN@)#Ep384L$tgW(|^S zluHHB;;DgmU9|&>x$MfB^L~rzB?rfT8}0U&hVXNL>~ zQ7<`}!cc&x3V$f&s*ZZi8?tRTt(Y>@xJ@q(-bkD!UKO{q7)W?f<1<;>J!=7n^xJ<)sA?63q0=?Lb;If zXix2JsKtZAJ8R0Qa4#sy@J~w+7qjb9ty*_e^7~a@ zy=R(G&$~{CeqGl?h%P0aaE;#=!L*f$|4QID+`dbvK{em@_g~LX=}Y(LzFn0dsLSGX zEYWKBYsy|%C#b8zvisEK3Udg6--i{IHaoVm^nJ(4h+4GTLi?1@R$*abGv?>YAJWY4 zY~|vbdZ?a$MolZzO7~q-NU9!dU-zd@IAzQi#E99paut7bI;)T-T!GD6pXJaW6t9^g z3v+q7wYM_%gdfoD?(V)F7`OwCW4eWDpA-a=`6Dwp zXHM0Xa=LqWu>lbn@S)04(tkz{n80;r-|8W7)d2-1l&)++B9=VRQgUAsng$aa$LQ-} z7^6HnIr&ymQ4J=Mvt3UT%nGi4E*mQU1eF5DMVHq-wQiHuN;A6^86E9CzLr3n6PNrY zc1ISkv(W$^O5A^ajYZR~J#^Tq=S+@Hov}joS;ODIN1kiVf9g7J6}In4sH7Im{9>st zd>2YFxVD&<<1zmZCJ6Mr3l^=2NopgPpxb4JD5Wtm5Hf*q`C{dc?I?2?i_o3d25K5(S zgV4_7x_GhlL-29=Um-EfR%CR(O_gh%CtLP95+IJyP1|t-lD29L7ELZHRKvl7rhXOd z)z#IfAp`<-)oMIl^n44o0QHwp&J>E@iJy5uDFq64)Q=~VYx4Hz5!4freUq&#l_UOg z9_SE932K8^&dut^QdNVPCw?8|t5ZZGd`TCnbQ!OFJ^)J4DbW1YFNYU%dfmxf2c@S^ zpPoi}S(X}u=TL_BrkF}4YLICUk69bGT4?L`UNYYZC_bu!DzoNGAvQzKyD+%HWwR( zseB*IIi6G)=1D$@xmnKXiFpY1V2qtKYl?pt`Tx=Z><^I|+$(Ipn(NOk1xEGZiXe%3 z1zPAx2+68Dp;E4sUgQu8RD+f*emQT-Tfpugw&y~I7plb=51az>8OpV)#O;&673laT zT&;act%a%`Ie`~3eWM2>(Zk1HzLRdQY`0*2gB6Z@zt-hak?$(zQ*>R>(}z%8ie^D5 z;m(36+Qsac>9`G7necGX-Z=eL-mh}w`_t3YkEpvnZs!-4^q1NwLvdFh2?Q(?9xLU& zZb&ulK+(+Bn!I?(11W$O(cRM1@*V~56RFabG+1^-_-OL;b2?d}(9Gq1puPpztBL=R zP18EyqboT7ft@yV_(t5|RyY>y?w_Q#ynQrq13-3vB?+}L-> z@=ac5Ee{etEJS%!|7wTW61v%&U-ml~(Qca{-b0c3?x$<{Hzkf~LXg~U7rT((ydDF= zX4b`1S=<7$U}VjSC^D@Uc2QJ={W;e0)nxv7*-u?29qXw*OwA(xrG2-3&xyD1-ra?i ziT9T@m(eo{hYt_$;Hg=Ag^GDQ)RNsneb@$5#PpNHs?Ro6b86YyXl*#>G9HT9#u%s0 zy-awwt^>QVW>J*i&4-PNI>*|>qSa2VHq=@lP|M`FZyy%W!W5xEzD>}7WW??*)H9dd z+bO|{%Md>G;_9PbNC)f$C>(5;Px7;ZB(C|gOqSMS9!)5Ab6-3by!I_rK*028e_BrYi6+}m zh1fl|Uyo$N#M0vG0I1PaIDh`BzRt(Ya{(fjjl|C&1Nu{v{+xG53KXkI`qH+NlLXMp z9I#ve=X;YWfKlo{QS#*!Fh{Z8eF86(umxFGa*dAb`r6}Qqy6}Fi6#;xgx^}TF-c!m zsYcCW87Kw?BcTMUg|9cBtxpW;$~gz6|C^f+>PHGgnmo*6Efv$&Nr|}{CY>smJ-r=O z;n080$XQc|Vch?CZ`^EFClB0NtQm9-f{L`Ltwl&^=x`Dg-3z-~8ly-wL7nll1 zFAe!`DBpbx=@gd1DSEbO$^|FA|;~|vPV{A?>$1x!- z=llGA&+$Kw|8sO4_g%($o#*HLeBR^re!X9BA)uN&C^8h;%6F5YKA#y=;7efBST`=59C z%LZoIjgxWvIQs?woUf3PyAD2excjGVZX^4_^))694x&MSepVxf&i>26=!kdlGd96z z1R9fy;J!a@QS2-n2k(FPGVaeuD31&f0=@ud{!tT{KFt23BME7e0y44F{LAnwEAt~> z;jb>v>4K32E`JkC19qWRb_62sD)d6rF<~MXYe*yNOr zlfc+~#(47N$r@oypN>!lmB8E0B(OE9L%|z`%R<~HJ#EYAHef3auK~0C1CY+XJR}j} zT#b56?rZqTAjh=-*`O+P>#!LL(%=QGzCL>Hj>lQuS#eS7)oy1f`=MvX4L|Kz-~A-% z!fmQ&oMe$vH9hqtO~es;E}@_0v*m+U3M~+A(Lmx^C<9)aCNmp`ri`dTL1@Wn=69%1 zQqFj`PAK?z=ImIQn3I!J^LW1hyAn}gvg^e?iL!*j%8W<=4$3#R5EG67aqg1iQHK}F z@{z3}OQxkt{5pSc#NX?OGl1fapRk#d>d7%%^1QqrUP>JqYZE7)37T3~m zeA}zjcxm%>K)|g`*|9vuaOOojXdm$^?z0_4XJaZK^bdZw?0?ycHPVW6QpBC!4hEIIGZYlQ?Q~knB5Qi1Hzd|-$4d*d9tqAY&-b)>j2A@8mHZ)F#U_A z{L4;8%phQ-i~WCNq$oB5K*$GKlA4=1W|@SA)uG?=tH7PQcQ*_7kcA1^D?mtl9LoL+ zr#v$5waS%3v!4`%pH z&l=$T_pEdhA4suZT!Yqm4yg5?A!y_>QW9lFnjZQi zz8|bI@V8f>{BM%-aW9Y%ipL1w11_TTXc$k>iq6_OO2UT@nOTn~*gro?_Yl-SD)xO{ zAg<4Bji2!x4-1?rLzs3ACt^p(HX1>%;8c%KNJvN&g#w;Y)5qeFo2tO9dfBPzte&2c zLEFzuGfd1Q;^YnaBpB*{8@6>2qe4v^6@3@<0iLr?n)#qg@BbD42->W1M(7cX&`K|0PTa&hnRLXc~^@8&~@n0 zYjf36)7_^E&rQa=H?4o=Ejf$ZGexe02TSp(5}}6hnD&izo#5wJcEa`!BB&T!3hW-r zM3HbRg}03NWeC3r*|z9ie8`t&*EVzh_Dys9g)#YI%a;KG6y^*_V+SyWu84^}u+RX~ zgyPU6fn?ymQC^4y?&GL*P{tPi$>5LV^)cOd*tRnucHATj!QceB-2}?R8!rb5AAxY; zTsFk@H1V>-U}Iubb4;`8>OPmbuHFs=Ug1>*1%>9t{O~#GU#bCLbiZeT*!_T21~_va zj*FdMMI;5Clia}1=9<|=2scy#-B*8bK5LdB`03HORC#kf4I0;9gEC+#g*+Zrfw$`D49E>;(cYk}djXaO z1`v~7u9a?<{oS%ep^zHUgR4=V{}M>LJb{ErhteQs6NE2b1knO7AIEJN`T|pOmW1X-r*ho0H`XQ`c(8IOSV9=ofy&%>kEnOqo)ebn%TpAu^-b|3C*qMSWqD|$` zSjRyV1MNrWkz&5EN~XSc;rn=qqO*fjdn{A?T|Pd^*G$tY!!>{pn$|^VMjVCT9vmbC z&=TVe%@l7yvFQRvYJsw8kZASDM5N<|e6u$tyQl7Zixts#GLfD__Ct+y(;peNCJtBa2e}~ti$8rA&bTebtnE};{W;t2x;RcodO90n zm{4}8fb|O?o_#3USmfY0|KxHXL=e*MQn8#>{j&h`7RlmUjP?;Z_ta(4_Mwxz(Boj2@ol_hqE^`_lUN#@yTgA#0;6_XH$=T_y=cECWC;MOR)2F zUFx#1wR1Ey8;NkBC!p;H&{f&Dw^B^IFA*`fWvwC%(PYO-I(PRBM4zx~!$Z$Z5At*o ztjYkdJO%p64Pe7Rm=Szm?vN)iAy6bjQAyGm)&>D23!pHv&2%sIG$k>Qk~EBu=!>#6 zZJfU zG^#qiIY#$x=2p-&>%=l>n3Ho(UEib?hBh&fvx_z8v?@`?gmLT70fC>Zp;=45pQMf9 zQexWV#%*2QlZIQ!w}u0Ard= z{mjfT`k9AY2+nh8j57UFzh8JO*L7V!sO8l=pjJS{a>olhSe#^m717fzbIsSxyz}u; z^I{+Bv2t!?JJmYiY!@pCxjpJF$BL`+ttT&5^yAWk(t#gz3-pYVsXy*O2cS5HdUDLd z^?f6i`GSg3dQi5glCpf7a&yv4$dPhY>_n0CoVW>4<+Y|B?h&}HU9<*4Pt}IfT)?q$ z&lIc1NYnm&|2_E}F++Cwdce464F5hgwfFTji5>4DV;`Bu_KnHn!0xa%;8q$HlCd?_ z7I00;g}?gDQP+|k?l{9g%)i#`<3#q2ziD(65t*84G zp5QU=2u`Jv{Cg83@8^-3RuN!mPL?L z8M+#P{bzryebYR_?SViJ2yLe=hB%mhIv(L`F2*ZM(YXUY_M8den{RpMhks-Z$;bxN zSv_|gy3~9*XLaCuEwR_AYxgR2J_iZmn>w;JmR$NxC=iG+$g7SRdIZWZO9qwzL0>kH zY?qgl)0%px?-7ED)`=?b}Gej~-!_;t$mY*NAQ*O?L;yZmm_y z=g%Y?&09~jrAZ8e~$#d25iCi2$=BB&QDWY`WqSSo_GNKzq7llkndD zmo5ApbPaJ>@-p0cL@x)#(BDAQMV(%l#IvK?dp1rSKfY(Pay|U~f}1YYl2YM2Ib2@u zPuE3NZ8X+m8Z&|nw4yNwKa`ywYyF5`)Sx1JTVm9atUhUJhEDKnC?j$Dw!7Cm!~>Oy zR8`-dmniucI>8^A=r6E~>(PTvpI;#T$VkGeqEAsX2iOLs+c{BecjFi6v?@-Fy$xbC zo_D!!)E6w*UF+%re8cNg5hPn!Wqd-Gw%elYrL}c+3wer!smQr}bXb5tv*{K#s`3FK z{3(~*c>Aqh8d$o5Pmj1NzL!-oNk5TQ(|J8h-ggPSDmElMEbNT3va$kjP+X=-<;H4t zj%dAp@!~dFoWZB~m*RwS;ZCat(fW1RvB8p(LI6b--l=f6eoZ1c^H5!{owoqTO{ED_ zcfRohtUEfWWtS{F1JMoX$@gxSJFN(ivAAbSi%oHn+@isc+jMhO3PZ3BvtZfJ)D$o|7UtOv0v`4{^x6nOB5KnKns-?g-)Jh_22) za#H2KkA^-{5Fv(~f~Ka7@ikREvi$Do30t~ccEf`;ulhDAIha=yRl5 z8Dtn*vbzVMKYz+nl%2e#yo}(;X}*caVa6R4?6*~*8w?Q$h@FNCh~e26AIO)g*Hb~*=!i^wrTun!-FvncZ>LAU%UVj6lULH} zBG!?MhBC{NbMnG#2c&JkeCW1Fzg&s_P% zAemt)QXKRWW}OuekH*=J&*$X5@PON>!=qTK2D^`Z#$eHrRS6Y)b8n7u_c4IsF)MY! zc+N-#k9@va;*&2B^y%nrC6ERcVs-~EJs zar3Nxs*700gA=@wE(7Sa(`` z{pq$D?m@+NDU=YRDDYIPX;stWdA*(6T!77PKx#7Q?!AT-^vHTDw6sPUWk3dVe*JEQ ztM%$_)>)>m;*UVt@uDEV2I4ZK0z_<(qXdtm>pC7UR;p21=~)N5y&ccXm*|L=An7dW zs}t+*D{Nv8>ZpD9)fh_h=O8soP)dt3k`<%~s(0r6j+$1Pj!|+mng|!tAtIzkRJV z%!PbVAEzEzI$o*e)4e&p1>Z#V44xyKXNlsCp;B=2u>D>sdl?9oF)Mmry_=XakTfw$!hyE? zf-yvm=M{;_a_Isdr!I#mNQk^j!w(4zJo=5oyL-ctiEpPEilA2zkO z1Ql9hHy3pPQduyrBbGrkug8zpc`GS#@b*fy6qN&FTKr{pLo2XXo(SXjO5 z7IIIdM`#I|CcPbTqOLtW!4zmGm=f{BAxB$`e^kVATb(Ka?e8cZj7ah3`YfUqvc5XC zT=heX4S7@xgbb=CBlvJz34?_~%S-i{_3gwTu7TdXBe!1kn=Yf(&z^-FC4}>Taj9ma z!n^x<&wif;;h}>MY!Ic5zDdx}e&O$b5~_0?tHtekWlO|UOB_up;_s#r-Ho{s^d3V& z@{ZcY$}3<&UO`=Gc}|}pX6DF5u?%!kl+%6F%NFI-F5lCfqJ@2_XlcL`2Eqfi>33`2 zfU@0*d9#cCqgaqe@zS#gNsg%S-Lp(h#ew)b6Qf#?Hi&fUJ3E3<#Ig1E%k?6otLuOV zNkTnGEI*~Y&^_IUOhGUAsH*}Qup7zJ@{atz57N#cy-KSG&WrqM9Fg z*5u>zy5n+l&u<>|CD(#J(FSYLg>ulQ>|u#Ts#NlVuH~b3Pxp%NFK3G2d^66P-<1@Y z2=U!zc|GW15X*nkO=Iv-(00ZT>boV`^#o_mpF4L4^dJ-f@2p0jr4AOcMEa_s{t=WN z03j+u#{kL?Uokz!*5*dtN0?!Q-y6ra@g5$q{2QipNFea!fKlJqaLbIQY@~xO8KVej z*J#vMF~1QrRV;TE6XH%xYnY_&NSqkJKr3=z31ZIi3}@|jW?5A#rMHvKRJmhlgl4zU zBS!*00)-V!OVx1NQt$u)Fual009xy@rm}r(NM-UkBosv_oA&DtD3-mpF}ssJ8q1;E z`l$%ij(SY0W`+7QnnqQ7JBxVxOZWh$o4YCGo4Q=-8Wstes+a?`A+Ay`(hQB6rMjx% zT?fcg6+k_*sd*PsQJbm2F%fT!HfH25V}GFMLFh-pCHSKs1zDD~0-Hbus*BAPF3!HXs zzyO3AC_~OWTabRE-><*Z>1GSo5$hUO?m{hoB=2_>(yz6)L2?pn`H1YnG|i`Q38s&OJ1d zTeY2``W3RL-lMQ9Sz_wWgo-&+{`@Nl#H6Z^C58zYAJD{?zcviKM zhmfxhLNYDTLcwG2X z{24q##sh8U5yD~_5e;%0G`dDE$EwsQoI9p9CchT`!z7rV8Kv42B?ct0_`s6_zc@ARG5zRUMjo^e_Q<7+8rk-LK#Y7(;_jy)tRFR}?#M{@e)K?!)}^5WpzIhBXJqsW_uM{_#Yk-_Wkol0 z8-b+<1QyEO>u(;XpQQCP>I*xoUgFfhk2d7oUCCxn2te6t12_UeF{)t9Q5VMrh(P`z zij=q5p=z?O(EKSwf*#$b*T@9TYlzAXRGZKBa8AlXvFq8VGDxA72C5B(RUbA&`QdJ& zv#ZQGLKDJFW>Tri5nz``ea}SnMZKZD-0z3LDAiZ}(Gjs2N;!Q!=W)QU=RrrT7XE)p zxZHYA50lkuom--;E>7f-VQ*4sdY3Be$GIjRTwQ>S=GFf2u>a>WD+-4|*wqi}n&KMw z=Ps29>H-rMU|BZDLvZwD9(GU3JciUJ<1Ze{+z?_ zkBl*Ddtn7b<#0)uhE50#d^gS>fJ2NNQ`KgJf3lT|d67Uq4JjLR%=!ZXMGCYZjFCdl z8t5=R87Kivt_oA9!4QK91;LdYkh;%{C{A`OI?`neOj*BZP0dwOKog0g2=IWI^j9=I2cdIv?qnP7io~CuLzpETd!?_TNglJEf6-f6d}#M5U(6o;Pk> z2vr4PkVai{g`8FaVEI&|kiWahmkb2|u?36txb~6-9Vs1WI5jYJSI9DqNp9#y>fWu^*Uyr2L`fG zzVVTjHK2G2HWq~ti7|(`mfVg6evyA zJzQcOM6PMt+g2FTNa%8s0WqPFUxQf+>^il-f(pUrbaW^@? z0Qb-zs=x;6o=-G%}nR$Ki>4Hl!8wA`v`;n*!i%xf24<8vr0Csj;ryi`t&=q)11 z$g-X#Eki(qWE@BO{LOYq!CXjOx{oeAkkb?z$xG-SP5DRqq&fH*(0WkXy9cr(^3+7H z`_k`vs^yxOb?m)4s)YFjWmOZ0;%>`y%TXDo<;H34BXas3rqHUBR>BD=65>V*Vw}!o za^zRX4F%cuTL;`oRIKM<>%fXZroE+W|Frl@gJte|AOG3&jveCm+OJqbuUZx}& zxOu2c!n$}bPf%W_)K?=jTb;NliHcMf-GZkSAZyO?d211>jM9x5P2nOqV{HsNJujiJ zWcis1r1~w;yb>-ZER2lEIYMNCP{FQ{t3BI+KT9eC#qoYTvh*t2p7S55a|*hm1bB)0ln*t&f@0;$Z9>a z=_k@40<%XbV?p~f$?1J2NB&Z_pWWD;>faxze)BcQOv=|f#{J@fdaw1K%cD?K7e5{A zscDK3vy>-2QXn59w;AQ}?$f0r1_nMgQh8(j)C>4HcfFt0A7^-k!rgyI=5)f`W|I1; zD<`-^-U^JiQ4XvNE#7$119Ni*vSclmmy}D;nh11g0ooE6YNbHKbfOxJJ|GAg8C)K?7e)5(8IBv*Pz7U%p1L3dnCBBsdOQJ9=Ul1 zMN(nDC5v*;Y^<9W&Qj< zN)K80tJ-#HOGrq(!E&DBOm?Im(^h5;cV@w)-y3(q$9|oZ>}a^QmXq8IXB?9#+W^Ql&`HC&R$cDHSzqWcq9R zB(IwRvCX83w0NF`T?G;Edt`!ES8GX;bH1}x^CgPPlff_~Z7NlK2@U0|#^RRTRf5ZF z$gH+506g9AYwSG~uM0z$+J&%HGCRDzKi}uyUvWJ~U}a-dh0GgOA?<`YHPnbaT6*Q} zmA;_h;EYSU26MwTy~vmrKA3s3Ds9BnMroLX&@1KL#w<%hlEc+rbGW!bO~OkGttjpGKS60!SJ zI`p;V9?6A7wyr9gC3?i7Yu~Q8Izy>ZWtxieBVItldC&}0$>#h9ddrqR#W6+#MW#93 zy1|Sk8Z_IJHUFMp^G@?P&BK2e-OnPGMz12nH-m&xm}Pr)I%RiE&t|QMK)bvZ%$G zYYX{}K&p7%9%crom`-$dR^+wAir@{P_dkKMte_K&bz1rcQAvL~Hb~Vtt?x!hFNzg3p=%`29FD@l~WX_syG8`GLn=mf!U`GDfoH{HL{F4_Cy4Jr1l*F`t9I{@zCaoLnQRibCgPj!Mk_ znC^y(*}p(;6;KsOUj2rltPFp7ar8WR?PWM7G!V_sruBwzEX3J?j_crPWCan6w5t&^ zKeH}~dvey@VeRxj@(`vbCk{OG2vYXEWMx?epG_ix~=zdY-z=f-fL*SFTUaf8<+*H z+Q!Vv2zH#Lzf&WRJir@~nu98Ad*b@wpdgt8X=-$*E(i|jE?7oj# z8c;-O%YIeZ&mvuv53|@l!$imN=CVvqcJ_Q2;J14(8vUkHZ=6aL23I-K1d~6Bt#sT= zYbBRr;$Qv%i72XX70I_&snfH2)?b_NKQ+wKMYfWpc0=M>tCG3r2 z`i6>3FiNo##N~#kV z4-fJ9qoRJZ<$5!x!{cT0tl^tbu&&2F4Ab}OLmFB;XJ17QbY5jv&o$TP*&y4OGtbk6 zPQRBDfUzNYQ}S4ii0i_sF-~<^#B=r*5c5QE(k{cuG-hNBv<7`tn&mcDav|Z{H$WN5cP?zqoIOp*cWXXM9MuACT-Zqug)XhGY&)go~&aA zH>Y)M!A&fK@?&5>s|vr8Y5b6WKT#Z376?TSJ%{yl~RZIGq2N?0K+7~oh`n4?u_rFj~!4UPEL?o`L> z0lG}T8SOVlT7Z5eW_9)o2WGZbQJc|}z#MD`wYc5Zu_=R*XyJXvWe@PWE4-b6JGH3q z5aIX~y%=3GI0RMrR!`3Nt0K+o~H7POCAPO+11Y*QuFwsWc{*^0

$yYUaN^Ddv8n$y`TOU3mP&$Y zIkR``7R2jet&-;9y%@T3#$}mJ@PJrJ1Shdi7vK!A{%6#H3_e2s@QA2QyiD zTEsdBvR%m!FWwDV{nno1#BJmlQE6;2*Mvu*Mmo4$#p^I#f%5cexnRJkr1I?*`M0FP z7&jDhWQGHEyeXTG(em2P^wlk-*Pc;*$5F(NQn8OyAYqYCaa-UHOs&G~E_O>Nu;ohAP8l7X z0FMypmMjyDGJYM5SW~{A%PhE3XUObXYBP*%m*ePnzq?Q zpodrKfh}8xUaq_zi*b=_1gB_(9X^>iEqse7s|uKT6@#jLm4jaDW>&bO<4m%j72{y< z@U7!751keV`>~PH?bg@)#UnDEnjdsDaoom39*&C>bVv^?EsAJ6lW-ZDnfX{XP8AHU zoBA*!(~`Rj>Fqwb<`E0y=gT5f3aVlBYyYfp2pLV#%t7deO{}(WUGGtw-CS5?$l9P; zB{(=>5Eq*MJ+^rCp6r=*jjNbYWbki$UrW(D7!S^`Co6b}jY8YrHjl!wQU==2%uJ(3bSp5xzx+TybWMPD@nALdJ{<)DANT zLD!mwImBG74`SHFMJBgUG-$|9Sszp(aCC(8n$A#Rl$;manD+IMT$ko9b;ITB;j1^+ zdP~+-jq}H2i_YLrjE#9n(AghXz0#?wGz{{>#aD(bfzjy{zRm{w^d<#_mvMOVNRs$k zx_oJy3s5*JJp0k$LYoW4;4VQw1(f#01QL)8;*BpBOk~5L^}C(g4(y9r-_Ei36e3O; zvVo=El-I9%uM#e|OlFt31;=E5I*0c#)-4vvMQ?q-;wjlW8>>d%K!g#n5ZW@KB47eW z&fjv_8g_oe--Rj%{@8XJ<#%z<3^=`flRq&E^@gO5flhy1!t3!}F!$;4Vl7L*CI3`v zg(BhRq$!uZ8f56tCwd?DBTfU4HC8M0W{y<~o7)8>_$(4xPQVVxBuX)>&+l19)FZTGVXL) z6C>6D37^&tFmcTvzy`lqj!FsOvG4c!c9ei+WoHrm~4`_q8_y669L)I0pe zFRc{pDmmhaN*LW%j%uh(eTV7|r#Iv(ArFdFR8-O{&z+JsZD)5o9((CL5fM?hk3!cc zc?sIA9;bD;wsOr#@LFK-W7 zZ0L1I=1?nz6whvjUL-Q3aTts27Ry^&TCxK~C*u;Y!O+c#>&~iYV5OI#m-;=D%1$Mw zurCh7MC~UqL-s_*wQ3=-{>tBw2E(pN?L2oGe+ASK1msHbyiz?q(S|2b*L+8C?6yn+Acw*Y*4+hChO% z(ocA8x$U_HDOB7upWAb>4l%Lj<$#yGYoxgMc6VGp)|`IVc^DPJx3N4t43=Kx_2a&nJT&N*n^Inkm`b5E&I+6fN37rtDt9 z7#Z(*djUcU?z;4|hyG6gf4ajRcJx0HvWSa#Eyn^fStjB{*9|T>1eWh{h6dU@g!l0? z#-^UKCVO0$;lEodf}T_ztXUoG?a<`=_3$o=o0+$Dq%+ z-Do&r3F(Y*?qZ&qWxSOzH-yg^yZQ~m&iE#iGTf~-;~XPSd9VwkPPYf|C19SK*#x!p zMLL=FenRSfnK_P!@&<+_O-QmdHXw?8j|2yFm@NE>NtCE;NuxrShO>8(7jOLt5H{Sc zIk7CFjwjAzdYxIH#!VfkM@RWOM?MUCz4q5 zL9>eEf_5sS14NqLVyR}J@?N1rwg@z6PSsC2aD+y={1pgTr14L2%}QymD!_><3xbfs z#5k*6k>AULQ}C4`N}Ebtr49`c+a1q7MWU~@*3Y19>7A)`4(}NcH}{B@60${P;^Z0^ z@=K=DYZa+0E>Woa6@~3RoF8=fQRtt0#Qk_gRgpk2mF-}_3o4AShVE`UF0eane$!xe zR7?gJND~oUP=WJ~NcO)_rr3!PV0FT0>(gQ64gy$nlNCJj6b)EQRx4OpL4$ z_+G=%Isqb~+t~^F?nUa<<6)JfBAC1>4ONF-&05)!ec!K4LkP6qT~Ukg=$u|)HokF# z;H}WtK%I0)b_8`K56YYOmu^8nQ_Hq$WSR!XlC$#_jB|_g^_KM-D`)lq->MlAZeHGM z$mtG2NRgA_C@0?EQW32{4U^G_#2C9*lys3P0>b+Tj zy3NPcaPmgLI5^eW4dxne-co39OtlANcl$JKNeYt40$-(QWird*CkAXAP7i@^8|)!b5e7Y3KACC29dlO zr5Z3a2TpZ;N5CSmFpd`W+?`(plBO2JG%(@I@0oA+TX)v43}ISa|29prn$@fJNWt@pP`AtDtLSoLDVz9LOM!#+3kdRAuUouRv@u9&pHD|vj4 zDCSmzd+1E)8|)QUvlU`7>5}U$!f$VI(AVuQ9)Lryt8F@-#Rs#(E)b=)OpKgDEx|cz zD4)ZpUJ5M7L2xNPuyyx}XPa)JvK+y<>>C7Z)=${O zHa&mRMD*KY{O@;?YLhk?`tRv~UlS$b5$GCNE?ze5ob$$Z!JkRq`Im6YM|&>@$q) z{NR0+FhpUq^|L=>)s2is@OV}b*R5s9k+%WNv!`SaFz}M^628nAhBC>Z@pJk4DUI9? zReEnNS{D}>&}0%H+&odp9&78e(H3#8g)0W-0_w=xo-SLT^$O26_!;&Y1>N4@=!W^oGB^sk@*vI!SBBC6J9Jp7PI zKg~&VL2*-NREES?5~9%_=+hvL3%Msro}NALKg;lbCj0sa4FYj#%=MOA`LoTv>wde5 z`51_ym#@pbg6J_#tYX6A{0%ebVK3g#k&o$WX1q?6+{vGRnAswnz@FW8tU%O%rh6Ll zfQQ6(QydYgjv}qI@2yHWh2Na&r=Kjwyq@ul!FrE}NeFDhO9>5-;^{ZagLj$X$bO0; z6}xfAP$=|#zgagJwG79H=zh;&ZX?AIyU$N7z+IK}$60BfEy7nMI#U^I}pP7X+X^RK;e~e#EhHJgl`t)r@vrW4vrHy--r8!WrTvM!5;V-=xs) z3qHRdPrv7=YuD-xi>|q;QvZH#e{NO3Y?oD2gGQf$Ki3!Hp$HC~MfFbnUw@T_$W;wK zEjrHOg3B&fSMajL#&<}5I|vLCIP~T<2Ww1sw5}{?aTfaz1(WtO?&OtG-jL7ni*^52 zbRbiLV9wQ*Th;|7k6_TRdO!Z^uuyjq4Ek454ga+N9jASuOx!Hygzx-_SZYzx`uBw zEWWp#4b!iyfCt4GB`vM`!whma;6pEs*g&`VKQAEHjVto$M)!9kt6#pnb(I^*aMh89 zch7fRg>GaOgi9{}MB=xvI>H20*ZW^3W%rXFg=HQpy}a~)7%}g#sK2cgLsKG1j8VXD zdUYH=?S*cu()P#i^cepP$Nz(i|9tqTr{T)+eKMC_P{_t4KdSWo|Jt>;Z}t@{e8#=e zjt~28M8ozl46)WZ`Rfb(pMT^BPq=a+r{SYru7^PONa@(&Nb3LjReyV>Bh2t1YhR^( z_LGjO!qncxKlXO;5A$JSZDaHG9hzvT{RDuvHk3XHhu_}(b&qbX2$>2TfTr@EEfjKl z4fBAUP*?v^W6wUn$H);2WG*`X>l)r={IpxSQ}E35zTkm-!Ih zqF>&MYt3O;M|(@di+kQGBUxc%aoXWu7Q|HuF&|+UxPLtwTc6>2Y=8VL`Tib*?ML|K zvwij8Gld=+djG!X;h|ii4 z1MuwMFDEeWwBU*mm{^_KzRvc&+8+i8y-?oJV~5+r!M$`uZjk+S&dVL&FM}BvjDNdP z);)X3^2xS8<(C!t^=w;(D zf}W96G&}C%4<+DkH?C#<) zVY$D^qtLuQfkDT1IA9E5;>N^&U+4dCP0ddC{JS~&Pkx3mqUq8OkGPXF*nW$@?x6qT zL%~E6{TJWBNy-H-{CBhGWa@!-(L$heE#R&LuEl}C25JZbon=1LxuS}sDnT<^7NR#vE!qEKoSPJv)q?Vp|*#rv8A9h9{_0#TEW zqfckmoi$r}UI%D&bX$AyeJRMh@HRm#{lKzqAyV~wCG*oo<>Fa(Sj#&u#``~;z9U&8% z=(qm&28*BlM*t@&1^YPjtGL-;->(rb9ks3130rva_oi_zC$zG<%qB_6%$hF!l{=@p z{8joj#d-mIBZFS}qHu;xeuk`n&Zr*xj*fbbP=Z(N>JfhUS=sz^vWB;f#cO!S8wAE{ z1h1}~WE~B=v%(xb`0>*CU4Ffx=b^61$Gp895qn({)h?awdg$mgR1Jx}j)iKWPzv{e zN{|>{!2`SkvAqQY&*DVm!ee+x`xzgN&)Pef2?x%2cNLF2@5&!&vUNLZQ}TM&>1FtX z0~x4_Bb$dg?P29;HR&h4Q+%3<%84Y5KZk6Q%mAO!LVy5H6{2m6_ErWJDv)#PR$Rl6 zX6R9gX502; z-CnJtGbxs)&ELM!01{A~M19N;o+o{~=!3wmxzQy(or@dR1)u zD=3#z6z=#12{U#RALUcu@5rkb79N}Y5|j6CZhpsC((f%zaU(r}+mO^;cNRs;p%pC9$SsYE;OTb3!Ae z_ticTBW=~f;#_vehhY!)k8&i2wawlOn@l6(PP^*xUBv#9{?JoVGoHM&Ji&dW!&FAK z_I9g(c}6)`WPiB6G`zB9!(AEo#a}1Ed3TXSKJHBOlV(t5{BdMZIEWK>d3$yH-;@Nf zIzw+3o9{06*SwZu+6L+l9FHg#^z{wj-f-~Sa%`^N4IZ#TDn-9$UnJ+_OF2=?4=3eg zF^8!q&vWL=pcjnc%$E))^D^R*^SqCJSs+H3@vZzL(erb%9pQ5HS@VRCrzTPG$$k$3 z3%D0}0)4#6v$Un7!A^H+-fqHcWUek`q?`JCz}KuhWsl6xyxOC|PEjAEGm*|a*YE14 zn$k|zo7_s)`>vlXn!501ivMIO-?r(9LAJv`-ychoi-5H@x;Dnzdg9*eQ|sFi{{c35 zu+-2G00j@o#!vKfV0!s*u1@f3Wp$Bj_GdNV)`JvqMh+u%~}WB>=)+&rLd8Z zJFbtu=i}~Ibt6%%yws#bk=Fb^x$q^KN^YfJ72D+TyJ5zKm&I=$J$f8A-f4vM7SotI z_o}EgJ-cCw$lmto(DjUf>Q*zh#(_Xv|Mjs05puYvg3MoDB}(fTxRy5EqweZ9er;`O zlB+V_q0G(gUgw#u9sKtW-Q_AtCKs3dUYUI@uq}bmZ0f)cJ{%vOg!amZM#saz!c^bc zE2DBspW&G(lMc0J1n3Q3|N8N|8JlM%`ypAi6KKL`MoHr}0?Nc;n z7V6b^9mY@H^P_Ake?xVD+HXLNttSoz`w~oh3s}3!Bx(PdIz5B9aK>Z)R{h6z+eF>B zM1Fr;{=XK;^)y*vQLZo{{aScuKvAgU{FljE9eF8?BsCMKz8j2n?tgni{>VwMq^M>K*Sl~{y9pR0+E6QL!ksdC#`_G_*x}|!gqRa&3Lvbg)QZ%32&dbbv zT#oITc+Y8w95i1zXorFhs3)ko@{;FN?@nR!+6&K9k-}5`@O+Stg_Pb1ao2~_VhksI zW{b<>yDTftc4N@Il!vcZU7=0!_{hC)%TWBi#;$JUIUE~-6$t@`#~ zN;$#AKHfj}?H~|;X%E^kpV%ojEH%V6p*`r+s6y_Hwl@$um%-}yEsvj_aqfMkLJcnW z!L)W2FIeBpdSz~o#(V-c%Cv%rxmvQ*R%hD<%uJ>WA1BJ7B&0}3A>+;P?0Rug~+eiPOXNBbm>^p_m``1|> zf!$!dk@Y7W2?OrHMVvdlD!&h2kyyOpZzSlglO>F&%ck$SS3|kymHoMBet1`G{yCmZ zR-t|+rSYplY{SRhj>Pu86y~KmOf8edvFS>Q1M4P<6g~FKdMCenjB>Da+GLd)E*`J% z#z^R$@$B%PA1lx}bTkq* zlF5k3@pWe3Tds@DRzvud6=uH0dy{Gs05+g_5$EK+);+^h&ef))SuF)0V)h zL+2G`jyz#T`?;+r{H+M$=MGP3Q1?*74=J6DH+Y3@9o86jZ~{Hwqiz4*67wfgb7Poh zHmX~4hbvDgGYm1E501V>r(JB9bsY!S%lcqJGOxf=x1AMDYIm32pstRNadc@pL7<8_ z14`==;HBQAg{{y`5prVM0!t|MIh%sK+F3M5NyTnOIyB7R1GO5_gv~ihJv(?$ZLQT` zN2ew*|Iqkr)4%L9nHYkM{grP6#?+ROxK6;iF+>2a{Z`Z5&wE8>Aw2V1X=>)JXO@P| zdD~F%)(PTiQhhn%KcY4P!aF~19?FjN=J!mtA9w^!xIwzVeG58|)wpsb04q%xrtK;AFFBWUp zOSOWZzmAeu&u;2zlS}4mZY74efBkXBjM6q0W(Ea?RQnFl$Q<{BXIGmc-r2(2HUzFaWbE@ zNNc7dI>IL@zKzt~2mn;$X6Jos_MeXleQ4GbYs!XCO0{5{?v1{yx%KodNS_hf-D|6u z%L2#FH1bZCR0Mzx>bLf7Ez&1i5*FR-m7q#W&iBxd*f zd6x1RaqHSMj~i`XtG;+0eJ#&QT@(T&IWpwLY1_O|AX92-p|mZ`J#Ok`Bfr*}@xR!6 z>!>K#=xtaK1u+m2P)S9l1Vp3-1`9~$V8)tEJbXq^ zAN6k6KW~(&qb={%sksTSe)&a=3@RjRxxd5xng(3V4qT!5>z)W^@Fw!)a0-%#V1rr5 z{xjaPVsv%Vi>hk4Jc7d1=$-BC^iyp7klP znQL#yLS#>^&M|xa9xjBd1I2#>w|Gk;XN8pusc=z!O9x%#T}u707E!^29sa3SC1Dz> z2LvV3g-jfDZdNMR3Ur)=K#anWJf@~0yORvLHw1F;=b$qTjGqbsFqfEyD(=sBvXnf> z7kNMNX?qxS{PtgAIv`>lxy!7hno7I=>fRHzENYvp-E;~No^Lj+cl^%$arkka;f4qi zzU9AoAwav!CU+fE(cy<6SQpD$@59^JR>a0#JfzaopLnjao_9Rn&Ox$ca`v5`$q30M z7x+QG4~c~=>4%w0$ItTab8K$W`xMKbOgV@kPB(6VM1$7er|x2uJlnd{WllXe3{^Zt zQLV@QGj#X}5wR<^ZOzX2p9RO6+Re^G2`ka^b!;;w{kEHMHds7Z;Q?ZnW8rAAW25NJ z%5Cc=wm{g$_oxte)OUm(LytfHWp`Uf6oU?b&(~eEapmpf-90uk+`waq##9%$?7AIO zaOAx~Prw*!QIhBl_XT4C0fYP0cZP4%(Gn5kJ6If-nRBn^_f2^A{6jiW494d@YXc4a zSDe1sC}+)Bv#DsYlf`!-Sn*>s6vpq-9p3Uf!bSLXaN&x3?<`-ULg8wLB>M25f=2Cv zfb3sze2^Fp;sT{e*$%%AzqQ59i`&l%DxVmeEu}1(4Y|%}^}kEwIGlR-K$JS9zJ}81 zi8lu$$MY_OG&OSfUq-v2E+Hi2;82|Rmn!uRMv0B~)l&fo(iuKvN&WncGC&`t{<6zx^j z?(ZpQ+g#y&4%zw&y7_AKL{5dJh*c0i0)M*+Y=czPnf4nYS(PV^9%sj*#R{o@%;L3! zQiqyyrZ9?<5Y{T;Wrb0p`Di?D=%E5$s*w?HJ%J>v!c#}K!Wl}K{KI_Gbvza#Cu1DhFDlvV% zEZx*kFv&OeGTwms)Li)Vwx}viX2^d>pftmWP>l2e*+}lH*4ywuyJ&y)XgP(gydH(5 z_3~ha_k=9-kQU0*D$Waz>1PKblpjXqsc`Wb2jiq1eg?@a7V2Ieo1i`1at96fgga+s zl@C*wgrIsryK)vo+~?2;(nB{6%Qe5UIg`dYaokbHx#kS|^YxdrxhGe!AA&Rce zDE9y%(;B9sRLilHNA`LqyP1hPtkd3nb;g_Oof#SX?4`kXa+~hQaSI?7hq>}zf@Bo0 zLJC`a?J8nr(m&jgDNJp_V>Jxe3E~AJNnZ+(xdWR4VO)akKD_L%@m@B8yR&h3ge~*S&(!U+fl;bR8(rC z#!}s3Zw?uog%OtR7l)*skgVb1MU`vqJ>4t)B{GZww5Hs~3GrWOAEdC$KA0)e2C%U;FCt<9swYR*o^z)mgR zxt1dYbd_!Fi4~@8?UOs2=;df5CxqF>lNWkVjbU*d7a}=XMFgOKN@yY&_6sN(xu53( zA)`uaARqFO`R(euYKTaFs4^i-ZzW!Qd}QH-JbcJ`uCp*>Uqj6DIO_b;S|-3gu~foW z97F>V{2)#F*XV~Y31AvCn(MX|GuK>tuZE|c)cX2tZ_-k)TZ@CV!k}ik&``DL)mGLK zFH`rOl$3S{oFm`(-9KZ030p?z*`6#>quM}IKadLWiGAUCU)Ys%hs7N|Dpw-`y&kr| z;r%t8QE+uHHG2|%CnT-0qXy%Bg8Do0vi} z=u+KPO(kT<=fN%_bCY9@(N9f|?RWJv$ZMoU;k3&6O`_Cyu%7l%Ntt8+$n0PxuL0M?Sl$A-dSZ zfD8-1fUc3yf#GW5@Ph3LXG<5x?Kn+rAHKNQN0gdneI>e#-S)xjxy!}zBVOfs6KU&F z0cS4L+j>8~pW6QElJV4F<^8E|mG@g0W?x~8+IP}iNqF@>je$v{!&G_{3V94gA}Jvq z|AIFtd88_GYHN%pHN8_U1=o)A4be|c2Cn>-pSb}cWwOn6NIBX}>hw80ULLR2m2tEI zh_lHNZpI75lDUbmDU0;zQdD{F9=GFD*XU5^?qr;DLhHqNg)}*`P8{zGHOjV@YuKel zHDAtJp-QT|zOuI*GB7-r7F-vwWB+*C`XF_eRB^^-({to*>O1$= zXf#p!I z&4Jp_Y74~^o+8a6BtOL)~$a!-~v~ih6CaRlH-V_opUft6H0Z)ZEUbcppZr ze-17VuQWZUFcFI{zig86=bnj(I{}`qY3v?uQ0KfrEgM;V105nF$ZG!=o%axERQayC za<=CHR!!oOOwj7NnQ4DB$Y^*9%VpJ--a?@P|5j)jG~F8Ocb_u^oJMoG1*jnrZ0>rL zZF8U(OA`|-HxtH>X?8TYk9Vw*+Kx4W%Tr2MK+1EqhVi9Pe9}qr)Y9HX2oy!oQz_Sd zH0QSs69X=$NL{a*<>~;{pu>!}Fajo%KHWHTH!!;FyHIP20?~StGElvx$!SHDj)ce}m~?#nb+%eNe?V z4k(3WiNvqHppOu->RKLdV6nR|BKU_h%9?hoT>0cpYL6=4-HegIy^oA5!I(s&xXcz3r-FhFHece zJm0-fMYIWOnmtNSe~}BDAl~mY6lTq%QF5=R<(0)889x%Ox?4g{_S!z~L}Hi_ zI1{j9<~%^SzSuz(>b#&LzoMgA#cO3Ym|ap#ns(&1W7yfYAPXM+0^R7@8!V1 z;(}vaui*c*gw#_tszX~cE%-)$ZmwinXsx8VXZ*Flw~^H4!O@|g^AHb2DnzepWXB0| zJXG2zbUxQYnOKc@=;syo#L0eL+ES)46?&iQm}=(lz@Rd`UQMvlE{(pyjU4WH9ssb3 z@_j-cm4smA3Gs(ju~Um96|p9hNViGKgpb?1L&w3NaeVK?Mm6I5Vn61+-4XhoE==iQ zvqUPJdSJ71Q_o?e0l~12{Sp#7xhx4Lii8%<9nK8lEu6V?9AE>T4w3+~I ztoQtW*~mMQ^ZyWx%7X=<552A~p%LeHGCVIfPc((I^d<|ClyF(s96p01E-(hbuE+Vm z5kPPH7UnJa2j#vU3ps}eu;Q%BqHb-{c8r1$KlZhnt2*dqPnorVx}h!KC%iv5UKwc# z=mK3dk~Z+VXnMXnCMhcRnUG^bnHlz|VR1%DTg>5f46uD72-~O2&5_2+{${Z-KaW~t zy?#_rKcVIB{2@i@yDJGe-5-|EZos0;3LyZUi!f*|2YTb}4~0n)7i4kh<))6}BU zcss6j0Sl#9&xN$clB~=s=c&5C8JCnw2KjjHj3|qFvm(u;XJM$@F<srSSN=FnWQO7W?3ynHb&<;;zWnMmY+3OJzvp?UfrJ@=q_aI znFOER1AN5EqQLW&9AoAJq3R?$+(s3T$P*m3?sm*f*LesAo{bT3nyVQp{i)&;yO?x@ znGiTMqoAXE_O@^-Vfjyx($Mdd^)5d*h&c#{O#mscj)J`$p3%e4NQeIeshd+@!0SoD zFbE``oM0NLb@=xg-RKirrczFMg+g-KCqIW8u@xY+?o<~nA1cf08R>a1igu?k78IZF zw7uT&VgEro3^|S7gnPzHM1fUSw9l z%wDX&#uP}4Wctd4Nx!L@F+O2#Axj1s@^5q9iA;FW$jT$VZuxrSM0}k2fOIz=KD6{k zNdmsvT^Mr{zsGP7*mA7i=e@6R$=NRh()BYL-*HMd7q}vl zf`^BP;f_j#(}Qw><*&QwN=!z4IOgJqVb#>kFpNrkGO59B(kC;{tRpo+ewJ>|t~(HbI9}uIqnlxO;>ab9C1^F?&1Jx%3@Vu~Gx2 zBcAO~8!ksP`I&p?t;iUb=I7c-N88A60FtwSxgT25J@8 zoCSg!_J_6Wn$zdc6a}37$jxJH@ECPscO@`f`L_3*R>PopjosbOVG>0ZXpnqwYP%ql zoS8Ns;W=HuVXaI6|F1_XhXkQ|ijt!(k&pco-(k+fj%m)z2a%L3K;m&2Kodxp-y{fG z;4un3e|3gGq2xvlH{-K<+SOoo-&0QxI8ApN7Uj|TJPQnb6jry342D>wbc;ejIh-G> ze7eLlPuF#E8m|CX-JM=)vj08Z>iMXYOnyekCj-S9d!Sy-lX=AZNslvfS$kK8{&Yf750C)W9Tot0hfJSFuN3IwkXfn z9oRKc8)>9H0?ZtW#N+5>cSqCW7Y(dOm`o5;MLQ>F^n>iw zpzeMj(?fI#-(~km55TZeIds47v_7WQ%BW};>G?3CQ<5sfed^*2OIts*%Bj1A^x}k! zV$E3EC|Fr#*@;6!C^wd7-w7?u3s3dZy_%C)VCuYkeswsk*~cwQ`VChPA)xj=wekH=<>hRU@`p)7Gb78#&DDtR!`5 z?>WFmdCk4RtX3tR-@{>GzE`J+M2E}3a{@c3vpV{=oSZ{d`?m4w&&PXvT&hZ*2gFgq z*{wH_0)TGw6o^4OPGRv5C&ry4GW7;dl{|wI?hq|w9-2NcRkKjbR#a+k*9QtRwT*^% zi-k;G?z3kfNw?0o-@D#nS?9)^7oB#??cQI*=a_$JA@nb?*GUqeK-BzC{X4lo)%*(7 z3=*f~#+*ZDId|RS`{NEI1ahE$xJA+yOT|0!D|501C8nM1PKKh3hbi%+W+8dT<-Kpu z?zkoUGkx5akK0jC-O^u&mb5drB+I>vFz*01BU`hTYZ2msgX6c5?hy2F9pAhQ< z;6-tRH8=4qc1VYBW(){(wFR}11fKTyvu)+QX`01+ifYe#Q`=mvLU_KvQ9fD@u{F<- zW(Ile%l8W;`tW4-AcdopA|FI-;KHxTG4=)TwTvmtEbKhzt zmF9o+*~KMo)Tnza(4@S&zhOTzi>34O4r9nQF-k|v6+bUSkwIsUh3|E7zPbcw-GX$B zK%gR%O<2}dm~4A{z)gE|W(Om=Ytf}XJY)1aKCC|ozH{FK%`<_^@VT|B-?3+dJ)LwK@I4SQg02jL9bt9tv*<2B3_VFr$zgSL3a>o z0(DrIu5?4=oyx>Lk5NiwDJeE33*%0l)hjbWNjbU6rg38Qdm64DeK**AEFTrTJoARFbBodW=2;+U z5=PaPlWbnN%Li-iu)6pyItfdXNn)3YI(uHzop|V|Vc2qwk{R%aZY}5a8RorQn>;Ki zl#^3LA_TYEd7od5Jy@2l&3m0kUq6rzrN^}8JT%T|UpwpNUOD;%%vSn>W`ALt&`{PJ zvlY+2jgO8<{!G}gr#kv1!c2dNphel^2t#@xr5*?4FdQ^6Q`220Xi0nt0eYMNPDFeH z=}4N(y~7V@hj)XPIZdSZx}(aF$ll>MNH|yqpEuWeOj2|&!AM*R+;;~m`b-^M@_s!ZcE+6H0X&_* z9IX6qtIXZ0orn`#=Poi$bp&x0i|S*lZ>vz5Ej?}Wk{M0AjHwP+f<__dr1N+XU0Cjr z*G~by?o!iK9qn_|Z>qGeU#N0lxJ0_?=EeH~?rX7$;z_(Hb%@}@A@(e+rw;%F!}4|< z-^Fk#xB}fX?+_W3+r4w>+wx-*_laA!n^(Iegmh3{c)60 zi~v{~`I^5n4)JbGG$QT)uO_-5=nlziP&G5-f{*S$^bsiB(?kqtmx6FGY-nF2Ve~hW5e`=-Akl0OF^LB5dKQ z8QFb2Z?pr44Z45U3wkkp6nJ$#%o5I;P9tYc#Vz>XiLD{Y+<9f9m8I>eLvT(KcXyhM z3H^$($VsLueODm6$yTR;2k7O|y_nfd?lg(9>i*=&N-J5({_hQdBSYv#tKmX#xg_*e z=yk3#{ef73EQGFAU+Z^4;#bH%|NCTf{}g={;Q$$-%AadfXR&S^kM#(Wi!wjDg?j z?bW8uE&c3#ZGm3eyYcz11I%o^5|J;t6t1-cxbM64&SQo*tMlD%z4!rc`m#m)>vw8V znVnnBj7>Gde1?b>GN0jsiU&*0ly3dw6#jnZS~(=@0uglu(3bb?9wCWMcO(Ql_$Z8C@w1haDL7;zl4#LASqm%Z6@!&xGnkEMzDDlj24e2ef3d)4ik)?=6UBsdb?RZBCQsV+cRw^P%vZzAo9nDev2!m z8Y{}GYm0>r0>a0P8FI%KGu9vPz1-UY^8+X+5)o0BeM?K65NoeZ*Z&|(HSa)Eb#FLY zu2G6)*Z+IF3BB3T`cCm!PRhnEWG=cM_GQ# zw5c`Px}z>-`jx&X>2*HJefL8zqIJTfUr|PdD{{M!7A97ouFMl3n6r123{`Tj$W?8& z${(2P<|kQjRBK*X%N;xCSk14oYyUSH6gotsCO7~UO>91VOEi}I57!UpCR#F4``iRs zUY2NSJX|aEFDMIkl~-Uhfjz{!*6NkSK4GWHYjLW3B;WdaaEhhLN)#IMaxL-3!}=`S zWex0^*%kI2A$y(cd#|lMyU_pP0X>|^WZq7+ot#hamWotPxBr~k@{(YBs^k5YaY6f2 z@y;_W-HFep%9~OkmyD5hq?lWr>)$R|R1)`cj6VFG+QyiIf3ci*sK$2#|4obeaN@Lp zKw1UnqjKo!(BxDU7%#rc0n!5=Rx`KGR)1OWgKaVII;Np;;=*ICB zMFjs$92iA{mx!hh@w6J#R%ZwGj95l|qJPmxrCC>_%~WEnVDak!!#|D;pIxnk#scMV#47EmSQ-UsRb4q zz6Y~mwTqLC>$qQ&UE*b%+qzde65mYpEa_;FiHp%Fjn*hb|mmkz%|PE0a?iaB!L zir@kfo3QQ}lXfYiFKM9i>+c2GE89#cH39cG*z*kP;ggyftXrX~CE(0;VCYY&V@f;x! z@H0fd`7REE8;mh7V8PR!zbqJ)H$u1N^K3VM^h#v2=Vdxvn7^Of6G`D=Bfj!ubVL^7 zo&=3;{lK^f#rlfJr>kh?GlKDUJ-h^Uv4Fbxs$0jV`Ixf#^K8GijaKK*V4SDSsHUP{ zGrhoRTQjR*M%>9z)!GreAv!!%TIrLJWAdhH)3-gS9(;+;@aMp@hbbqSBTc-pB~Ye- zHI8pDV}r}46`^VC(=Lp zLG3|SA+I+!yqBkiE4mMtRyW@P0glQSxSVJD z#!YNbx|J=SDMPotbI>eQQ_(k4M*mx%;#RNkCrSpP3LSd zePNi~SfVQ}>Dd?Z@;(!N;5Lld?$y$`k)E=F&S0_cW}dpm6wV`*t<7)$25*g^mY7R% zQZA^it6%vZK>&WonBg$V%VB2OcnE$S*qG=4{yRh#W@k)|la1AC;S-7Sd|!9lDQ(v7 zdR?ZQcx%flu-F)G%2<7Bn5?_h%fs!Z5S-$SGZ@S=M2Al`0u`?mj9X|(SFu(~p^i3B z^QJdMMbM(=>b!Go0Qkm;H5Pxi*;Z?GDwC8>ciG*e7vNRLee|Zu5!7=Vye9tq_ahL) zx!_8rWf^ZqTkC~~_DpxuXZKf-awMzv270D?b(~psSN%BX^k< zJ9CrC{#)>3Lf5%8cjbcs^(-;>ooyXEvJ9@xpORua&1tecCUsBaDMa-snF5!>Wus)( zr4WrAR_t7aee$`L@qKG5GGeE(8_7=(%K4lJQ{|pqt~ur7}%%n28=Ph5ht$S)Z}y_^nLCU-}0rO|H?Qy5Z{#b_WO)nF*tnZ1|-?{9x3L=$mh zZPPV%@u!`oS9PCX>e!g?(blSdu4Qlw>LSX8xb`EYxdWudve)w#c#9j}fw*I6PlqQy ztpB8NYD}wk*VF1}rWrspxQ6M%*Fl?c|>N5ug`bC?egV`e2%! zVA}7=zeP(?&x#WfTkZZ9JwlTPSBOw+{Xy7Vb}P7ygfWNc)i-LcPZo(oOjzNCp`n1HY++7^5 z%P1<5XIcGubIrD^0C5z$lHUIRx#rQizAmTxO6U3pmm*TGFp!9FO)i1xY8<=M-tF1L zb}A;s37Eo_v4-R zSm6%VFytrs&L02uC6aqlMi z&Vw|K=wgC->^!(kc+?DroTRsbnpyeLHjFY{r6wv9&Zu3vQoy8nBkHg>o|roW7NXN8 zRC=**$MXxjhy8AmS<3wAbGEQo zT^%F!;OuZI>{gCpCVmBpT9I9M-SgE$F!MK5`$AxDW|)`Pxk+)|>lP8ld)KG7L@xBw z3>`S^{Tl}+Qop+tdOKE@|9L`d9{d!``T|HBJxLV0lQ@g(&su&I?7TI#60^(lJSwmK znE>b3SnDs@@5kICJviSsBgi9mu6nub$rDTI!z5WJ9;`RtDam}@p>*#%NU-(JCCaGl z5Gu>rDiou7JtcGZi49Qh?%v2-{uUrs?^}2#Pf^}dQnRza%(h9&^cknAipIT6!W;jH z_$*}Lq6IS?MBwn>q7Cn+vi%VNWy8T&3qEo@L-m94RMHJD{*m9nM?`*^P-%xHW z$V(MlFlL5ZILe>K1n=Np8XvYTyCmTe_fl9PX&{+SR#8Vo{!%yxWUbhLPTdQsT)jsRJW&zCs+Gt;pqZW{_qEyXL$Ax`hmNK7%b#@jujS%hZYW1^cN2}4@Ct8 z@M(9kg+fDz8bdvjR+21kea*pespQVQse#;f@}PgxDE<(xucu3}$ZNDPD`t8}Z$saL z;*&pwi%JEd8;HeShEW~3`Z<>K_c1$E_zg@QSdEcxmHT4QYX(Z5hocvR8s<-;G?|EL z9Sw1T9UcjKU+%E1qP4TUl;&*^zZCVU(Y1KhJ;TtaaMda8${TeTGAj!%%!;BXUfQi{ z)xK=I)YSpQ5FNqJyem;)ZQUxLQ24B}Wv`kjBH=j~O-4Y7G(^HyT%TzEbPIA?-w7J5 zW*_CZi>SPytMBRm;|ySd2}u@YOoe&Hi>pm?6wkYytB>*;ew4v%!}yU17`=JEF(qSD z`ZQkgMO~7Cs3*~r)bGjxC`w|m=yEhWtqqo-u;=~{R72xSU zL5(4j1N(jDSZhh72u`C6kSFc3sWwguvd_UW0`71;M)`wgPPO+FdWon@@X~{s>%yj# zYO_!ZI}eV#IE@~)=zf>H&xh)DrG6)t2=eY?oAF4`XnwAkQ-+p>uFxJfjDB(Z<}ASI z^;l|YO*YWgQ!UPghB9UcIUx6Bl`S!U4=VBWz;r-p~C0q4zM%x;g0;f12qajw3to=2ji1$)MZqjir0I2 zTt+~>2FIE1XfW|d%He0G0DyYib*DhtJU{yN;RC;)&uAVt5%udhj(z*aR?}|DLUw9n_BLnl=t$w zA&IBgSD!pBmfWqQ(;_By(l@eTx={tkTtsxKywoLP359Q>B+4b6;y=#%ml-o| zqrM?7!OG~6PN|WCRsb7>dU_>_P<&`w0#`KXb_cIXHL%KZo9y*4qB%hx=B`&00vnC3 z_(#=zU}x^Sk<5eMIx%!Q14T=Ea9mdT3@@Ye@}sZaCwzhq(O1J$2lsGIJ~O;i9JDbN zpJCeB^tSoi(xvU>7UQAGR8blTlJ!b%dpiOdy-N9<>1Bt>rKiQ5GHnvESm9Ifpox>L zEbGnkoITE~^_@u%>(e^CHCpZVvVD49cOD-EP{)Y5g-+CwmEzZY7c!cyv&u?>fn6>OnQKtlsR{9tJ@1>of6QtxY!@ zzpQkla$hSUOesYGaOumA zaPAp4)IjBNb{TJQS`y<8;3Lp3Re3_xn=!WF2N;-%V3362DeUBj;wk?~#zUlB0m4Eb z1fhs{k25Zc^}alzjhI(SW+V3oBKEM2uCi`(DNu(U&SI`pJ>b=Ars$q}TI1DaS0Xv} zt`xnf3@08X!)=ZZnr_TsY$sk%@CQuZ^=E+P#RPn&+$aB$``o#wq2Zg+#-ls#df8+u1(O0_<$qI#}2u4kM$tcsCIt^pY z5+$F!ayF$I^)FzcFnYGI(e5~vAAdGr@@EMAM3~Bkuq+ow61GBr`_tqAL=OvBTqQOk zBXL^Yx_g~h{QV?_q#X&z!Iq5sXT+DP5H|`uuR70V27kDJmV-oCJe<#?kJaCgsCh}eXSdoq5_O}*^x4_>&FI)vQG@jRG zq2pZ~D}$9-U#X|?(59^!ZjySdOw2;=urTg5weFnD!@b%R)AHH4sF>WL)1{C&DCRgZ zH3Hm@>g>9fc_?Lqn=z}a(st?DN-5%CXk`(bE*{%QD5)LsS;mg452|7^7Te^L%w5Ck z7G@7Gx`r{VQMiBpQ(IzCtt_mW!T*eVcM*$0K6CEE1ZPRG%KS;EtkC#QjJIFO%Qj_^ zfz8ct?EPl5l0JQW)AD|mEsV$pb7lBSj)j_4uG;opB`vy!4sc)7srmLk34JyEE@nm0 z$Wz8~1+&;IIN_6k{har+bEd5to^iU|mqHRq19?$@s%XK2S1)m=*u1asRF)ityF!>b zivDyn#klK`UDid6eUpiokA|bO!|cdH>D+=bM^aI_p*e4q`K{fXoZn`+egi>-)G$2w z;b|V3*F3;J=aiZcf2qp(!z9T@)lqq(Ew7JuF;rI6_kqumP9!p0hi-ONAjQjYd`P3H<1};?a*0 zWCz*cjhGt@5yxp=-Rgq`;r(J}cY|jZFHPN($e?&S7-zI2?Z)NRiW?){?=>_Ihpi`& zuKJ2=va0PlvcIzDPm7y^r2SL*R3u|{mCAht)sDOmet1^Gbh9Sd6oCXNZ{Gs{H=Cyx zGj|R{uKvEv*2Pd&)BeW?f)a;zfy=4NICcBBPL0T@({GGDUeo@bbNDM)Hy806@{U{` z!_^5xh2p0Ps)w7q(%I`d+CTi{brnRa6x|@rAC&Su|vNoMbXAmLZjJ)$osEPjsNkK{90~D=$BoQ*EBLR zdj0+e8vXI1>HvWnIB?Kc&Ifx?cn;Fq6%7EuYCgRUjY`V+-(l+IX6~xWb*;wp18tD- zys_JHDG=_>Q-OQ4{~zuJK_y;*^z}XO$s27-83~>BhMbqQV%Ep@1s!5CmNccha{EWq zieRa3Ub4vgx@c@qmx(&rs+%==PI$ z{CKqi5LA629Zgq_uLGxH>k93H!d9uFcMJi?sQKgvXE7?o;t2(Mz$n7jRc~+(j#35{ z8>}4difgJj7z4UzWa{-qQ;z}usl4!@X$Qg5cwxRx*DV-F*unAa!5f0YbMBc_GZMU% z&=a_LZoF2wk;~)+F&CMtMX6iHETp%(iMVH`++DSWyUIK2Tnf*u#BdPHgUKkqx_5L0 zR|C~nxNsz@I` zX)>!p(sayyG0}v-JA1CR+-4*_6@QHM+YP|tDy6|&j~3OG0c&kqFrL*34mMkLGAnt{ z+Wn@q+DEu2m(tCN@`{4y*vGQP0lFrWFuUnB{;Bt*X&>xcp3CToNt`otzE3-4~b6pIc z2996=Jr%&Js-(Ty_`8=(aCdjb{rBCFehaJtT?Z{4==bs|mSIX~cIt;Rx~!tVREFyf zU9yfqa=IGX=vB=g|FVqb`MqYvu=3r_30?^uDFOn4uW3Q6*l#QuK1&!n1jGYuv>mPjQ1}1 zu9*FhX~>JeGzUN&_mOZIZ%8a;rRU`debAdDWWF)=g?4%;hKK~XA7L0xTh92r&)SY%Xu!`un-5IVNNGSQ8bdt~`qiMj@l;=k%KgwPf4Y%tUYi z?^o#_ZU>ey({JB)6CHx)krsLuEYitc9$i1?^~a-{0cQ7R4_RK%3&P#zAPBeF{CyiD z>pjp2{rSMeDkt@x*16CJMIE-?H)kT_fxzFXR+--}FeE57TE0tW}y1qhu+%n;(qbC+gI0?!SHx3)i}p6?Gi)hV9wU?bCwroXZ5r;PnXTijGt zU#=1>-N*jWS)I_1#KO~sKQ9G2*Si$4ekBeV&gSuB1jDiCXt&fQcmXyS~ zQQqOfc6e~_$=?`^8XR`V@JiuNx z^?m#0iLj;Vg6`MC)3< z&Rn8f)Zkl)O)Ttr=*)$kTKN>cVK;7TDxRrfyz5hI3YUp$lCVjJsa!{*mR!e!uKsAI zYI|pA$PwB>!jFwpKQ=k4?TOhMlK2I(-5@IN2@)uH(buqDGj%d5IBUl&Nt)m9%+01- zJR$pLvs=HHvD|m!W2eTFN!wcVh~;!=*1b5wGo60Jx6q{^dSYBAm^{&K$JhOWwCb5Q zt#O#y8UB@fQ}g*6XLzxdM@K)aAPpTRvBbW+Gw6rOXn|5MPJv>p1tX8fptKj9w*g0; zr;9yBOpV|I%6se;fD5EqpT_pMWb$>_u9YyY8um9#T%++W{qCtFWqN)o$y2xV?MfBB z$<)65#uZ72rC~0!`tmUehprrRi;m2P*E&*lRy1r9I*-`IVGqO#EMsy!!=8Ccs*Pp^ z;sH-9v9@;hKJl>8HQCXvaS9o*lQ7vQ;wK~HBa_UBAF5tcl1Hmavl1Q?bQ!^iCVD$? zMo?=7Ni{ux+meac8iJLS%VS`UK_nVK-f%k0#lgn%0_T>bJ{*OZf5cI*=U}qVMf%V6 zIWHr2>lW8N>5<#M*GDPGal9;eN^N6Ri*BGwzs4$vwdW&s&_|unS*MA;$ASZG&hRhX zGwjHq< zp0>YyDuAD*f59nCjD@DUbzNcN>AEXz<#Sis=cPwis-AzHr{n2=x{7tq9uzZ7FX=Q) zyT0aB<0_$-fX(1)G6{X28kAO?bt5;wize4ufIr|taevDo>s0)z)V&`fP-FyD?#9Ol zR5!xJV3|wtLxzW;x`5H33MoIiifEN}63=#Zw3_NQYWxt48&Y4~7`TM@ryr8Q#mL+R z&r@3(& Sa5@b{l%{$pzHNE}!t-x^7~;+YkcL&(7*pD?dW9#bddz0uDJ5-mj9H-j zYueWBClX+Ir8|5Hf{#R~OMA!QE z`FUsT%1X$_K(uqZzRIi{RUn^YGi_a`mD-+RlL>h|XD3Y!qbCj3G5F)ZXIWwNq&mw` zv@ANi$!u<(6FQv=C9!XYHt;Uv=M{8mtm{BSzEi940<+uQb?CiEn3! z?SUly5ubrKqX8P9!BXQl97=OuKBYL)F{L_TlTUUVDQ+9zHL=Jd!QlR!MX!PCo+EE+ z5#=7bQcxU@$v8)7=ig%nN^9p0b9_t6Hv^dcshz@%M8w6wn0OjSKf)~$=FX-&-wx$m z!57cx*qFQK*uj;;kpY`zEa4Y#*ugC1#=Vobh=)DU*Zj$>911DBU=UIi&R0)Bd{#8K zc^Xw!9DcMm2jqdFB!T4-)euhep#DMZww2~7zG75@g(Mri{t71)T_Ah9oaDem#oNc3 zyW+^|&fo?A6&8pizsXWy2*dbE=SSSXGhc-T{$p(R>tMi9Fd=%}^cqlN5a%c-bELz! z!{V&N%Uu1S?A=-~_fD_P*s_(tQ80faY=z9|kyWn&? z=zl9%ZRr{=kV^G@7croNKx$CDl4&;OH%K~#9U^yT>>ynnZkdMVw_GhKW)AxkMxivb zT!PzZk>@Yy08>}>u>H3uE+cru?-Wd-WM&(%pqMYg?mg7ZPU5|KliEiR!%ajCmuj*R zi%^AcM%a|_Yls-4+Z`K*wPcyDFhT`~dIF`Lyt_X8AD<9T24bKzz72~z4Eo_S@PGe@ z7>b~reJ1#A3Wh+@Acn0#^P3n3bHF-7Hb}NXZ#@w%_qw)wqjX>mI!%dLJ|kMPorO&> zC0SqziP+)q#}^C{n;U2#(g~#Yl@lB>hQ~$z_TkL3pP|#yJ09b;4Ra9I!fsvs=J!A2 zReBlx?!iH^30S=514#d*f-^fY+>rHJB1{%;#(GLRGTS|doJ~y*84#g=w(BiZxh6{SMVV({R)YxAKTx&%M0HZq@bn-qXJy^Ary&qHY4Iz!Dz; z88wlPNI12IFrv|N6#SKo@J<+Su)&WvknDuc(7ygYJpcYnUU<+7aD6*@cB5(I0hmh# z?cn++A5KAJDeu((X~exV)y3o*F-!&kRE}&~zK%NqT>=;g0arACo3*M+5mMXAPeXtdgPN!o0ha7{s4vx=p9{5P&1ym_r zUmEhXFGwSs=O!t3eY0^S9L_0-f-rJ(M=sD$m@gz97Z`y@#P8%DD6gzje)DI+a2&98 zY*!47FgwB`+L2b(6(w*azwG+LR@FTQM4kR5WR}VhY|-f&Q}_?L0wjTlQS3Y1mQe2j z0A9{CMh4IUcWx&L=K?YwOL%S;%ttub;N~Mxnx}~td`V}f@y}FbQv#PVw`trQULQo_ zeCKew!LI#!NDpV;{s#%kEudjf_l>7K5TJEcMx~#CjyKH%-l+O91^iE_vfV1!5qYdV z1|J)1qae86Gzo&>jl?DbPM!3HoK=eV6|(0X%v}ufwJWgFL)Qz$dcWkH!;dIR19=Tpga29qj9xtkao~oWFweoq5A#>t#NpJf zl>)k&?ku9~wIv}t2orK#@e$;k!%qZ91NEzeE~=f0w*kqc2$ybOjHQ_QU=4hRik+pf z2K;On1awcyJUDIk@8|+?PdJqaap5X3+@QI%P2(eo>z5IrJYX#cv%9bV@d@zO_rP%p zd4$>^{*?hn;u>Vt9i5_rh@>(>aMaFq;+vgY1pWo5^! z`}gC8gJJ}koLlbogH+4H9LXK|ngh>u{uWtb6A*Hd5c7WxL}KQG=vFs8bnHm%<}AQd z!m&4?wj1!&_BSPH-&-sMhv59^HYY$hAh>aI4fg~i=C)R=f|)_+W*f_OVR8QspSxtD zy!PP8rhj05LL{NbRtLeK4_yYgy4#R*AzTzmqLIyx@_=15t}}u!qV6Nu1u4kzpF;`j zJD~qq8)p=l=dh;3f;Hda>;??vgxqh3SzsgvGyr^zd(!w0za}|Y6FOBTiG=JpDD+17 zE^mB{IY0?%eXGfhECh-DFLrUkpD`E&Te(+!B@tnTI5?o3x9~`9&$J}o7-(Gsw>()k zAF|~fT{}J(`f-CX<$fIo+{O=i(}2MYdC(iU4>IH_W-p3QXB(KB5I`-M5f&F}aXL2Uh}s-SVZt2Ns~dKcyp2kNGWjZo|xi zaE2DX?E(yY4IsrG3HhzoJN~{l=-j78o0<}nWI-)3rq$f|*LX0S3P|MhN-WTzbySdv zazlkEp&^{W$t?&xrz1>`KcJy?J)rl91$4}g;Fd;{;(w!PB4S6V+E+GX6SR;1g5tHm zrU+{@U=e2Kbbo#Z7&QXhstWuOG>ryFSw0R%5d`AM^U+6wE;C|gpFkdeR}=e@CS8b= zPq6Pc%xB1i_vhsJf0qGl@dh|(&@xPJ{pP~|(j~kTT=rCUehk>Q7zm_(WghFumb~ZJh))G02oIbi1^77@&kSMhm_-{q=gIyTV$013}3Cwg& z+7ACKjeal^!0YKr{l?p`Hc)E%a&$lo@ zg%35QG=4q&un5DQX$bPNJ^-aZC;)Le3MnWJmM_S4XBG zr)NaR%f=zH;SaNrQ$v=jE#bfu5|)a9@u45lKUh55#8p~kKhH|Yet>g<- zoVOvS;0R1a>$P_w@QZXfMBoFc2N*H6q_P`)nj_$Z_1Fap$lJMlk}{(mC< z|NBTErGcB%xN(w|b)>6hAL23)J9xn~aYrgh&cNv!-8!~Xd?4Ek4oQbf!#8i)AO)+~ zy1s%VQRaY@dX>9TxVMM%LrO5571%mu6NQvN9+F(}pmmHR`0yarQIw`Bl8MAW?#ejS z>xz73GNdB@EglEVnl^YCZ`R<_Ftw%j@M$A_lL4%(L8SW}{+q<$o9ySU@!u2yi`xw6 z&{n<{8H^v?ZGhYmx3xF${3@jN|2$a@_PWKz*#k%*NJ`-CnmWC$44WZC(sop~Pp=~`G2(qEMA^1?t z4m!ejM9cxd;({mc?Q=-7+>|t;2|ieZK#vjfqe!FBVy{gHYxX$|#qduFGMu1cx zl93PVW`kjBK1EOqB&h!ZasOw4xL+CXx0AY2vf#~s(W$6X;QSaiw)x4ppnAYiO3I80 z4ToR(kXbev41j;TN+=kHC{oSYTYv3iyAZ+W+TJ4bD_>3t43>NkicTx}b(u2j`=dJ&3+wmTzHK zA1|&3`1iRku+aa--g}30-M|0CC8bD`P!u90L?I(HBa*$cwaCuiBuNMD>bkDa_5I$T|Xxe$%~kYELC22Nef8Va6DzIBQmMl{K|L&YI6MAuFHy6-=ofY6?J zwdlQHn7oNh_M>Vd@22=Oa0wa>^(?4{G0Hpdhe&mZHb=?dg7RTSDU}y+w&H$pga3E~ zNq`Qc1LUWB13spp;X0KZ9m>!dl*M#T;5By`1ZmvP$1ncLvGGDR%qe;ixsrGASXkww z&2Jqq+k<_Y$<0eNELexNo-=*lACGyboq|G%F8|0_=q zb9}4<;;*CWhcO@9f)Z_1~GEl4Ays$6}|0tdW8DFcV!aqv0=c^Dh#STjb zK3fG4QXt$L(Hc9uC0T>j93vK=RQYDjY#7EN75Nu-__biZ*prF%kEM zhma9cKnj4{NQDe(rZa}s6s5_gwpb?l|B9@S4DNr5hajb`<82a41qbq3LP!DRO{>7b z_vs#DKB&H}>;3F8xO-^l_BRz%Qyaqj#LAYzrDE8_CM<}L-{ybTz7xhHri8h~(~yz1KXIrb=UMJMmd z2mvPxkx?X%7@L=y3=TXM)|{1RnxvG;n_y|L4x+5~zj0MwDQ=J-ogz6+}Gt$V|?DS@k;Xs1eO!b^k|A><*N2)!XPR$+=0a;96E_ zrT_f)p6bBI98rG=*LU0zArrXuAmEI)rTN!Gx&0_c03&#P&j9}V8UH_)VfM5DQ4)Rt zOs+2@phi?B2!Af4u=;{Pie7NM&|0}iUo8B5ay3G=pi4UMx^ui8m%PWB|^fk5p$qysfar|_La!K z4E%>PQ<(vP44BYSw06ba$MqXvCMzN%Jckc&Uk3N_=V0qT2To-zb_)&L33l+mKbV81bHW)3+v@$)7EXE4Eupq2!!* zHu&|#sXQ(wn83qsYbeH6HRGkk8B{IgK=7@@EzXCnDu3&Vn?rkifN9_)b1+lh9)tV> z^xgcS;v#{$6v@3;cVv+C@8NbGURwBov?Gw)%?rbYrW`Jy)@~Cgtm0+6zXR=C{VUxbSW%v9u5r z`dXgAuIJpG%9;9F9i24anqtc&%C)@|*1o)lioFmOiSURA6(I%{;Vd+i+>X(BI}oI&i{FsQYcM!{T@>8+F06NVXSFhdc>A|~Tgp@JC;D@P_j>2u zbNb(443=WheMqn8vdCGWrfUcU8p6DRDfF8!yp}f@-bo+)&7VFP zdm?LBtvBE-=M)_X(_4GAP%bFLZYvuHucEWI>*;i~ZkqZ~*5iDOg>QYtJM~0cnP285!0hB*vAaf(^Up$aRYQZZ%0Bf9H~Y(>WVv0HSl|3R;ow3wU0+1w8Op%(Ddy$W zgJZTcV}m(E?yeS#qth!LG6}Nf%*E@qYfCX)SMgP?=ZVnpf=xR$=jT1_*ohYXDwkS) zB~D!|nzfmA%`RF_YF>e@K6Iv7fU!uRcg(~x>627U`{!uU>oIx{^LlKCTc$U<_RIE1 zh|LQsMQpV-VtT-#1EVWGKZbeJ9}#i3 zKi}-r4>9}ttW9WkR!+YgPtlD%u23vO4>~+z-}F+7XMy{Dv1-;o0ZpZTi3|AZ?)>bV zFd?N0E9GKn0*H~xrqK`dZ(r%7F@~lttjOf4;o=M2)eZU(Agkj}+?!36oNp$zH5NoT z7~j=aiL8RLbx+Aq=B1P64{_@4c0CC7NRMo{NxSm=MtdJ5!x3-v&|oppn`Z)mg4CZOuGwyXI= z)B1Ls860PCbW`RcYji()1H#xg{SntS%X5=5mbBPQDyFHHBP7CwGe$M)AAP6CQA8kV z2oUexBm#=n>f_Hd@m1Ty`EkZlMFF;yP`y2L<%d5UtTVY{8}tIL#$}8{>l+MA#t<=Z zS?(Gs7Xxw@&hj@&xTIuO-D2uV^RudH(-)wQxLg+~bLe}@k9o^`$3WdO%tYlc|H?7I(tKf(1e)G>+ zveF*(*<{AD5v6md5Z4HKaPLd@5%@L4d7N*myS1XfyV7#(TacZ|qeo?k?_6tD-EQ?` z*6(eIg5lB%TsbA|;uY;;yml3<>06W5a}LBGRCkwEJ<`(B!d8E^yTUZHa8)sIIIv0x zM$s&9z$hALYtUp3-AK#0abX>2j8xR;BdtF8;Xs_*h&ZRDdUET!#b6+-eR9f(O@?hh z9XoO+@WWnHl}=0-hPqohGB2xQvv7RA=GoW-H#&d)b zWH{8h)@`DVY$|XQQQYM49*f6|O{kX%X|{wGn7mfZ!5G@LgZcS|rrcUR zB5YvjML8SZiKtu}-=4Fb&%`QIg=y;UG|Ou4v8B0}C?YEs8J{f=UhN4+{ldPtzr^)c z-VK%YpK>#XH7}}t%F#II>{%9T7^n%am@l`=iD6O|OvEQdPn&gW#*~-it6r(RV)RH? z38pLsw4ZBp9&Xisl@=;{#&-jl%i39m%byc79!`0s$cNmP~%C)2M<7k^2#eTy5WN7$= z?yr{qJY*8X2&QfAY-;1zVu%x+bw8iD+Wegiow0Qc+hg1WUUi3bb&;=0XG4G8m%62B zr{a|s{=GE{52mpGg-SveT}xe0BE zrptPdVuqkZf8J!WYkD0Ub#mcKr)Fho(IQ18Zj9ZOv#L8%@X{+c(Yz z4`7Lt@oTN*L-*gV1aTXB9P+mxx~&9Sn=uwA7xW0sQ1R7k!v0W0>z zQXXTQC3Ub(H5M|zMo!;vP6UmuF8~85>Tzpz^^;tZb_#fORquD%Bqz~h98u_u6sabYZEF!+ z8xiJ{ihX(2!G(4!$!3Mr6_p9Z?z<%?u*wMg)+!Ka6g_P<`bDFnl5-{PF+g?NQ=o&T zTF5(Ju9L&U!tARs4P+y%SU8R7U=4n&X-Gg^6VmI1m?9U-&N1yj@>rn&0)2H88XhJU zJ12C<;4L5OMX_0%-H*IY4@9;BrKpvNVT4KDGk^OlfP@mWg)3?Nac6fsc!$b80%FY~ zIOSkPg;=Z)k+#vR9%Qo^vPp$_tGW<|ooZ>%7(eT}yV;HTMCL*jf{_b0<<`d`kqTT2 zJ1M4mmu_d!QFyS7+-Rcfd5JgXjVtF92P&m<=?!~00tRb$&E>3T@|Z9&jGyI8Z**ho z0{OEaE`MIk8IKMad_iX=uVm6H(~NFC$`EonWNVmmXzn{!O43|4T`{}L!YALdiPi+- z&SyV9ksJIV_btN-o_njX!W5c{C+iBIMM4Ep-DVp0BWfs4{EQp+1ql|XN^dCXd&`1r zM8d)bHY7Zo5(@~y4RT0j2DP&30|Vyll9UrA2Lo=~bc|{mvrNc1nDlM%0Ir*uy#B~} z4wFD==TP?d;~=wL{eo7mA6&#T`oW_${m1zP0&x~p1&5SK`W!u&oLnrF8-!^hCE{py}Qa3?9bkF6hyQD1_ukr5w%#a$iOv-uzWL7-&@25#{G$yA(0s*ukhG!7H{YRBxztg> zH0ZEvt6H!aGV5#-u-&4Auro|Q_G2BUfZhC;AGJKuEDk0VesuF ze%U8-VJ(g-7&R!^-JbOb&@ZykA{oF5Su1;7U~Vuq6W;Y5%dpR8wY)1LB3LQlO36@ zl1M;qwR5Ce$=$e_bWr>IHyLXoGR_QER-`RQWV|is_cSCSrOD-gm9gpg2<5F0$Qmj? z22R=OQrsk11i=51iT3kge;zW;U;-Y#vj>LZd?-!u8f&T(`b?Z*Y<8v7$k1kK(NnPO}uk zn}=~^nDQ0G*h&nQxi^oCtk*NXufE&Zo|)a5;Y2%`C6k6sZFV7ykOfi|octV^$5x%3 z>}6;Rivwm79_7}z%4q(xq!M}tEY##DC>>3Hp5)Km5bNx`lshAwa&l4L`;KhilK0I! z?>ZcXsb|KGZ0j?_Ik!F*8v`bcwhR}jr+$;o(D~^w$!Sm4j;wf3k8;yhiY}sNePdZ`PQ+r;nfC6)V^U#g0xm76_++(!_iasGq!%= z)Xds5W_-zqyTQI^+vT>g2B|r%{auj zYZW!LY$}O(%N~WpBb@g86Bt{BSw3^%T#D*fMirBVod`PFMOg6Ic9wl`Ar32fa|fKU z2A8dNxApEQHudz%WZY_QSC0h8;nayx5O8vhT?P{aMnkS+G_H+1867Y)6?;s=yJZ1=Tcc}pPF(D|5gP16 zmkk>E@ynZ{geN&lPA3qGv+R?=P+5dcDIz{n9(pewr%`eduiaSmOKF2$!TS$DIXIs` zbR4=T)AU^hav55wZBD=+CW=W1A?-*Lpt=C0ltPGxJHL{7+rWHNh!RWZeau2#?kBtr zyn3I%_vd*}W|zCt<(2IaXAD)~-* zKV#($&RwB+()L~q2HEbCL*_h2NI~t#(rcV9fg9|67Ai+~5i6l4#=BF;Iu^Lr;KX@x zajZVYV71YQ=sEX*Ka=*;p$a!F-8FkGYm(m0-c`|iB@Z|kJk1+jRT!s3+&nxgOC2$u z=_Ic9ZI0{>2@k{66;YBR2xY5)LzyH7&;Z9)dw^7kQ%Y07KMuZ!NE^LI@*~zQ6pOmb z^`Yofo}BRIk239xXfJ_`SYCYt?=eeZ*W7Ky)11?Vsf9A4rwK}@+I4^3VP%>f{yN!y zP9jRqa6DZUo8+%8h~Kl-=L;^+C#iD5%Ovfr*Z2u+VZ{F3H?;0s*#J1Xw$@8A^xSH@ zPSIoxd5pD~qa7oYoZzG8y}R7FN}a zne}bYm{oT5?W}0-t{o6a_s0FN?sdU#06V>^pSk!MwhB!bnsvKt#n%GoVS*VNlw3VyDa41YF$}zhdJCoa=lp zeE%u>i{B(n6@Kfgt5VONovWW^?JeFc>Z>;~nO3P?-MOmL*A=wRSvsqQ#$DK^Q zp1iWB(V{`|!5mhdX;pV*c^vL1G=CpF&wcE8`^i|T2fpx5kj7jCnROJEL{-Tc_pRiW zr@>@>jhkD;6Op94A z8jDj6$Np~|L)pa%EvoK0{M%`ge*EJE7X^HOq5Zr0q|-7H1FZu;qIze7QqvDx;a~Xbi$r1jkoVUyA<@F7#$FqQ8-sadvGni~zRM zH!4pJ$}rwA#_m(oO0gwLZ7-CX|&=7xi)$*0XFn+%ENlbKQ zQEtES!e2Qv>ALG|%Z+qPQk<$kwJgmnZr4X!k)1n^`V>Eu=gHK&A_98{9?uvC4v`JZ zhTHKn9aeVoF+5L(*F{HhRkpd+RCqi^{pTD5i*pvy=|BWdeV4Hho*W+E8PAW^C_2w< zR^_RGl(26+&}q)WoYC`|;+JrU@yXzS6yb-8UbS$ElX{?6fvb+9g2>=*wZ4Iu+~Spv zTh0OpC&M|;S)vurcNWnNkctypv`%6$`S8+SRlE1ra_MvF4u2=i-75h%$FuA zwdwqCX-*zj#b^j^Xdid8Ho9`wrF*IZk7?;~eoYl!Mn5{iMTL)V4So7s9eC<|ID7LH zDaE$#pL8@O>`G;$8|yg5)wXwi$RDWkB-ohf)mm3BxfxlwU4X;8-DpS7@eLa}eDcxZ zllKmvhBGq49x(e!@Iaa9vHMRXQamg>Fb!@CFaok-E>MGgktJ_R^f6W6URE}uB*jLo zFfF;hN$vg-Cxse&;|VbL&{_S*Byr`Hr-pK>e`)gKNRQWJl!9!J%Le}W*6XW7M5`K1seCOWMU z-{tDLg>NJp!VdV>3Agq2vI#rhv|4b~fsuLRfMsRXPMQsS~=E#MLfLFS7?sf4cF%9X%g8`Y6Bga}<@7y`yKhFY06ohwpg^ z(0M+1Wwi5!+lTjl3`J${@bVKTh9)8tk14;p(zrzPgz^8l@q$W4PbpisUF9mPX_DzQ z)z`hpXRXJZOG8DqrUO&$i(&bSX-I$LPD*kmakxhE8t-#_`HLbB_gz0p{FE%PRU39H zxZhf=pJdyu{E|7NI$_Z=Sb6lB<h>QAiz<61e&mx!jn4CL^?W?hPjC+mi?<&*+ zmEuTIT_63Fu?`(yzH5iqe0XN=JBXKveq-?@&aF*1B}~aAj~{1#eOqcSBS=1U|;{#Sw9vd}M-bagSQ?PQzs<`=MW4R^e_ zAN}C)i&3bL;>XT2&9(;)r6s+hI#)oaQy;=hmY$D;!F<7Q^gOc`W-AoLW?i@aacnOC zI?9p9JN%$e*TDSmjBvM^xw=G(%nGv-3$jv2)>21pg$O$db3Kl?dShG`5_zgN$}&cf zipujE8cQb@B9Z}pHLhXSty|7}mh_K5PJe;})~4G?2A#F}H72--LGvM!C(Kc3z2{Rw zZ;qavttWxIazb~=YA5FO!bCx^hVQx`(eXaSi>y+)>o{CFduR2b*ykcb+r)Fpzk3{R zG2URT&nEOlq_P-H`oeuj>E0qn56Mzlplww;WvKl11BXY_&uj0&wevWL&7=vGX|=0| zW3@Ztn*-W|%x4hf$}9NyuHFDIR8;re!=TP?m#W{WTjHQ3S2|76@@$O;&g589X%OuWYAL;D}Ev-Xn#@YnShOv-GK;Y>!XJ6 znJ+|tbFFun?-d)os4b2zT0e;=&=lHG8o8w>WXno#SeelGvb*W3>9hPCw&LLq{`=8~ z5djrc#bQw%m@pAxADWK({^$U`rW}JIFHcX;ivd8(#=$?4k1FID$0=lL$xIOZ)O!24 zm#O^33k?nJwYh;!w|mbjK72e$w`Zz$r^RFL){~iN8Bvo);LHhce}Z?WuCEFQN90%M zqW_0>4N}3Y%aj=CmwSTx9xgfwPxjrZyzR`M=Vts(Fm7UiqS5}tyf6su)^ZQ`&T;kT ze+VA_%KiG}OYxV%TO-VOrClw(Yv@wxKS3~}7%>xG;2p}l$~7y~W7V#;+Dn?BrmPf1 zyf8&dEJfCD1(0Tgv)ohnqXW;V3JzUuOKnUmeQ`;tAjBg-uRhuzbZ8E3v%ew)uoBY= zyUJVF$?I=jxWjaK_2(bk2i-H9fO2x%(s=VK1IzB#BJXapY?6XdS{Tn0Nxv_sNc`|R zW}>xdEERJ%oAeIb@!}S`I4R!{yW(Niy!^m~|G0mWV2DVyOg5^0v^PJ=)_un7p&XgL zekcI&=LcrM^qTjMFOs>9$&|@%qk7sjFQ{NE z6(7=ccc+|fAMgkk9D`Ry6x?Rm zcX0h?$04>|UNNyTs}#^2m1zWkc`SCmQDsJ^F>3Tl=3u zj}6}N55xq7f)9Z4rBBpUaZ6i6R%Xo`oGY2zV}*Io72JC}mW1DEJY9Q^K~q$>W#h{x zLmewLz4V-}@nun=qhykV_L2>eh{eMb5j~R5qsY$7BRDb|Yd9NtmQ%=|< z(!~qxkG0tCi4(22_U@Oz)Qf@A${=ad6UW5ZU+*-LdQ(%DcKv&;1)Ig-sf4!Y)lXS2 zJr|~UjCN?v{UY|XidnH5^}z}`uLf)he>a3VP>A?P5-@39w|>TW;}Oek97H1aI1mv%-2P|v;seI)t-p3}Kj4+E@ zPuabnnv%b4@}whF3Lcy*GjA~~{GvXQ-&;eNu5Y#V&nK?P}g9DHHldMm9YL0fJwN5;7=z~rd za7##d3V0ADJ^B7_T0;UNhDZpf@^ojWa1LO19MKw7#A%nIq!cW;_}|ryC-Y#xGpMbb z1NJVD7b_LOiap{EPSdPABB)i^Wa8y&mC1n=)F>c<1I<0O{;wxvXZ?Gw7A4N^Yy~l9 zx(+i^886CgpB|6bh;qQ$ePOGo$KYus)h80Ew^g~KWn;F!FcSYbEnJjyeRwVR0mJZN zFF*u6>Hg&%#|x1TU~8 z*2#CeVLHY7bIIjPovtW7Vu{f)w-O>gpi{Nc*wqAdF`0kMZcD6;c7)rD)=9-fox%y_ z3A14}FyRLXGc?K<0MjVrwZh5Hx z_0A4LomEhbYdhl(0|OZ`;~Q$o-;U#jAs6upHL$PGgLTxe!T!RSnPm#oO@PCu5fH?U zNPlu1pJ$9xx@s!)S<9wEGSU=mYXR~Um;2tS4P6wFiO?u8=4ZR_h-p_*0s2y%0 z|5`<`o!_ZIgIMGm^ei+Jh?IAfk!zsCcrGi{>}z&6YY<_@PP35bDU6Um58 zY>Q}Q=Zfm)q3!WMN7s$m9!!$M9azjM+n5d5G;K=N-VI5Nl}h^9qZlGh9jLQlxH0)yPn z%dD5-C{*;DE?lwI^G=V`Ruh>GnVt^ZrEYuLOsd0vDFb@h8nQnZfl+S8#!JVA2L@h| zNm1#c;A}&n!+zwN3n+o|BX4btY_d{ri1XsKI-q{@-x^Ui_w?A00ds)GUxB#jLAq4U zW{RsOEfSsiX8JGZT3T8f?63l{b{Mrn3zZC^;82Q6hj7}gOqZ?)<}}APtXHnrc)HQSP1Qe)LZ{kbt=>N6IGHt; zFrTwLfd7b3?WB8BP;#q{N7mC_etyaFu!KzJpV=9SzxdwZ@i>&Ce!D}1!if#uO6
tP`;RUg>t>OJ7irR?1lWiCi-%5RW|94j*j_W&Y?f4c9~FUY^)%IMxC?=5y!(1kJHsf zMEvM^^dqWoO<}xfZddQMbqYAbea<}Ji{iO-0ExD*yj8Rp zF`0O!Ju>!3{||mr%LYi9zO@!#bZ<;uvuBsUJsmT4JoWy-Hkz_yqJP(*$-xF1s$uk- zThj4Zqx!MVjb;_?wrAD%0dwtP98++14Rr-MSvSv}`qJpJA*_q3DeQ2cfi}GRV!ztQ zfDLt{u2=NGw^#eHZ0?OyrxZv|geOSHk;cq_SWLQ9@Fz=|!u^%0?K@MjD9W+&>lr!T zxOKu8qns{HLFIhYX+P$Hni0kVLjvMt`Sj?P`A;38ugczI8}0}R2{~Kn?{P0{LTb&? zB5V_}5gBIsKbXaXqBG$_CBTQ8xS@le>p?Ci@cmy5Z2}Cf>R$|v5J{94h66VAW-Q0! zgvoTS_>pE#&}Qa^ZB@KQlhiYRU>O$Twd!!$@WxI4iS2mlbRN|9^BY!RpDTS>S*>P$ z=0lj6m+$aFHyj-<9Rq_DA+w&er6sGI(6okz<9{f@>(?=;6rzE#oHiR?d81mb@#8C9 z`dBu-RqIopn0q#M-%(n#{svf^FKMYR__w^E)Ih52X?N6y9Np8 zeSLzuFAOm)CXAX*d?(P(NmsgGHL1Gh#FxYC{K0#y69w8p3I)ebp#ivg*)&HR>jxrg5sPZNOli@OvwKE|A9?G|g9yRfR!|HG>u zJ5GFNcx)_z$Kh0Go{9FC{F{2xzq0jJS$F$t0Rw!WjENSsde9t7UvLpy4`GO=&-&Gh zfr3+ppFbTBS)7Ca`kfU>Ytso!eV7;W>vj~TEd0m*V3-~zMp3)8`$a&WiT)dto3mZP2N9pbOe~(5^~rt*uOVwI(St(>_jB zD-Q8(Z%JjDi}F>G1S5KQbv2_|w5#2`QB(FeW0&cUXf@)-PJbFVW02YegT;UJu+?ncHU{6zZ!QBt){dV?ET5s#{edi94 z^KsNi2y_$8 zP(?+T-__?%i7wK%8|<+SrcuffcR<5xLnF36k4MeGz_9ef52DkPXJ-!LAz;vbV*SvT zxIdy&Jn{=w)GuV3@(CJ!o84m?0C;XpVb8URNZlxT_wK27*2v_q`N>+mN75v~>-=`h z=$d1ne|Ir~kHimBm$T}<)!j^J4mEnGv-_&(R9-xSpR*o%Ri1=|&JN}CI=jVKklB5= zGgvw(Qo&8iW<61j5f8ese*8tNR&ajh8pTw6xJ)*N$v3v^WF?cNAVV2!9)43M{vtXp z4NVL1g1l(o$t;$qIvAGB>fT`z`dG=+V4`!a4}TV4{N9=%=XsIJ|Pu0`-Ky7B|fm@5Y2W7*EVuOXCm zzL8j0*%-qs-nu_kS6BZne5bj&dHTVNgWv*$+eY#OoXW3<{?7h7I{QKuSy;4#XF;HV zV|K4)!VL7!M?yx%6DI#o=jDwob;Tn0tHr%K-w@Ar%CT24AG01uUoh<<>KiI3F{q6e z)+ig%S53VWTp?V4k#sW}u11mk=Mc)3vtPOFovnju6tier{9X-|I!f@c^y#o^wZz6I zkC6VslUAsv)16VdMq}^%VP9tEO+AbRh0J(1KjB`K1rzvAST?v71`6dPNW z_{T{|Ae+C2g zuHNQa$v2YCX217iKf3gblVBE1(G`!@g&_2y_syR_en^D7@=4Hr#5mN3S zZz&u6^rG4rfwd@C(2jm;Xp@^t1`+Oycv2Dql!3i?9uP+z1; zCmyOZ%o6hlu@PjdDf2==vHnLu`Cq&h<*iSqI`mz0FFXWA>TbI-xhbTJTh7R)|Mtg> z>P_)4zmORMczRR+Js+2tip{bNCfLXX*od0Cdh}hr?%1Uz<4qp<10^5=E1Vn{PBT8# zP!eYp5B7!kdim49))^Dvu8cQ7IC1K%;4h5^z*;^;gaj@KMI*=K4)BWktN!=JGD6A3 z3;#^`FKi1Gb=-_Z+?DA4z?i-0u`vkIV=^h5(QsH0nF|_qyVqyPX1Vm+uky*U!|y+K z{NBkxeU8ngX0gnt<>)qR15O*zK$Zzs(_lY0=)0Ko(v6V04g0~BFexz(8M}?oRBJwxy;$mmrv4|`L{Ns-JLjX8${f|n z)TKXf6g|TeZm|U;nl8R}VVNC<66F|mex>>m;NVRn=~i~Pq3gC^;`zh4@F%T3{rdHy z1?kx0eZ_1&H_dFBcdq3d@S{sT4~#hz{}p)usS=@vNG20Gv>T4`7fs{1xJBNxine7 z?^z00cdz4q(aq`GY~nH4AuZ_1k3BOZR}4w1uAT>BH@PM6)9gZAi2NE*n-DN;56L z8pJ<dfE^MQ}%v*>U|nj3OxO{8mlk)qiP>J;G3BjYSn2k<2HW_eMvdO zCUyo1wZ`QO?D7TXX)}3~w`f(1*pmrODz0!?8Y@*kr$3b35s*#g4F#gxpVP*&8*hm5 zrcPf`A!Hi3*?a2Ogd+@&`BeH1Bs&Hxf!4q%x z)woQkcxM;=hxU?y4YNfyZw5m-Aekm%IwV0b(0=r|Amj`V#aqtMy1lIa=qURSQ$l3F zwPnrpvVj}X(5`TZp3HsL=}4m53dR?00Is1zgO~RuLpbl8X67Jxmj6R z4K{tyHyKL)f-U#e^|a3(T#ppO!NtR?Fc|{K4*r3Pnp(KlpUG8I2SjV#*y3Fy;7;QL zrFa#d578jzw*OYlXLOM&g6>);e&ly|#KU$L@mMa9rvHxr(nPE8S5CneA1k=Aa8*3rCKxV*YK4%d9=6zP05E3@5?>1VGb)WxXj)*_QRE~C>FT-^1|C;>A6Qd z(+}k6GC^Rxe^fbuc!-hJG9M!l z_&)VN!1wo$`#{X(*3w9H#1eapg^B?93uG2ZVnJ_w`UW<@*C<4tp;p*w%W?o;4`Vc9 zU=kQhzZ-dh>v_Mi@d}^K4Lv zAB|Vi&VL#C$ks&F6D4K!Fivy+^5ScW*jb9VOvw%cQc%IY*@Qt?aDL5b zYB&^+8fde-Kc&uJzVx4dmK%JVs_i=e@?^W5o}S*4gO^cMbL<>ajt}K=AcdoWpYZx` z{X{L{x;ohdymB-yvPJZ#jA#y1^?5%QhhbdPx0z+m0gF@c_q#vjDM#2MJ3%(TKIzzJmKcz_e`7LzE608XI!2Trg@QsMw( z0=a)rJ@2jJX-*TW6LLbmf4#5fsYt{QE1$x0f&9|0GO4mBnN(`u5EFSO}Jlyd^0i4qE_lr7ab1gx$7I3iz^DIvwKgk?2&b8K_=T9DK8H@$0IV^IojHG|*z zN49Tpn7U_d#=P<@-r1rv6N)irc6HN`<|$g5t&B1Y_Cd!OWHtPeCP^(woAOz-m?!>x z@w-=0iwwvz|DdothwULi6bVsL z_jtJ!JuU+3{RHAKF~i>PBtZKZDDcLnrqYk5JwnS_8+7GWb#F+NPdB84 ztU`xveu%>>>eLa73wl9YNc$8LaxTz8B@#!!=Ip)`SyP5oPW~N&AdJtgSC2F$ssIKH zC&>7iyp?ZZZQ+UWH4;lBz{8|gi7GwL!kV3Qby%ogg>EGAedWv1XD#>SClOzAYT+^4 zp#k@Ym#obXwWg>RM<$hMN}GSjWPS-r^9$hjK&9Crl@tJ1qI8ng1(L|BqW>X@oGS;) zEa}3C!SQpaIzmeNxWq>5Ls9_p{N~zS7i+@r1r7@s789Wm-!uDZOR7YF4MTl~fqdc_ zL{cS{D9}+fKR@%!zZNCpfkzv3%YQB^LyD1r*4V})KR*l#07~THe%ZGON?fZ(=k-nk z+AU4`9CA?q;~qS@c1(NF?aM(oZZVvesXCQ*+0T#QA|CETkHBl&1>bd=MO)-gMB=sk zRr=!Pu3&2F5exCF4@sv`_T-e%+*Oe{nIF~BPF~FVWPW2Zh*WvrOL`#G&y3H8S-tcv zmU!o4ndT!FvzhJ&-zduT+fU}zHf_ z@S7ravt{^7uXu8V*H{nEu!i*haEj1$?el|>V?nB&Y9rOB%r2_H`2$2Nt#xwzt5Z}3 zIbqBt%&&UROWnFny&M7qVWG0j4>edYF+VTe?53{G71|h_zAo~(g7Lw&{KS@@mp@)J zTkLE_nXi|t-7dSqME>GvZS#9HUxc#J6*+JJ07yI8Vb2KCYv)1s3WU{gS8$SNr4 z5UZSHru!F)e0Pr`j{}OFOUGx&G*~*LU1umP_F|ljeq1Z2q(WYYiPd7%3y<9mvFk`3 zu;Qd-W}c@KuHqCmZ=^?vhwcY+WgBa>@$kmmZUBubiXxS!*u?|_m4)lMcCCL`H)%fd zyJ-5aa(tiu8qfou#+|IJJ20Si2h6uu2NFHl@&2`N|gGEM(%@Fc2O# z3TzO{qo-b&3@;pv$ZgshXjBREo4l2y$d+c*@=U7F&Ht7rK3n}~VeQf16A3A8&`AI3 zE*;LFVD*>O?YzFd!#OrVn~kN$6S!98RxefE52Uxy<}w)#(vz!ui>VIMdlK*rnlIkV zgA8utY-k??>5VkYA<}!~^jMxQ`8=uk+7G%Qm?lvZ1>eYM}(qQUD zf`R0i=!zLH!@&1i9Q$yfq94ue%U$s)Z7-)Zq!T~@Dcm5iVJ^8%0ri}f>P(?;DZb@4}7RFATU&a@d9ZZZi#a%`*|*0 z^eP#NFD24&*c2NP{L;LO*Q8-n3~3%lnl9pofP554*Ql2T5~XGF)dBbS7sXjqeQi{w z&bso##%yJ|>D>$UW6thcza%Hvs2bC^^tV)PKT>3Qqc4yKr1w%at&>G6JP1(EW>!^L z$;p^H12CYSMFRIuq#~ZMcl;OCMaApOJ@0>fvgMJl$BB^`2xK+7Z_)<~fUcV;Z*MF& zLzV3l8|CMJa|wKu9|HmsfC{FfqbuD|H*R)JHYC7NF{)*P=A>V?kg_@fz`C6LUxL{p z_Dj#ROv2eI0K{TluYtDZI%Xd>w2#nrIDDMZXTM_e*OWI6yNwWPC$}kwvVNAb6|s}xrs&R@WlN$_lW_Rh;dFkJlx>&(KBra?5)fLSl1 zrVcYmeLa=O_)=_mEoV&QQcJcv(@zP~Cg1khrTw&_SN2?}n526#GBs6vcde2O$ki&S zXw+0yi331~I=Z(p7R3R>Uj?wkA<$+8Ddp_>3qHCADD!eGHSjiKo&V;IOD%hriQ>wg z=kF)lQ&dNxdy0`a^S`7XyCEBnC?neMTABAq!zw#ngbOGOO;TIP;r@eoXt}b41rO}6 z|4q4N?R{t!wV-=2?Hta^2BZ#?y;ieKNFn5s$wX>m*s;GmjBm3cl%huWV}!z*6H_zf z6cqfwe*Ma5KBwlra@_Zo(fnbUOI3t6y3QbCS*N`NLzgTRB3}H+UhYxuiqce$nk~NL z@ZL;%nJh9mJ@Thhh1KvvrPaby%}xAKqhL#K<2vrewI)(uu}^p&48%hX5s}3^&Fnkb zf)n}JpUJmTn#Y`xE+7>Df$vV*px9?rHFkHlmrG7y-<^5177q))_F%0Yl02B%c3eFK zv>#8~cXum6kyFgV!ovBIZJ!?$#uJkB9zw@9bv8g_qz3X|Cv4G3!iHx9oMuEe#!v4n zXBW7mX|=?E7hE2{atazqlicsku(0;2{rpd2Z;LY3eEw;WNt^tc9=~S4SHo4Fi0YFC zJAtlW{=2UCmyG@BEwtiAJ6`$WazuD|xFlUMtnFZg5Q$KL-E&8|8~b(0-8j5xd|dXW z9)*D23qz9|$<2|k>O`7+WYjOsyTms?n%H{05P&oD>jsB`{rW9P=JG^+BqeK7sHhjd zs5DiaF8UC^Y8|KU@O~8f@UeZVzj<!AB(si+r<_7}dcKpESqh$RUBK)0_yfO3gp;Fpt!5p&L!TTd?;%F!_e z1qC_!KQtfxf;_bWpPblY*c00WX(GAvUuGWRHe`J|#*0u9^jAE|^a(IYRY4RCD}KH= z_?~QqiJBdXxh*ig2Hgg&Cw|djA3nm7;32C_M0WbjoEL589Xs&|(4bz;GZ-BqgO1!$ zKCexbmbjjJo*Z1@20Ah{rG68B=(Ap|m2?sk6KjX&trVoQqMPxLqLUzs{_*-j9CG+=k}0* zrpTq5?>J&jWg9UUF9uoo|0lov`ofwmb~V=SE@ODm`2ha?41 z>k386D+(1Ibcf#Md;m9qeMZ}@wO^gE}?pYIG?0R}H{01F6PTnJ zlL6Y|!Ygd0;{n1_2L2BJt2^ms{HHtN;`Bp06JYrOt1E|FK?bAynN1 z+k48uf)vYU*xiRFJL6Y`{1SY?%@G1U$#>#^hgR%;H3|Ihok@36R6laAZdHRQ($M@! z$GOjObzbCJ_PQE%yZiL;A9hn(2@ZhLLow|vMRglq`}eT9~K@K-3pgo7(^;eX83 zF*wL)oc2_-&LnRp{;e&+_Hbf5S57HAmRoq3CF8mEvF;zO2|Z16c-BLH%8PYxUek)% z4yet}+K!D!zVIY`Z{{>`X`_Gz>ZD~65%n@sCoSeWKQ}fu{z#OMcr<+XZzQLO)j#?d z?)Pu5^w>K6Cv7`qb#~sfS?uS3mMB6C9UwXAW&VG&dGGT)CEGcJ<~0!HXP)(RiF0Y5CYo`1gZGXNWofwIx5RQBZ>9A`Gf|J2*3~v!< zwM5Itk}ycv9RHrM>ED9f&7-p=N_f6(bC}(x7iOlXKWkq?8hlvzbo&n~^v}q)rG(&{ z%8Z}u!~7{U@BL_D*e#MA>m^Po+t$Y}+#gX+m_uT?!kJ6-S+VLf*c6<;p`TrG{mzL0 zi>CLZ*F+UzVK<)jZlYHUetmzkMWs6mrLb)pyV&jH8vLi^8zKX zH>~ky0APDfR6&&-_8MPz{@)th-$K%^+DuK4k1cG->7s5X>*v{p)A=#Xls_ za6PHlOZM`&1S)^N3X2ZU#qT;eCR9)9{aE|DNI5m z2G0~IYUYt$tupQ2pTvDzrt$3|7-|9u=6jg0$7Mk`m__S2!=MSnQ;JfY6!i`LKfCIG zE8-d1FMs0tMUp7M#e9!yPv;x=aQ-xoF9R+92kQR_?0&*5i0X%Bj_W_*-?ySZf?6zG zz&sk9T*%C@|2tcwJD&%g-K94ksmnVM(KYD_F?L}W%8|AX4dJhsE8ZU1W~e@!RQr)` z{^9EZ*>x9z^PCT#$EPpL6st`886!JlATYeq^(UxJK6MZU{(C$h@gQez{7X}P)<691 z+mG-avco@dNX`I?DfhRtbq|kFnq0W5#q*Ew5z?#B;Tx-aRu^w(bcIA=h!F*}K7d+B zcSA<9G80hD-^Tj!gXHkpj~2(M$aipM8YBBi))!4XKdy^2TVVQZ6e9wB{k>_d^|0K& z`A?SGZfir!^JQ3>uXYPpDJ@_p3-n)iN`3UG|0I&Xpn`>@4rnrv1+QzoG4?-SLJD6T zCwnvAKa$Bj& zBNJ50xDV=xPQtO09cfH1lNRn|#88olS;pm!-^#7Ei=?Nq{-T=pw6o4G!61XjFT*D9 z*?!Z%q!pF9lJ-lzPw#Dk7KdF}SRJ^3e%kYljMBi&L(>|v%8z~wRJuz%hQEPv?L6h5 zdwlvM68P%?t5cc}Z42usDMTTvAAZyR&aGk{8iEL;kZ5h~Wdo;!+uon!x(!T$C) ze{9Mq-FiY-c%rOeA@Nq9Zl0n@pFQnMYdx$>**m4gzLCvdA$$LZ>&2zs{f_qa7c}8E*2e5s z_xjH(-@Ti!-we%JXG1@K&WTXRnzzUMI&Lnd>jjEl zNzs>3kbY6_vOD*ZIl9-}$UqcOKflLMdGkpM`RQ^TN-&0)X6RP;QxA8z6LkW zWuwm^PI|sobTjgb+3N-M+(?-ipo7vgis#sXDHNZbH;_8Sx$1TiOlHbQFry591BLiB zWqc%W*VX`NygQH!YL=G4u3j&hM;AJ^_?;5jUhMOyVI$TDMCboXm%ix1Qi;O!R*09H z-t73H1)W?+slytgU$?mR^AC19`W6(oHrUZmk4NKwuYG=W!6D+NAzPj)vI6Ct_g{6K z`(0i3W2EK1>)@j+hvy1zraMOblaI&mkNDfJ0$kzv>l-uZQ7ZN~2CRI)H8h-dnKg!# z^f4-6{v;w|sezszq&)_hkcMLt`!}p75sGc;6v?)Xu)B-Zh| zi$l_G%znjT`eN}~{;&DxV|LPBF&Ia?IQ6QzUxl^yWyV96vh+p2T=F`mLFjdo01#gM z?q{6(Q%A{u$Mc7BuwA(>^)zIHPyOc~2rmKG8N{HJ0%nnj zOBC!F)YaaDlCQ*zH7!rqVV=Mi4Qd9ScC5KaNoQj_oKv>=0f++U26he(j;92G>>y?s zVDldXK}Nb(s_?EgPTF@4VU0i%PQ7N2=Ppr7iFo15w~DO2Mz9Hp*HeD(?5IZ&m#%8& z;cmrY$I4huSCO?r4~POX-w4@kse23A*fXAA0AC(cMb1XGn-gIYOkgm>*Cd) z-Ju0W)xske?8$sKiz^8k!)Jm#2NzrKnrv0|IDiclz816p?hdRO+9_jRt9*!*>-$1_ zBY2>8q8je~=tFB9dJb8eWG`>&VBP!5T-7*CchE>o(Egp%ElZz~&J2E=SRlC}oV2JS zNY|kI-iE_Bx5TT>*iq7A3dH2o@(qw}4WW7i(RLR*7)FqE)m%{V6&=dsEE&8eJ#M8} z#62Ki`i3hES=Q6^XETP{7>&g_1Lgu*?@F>O-#PDB*(d&6}X^B@|h4k5uD7b{7Gn@dg5#zQcWRyz1%x5Q&ji z4f^>KS2Lxs!`$B%?4Nb)wkCYfD}Q1?DBJi84mPk4eEDhz!zd3htB#j+Uutn;c{Y+~gh!_w z4!Z`=J8*Zkl4r%pDPXViwWyXDDD{G#Xs@Tsx z0zFWgXB#iW(Z)J072SX}z59}q9v2m(sds$*GAj-oE7lPW9pHi1PyA1YF?WAd1?g%K z1kPsH)8dwtLMt^_ORRV=LGHa&w$sK!d*gfdnk)PObLuDG)D7j-d>xJn_rrwkbWq+u zHZs@_SDU$GTsBleJjTQ-hAh{Ol)J10(Bd1_y3IS9mig$bwi}k+(kiIbSxoLq8*nL# zZGS>6Z?mk=xJ#&_W`j@0(%tEbvxo4?h;&Vi-LL~6%ftg~c|?P;8@>J2&mz1~$R9hi z@Fz}ugd}$^VM2R9&-+IUpy7`A&M0H-4aTFpsl04=(E+a zmlY0p`pNur)~1IJnUYKll4SO~nfRT>V^!T}Oomir^$FeLG?s>31mh&*_zReEB`f71 zqSQW+ZIXShip^Q%W&1R1gar0|!4LMxUXF6)fSO3jG{8lzmw~r|tcYIVp zDE*PXgOyFG}B6~b}vhVKdbCr8PIwYWsg^gthM)6Cy9Gh{cyvF2(=X<#?k$@&}901BU6 zEog3y=DXA5>dXsZt@b{?JhXWYU5(>Xd0(>-{oKD1kW(5+{fB)8VIv8)bizMgl&;6v8Fvy z9atob8@X%$Ux1uDh{u+dM>y_RF5}}=XtB!AQ})q~zBW?c#Y$j2+|&waKSeeCMr($7 za$kp}oNFefPkCsZu|$UGgq%D-U$WZ!JwvlZO8bIxi(@Y?KK#3g^)#ev|uiZ~{j*?g)gbJrOq8(LUz9{E`I02D>Vm+K z&!8nzIdpVy(+YNqgvAG##-%JfUhp6$$Y)=%c1v?=!KR1VsSZDraXYjQC!M)u$^ci5*fY%_#ai~ z*tz3j^UrjA{L#mf{0m|c$91-)u@Pr?n~#bfN}D5@&|!9pzOA4XDTkoG;I`+o&7!rs zN#PR}&q-8K#gxR)QS)jAjqlZMQ^&tkr;lXU7w2Dal7#a1IUtyb zVtNX5ApTN|eecN@R?MOcijyQCxERaVyu>Z6{M0m;+{0>XL&Bx-tzO;Ec;&e{zgx57 z8`{uc@(-dsCGU%cr=Xx=s^l_Hqs1z$QA|^DgQ%1vX|)N~ZxSHqdQnn=lS4yze$JWW zs{NK#NlEF<&T?GG=yYXh$F&vEAw5LK8MD1y+eNh@t0oS3K;_{6qdfpx%DtG)pV=iUY>QXztx_W*7Q=hl5r>$bPrCKx!^wJ0)9 zo4NnT|LC8=3VdS|-L19EVLv9W)i1`Xk=2az!pEYgN`>MNo8>-(3+z~NcaH1Xo|b`+ z-`L9oSqjjx?$YPVg?|~edHp`3bwvFW*6?r!_Tg|`y7={NvDfc~{Y+qYjyvODlaRDdS7tZ9} zmtB1OR=Yy44u|KPmN#a~GF{t!Xq+PH8|h3BS&KyBt#V>F12GApy_6zxNu3+!tS;)j9tXq z)m#etE&|aJK*2(Vea|Hy$jqkqUsdrGD%R<%+Gi|b3bAjwa2g+Hw;C)7#;4asFZs|_a$!QuxDv$!c7t_(jpLx8!z-Z|&^QJO}NmT}@IO-zbfw#C7@gtDeACmT4WOr0?divzMEE`>o|2P+Wr|Z%Ij-Df7w| zSs!BSqke5meH3;$yI_|hGgQm*X@$Q~b8Dpe?PMpdPX)600m->?^}{*SM=~_DhKZ*5i|~c7xM#+`hW`;(=J*Xvfe3$Ww%@R*hNc>#Nt5Mc{H^Ytw4-P=hn$>JrfVI1PYXQ z&m4ZVGGHZ0>QIbS*_}_!`kGf?VkquE{^fr7}qehMprjJ%nguRnh&0p;eR;&1s^1VVk(# zK}K9GKGIXtQ|;k>7)*Yqkq%g#J9iFg45UYHtL?QS+xhWbGUcRfwafS(Ih6Q9?^=Oygr_1@Kfi)WeWv;SrzgupB@aRI(g7v1#Jmo$ zxMTpBvDH0zlh&qdp0Zhu&Vy$m-u?%+MG1{OT(;CV$sL_*-sDoCP zRD+Ak-1V)^*CpV0wQ@~HJ6sHKHjx)bIgO538G9(WeQO4#^#Rq-=nF-ZzcNiXxPnR5 zE?AhwH>$OzmftsirO)H)EJI3%Cj{z;-*8cG)DW%nAFYm3KchTDc4%(ND`ghgAibD9wdJ@FV%)*72RK8&SkJPQh^Kd{exkc z-m5LoE9#m6xdHQ_-{4a3eWe>Akbwq5-teowvIEDIY(3qg26L6G^)uKMRp@A?%slR7tkDQskp8iP}yL@zJS#W0b{4CGRJYWT~ zdF}$~g6*_VvdYb3t5^35R0pR(Mk~-*NH_s$bSXkt;sj)PaT7Evx1BYPF)^gp=)7^K zi*Tc9n4iS-cEGrv^1e)_WP?QYSx*)T*Xg}oA0MPGCl66uqTD~aUDM{buDDA`!A}*Y zq_vFSQbk{4{oo!Gjeu)e_r%mdnbHG;gi7WDx`aeun#Ys;7Azj( zRjcreg80F&A_&O@7@vvto?Dvadn7a+XOVUuH?SEnq zS=8WPa1{Y76?n+y3HFDAJfDx?{`NjsJE_Rv{ANTDK2P#A!n_m(XY1U;Ku#>EzX>@p z^&PBw#wJ)`HTXDqa4Pjk{HXH4Uxb50UR70r|@n()SZx zSPZD~`lIA~=1L@uq2I>@)8nE7SqE{Z&BTV}i#FjbUBZG(YcS~xKh?l|R#HwCD$jlT zWF)J-4riu8aSpM7JqZC+6!9yELP1^dtr?{6-FYasn~!0Kb897BB2?ek(6&e1-n8#R z_k6tpDCI=m)N^3Thz(jCuV0Sl7O;L3l}j!*^qGLSJjdxxT8o+oRd`R^NWZVStid8C z)As7Q`(Q^0kAii4y7`W%`Vi!avz>Pp{q0SXbeoYX1&%Ym;f(FyFFcasJo<)KJP_9p zOngcbBa@BYhd@mK24rr2;@!AgY>7SXbVWsG`A*6KKri#q?>z*B2pXThku&cAFa%4x zqn&rq&g_djZKCSm?K(u+6V}HY7rWSV`E-%D(u-H;r9bu9aGS+7q|2UX_@+5a>+RKZ zfpPX1+glQ%mt*hwu1lMpA$22U3<6A=-uz#5>o_`_;u zn183+LcjZ#7Mu+QDTy8wMOk_Iu6|h#7`bZD2t{H)kg_V*jtf}{OJ;EN?1Ex?1&r+; z0Vx>nOHJf%2eV)tAb%(&<(Zyu_(dMozL+0^@_`Lp6TV7eJ&ia>Pejn5(e|{a-%TPwZpV6zjjU+S(!}~Sten>d z&Q0!{nG}w@@o^OPKeZ35(TM;#8 zTN>XmeBjG=cj-&gwdmv8G3bp`V2@rVB9{h3O0F`%Nuq_=aME3B>8@|*;>>21RZRc# zC)ZgeZ^EobCx>ks3&5Hif)yL*sX<^>2SNxXcy6iUbwresJ1R-+DGZjNyY6&4lPSnA zB~WmbDj%XOE$^^V^sdPdjNpHDm-^6H5rDc(cP&+kH4OTN9Ab?Hv#U9h4&}F0biAV3)L3y(CR^+m4t3{sN2^-Hx2xQ z>QPb-t{`T-t5>GTg4sySX0v-&`Qag<5UsbDqES)E;h~|*m+3YrVicb?oV#2W>22vM zKH9!XQ&9DIAyVl^m+6&qp%iyYNms|#*}u_|TUP9uAQ)%3bKf#ljFa*fnG+TiJO|2y zy2+-{{52*M+2@a$oL^ua|D5!}=x`{B7}GadG&kab(Fo$!evj(Be@@AMtQvzOZvg=H zS`eq=D;A-ni9zqLrlJcEF5$&YbH=W~Xt4!6G`G#%J?V8>m9H>jfJK*L?6sFfKJ#tH zEM(>t`&U56Mf&N}CyKdS86&v{iiZ1=dIDbQ1W+pPlYa=q1`U;CdhDOo~vtHo-? z1^|vCu|({k{b8R1)L&#*f12v(#Ln3)J?xJ3B2oZ5R@_xyM_Jj)cZy=4{mZ~XPe!q$ zzX*5ZoztBq4-kJAEj~nT{JITkr1>l-iynj#DHA#$`{R!LzBvydX?*hkHdFSv$MVHl zG@Kz$(JQg=2Ejrh*2HVQqwv`^{p|br#$eI|>;C&Nu0mIwKf07gZ)T9)+pChMQA9-4 z((L)qiJnA{1j;&kVMXe2D<4|{VEvzpUSdaD5^*y}2(d>SsFP+1j zXr>D@J~et^R%z%mr;@O*8G~8NoL}E&i!`k7VZkIx$;wed!{{W{k%%j{){}eU%-uj@D^0HHG-@ugLOYU1 zRsD!D6xFUyMt5Ff4NbW;>b!8d%o9-xCKL%E-Znz&CLMn1%EwCzsYc1N=mPz|Ivyx4 z^gDuCk@hu>Fd1xrOV}6Yznk4BXeK!NZY#f_0;?(w+ARhY^S(g1j8EOT=3EAnRVfZm zv%n&AEo3qt$KQqvz1cPMae1Z#WWecOgZhZK{zhG5?DO5EJd3nh!ZT9!`?g)*s^=2w3$n`t>Q}9$j zk@eGxBjUX3v|;jlX^86V*|Tczz=l!>U`N^!Mfq^PDo9F*1#rPd$UbcW8{ww^o!D!X`TUjok7r=7`XLpaoZa7fdrY-i7&rn-wnuY@e_CABrG8%yY_sZm1O_k^cv7=jUfl)i%WzyMj=9s8zKC1M#2&4C0=F z`E`9AmsHv;@DEJ3;6EIT^QA2wo&?U82S_>DS(%RbwmA{y+@cFB zgLlrs1;cf~JH&<*iITQi=r9Fc!^&9oXrL!1rFIs zaQ!JxB4fLE8Xg+E0pyv;V))`o;tC-O<9kX36zsB+HTb0ERc1osWalT-M#Z@hWDW|- zpw-00#JK>3!%}Nd1!2b<0dp;lLJJg_`zsA%Tzr=pJh@Q zW-QyDiXfPln^A}N%-Ulm(KvJzVg7dDy<*xw@rx2BqiT5f+~@rDSA|i$c4S1(3}gUQ z(SbV5V`ar6Qq+k|*lx)S9c2k-;!R5EJE{RIdQPhxMmoo1GuJt9!=ajU%_);Sxu#3a zq&w{#Q)z|?B$nd5o_)E|Z?8z%xj}RQdsZ?9F~AQ?p;j&zjuV*DW@?sTVpE20woqL$ zX%oHC?T7AWJKx=Gs+6Rar45iQkM-1T-(BUM;B~I5v0rW_C0H;41_HKz9oN>fJZ8ex ztoA~Ae=)J#7UG6k0mQjn4-HBJq%qUUl~^**UB*(ah@cU%SK@6v zP@~U0mqQR)L-XVSm6T*|y}6Q@HTH!&&8B?z3$gP1pK2n++mI?ajXj7`L|jZpl=uhZaWS@^U{@SnG6O{!6q?I~Of%*F}lR zea#Aj;HW$Q{%1}aC9l`+G&L2G%&kT!-sBnjAKTS^S4Q4Fl+vfMiuEA1Pwc}F8_c<; z!$lN33{eKJ1)t&|v%Q59ahf}}?IQ7PrtV4=z1z*5%$Q6<&1-(!tc4*?m>&)~8=|G8 zMgyqU#^+H;gGL^G{)x0U2RcdsV6MPuOrhloR@A=eUK6&6ms61KFg3Gcw3pYm?C7i1 zc)R6cwT7D>s2HV1=&fQ(T0!@(Z8JG*ir)&itGGkv*9W-K2{>B6{m zQDHkVnJVjIoQC+`3L2QLy#Q913G1a-aT(YKDLDIaJz)#u}w|C{gQG|^QKYj(-ER_Kg69bL}0bDcMx4%OhPUPYfX!C$~rQ1nwdn2}KT zyyIuylz@n*XBcbo^`fE`dL~Z{7rE1v;7;EmX>N)lh7r9 z!STMv?zJRraF}?2%Q7LBpPk?IAR5~urk^)c;>&}5v^20QmVpJ&Y?obIO-yW;5FPVv z7r&FP^4~&b3dh2&N5icwl|8${_??bejJ^ObaoB^7BuNZ3!#>^~Z!tXD^&wwO+$6c&w==eWsTzP0}Re#n{mJa>s`ZXNknD?|14AdD27 zOYKm>@L2K&FF&p#0T)#?9M8t|gRfgUL2A}{)3v07qMHJd71htA^7ZRzyYkXB&ctlI zyne048UG0ubW_O-gqXk%*D~-i6}$|xAlg>a2No|VENmuc7HS^7F4HhA(X(HT?3qZj zPzb$;nE)icYRDBzU=(7`RkL<-$>eZ8B_=*v8LfiHU9+WKQZVHmsFdt~&)ngtG=pqL zFdi&X4v?9#t|7D^X4A5ziMKyI2jF$maJPXm(9nexDCGh=I}~rL1IWpmm}vSo;UOW3 z-pt|$TjPQmj8&%ZF3L;U+ZQ%v?}0fN=nAnWMDK0H!<@+*UPbdq3lPR@KmbIG5sSvk z_Yb^kL6Kh94aAu~S%SVLS+nGex}qCD*ordKIHXW6tLyw6Q! z@pRgfLbV2u<(X4z777O5aUhO9z(E2r?n#r377hgBt*hcO`Y_*Vl{wzW^>xCy{A1?XU{DOjY=il8Cd0K+x3(rI>-gkLV5zEFU4eG0BnfIf$XiJ zp^fnJ``B11Z)e2-MR3P*b{1hWkzQ^9_myb30|$hz@sV=E!+-045|bBk3vrs~M=C1F zM%828)u>{I(McMyZfS#^p$JTG1pP!)^d8Pc&qCBG!q-TOaQNRHFx@MH!KyenTwOvp zQ>!fR)GMgA6l!NPs;8Lf6{;`B$H&|3p2fw!;|vl;8dt4yXNOYIuBly(WA^nTkqi4^ z9hUc-OVm)qdvw@ch$?4*{Or=6?s zPxga#IVzWq=nL!G6Q)?+c@gYyfWndIyUR^WSL05u*K}=ZV(b=`xaDXwi;B`f^WIr~ zb)8^)Svlej4=BC~VX*P>qSY6#O$GH3=V!=xm?VzYzN^$Y_RKohovbn4R=1R@02ck{arSc!9t9h#d8u9#hgWH4tk#*;1 zBLAy91b~19)(oVi()a$r+w*9cR_Z3An#*S34>rpZkdI`pMN9&xc*8o#&R)h;s^ zt|s-L1Qpq3*FF1!Fqp|JxhnRaudKLDEsXin)q!BfI+8ig<6)+j%|J{$COMZ@E%e+B zRcsjnAQ}4z1DH(7W8vdV=KcdULu&0c`n?Z4+~%k_fpp>o*r)cu1eaRQb>hv9ysYx) z7`Toi!2k^z>6-s4r{8T)Qlyh@$kB?)NNWma^#tpgGhkY}k_;s&4}6vNkj$h}lw+oy zaS5Od4_GPHa9f_d$$->C@T~TNYB-++S`r%ehZ&txiD)h}=`R738UsF@a3(<$gG4TN z9Y#P@M^i=NP^D(T$0zK?IXpsu-;jpHB)UkHA$1wCT)=qSRNO7Bfw2puC|(YMzmHmm zc7>JzULJ_ZRKA#;gPy?9@HSB|OWX|6!iRm8oX6Mz)@f|$LWXkK?@>R5ig_k9EQ~^W z!{izY&Z(n8;b9jVPLX1>Fi$V)U=qQX!twRA4U}$p^ZVIC?^)Q%>+<${^{N_dPO-N| z!KL8jVn$;_PsGdhCGiA;qECXLYSt!|TS7ug_JBy1`sX2raw1uqf%oC=dhCs*Wk+{X z_rwPPP@O_4`E>Hf{;l?sWPLa)nn|+j?$t<(75b!Nd}3twin=Csz$?_V4(T%N4o<B~h}QC>`h!RFq}m^)?Jv! z+bi7Md=rAyxntOkv4xz+{RNZ?Ep!7zx`1}c(~-bVZxDi2I8TsA#Xr?C;%^%+u(7q;MhU1|NlMbr_!W?AL}xk5_RFpw^nG>b`K0NP9xu9;S0jkN%& z&CFmC@5b`TGIu$E_E7Z*eCihlm6nI!+LS_@2M*~P1=ye|uK>sE3zI2&MLmcb`SYW8rhb>11;! z#Kf=@`@!qp%u2pG7Wi2uAy6g+ZLA->(jl}bE5(NpJ?<5DY89@cYF|to_WNb3 zyF~K4jm;C>rLfZ%z%##wd++Q7i|#Oy6sw03e(e|M~M1@}YWL4o(|53h*u zw^RkIGIOftpkrfW`|Q5)fljR&wi0MsRxif3agK9a5zeL`78YJ@*sH6pwV!CMBRT!# z2wG}Ql0)%^yfIlK-<)k7Beb>l2qrmB@T|vW3$BzZiwAH&=+rORQ$nW;d3bW|Rg_@b zCyV3@If03kZbM*HMV)C{K$3?FKgpJZ=OMXlO?jmYBXl^SqE>D_x31DD@$gy{dsk=y6Z9{Cv@vs;?AB zqa6=sLkx867a@O zdz`-R#9bEML1^3ifOd5|C>@}u$3{tm>JxAdXc8v2d&%xip@)a-6aE6jZDL{Pk{KBp z(SX^hfnlD0?A4yTLF}hKuA@{Mc7Yy64^ZSW2VL`LQ(%zNtFA4^g~NZuD!d4M*X!11nq>5xXVooz3OI z+S+Tr#?2piv4~`+nzBL8I0$4sfhKHXquvVTy#A8tg7d6UkM+6~`92RVBgP@nQff0X zrFPA(TZ}914eO#$Dp{v~?TY-(8~-x&qq0}-4^{36ZJK=mpqh~7yDXNL(J76N!?*Y`59A}r-TP^r z=gyyDwssRZld|KY=Hez`uUty>r%L@>pt#>Z0;WtdZd!C~rW=n~jhN)Lq zSH(gXZmvX#qji05P-;Z=?((uy|TUE3G;M*Q1OD~xgzfiJ9vi`1i(V1 zW0<(YQc}MgTz@>VgDt(zOzjcvP>a66)NMYZC9;*DIhvJSqP$NUNgAAtwFwo`u-5yX z^b)7Jbbk_6s+QQA2Kr29x>qYvVxi%PsyzaDH}nW)q)mg{ubOWO2b^$pz@)NbhSMGYd$$60`wJTtI+QI;E@r0sQtlmnio;B0d7ovfE73bg1^>1l-K!hZK+zK}Mo@_J~nreT2TBF$C zz8SeEJS5lTo$uo=5#xA$)pj?hfhwa;=eRuZcax>Yx~D*SxM!n(JH?L>^8@-}MU$)B z@5`8JVgYmknpXd|aEZ((`{c{_^5i212aQR=|59Ck(Yg2ZC9!0lGE67`KCS z(4ATc(mwg{zknB%TnqbVLo)#_nth-B{=FvmG0BSFaV)Y18Q6v zx!{T$7iu%DCL876FFlorOI0cdQZ*jf3b8fGUwyl}gTB5WR{3-F4MJt%U21ZsEs- zu3%>T!rvdCmOB&L(EmVAPBTC>gbVjIE-m{wN{ks0duX!nRb2gb?G&RO>X^g+NI@V{ z4{k^hDim}aWMI|k-~G=oaSZoS;r8NIuuip(D!q4RUb_DH*_LeL&Op&D720q{%sL;{km4qtQwa0=y@U!Bv1ArePeU zJhP`%N+$m}**YpxmX(hbVYk4SFD+x&_>|hBN|N>g+QWxq`o4PbZOF!XyQtzhy=K>F zsqLibD6FrZB_o@<)#uYJ&N6mPK+p#^u;@S~0}=>ag1pWp9xmY!=S z8x^y$FsL-6(}5)@E)e>>fbl`I0cw@>^Ka1G&}bNH`TzH|M@1bR;dguc9`LkD_iE!% z4}m2#&i%>5uXny&X>%%qu^}7AhMm(hW3#pc;JdnIqVQkQf*iGu^fB@HE(N*xX7WW| zwtj)5t$wptG<1=s5M#|pS9hAKeYU$=F2v}FfMhG`R3X6#29hc6^4&NY24`+re}^Ep zL{}Ti)->S+1e$?Y_MO&_N$k03IY3fD3+jcI2{iU@0U zx3P{6#kJkJAoq>w8&o2Os zr8BI$@R$u=4V;pMA*kfFCyF;M$Mq|T?utjZ5c7^`EO)Bb(%s#>V22!XD7_n~&4fw4 zt7vJzFvI{Yxc=zT$BdwbHz4Jr1aTHMY;*6&HgNt+<^A)^GjJPIatVU_j}9s7mWK@& zy1wq<_USegd!3z)j}Kw6^O*9zyHHp$k40wt4{r763ml!0?(qrv&Y^fKFTb&V`a(VE z`F>J{nmvE^vsCO(w)cdoL}pU&sXAT0^c=&X{ZZA9tY#vX%iJ=0>DB0TyzA`7#ILS zGBT0K5Ny-ye|<&DAbFvW5c~3AfjJ!x**&LWe8Ncl6K)9Q%+TsGg2jFUuLm<6Tb4;A@1c^Zh~Lo6vV{i^Ep4aNlu?T>cADF@1x=*n1Pn$d%D4n zM3JKDFiGz=S{h)XY|)N$tc!NWW$}`pm?}k92^+g;f4i)M?T^CKjJ&+>`2JSY$}AY- z@$X_J#9iJkiKA4qUq8Rn;#s*p?(t#SY|R754l~i5&}s4@!&k@E#B6$BQ~Yu^`~Ob9 zxY$VY{V;+92fjwL$Mb zn-~hkb9ggat0I`IXERUBtpMiyGP3WXHVxq)M4fB{_{W#$^_Q0+f6L0sR)V|3q{RmS zd30e1sv(q1yOOiwp8|gs#<( zbvr1~ea(QYiSxc5!gA;QjZMe6Xj|-!+@`Cq2X8r6eX+EJ_I=&;=XTr?GlrcLJJx}V|pC*N2Sw=V8(Oo?&C?v zt=Sf5<-X|1{VYmbFqn`9FN9#YW*!P9}TW@g94%q$&!r$uO@DIeZXe6DU*gn{VIz$4omEOr>q zpRW#lpY^YiDSQ-;4z6iLN-Q>Xf}uV#=Csw>oqWO^yaCsFJT~}o#`l*57|sVi@}3RW zJJzUFEU7SHd?$et@nHu?D}oS{^(yNrGpBX>w+b3}a<4vk^3>zGLrvD|8=S)Ni{oQ< z`UJfY#w~=H`>XYIEca77ZS=9F5j%m_gV^5N$9VAi|b`rj#H<~wj_O`we=vri#6Yjl^oVWqe{K6vrAmH^O=%Wbuq zh98HW0STHOcuu2KnRV1XV43Gb_Ykw&=@ZIL<;YU$VnkSl+9pB*vXrUs=S(wQpfVOe z|0VookAQKRCReH%O`@6eBtxE^_Ve9l0>_mh`pLNWejo*hj~q}B_M zm;PQHAO{>rB3fjaS;>AkEhdRSSM;BuQex$3l1wuInC0`nIM#to#AX1x`PmgYh6`-~ z50s89Ui5N0Ox0B?J3=X|V>ubLUZaK(Lo7`uUdqB)tBS4MaU9q!p1^(Kw3$_qv?hX6 zNTTxIf_MVIEGkY4jCDZTnXMCRmUJu@l1~Mc6(I_>=(N7YO9W z)}q>yP=A?|08^yQbK@KWY)3~JHS^7-$4{!U(oKo-t~J=ns|A>frt$5mLU`i^ovCmX zG;tK*Scbd~eJvW3q}XVg?Ns|a!JG2(Uq`Dv^Xb0;;vm88hWX~( zy2xVdeU*6Y*eGU9{e01X@P>4Bhro4+e&b~{PZuQhB7mlqhV5{v!%PnsH(f0Vn9{ln z2ATU{ohelh#(-pa!nDS%2RDP4NNT#}vZ$yg?#oCan-uAe!5w{xxcHQ$O-nQDYIi9+ z_7L$TcgLgl=E0Xo5)7bYDtWk|40@*Km~Tqkr&7_2o1C6uXNGJpINkuTC4cnbN5ZWL zah|l3p<3rT6c%}j=?f=ubcJ~IAS0aEXy?Ez8H41&1t)BiYxGB7CC7_GYzfhka_1j( zUjd4wdsV)EmTkABoORXYZ%SgIZ@uCM{85;g3$(kvV}YFcVsNE=0L7sM^a(}$zw||Wj5_rzTn6V-fdbga9})3dtkB+QWy&ST*;9;t z@Y%VSr||r*>t3pLwZ}ct_g{K(B;3~lJWI?U$HO*P<{XXxkx zKYe2I6=Rx^JSbTkYo)(DJJ4~!#HTvU*c>f75QmT(Cv`8Thm6j71x3q#3`3UXw`swU z53txq#xmW3=n6Z#LHUf=V1BeLuIzInJ~D)92fm7wi`T2ji#mnP96X|ZAuZ@{r36fg!icv8F!iJHXpajjW#abcG9e|D?bEv z`f4h_#q9Ch2<~FH;^OU7zW8-eMBqkJzpv@WvNL=md2xA%vyS#pRISM9fCjri^vW#> zUHSlI0xL(?ERP-NFtByOX9*tt3a9n7Clh>#K2?N;g-PJO`$H2VUxDHnTyFz)&enRI zpW%+et18vUyf}sAEa6;)8zQc}kNhTWMpWX+K;i;FzZCB41SJVcXwuoPvg(J_f=5wf=0poUaUft8QLXLTO-yQ;BTeS5uP$>*!rk~N;R^g~Ka*wj%1P1Xv`HskQbMc@cC z3okD*yl_GO#mqrbkN%GqU?@D^yhsaHKlWYarwJ~cLck4scu!43E7f&>NA~vZ&I8N9 zlMhn@0|ooTJakL}%1ndiQ2t!;yWeAJ0(9z!w0-sK!>+rgi(^z}gfAV5l?ruhFlA?S zH@FL+wi-&}A&+I0UuK>6XyDQ(wUWM7uy6lSC-T-kPo?oEuo+ zvS5ev7`KVD#%Y6i6G2@IJ$}EbZWFF`j9S!F{I7&ra#!Pkx>kuBW~h+UhQY#?)LvU`|m)m`|p1ok8H{wm0dC_ zE0VHFM%jCY$O_qHk5Gzal#Hj9ky*)JC9)+lvI@!GWPi`Y>Z;fEe*Ip*&p*%0>$=M8 z@jQ=nKlgKP=XP#q1x;?7q|09+pQ-iRNTuHU>oZW9M+|)Xo&Z$g|_HB<#Qk$Wz8LgviXAkSp6cc%eJ5$J7!DQZ~mkg->A}xU{oZ3&IZ_*1> zF=)?{-UkpSfoe-=Wz6JN7#`2RL9zNH?*=t!=d*&;4lZukr^|TcY#6v*k1Hi<_Y#ZO zn8P!OpBX@Ic{D|8wi}9uR#?SSfk7dK`ufVafzxJCB%oEK$Q0To^a*C!=x$}WECK+z zEte0$vM(E|P8c9g#B6Yx_mWq`hN#=I^=P2*PLg;$tU9QIMXlLiQ-ys$4kz|6jp)C;-MH!I)i2tI_aOdjCH}D1J-Lq#63AHbBarUZ-km8600pbH zlnX96aymP4T^N@>=CTueVp5XbMx$!rP2l$;M7?l!-JYSf=3Pn*68DdezBTsZYwJ>xj`w=Wi}}ks0xBy`9naAAT{kz!OCXB1MXJH>O?L&3;CkQ)|2U!mppVlB z^vde$YI^xN0e*$#9O$;w0PnXW?#UJmXXw1Es~D)KG`cDwLG^Cw0%{h~PAhM>fH9~?>!?r`_4Vg1C-h5HDf6>eV0;LhZL>O=EboVLHL7d)A8I6g`w5D!Iws{ zG7`YzHzRLcg;|8ChRbw0n>W^4ukg4tGf_o-=e0aLYqOcC(VCaZbQK}Hmtp%3f314u zsjWeO+5*mm*2l1gIb?J2)mbI_?D&pUKSqb2t!Thbw{v+03Lk@61^qr46bPpciO5e` z2iYv;e7+3Ne1Uh}Ia*l+grx)^4N6JZt(I@YER7`0(&*~Fe-0hL8_pnHg$y+eqjU>x z5-51mR#2fYT|#pU015fl#5d6R=_U-q^bD-K27uosds?rR*pA>qh7EPs0AP~Sp}a8A}JKxW6XISNn`PF3N+ zow~XFL&%XAcyK(We%+zy^*BiP=vZex(p*&gp_XUQ0ROaD6NC2AJi}8M>iu4gyGa;Q zTT^3RQCo*??)(pT+y61N1mKJgJ%$%kGd=t$&XY&F%J8~4X{1W1e_<*l6L6Xe5=EY0 zWSXVi9>oI;GV)E{gx}g$HW$;|#J!$ErLuQ#Ttj&IFn<5=gW?f8>Fn}1-5tCm{IaVg z_AVw)2=E_WXBR);_{Iz71pZg#&nnes*`D=C!#}P?@|}9cuAF-rVk5Rw!ynmk12}r!3&XABt_scx6lbB#7Y;I=WE%(3~1I< zu24`*OBVU|QQ+b)72{q7PMx~7UUFmbxASxaKqnv<+TK{8hPu;^#F8{qeCy7gcYe4} zFFCLc({U|5f=Fjt#SQ&mLN(3Oqq}tteSp`?Lzm5182puAToZ-MnZVQN)-wLb0q^;h zR~VS}hdG+B!mY&xo11jRgCWj^Pr%+w75rOI0^DEG&3m-~PMlvUadJS6?VFV${eaH6 zn>{QmekpwEl9rS4D%_*&gSR~qStDB0o(j(j@mTH(WW)kYcm(r89KRhF5N>KN&y+qf zDusT?=l*rSfc0&`RUp{V`24!5hwQBWs1xl19BDOti*OM_0AR_O3W13|y}&l8+%H?d z-U-Ybk>1!4Xfz;VF@g8*+e+JPZMYE7Tq1)F!1bSg6phAx7Q?fSA+)c3iKJv$$v`KxoFuM45QZA4RAs`$l7|MFWuv$rIFMa(7o&bF~|=#Gb7@SuT0 zw!rWJ7YW86UiP1u_x}WGYAqzgCZ*!Bkwt<|mc!3vm7 z`3|#ST_>4MG0lW9^z+(L)zCW$&uo%X1b^j*k``(mgyk2y-Q+>Ws)SKjSI45sU0Bg2 z%@XE!DOSi`%ZXo#GtBRl^hbg{6w*r*9jMUlK3|{_nxrj;(DiOy!cD-#$3L&~Vng>V z+HH_wFnOeKehevO5OM@)j>KAc_G6LBq;k{ZzP3B6`Xs*Y=_v(Jxw>C$R1foiK+p0D zB4z##BUg2bNq+0nv(W-)hsS4c5k1p+|8?sWi!D^6&QO~=$huGF)pCLyX#oX^0&r`J zsoi@a$O8S9%c^uvQrzPG6G^3Y;IcBH1!~qJGa2sUS$F|{LP8s}?-9O+ZTLyF`?mVh zQa3CuGr!q-u21pZzCDhKF9TpMz*H;9db3kEX`~O5W5H3arZkM`_xo(aN-f zJ)UzM#-kZ4D+^hoP~bd_XM99@a2?=b8W|U;mgqh4D9)NXKYYyq;}JVUg9~O$J41u> zG~?@CMe;1AnHFmFH%Uu zRjE_h{rRg3nEZMt>xouz`>@9vwNyV&vi?#_GSw85C-IG0Ec&)mPrKo*>`!%gdY6$V zZM6DcZ1lVDC{N!sl+2p zpLv%9QSc0W@IZN4$jD$PdJ`Q-FT%_+#+5>KBN?6lJUNG+K<#@jpnQCQUlk0KMo5FT zIKi|n%5S}F>5F#HK<6}V?i>?}6Ums-BZ)Z5LdDWkV4@_{S`fMd?DNnrhB6xI9fwxL zFNuu~Qy*JDVO^Tw{@&DTX&vHa_Jph_cQ&-_O+9sJ`ZYf4lmkuG6h=cutil0_Gb8k4 zI(^!FGm2Fii_UX{3zXLALML24n^_H{o!OKQ)rV-EPcN={3x@lf?i|w`1=__2Kt%F$ zYa#CbjBEqU`1aH>nhL)Vq>A^&CTz}^FQP;SMyAT<0mR6KneubNwjj+=?C|j^^s;Ef zo>WftA|Z18mo44p%h(WKR`nY79+VzcNmm+iZA~d{rqWI7@3j*MKv$zc%9RB-;6B%% z3C~|=gvwZd5#1@fd%fNJ#4{&Bm`R}!bX~MrE%7c*)rOWc5XlDnFr6uO?;{Zdv@&-c z^{O&FLf@2q2HA~7xY1XsUro;|^MZ_mG5r*`%@j$f<4F8=nd@A z8d^L;tYgpSmHgj*N>L*wu+X87Fk*ZdL(+aBYd|o#C5!`ODZ2FO5+Ap5;x~9tvvrt;}p>BtxH-=2UFo^QvQ=H|@zn~Yh8wEm{ za-nl@?qj1Wr@kXA?ilF!J)#(Au0;kM70x>aU}%;tw#jPUcwS;7Hr5d3CC0BWhBw;y zEJ;vhm@Tsf1LDd7&RLzsk!K6}K4M;RvvjR;!(@?W^VZ zQ&RHi8&-v)u}AK%(!a*g5?byuVi)I+?NorWJ3O51<6(p8qeTO!x?n11#=f)t+o)2! z-@QjL?x^5CeJRRD%tik)R9FSy{MslXPF`^@3wgal(dZ?%N@D``z1HB%5^=HHz;Ny5 z9cp1E3PZ5Lc@stmj1P~uDCz=D(Y!enZ@oREj#|i#3hDF!F6AKmAUL=hCJT^}mJXR) zf_v#%JmgtFN$KSG;o(EzY1^7uy#o%#G>rr>B8suKMsqQiAZ88oBGdMBf(;jhloG0z*UwN7F+Ie>Jtm$wxZtC)m`m65wY$h9uvWM9y9ooaNwd)Z~nql8Goxk#F zo*;8!q2Z-kmKaKtdr%&uRp1~EH07r-HQh&!hq@b^_*1!pYIs|H?AP>1 zCLY%bz6cq^a}-1$NwKI;*gaUDF;x@VrNo}ek@_O%T?r^T=WI=6F*+TAZ45gu`HWgB z%ZmcGpjTHI!=E)Ul{6=e)*IEgzZc77$ejs#u9$RFXypFpge}$&Hzx{ftINJ8N6#+i zM#Y~}b>@^pcSiX6!F0m3nD`WnpFg_Im_WtSBYcWh*n=9{mcIb>jmT(OA%2Cub3}5B zkvaTXApRS5zcTiqlYt6(7Fm}D^AGpdmw8bN?5EIfgIHxX^ci4y;Q<-rCM}-AcD9ePal`J0Hb$GQl|;i;aRr#StU}j=h?F``E6q58+Zx+zWVFU{G;) z#cHbmF?RiiyA)~^a#04fXZ^HFP;Kvy)_%o=tuRLs<$W!w#K&HWMMU6HMVoi%ZY@!P zM-?H|7Y4M6X)()@6;4eH7(DZifws9L&o?378IsE|OQhA*tzr-Ph^&CUkkmQWa za6~fGg*_H=#bp`YgW7X_k?tku1M0)OVXRUIu3B49btg=$%K+!;S5}>?%z5smUxCIR ze}1KAwzgxa=I~PSS`u^4I>6DL_Gp5S*Gtewj1LF{B%!wgM^+n{R+MQ{W^=Q6^Ap@r?yZ{W&fXY+n{EO6owVzt|+msGKoPqZT? zI(x1V0WCy+OR%7=QQu~El6Tv&zTfg(Yq_L>TkFtirrKW}RS%puzn=d!TdR_Mi%ru| zLhu?bupy z6}@Zn6uY={eQcBT%SQ$7xx1mKvL~NW*UrWJ?kid(8xI4IKpE*2y9~O@)R|`A9QJU` zdziq%MqhQ5!+kc-RLwr&Lt@Ga8cV^)dGTP+AfHYE&*D`PgD;p&l`#45uZ82wJQT)R zj`Yx>Jia2~Hy7%JG%Bbzx(6V#%|d(;q0N?&mgW**hM8_3KMa{cTYB2ydc8nD6REUh z0jfxcJwC>H9qZf^^~Xs+!y*+l&_bMBowuzJfNfY*7j5Wa-Id$4{Pb;HmKY)T;;RO+ zP6O@*%b_v7_;FvVyu@nF7DnBvC%wdu1z}`2ynkHeUxw(Wmsktxo)O}(aTGzr#{oz3 z3@ens?fs#F#Eu*gm12Cq_4cBc>xPDmYs*s)K;EA$MN77^%ZfYa08eUU3Tpo&g5~|^D^Xk ze8yHW99rM~BxtaMq&=UZk&&Q~zTE6B5d$?eGc&WU*S82k6V<}9 z=MC=q%8V1vSxXoe2V~$mGnO4h8awdSG8Zg`@m1G0;o$rKc|MQH+Ss~kfCxRl99(z zM@sJ2kGX+xBSQ9chUlZ$J7Gff%M^$23S+coYYfZHp&D}qL}tiEo<-Bf3~#?coGrb= z73zkHQ{9BSfzS&bS~uRy)``uv&|!RYE9S8K#{~ya46TiI>c_>s5Y4Vc0;=I7L}vXQI&o__TdH?^{xHdin?Y4johYDHkZ5Dq@Pv74Cgf{ zReoK9!uVUAf!SUT!GQrp={wNCp6o3mMW%m{;Q>UZ0q)A9WzxC&{&?hXI4`fBWU!E= zO`JK$#-oRo1M3H(;Y}GDcYks8{7v@FU&J{%Bplq+EEi zG%Be~4rxzacEKztx8?LwztQPP@>4GrXN$%&iCbKzEX@1vfOJ)}QB*{FU85M#^Ir%7 z@UjHhf7%u`X1*9iiMVy)vW5hQ4zcMIScM-Zn{IJ_7iK=}M(${h?Hz{s{*LYBxq5<; z=L78K)?Yq9lS^yDr6W)>F?&Vy`>P&6B4osHG}eRQu)!0)3(HnzfeK5B~DLN9KBiRr=%&rJzD)L$wMPHrEWf-cW9{AZFSg14qv&N5FYddxH5O9zTLAR@u|=rphkZz(ul-8DUqqBj64Fk2f5Vp9%8$i(oeWQ7qRL9(?RwMg0nZ@tj_ zkpZN#uaBz8@2o#u?c*b`dGH0gMz`uH(RaNiTrq-J`)m%0_-c!H#it)-CuQ0bWr%?a zZhTq;8?zmWGSLf$TA=y$QPBP7#n3e!UWt1FMb^}n6rOt5YL9SiP*it1qQSaCwXoh`9DE@%FAHK`5{4X3<5cMgPpNb3nPivN} zJ|-L`fN4LlqkVT3$fR;vd^sy2De3A&9CzhE9r5XoBWi#n0!4fC-N$}LeFoI15=z3j zr5Rtr0{j!_i+mp(W%(yN^3MRM46@;&L(*Rd5hP2veuFB}Tj zphsgtwCWI5E<_%02!=wBR+4uy?O#3raNR8+E9Y}5LRhpjJOc_R@>w+kg&98U?d44& z7-UC}^WXsX-8PlB%a~+>e8IhhsAaz@+@q2}V@5*+`lvOhS%;Tln9bDEK+YEApyLt)Fh$%ATjA;LaNEdm44 zVPRp}+brztN89wTT?=kAGP!f`^p(@ld9oLNFW;|9{hF3#q};$uTVQ*{?AovIDqcD7 zrnA^>W|}Z(jko7z2#~=^mh&UGek@*W!a9r>p%Hi12fZFiwz|`~QaORw-lz-sL2{eY z6VDnt%C?8(O==8hY;H&}_1cOjlMLl7x8+>4S<4{FPgBC6Bf#su*7%%{FhpSf;}X98 zSQ{n)@9cPF3MX^V+nl`Ba`1=;2C~41V9>R3C%y+J0Mi*D@8JDWrAiKg)!k!xy6*u9 zc`@?yYZkl)j)D=O??8LapP@7&-2F}7hw%LT{YOyhp&a{MfS-cO<)Tman*H#9!^r|o zMI!}<0%R)Q2>-Bw$V5=(?O1}hgmUM>&uhECzJ$SfZT`4@{}hSzawGnVXz>8ZgB=b^ z6gPmG!=jkz*QNnS-qlru`oLdiWq@Qe-K~Pl;xa@)7pXT3xeYh~6J z{Fx}YY5zj_$Y3+~{fYp{JHS{<-20aA-@ns;^W@^?Mbay2)fO(MNuAHJB(rckT$%zXAyiq7x@xHm=p{ zNkQ_3VASJZ0Dlo`gk6{7_XreUIa2&*_{4F5i|m9p8d&y6wHnk|@jV;-HtOEwkS#x~ zYmBdejqlFm>8XeeP9L)D!wU!@Y$X*|FK8e~L9&Y*q@z(}fAeqTtjN8koP?Zke!rL39Fo^jJmUz&pKs(k8 zWH(N-vB{X71EP(f;Mqq9`GGT2r2zVhWd;VBhr=x6bZpf3@*8h)%)H04WDMH{&HV=C zdu@)l+=hE>!RMxkfz&`EwE$)>M1j_Gil)5ac{M+@BLGFbuA}t9MWHf6#NW{6jw#G1 zdEs6Eg-e-j%%&WI=dUqUg@U00v+dcZ;o*Lulx76OPOa;q_q*R-eMF5k3v+LOy%3dx zY?oiZPyl#Dv@Uzj@4nN)tuJz6$G}JbHgLx>82GAxJPcXWAUGXx9~>H3^-3>Bp4Ie$ zhR90=c8k4~K?gD-2Fg8j#S6V0QO9_C<0GPE(7N<4uyLG{*!1 zZpQYy<`rcaC|m-`_Zui3duXksTKcoTsj zpFyCUK)_y#JDUXQ#6yEU?`01T+z@&Ft#V4xE0@yzK)|l~2_Py=k<1TJUayepJV*0JR+tDlW=B|r?Hinz8{vcQAT#=Y+JFxzX8pHT zPM#BgW*g2@%|?i&TkdwOpx^b3$7aW!C-mIhB+}B-NE4SN&h-jNf*yg55>;SkdyC+} zz)GMo&w@e{>t!s8{UdmgK$77wArAZe_HMecfC<3O7IopvN;XK4feK;ZQP4p1=Q9?p{FQ_hOx=}aky-!ej|r|Dm4_PR zK&(Yku~>IZx3-VJ?b9x?GROTi+XUD<=ki>)dCuoJn4S$t2QhRWtDu$@|Axi^UDi4{lypK{X-_ssBrP95dGLR_~=ZznL! z#``O#VYFIeC`>RI;a~9v$5p*S(i;vhV`(&yd=J%9p)7=rejpJ32&V8Zg^yck(80wX z@A73ofjERHR?>Q}7a4#HL$!Ey@H1%piFAm`kn!AkZzfRS{Xco_L!+cz;~SVvY_ctf z7~}eKB+jvs8{}jAB1}c-_6^fh10@^Am$FH+tj-~6);)q_KJe1Vw@P7%P)bftQbNLS z-pF8||Nf6iP_h#O8bKxI&k*nxLIAC*C;K-)5+xP`f7h$d&KGw8ec<^T7#L(6_5GJO zVM`AAKprNEEpWDI6*S(QZOx@qNIglEFij~sk);P@gp$-IOOOIQzvOaz_aVFlF<^@x zGMIKP_ELv|2vAHq%Wr1EK&#%7a5R6tytz~Vc-ljd%vKN}&KP*Eomiujk77lgJxxM% z=u7-N{1ftL6d%Z3fqXTk`^Gsk1$juw#+!oD$>*R-*kD+Je1PJuk^;)?1NyJ=$MZ5O zj!frBS8KPE_s!YX)}{cH%aa2$R2Nw3B6~rE4?yNjk{qjZ6pnez{Gn&Aoz-#n7pt` z|A$y_M8LbAe?+~#AB&NJ$G4nfR-Sw+hw&QP(T^`;Wqd$$dH3+#(GOa8Jk|4XKd}a^ zmyEX%pV^m65WO+oTLjoMN<97d+(r_L;2X4Zsf64vCPz-z0)D^=_>07qD`Q_0DE)p; zQ;+=wdE3B{5T{?3iaI*fJ6vB>@H5JPh?TX%MVhc40YP>}(lX&uWzE*er^z4a;LAT2 zggI}2j)z)U38{t6E@rqh2}d7zs33Sa%a z;!l2P4$I#IHTtj{6(EdBL!$O{6DEB>06rP$gV`aK+j9Gxeu?7|katF=!m~Yb7QL}) zD}gqjQN8(&@jp$LfFPDeuUq~O2T)h^JyqFWg?`$n0&D%9aG=s{4dPx*ke8v>6g21# zlKFkGp;8nn*(f9+CfrjJF-Qm#$&VCidMnc1Q$ykR4&v|2+2M^G9+-C&zM2`jn=ahI z^adwR0;qvsCW1pvR!Rz|o(LFOWF~rk`w0b}h8R*Uqz3JWRHPju?XuardP9A+y+@dH z;sltb&)ZMB(Ho3z@}NNc+UBVE${rcrMs-?Mrz1$H2+cdTd1xDY%&$@f>SSr zsCZyL2Wdg@&(eDQLgW%EbyNtm%kKmix7WSDc83hQ_1q zm}k$V&mJ7Q8yeujHED2-H3nrhQi5-<+6`u-znoSsIT1B33_aKG_7>hO#EII%D^%j7H#ZS z7pr$&^$I!UfEPX|9la0M-J;GD+kFx*a*U(Y7rlP{az4ex#2SuaJUa_29Z{&30wT9MhBws^0=M_=Mgs%^)omws#qXSjIPRqJSLfj-%+1-b2x)_% zOLjT@Yo_M;jrDSs{vTVPKAU*A?6%R&fU8gyguxh^WER(JTn6h`drnc92Q3*Nh}apJkMBw zj$!W2Iuh8vQpqN67`yWuZzzIOYT19+xUlP_B8Z&8@#n!%)~d3hI49$8RB;!er&t_f zdbzbwq4**Ax?W&DptEbF&3|MAnT(vCTW-*66~0=u-=+WmX~G*bLwrMDlvcx8e&!L% z-)MG3!r}jcz6cJ}0!Z*q4G+&gOBbV|xIaz8_-ae)w-;u0JCRq-lhYKmXsNgiC62a1 zGs+D}Nov`Uydc9f zAOyU5<mBb0_}p-$%#sIMN8gD& z|4Zn?M-X9n#t4?5j|m?c^{;rc{!f>`dS9Y*&ga7AOq!cjsK&-? zz3wZ0U9XHE9KHz>@CfMzRtwjGJ<#5%z zsjaO7$%_lff~By|j=f=eS321XY1EjRX70-7pJfDtJh(>Iuy=X0oJG?8C!|X2CF&f9 zIQk6;P7`G@&Z9Y$pg*L;#KX9tz(h3iypI`_)8f!pI!Cv%;UMmx&&sDFuCA95Wml*I zM=lzG^gPT0d-c=4%Iohd*gdrSf7S~<0l1oCE9aZ`Z}X~Dwj@J)JtjQYi*r;ITQ+h? zyz>Eoya~%90mkUyUbmLJmIb8ZV?%`LP*6S2jYve_wBoquLQ~J8*q3uFJ7NCp&TUQu zg{rjlCm$RRokG+RM3Wq8hQK6OQgx3J@gC5z@0G|4)KpVB>2h3rX0G(CE-PwU^E;w58I zsHASC*I$CB^iu&BZXha?avL4x=HmEWX6&6324pVQ=t=WmxlfldCrfgYnC3?9y{+4@O=R|4qjMJCR-WG?7oV!`Novv{f zW&lQtigPF>2Lb?;%C1}NeA}%0!OlvygO5QY*iYqHx8&~5w;8(FOg}ckHz{H$$mS_J zb}yCbGb;2X8?wM^ZltcR-D$z^*@K-WU-Y3o=zt@^5c_)`h#;00&_XY2d@Y_D>!_t8 zV5&exsdoCxp}h?+0<5sCrPVA+)WGzlWZ}tNqss0}Q^^~0812*f@k>4GcVh!Tq|n2f z8l?+?m}o1=!Wum}lH4%P^%k7vt&&Z3%jV&d5oTcPoi>D1V@>~(&|y+z)or*nZk4=3Qgn&0^}gsg4RF51oin} zu!CJ0{_`6kSPzYYOu33lIgB>d*t=i5cko_`;$S73_+8jO{D$~sFY&LLq+2hqDe@gB zdmC4-YF932hh6(v3M?(fh;tb2D(pY{X=+G9P60NrTVDg4qf5F-pa#f|dFFe5ZE&j= zV!SM%YsWM)Q+V3(@PzNXKI!S;ctDV?KtN>(4bc$>G3(^%ifxaSWQ-j^I$82I!Mky{WMOows^`$(Ge-1lf6voPT5jYw8Xc>no}Lc@_Y zTy4`0Yt!C+ZQ*ilo_t*l)fOsCXHwK!K3FU#KaT<@W=#eAJ__7hNydTqw8k5V$?&qu z-T=KBB@2p{uMw@4SlFm-z{e(F|1%U2{A|ySA-ZVLPSjhG9(LfZq@VQt;T2kjXpX!d=cCgAxY>q`9E?$g3u@h(D52aXk z)y_l1aU7bDUtp%%C(a%Q!!72A>x!p~CnlMVPwo1h5HXBw>7Bj6$9Ctyo!=t%PKLFD z{9J5v@H1(=uL7|`>QScQ=7}Fy?ba5@NYjKz?LpB-`=n&Bg1LFdWp7ekgwxcbHe72IF8m9!4 z`*x*UfJU|hdGlIMfVsIT*7H$ski5y}xBGGPc?o0*8G2=Fb2Sf6--E$;SKf%~-SwT| zDb8}R*)BmD_D8l#2HRX6{5a5}xaDS5{dsbvX{~e3-3nt!fecpeu^jXB@$yB7pEy~A z2!g=v7u{XJ?c0uxaCtLF$0UlX$4yGK(^+3aovDGnu@>C$I)bK-DG~vv26scN2OttV zfq@OJ-j9z@s-`I<1ZG!B@5WtcMK5fPvZuU;lDh}{91>4fQU4iFLht50$MOeSPCG!V zK3Gu92*OmlHt1p4b2u}KA%EKjiQJ#Z7%uLvAQONsu$}DJ%U{l9$1s)&w_DA*aIxu& zrhir$3)XVE!>h0F3vXjA<)3eZ1OI7_PrTcF&~({~UY1T#n>j1UPlTGyI!!`pp95}h zOjFvQmey%9BVbuC6Vw;egged?wqFf3GoN-Xxs==Wh1v4s1Ns^>A7 z`yti0M_#f`GuhFFnlsjLBEIx}Q+m|fT_oXi2)!2m*hjsOrz#(cI-YpvggE_kMOred zo<)@w#4 z+r4P?+}++uA4-5OP#I02Y4UK_?A7XCm-1sd{<Q_EW5tW_4EQhBs;J|$j!++=Nd8Hy-<5C*bm-@;sCsSrWZX@hIC)AbJMxOGy z`LRs+6K?M0H#BY8*V{M-r0WAM`<0s`i(5h_b($}+!~5B6LO!U8_ZDe-5dDHlN*>7W zK`vSjXn}XM!&V=?hHcm5prKkHnSYm-y$#FXgcxa_z^K&=Sa{CU$$NxWK;tm>(crJe z&!`S^0{Yj;T{(jTYaA~a`kg@cpHKbS!ob^yBdL@Wbzv+ie)%$K$QkceRBOaFB5ZUk zJou@p^we24D}q-^D;@9#WKF`aVoLH{R$bZbn8ttR%rWPCWWD|7_aDysHFgVjMxmoh z6g)Q;EUZ8zzU6wLq6uq)#2~$$ul3Qy+>JOzIJ1Y&d_BDPWQpY9-po?}65E~h z`3Se42G{{%l9WekVdm!#v^bdJ)&UvEX?DMlM93by7-CfU#5FdYy+wO1@G|mcV{^t! zW(dO6uyd{tXFLv*&*&2?M&;W}P7R6^ZZTwSD`?GUzHus{nGQ;_a@M-EeQ&m9D0m1W zRo?&se6$b~GwxhGgOuLE!2|%G%E6d6p_}lr21$RQ>9)~0^%6U?*S_WY#?@)+{uAT& zJ{RCPSu}s+f(T4eBb&kPu600WlaAy)Qoz=eW}etx1&BO0tOhmsxd;ntk;H}QA}j9| zvyhU{sM(w$KJ&2`hph%Ll3^afqmjW%pdUoRi=(+F!zlh8QWkgpKB}7qHzi$E2D>NZ zmE#-gFA{H-OstGWM?u=cd#smgxOzEQnh2IN2S9*(0UF~Y7m;MqE-Zwo3@-sMzEWZajsYf+M1T90gL&hj@I@$Z~cxff`TiwaIk}*-Vx6 zFk~by9~!)H|Et5g62@re9xVSKi7;jK8N|WUf#mfQX5L$Y5go-E30<0c9p>I2LB!_* zpX@l$&W8kq{O3sUhiG(9WbEDQ8#{#~d_IpCqdcwJ=g;x5G)5zfk6&NG#Xw_5(#V@y zB$Q+jj=I->)X0((Z`&uX@Va$CA_#K{!8eB-%u5y_dABoE`3~!SnT4n8ZwfW|Cgam`E&Yf_LB-*qHiGzL>BM%p*Sl;kpRR27&Nn~kgePAKyp7{ff6xBea2RHynv8rH-ZJB+n4 zIZ_&)-e17EbD_eA8w=!-?CFr;#85>z!~Gi6BASMirW`;r-#h_Zj#OasEg$GEDACm9 z9-5QPu{aTx8j3+KU^ije3S3#0Dfryt&47H zWZAd5dPs&I@(w1_YHzRSNCtAA1NXsB;_VLcx6k(2h=F%qR>WrV#Urd@@c^2;&A zdxLM5ahU?)#9PuGROS@GRj>Hsp>ZB-X3zBfExx|Zf=vO$6Ahene}2Eah65HQ9IEPYQQ^=^*$~L!_{XqS9}7a>|U*vob5VaXv%IJ-zP~E7OOqm>5a98MfP6R($d@ z9_cg8N(oOUYtFMhIkcp^!iNDLb`rbsX78-*mo49Lkzh&*SKJA%cSpSIQ}D)9v0oN} zk7>1RrR8`gKxW|wSK704GW0kXp;#AV{v1|_g3PSBpAtzdA{1#UpMH5!+SAGUt(wim zBVYZt{(5(ih7KO%F>3;33pb2GyaZ`3;MT|iFeI(Bpe0Rw39z=ui1%xPHyEnGkjpKJs+W0 zLsIEe?urAtyt=M4GmiLb_wCow$A+l{y_VMr+hm&{km_#VOHEmTNs)%MYdiND(Le9A zeOv9C&TpsA)7!1{_%Je}u6L}gs5+dak{%82M>$l`*q)|UM~B7bXP(g?#por2W!BGK zq%~CFJYJo709kKjJxd6Fqq(|XmPOI0U$=Xr?zZ3gav|hFjnKx;VlGpd!8HfKq#q`Z zuZ1Eh0Z>YeLK=eqdT}`PgVIQrYxY;w9D2=RT!F&lZXXXb&vm@(uixFc3ZtyN&=D1c zF=s&j=DyBr68`C?BIwA0Oju7WUpMhYKpqO6d~f>(;i;OQN6{VPJ+sy&pB%JgI*;c4 zKNzT4kXLn}cS6qh-S|n5R>I4KCEovcJ#Yt3qtdaFJKn1_Eh-Ck4=(53R~H&cVz+}G z%)H0y9F1D@JM~^d=hWou6R0lR&lU83uhwJ2*^5?za`YPFzQMu4KTnTX?)^I{z^K|C z$6owd41`*OnP@%k3W7u# zhCYPejTq}T9-Kqw{BRFVi-N)3tKeZi&C-aa**7D{q?Tea^M%Ad4M}4X$g{TY!N>2? z_>ag+F90~ez2(GR{ENXxv`B0%aYfS#<79gMd12EbD*z3yLx_*u>dV<6*?sGx- zNbH()Kd@GTbr#CPB4)Un?X<^R?LPg1X`~2#Y{`eU3H(`PE}Lj6d^wpPMh01LkKZCVtfV!p_SK0Gu#%VN9Q# z7kbqIrnlr^Wa1;qX{8thY)lgI{R60ufQTomDR-m2Pv@~$toHi!u}XbMYaQFICsJ^m zrX-(RJg2(&jsjJF;(}&eyoftrOI;EDpH8}Sb%?}38bNg&)|c<(9F3{1uNxr`W&X75ZZn?FrX5){4#Kb_O6dU!D3%qO7dU z3r*3bvCp;pR-*TV(EN6P@wLPmNZsUG!A8UmDeti-Dcokb)1_ET^4=yLos8VN^Q`aZ zJEoNK_^yY>D*uvi{2BD#kwTVi%CTRuM5&%l47sb~2odTQfo{%}*?-H+Aa^K9;jSM- ze^8>X^#1kzOL_OB)TY1CEbJaYS0f-{Od;#yjlVvJu75|L)4)`9oC8xJNU^0unw;$? zzK4bSkqx4K36&t&dp2((#Hrrm{Owd($^o`>6BA=&W5IP>Axi)@_hyQeT! z)IhBq$sjC zalhO-je+@4Y}9ClukM~EDIp}7@u&V3%rYUEv3q#B!$i<{S6x$5pFJ)I_ zf@&i~pa76L9e5ax4=Dvq^hhYkA%Xa`eqh1)epSsSw!%*+oB0gE;@i`EcVao$M}ZAw zJl8}V68LNcG(^Y5tETNWI{((9Qw}mFEqe3MZ(vaC8Mn}X0P(*x0i)1$O#c&2pFgH-wszYwNj8pQ$!);uY!WvvfE%9T@F9FvB5)^i{rT}H0#9V>=*U&} z`9mz0e?UZ0PEpq0S`S z^Q`^IFM~vWuky5+=2G#sJL!Es7Wtvso^4i{Q)z?&vhn4Xd~2C!k}q)d{69oBzBs!u z3j2&fIHS$Sw|qT%uK2#o#D%o$ymq(f6bQqAy1-6o zgQ;>~y<*^(z(&JpfGVOo6YdE9ai7Dv_|6Vj!lS2vjFBdha6lJhjvt3y^i^lN`0A;K z34mo3LBTU3GLpi+4zA5k;Df@fOV&*WgV?`PJYpP(aN-MB+*jTcx21M_##~GjNqCZ6 zLLq%crU%XcxC4)_YeM>)+WENlwE}5%AtG}%JwiqV1GxPM2JpwP6>&zY$|f)p8#Nqy2W*e;cpwxiy_D&_n(&qI)W^QjV=LX@e)u|1j}f> zLdmKmfrL$9t%qUzNBrf>eoSDFM-6bV9@&mGS<2PUJ?5aOojyjtK3DWmXYT%D)#qiq zzIh+OMWNJm(LqYh=idKwsaev)#8Y2jcmbhpE7u!ep z`^~k`eOPht=pOPWML>`#Y{+s6r=sG@bS~MpT78mv+*5H@HRBe)P=LYqrgXI11AEi> zJ^@TT=@qxLDHQo9TK@m8pDyWZDCN8ndjIgosSfIV(E(^mY70TUYouTz!iYhtrzd98 zItq}w-TGZUS-PYZH=ot43{nl&&yyAWF=N9>5#=*jgW5J80s)`))zSDISgMDy<@q@r z(GI;dmi$CVMqSL1-*qt!soG)TpA__{agU3`kPZ(F5CP)of*bVs!LB835=B7re;M6a z5=(0T^mye%x_6lkBI7?R`Sx^iT&B^97orJfDyGLNur4ENZE3PdV}ajWgBMcRL1l=7 zLf6kWi0#~&^n{3E4c1?juh;mt@mY5R_`#+mGtRBerrN(1Lwa?(`*c~4-rA7*`a(;I zUh&+q^|^mV_V%A^H!uF{RDb=D!t?EiEpn=Rl?N

Bjyi420%z^A|Jb5hp`Tap5)H z!~N?`NFncY!gtH_f?BptI%H%&yKlv-!eP^qc|w|(sg*ASx=U>cYF(q8roezKRTzi4 zbJ95y3?zLQna7(wWHJ9EE@Bs~BRYdzEn$CMEeMJv)8E+%s$sC>)*R*&MTzfIa%2Nj z2GhKA_e$R)f+8wJ;1%9(s32iQ66OJbkglIe9y92lP%lij|ICtJuRodl=;7KJshNlH zSc~Ad*v>8EbfwMNW`o5$@E)eEvHpqE{nxx%a6ICRu=S-r@XV1DxoXFnC5Ge>6p5Kq z!pG|YQi*Y$!3{?GShK%Tq-`PBxAkNWNuu92XEqWa%R0I4!I>tVpo{_P_DXYA%T<}s zlK}a!C|J20He^og`jY{?wGsQJG49S=)gvE7Q_C@Vw1)uMTt!kC_J8RshWF+?FMhLX z5QDH0a6XkdStD%&0Q&15ei%p46gs#{7cILcpiRP6B!1Oc7V?8zt0{p16V8G+$`4)lCIkLBO zcOHnA2rNfCQc=s)v8o?)(LvlMl zmI?>;QY@{{PUhxv~hBPuqS|V6Vzkv$G^ol9IJ(2SX^=Je@>$pOA>=6_3lQKR$2DAYd~gL z1*Z`o%$RNL=S*ZH3ud$$Ir;4qVn)2*W<2a`kzwOa#++C&*Ky9(PWOk2xg191AblYh zOBL?y>vFrP55#)L zzi~|H`@Ue_&J>$+dUIjiyu9ovfRxIz|Er4)CR9Xp_uI8}pPG%)5Ua-f2!l^GAVO zfT|vbh!#O^^(WF22ia|2SWios?GySpEcx*)BzRU=|E13m*eOpYB`d282_7$q&7e46 z1J z@kp4K2_wbJBj3ORZ^-y%@`2Bfx5Q)%#LX5$^hXz4&Hde#)E6B0EjWE_%%S*b}!$B;6`zG>OlL$uEli?mq68+_c+fVO1w0RCK#H!A&?lC#<7WF6&cmPZS zYyH-)^GO3he#u8jc3P5w*k}a^$xcm(g!TxOn<+P3pU<1Cr~35`UVL=C@F(AfMZ94M<3a zo40f1Vtdr?->JfIobFAB337ArGf{7l*ldSoyFi1GKuQMUznpFqiNhq56Km6Jgejft zGFJ(|8dRIBl>4+bZHH#?-R}sN7A6GaSb`<{Kawi`l^gFA^j-K!*Q2AGId#0mLsgtG zcp*@H>=+xjY3w7D>Q%98Gn(GSO>!OXo^X*HG?-~Gt?`+~!e}6x3i5=!4l4k<*@J}Q0~Dlp(n9{+d+gL z{~uj%9aiPKbq^~YA|NH8fCY$zbfs~C^bKf(@7<0`2N!r7i|M%k2J@Jl+Skg9scOUb=kdIeB^3C1+ zQ=#V}T-*MTR=hxIKIx^U2qxs3CHZkdF~EenQ)1oygzLZ^l1y#7BjwCe-7(f z7^JOg^BaIHj(VmOCPdXax1GQ7Lt$2vjoae^(_@|=lzeR1$mtrO>UFFQWk~rWC;aav zJ`D{;6wtcF|D0Hf>|M)CaqyYsTL|6p=ux6QqG`T$yHpiZ8ofiCHal52+ut(Hnd=VI z?%b1@lbIG)NL&t}_?V)HAGRg`2B{g^m(r5f6v5S_1Ie?|t2S3ib*&yGiHVq)os`)B zlG=-ZowFR6$Zgx91>`OZs0H7!UITMx1u*j`T@sI(Gn7pzfu(7BM_}Ox2%+8vbL^j1 zxlsku{p7c{sXsyax-WuhbNx;C7(k(SiVWWZa|_kL^-A1#GcPlwl1(08Txv-atv^MP zXg%Kj5_yUXXU0k%j+~FwmFs`26vtS$4 zJe%iU0OCuI&sre{m9A7;qatw&tJPEs@$-Qqaae^*aM*k}3RHGF0IR`-b>M%g0?o9h z!=M2G6CXN74$R3I<^R@re?Dv2W8|P`(~c}D|32w&JaOt`^GwTaC|b4O&C(nWd`RP+ zu=QwhZzfal!x#{JKloF5`G^Ao#DN$6nny%}wt@pc#cS{p<3cpZ)Ui)2Q9js|*k))n znOZ&gVc)k#8{zQUQ#XX<14HKWlky1qGUi$7C5pg3gzstgu|!&0n!;62qV#Sl+=eE0ny6#Nq|2(rF8{LMw)#z?J( z4|Hj{uKoywl1^9DgTe>#0*d^dZBnqA^uJ73DDmN}VH~$v2Ds@VT8Ka4gAYl>$@)Zi zf0_jvgl|r)NXuEJ`Nfuh5dCrA-ehrh`@!e?3C^L)2Zr;*hKirBe((4?$PZBbR_6>f zXz?0A_G^(10IUjIh<=L6oPZt~-;8ob&`UO6U>=P7ltCAEZGSukfg!*jfJ)TEEyFC% z391C5$3o|}%e@8@pM!ZDS0~pilQ4eRNDyz`MCn{-g*qAlI1U~r+x%9)c0VXm8s2>7 z+F$C+kF%)VdaRPC#-3+O87O9fHY5BUQ8C_WH-gckRF$;XjweUoYGxDMHd;S9_BIED z6X2D#9fl}LSR{wX#`1)#yBBedu9`~;P)?S>gnbNbKTN_mYVthM&q=^k zD7q*1wgIuXx;Q#;Nd`@RW85IuZ@^E@QUTbz;>Ti7Di4(ybAve02pR;je zc(*i9G(5?*Dzqqt3WL{ zu{O(b)K~FBG5RU`$=^tMm+2FFUg$S#nm^AO=Mth(sHf1#VNu8|>w3x&m?pcN&s@E! zKEcADLU7}mhjA7N<$l3PU3c<~cMiB$C;5g$XyEU->Bo10chb>p| zh&x6oe|2SqDPNuLxz^32!}JIbM#qn6zUiE%kI2|UO}V2VR<0MNyJyt$hV2)W+synh z@-LryO@04Wc{*nRS$FK#+8G+Or_f1#|J@D1A6JxH{!!BcXT z8mqhY&A72Nlb?iRRA(ju4`G#5{w0s{R9;@r{Ua(U6}{4VEm6Dfkz+ma;dla{cI^D+ z3%5`9ckj>QRn^T%b>1zV$~-dNRdlM~o|ay7Cc@#x@_psDHYN^;vdq(R!xec;n3h^9 z=|bzk<6pu6$UYay4Si~4m7y^Byl`l@paPycLU!(` zOgv=Mnv&q3ky%R355t^aAgKV!reQZ8y+DhpItD?EPhT$aY1!A}3c1nQvXksjZMqf* z>GU8_aWpc*Lv7z6|Kk?^{1|P_Ivz#zH=uz%3L?6KXqU>m3LGUBN4Kw`{K5!x(nm(96J zGmWBW-XLyk5_*aP*BsdFne}N$>pRa@I~5}3&o@eRf6Q&x*Iu$^b;T3!?hyF91ice< zEI_guAo^|Xs%7SbFZBS`ddNF$98eQ7s=q`e+-isn^uog*fo0P_%N%eINGA9;>JNIh$|-mkhP3Y- z-d4Dz_sol@jCeq|M*i3V-D%;5*E9U86)!S2gom*Gy)vQzh2gVC~0O;f6>MZP0Xjpl_ zA-#FwqU-LOPCj@p>nnW$EipzcX_-k+Q_kQ8&@E-WI2#5VWHMlv4%}}MJg0Yt;2_tj z3=d|b(Fo%9!cckPOh+8WhRclbvx!@P* zFoUTK)|o|Iqve8-bn)ax%Bvy}9O>NP;r)vHZD(QKvFMa>glkP>5=%O=yFkt|%WC7u=H>cT%ad)H*& zpyo!m)6IH+oGc`^Ihu5RPPMM1>Z!ge&*=GvzEt4O@?ypJ@#DMEO(Z_oSj5ob{x z_g3M5`gWW~F*pWJuY0qWS4&L-uuxUG6I-J|~OW$TxzO zR#z`roWo-yhxZ3lQ+sB$w;}GjZ0ZheXZHlb!AFBGo#!L&YEQQ>?bV;~ob2et zuy$P6L%1#7$6_M61i+2tpcg>{MLIs6q9<-gz@x-y6{vkk zse@hjfMGBf`-iXP0TqR9L8@NkWF4Gaf3!Ws4M-0es|FvguNueSlH%`U7Sn<%^t>Ew zfJAP4EhLeFxS6fM-fYwpp~}K?XOv;7#qTGuOBV+(G&VK|e4=vY5=B}G{(#qiigTnX z3xNWYfX%ws5jkl~0HZdIa1|P*)y4HsXb_(D#Di=E>v#JA&h=r1+2BqW*aV^suBzrT zxV9JA3HsX!DL|;=&LQJdOanYF9@o>V-H8C(dvH@lcQo=;A#)f5tGK%R5gcz6N9)}6 z++)m9F}1(JGdTv!U!AZ=J`Xsvs@VirBt9;xou?7Xn(xV6gyclHpQ;VpsGP^J>zPyw z%!L`yiTUV>Oke;ht{y5`DfqVfp*}%tt63^;LtDLqa-{D=N>6DYZ4|$9+Jn#{Ruig& z6)-dI*7zyZvxQW+utZO;o8M`5R(5fNhQLhe_USyrSOqA2zYLutv1H9Gpoqp>O%tN3 zHEb5o-Oy<`9mAKG=8t7?_^G7%s9;|fh0`)#(-N^XwqyDxAUJw>}V z{$m{PoyGVJZTGEcS(Vb_>;(_0>`y1F3m?pT&T5Kyq~?zE6N4o5UVs7ZObBdN~N)L^fKk3BY8A{8^NlD-x$6tB1+IzVSa|?x}P*ENi9NO0O)N zC_~{C1rK-rL#gs!qf|Psz&vqgTv=QRlJHdpI!J!jrC;w=&qQAm!Iaqu;`S(2q=2i-! zb$JR)Hnqx79fVXtw4z$+kD@!RL6LXAF+rIjrU{DRtsj7Tqb>4`vc$~1%ZO1G3ISs^ z%vicuKV5Y~RQp$0jzw!65fv78LE$0{1WAoK$3QSN5*+0^hIWKO1({-+nYoV9KxS3B zHw02xJ6QQetF4&Uf%aTl_jl6mMQ$0NAIQSPHS_2_^EQ{jOZ*&bl_c}CZ#t-I1)8RX z7gUrckY?lp3ydGGaae7)Wmrz(;^lp(;KCEPCtorTT!QDRx*Rq4B6-xG>U?V+8FV|3ljHtof zsxgSI#Z?m_DkR@|Ww>wu`MSPt>;GgRYjPkWL~g@D>EQb!izo)d`y~}Jw_UJmsZU_L zpT373d(LzRDY7A&i*VJ-gxO;1sHYLABORX;dt4hXvVzDDHDenCIygF~F_TF)T$ zz~qEd*^^omS!0g}S-`t1@Jc+XcF4D=UgmM%pU)g57lKRy_%4ei%n8wZgjCRo_hl$U zdDsgxb#_gZMvW|+FcJfMSnorwuq-n}Y~KvE6Fraj6tq3TF0%@D%)m58hO})AeIU5; zDM1C4T8BkK_w3r3DT)`R#nmAoXI^~JfX~(fxu}_V70Z`?2EY<5s>JQN@7IPd!1!hg zEEZRRQNDoY4I$yoT-V;7EQ}B+;jXK}EgxriCZ35`wf;W*^r01a8U&0SuMlEiz4G!I zTK`WzspqEAyY+rAvzfG0yfR~TX!x1pHwOeROLx(It^|DJ?J__Pm7o@XdlV|=MeTES zh-h`>v4W~98~I=>t`Mm2aU07kHl?y}K6I_g`1-){)qgO--)Anr4y5);dDH+y%^6hO zrp7>z#L#oprx@31@J&Z3rBsVuNO6_J_W~6jJ}11_CK^gOG_w51tR~5 zc(EdF11?^#e@X~s-12!kM@Xvd5D9f)6lA z1a499p~0J2xbPa0se-nAOH(^N{Og}o^_B?g($Eh|qe1OKC0VP**w+`Tm(VbXUf&<< z*Y^Ag<75TABTmX!#gB!MT6-qR7Xeb?j;e)ky*efiWBi8aRZ#%QBJ@Qzio_5|KuT!$Sk^EtT%Z`$^2 zgtFmTw`DXU0_E#ZkY{Z)HnHJbTC5tmCTBNx4*G|;FEu1Ceg}AKr@z<&JLZucs$ND3 zrjmuJJ+&}Fnq3n%BY*?N7?$ry`_ti+8GxgVsUP3W`C6{}W@!o|0eWqWiKiOB770CA ze^+RGy0fDS?bMkC=lx)IaPuZF@2z3G9+^RU(_bI6kGBdv?|FJ(kJo`UU{#aBg8Fqu zf)4K?-g&>e3zK%6*Hv@|Pj500pjW1;jTiqW`W6|eC-o0};Kky7WHls!sevoK+2Pl^ zSPOp$wR|rzl9Peukj=L{?mW&=NH$SxIYq&UMB&k`mlzoSd7H3%5a{(|GU}+|P$-NV z5W&HSR;B_jVodO$2m^foIy6dn1IZX>+_BBTA!7`)f@h2Bt0ba)xEHnak%N9zYpz>p;qYKtEBBz1E! zaS=LToJwSz9(;iBNlWcKJHlKfkOFqt`jMFHPx&Yd^2s2f%e{_>+Ipe7wFWzDmELw+ zT@W6k`DmTy49(%rsHbSpU8vdmVJ;sj_2yWKrk0>Yor7j2ta95Q*+YQL5Mm#})hBHd zBB+Z+_7kgS%EoUZ`#OtQQI~%}jsWTHaz#E0X5JKFI;9k#9_fDAXYDK9(*;dY^WiUk zZYEBd3$)Htq3?L^20E`v&*39C6&8~qo(n=2=2SZ|JNID5Vsat@+yOjy$FWPF4?PA+ zU-5AqW%NB)eMNYjlDjwvdwQx%3{6k>@V&a9CTWnKcGtlpr4!WuDO1Y@i_=)XqANmq zIoCXjo*IXRz<&<2JQEcnh67z>@jVV)xNB3?6M++$AIr2=A{istHl#LorKw0~*qz=* zqc(gJXK4G(0{6uwgZ(P!u3zd568|8z50Wwi8foy$v(3N_AiI?TLHIFzPk|l2VOHcQ ze9syDs-uIQ#TcUmDT%YEp_Y!Q07S=rx0;;(b1|79v~CEC#|zj9cAonaXR%J>tm@-R z!wxD~f~>gMUr0!01FkmQCO@vjwCA^5kFFv*icd!sRN&B$<`CLKSiq_uH+tC8hg|IF zMJzK$(>hMhMeYva$;y!m=GRif3}d>ea@e|)4HIkLJD)!2LAI}2Z=#-@iGzoE0&ZJ5 z@TV?2za2UA8Ok&2te;k3(>qv)xOfGfYD_W_uGQJak6T!UkeiWu4+EDk98#ee;bfSW zh5Uf?Hjm7nuH403nUQ2JM(L5^5*rL;h}$?d;;tUl+*SJJxtbC^Ls20XVM0PMn(qAi z^5^uL!!e_9|G3T1en-T40(-Jha}7+pf$LY*#G6|Yp`q4pim2S+E|G&gAVkQj@!3iK zwp~*QvTIKC_p!Z37(}}l;Ov8%Txy488LkJS1=(OBSCdFYfCR8-88)e$#+}uXT5jD& zob-$g7Za041i#{A11eyTl$h?%8-v;WXb|hYUPpK*bGVQd$c5DFQ|Cncj*nrspl`^c zTJV0v4JGpv8m9e#soOp#vbzBG&%sU0WvyP~KujN)6w@9A_Xof{BS5=zuot@@o7RmE zb?$|U>E>4o?Mor!5O`F89zgG+=Q8Q#2xb%z^|Vpbov>*!VjW^V@yajf=-5sbtRmn!3*6+AE4f$_3=*chOr0`_DWb%{5%*B zW#R3?S>Jl+@pqhn-V?3)AStU$*VJRaZA8VsGkc`6Fc`}>bXJh9bJNtPA@b>oa;>%K zG-fDU0qAwK(?ajj5%EHZYYOU%BLV5jrgL2Xx-@`h>vIg>d?+EWVYet~G~^O`be{0Y zuw+;S=}x!WGl43MXA~}GA{ecw_s9y$u+<+H!$>dr5dQ6drfA_XpH_H{ns6C58{snW z>ieDGBKH7BcLex1mcFXQz9I#(^d{=9SkV`uKrWbP0y^n?K-;yyCB4EnWvOzv zwtmkM#CY#pa4bVCYmIo`_eN|90}vr{V^)1C%y_4dravE&&`ZlhU9ac(fzjxVfsaHF zT`Lz0HNQ<7)I@rhV@%HNcHbeyj3pyyQf}F=kte_-1VjNUO-+b4rEfBUlLNf*72+R( zkR5&27}UnhT5jw2guyqmIqt4+Y_~=|z;xb=st^i$*DC5QV)?cgem(+GHLgY*S2PDf zru3a!r_0*zTaoPt`XH%{VMUxZp(kOU@bg}O!@F2x*aaFJc#3vO{)x*vvC6SV_U*qQ zMHsq1d8U!o&gLNn&et>wLJ( zirv}v>@PR9HZwXRqG3Yyp3T za1%oLQJD<$lE)aj3`O7wC8osCGq&8Q*Yfs?%T%ajG57JC-;rMuZ;~VbY>tmk>$+R3 zquRGr3(kqYJ`tP*pp~(livkHSIpSd%Vq^e+~X$%Ie^<9?{#@D0Kfi- zFB7w=siDD*mlM(g81jhZ`331&OD`{i3m-Bhu9FD>l!@@!MwnDtjLH{Md@h z;VVl85s4?XaNb7GM_d;3U*EY+fOfJT;)$`X_3+`FpF;fH+qqyeM5hf05{+`E&+V~!nrKBDesTDiUGFhV&SZE$)X zAATxvPp0ylA(!Jz)u(EWr7s(&nMsV6o@@hk?kiWO$y1~N;dyl;yuw^g z(mys0Te)nKOn*KHGhygcjI85dv3ygbR(jo64=;Sp313x){$IOOogHl)dgj}g@~>ZP zL#i;FQVz`0oE>#0g_h;A2{?N)b(7oy6i|k9KhK@<2;Y0O;Iy}Epk;Ax;}N|&_TQNG z7e$2-*7y((koom&rRFB~L8D~Z_)CJwiJBU0%jF_`07a_`BrM#jbEq>B&RJ`)y-^ir znWCc~F8%9Vdd(uxDZMYSEtBt-O5ivJUk1pXf1{m=_;u+gxU^KpE0J5kkeo7TYTB$h zdnwQ5oj_X=lc53=@GX6B*~30bU*qga$_KRK3iZe7-lR34RB^bzbBu(ZVykYJHn4^6 zsT{Fc03>~eZaP>;tJ}xkXfuYa!v!LSHt`2nBAg<%;ksKK1m$3PF0afbyf-lU!AP(#-4W>f-Av}_5-DnaOezn=Vx<_GWym)2(!O$-IVz88`| z%LG5)sW$DDt>03dQP7XvD}7LE{olY=9%uW&!P8X_nayvi4)W>mRBgL~uf%_wW;6;z}vC4}IaU z)S(%RfRZ;S8M94vC({vR1{4FeuH_FSZR%g&Tlt%z{v$yeCIVorS_n|nc!T23yO8EM z zvL7u1LZqbG0iH*Aeb5&A!>EHOx5aRUv~665WwfS4OuOUdndW!WH6Z6fIA)v8MaT{a zeSP7^!=xvRCaJ)V2XfblM1nT0%dsktPCEMZ3eNj z1eXOioire25^!|{^oRCnArrpR*FJD|@?F!44tCP&VKj$w3{mBS8j;d20W{5v;GXpz zNqsGoKuv5xkvdnqGtvWPE79hq5^d@5k8tyR&3h^HK4^nz2}XR^q*ottW)7Yp{8anN zIT}urB8g;q%ClBGfsg_ntv36Y-T}1?+Lox?677B+^2>SR z$$Ra$wSb}{s5k`W@Yz%nQT%ozzd>yEIKkM%uLqLTb^8mqpvQWMG+m8G+iL(qBQ9l< zhaMmJ*$b&iSHKgY+iM+At4`9#S@#23xfo$1#q+Te9eCh_4?1RpoGoMPIh#c|JB)%` zGxy?*L~LKw%29(;eTyaN!fmCMiogwKwbVI^#f&-hu%i}?>(OMK@yVFPD`pa!s(`d7gfOv-1&jPeNhI0(u_3JN4N0&0Op+Mk<&H@J{t| zbPAAvr6X!m`=_C`q0cy#s?yIksG1j&ADhE`+9)$y*V>OD@k9&$Bp@$MM#lqdu5WxT z1vNK?i9T^9&O0{Sj%fFp&)>nh^4b4GRzCpf;fj9)6%=^PtXM|GpvJxQ^u=kwWXsj~ zpzDjwe0Id~VrzyuzxyQQ1w|QWJ#&ox|D1miwonh*!THZOD(<+CoPXK>od0w&*^WqQ z+ZrH&+PF~G^RF|+$6-f1phTHT9l_GJ_02S{(oh`W{(9EIHN6kAg)Kx`4qk)b?(4=f z*TUvnWF**T3NFCy#b-UForioq00tFaz4t~x&J4E*n=r-+2AgJ0%aON~hJ%$FtNp7&i`szI~x!SFh` zr6>C#zzPj1wsWd?3GZkG%jl5&PJ*t_2dQnq%Bw~C0|fYK?~{(h4*YFrTk|!DF6f?S zV7wCgd^wrQA8RP}^YKhrtvkx4s7na7Gjgal;XE5p(Z$jy6P=;Ia{g}% zFZKyh;p9gg@h$XjKMrh%$pgT+F;20U#K&MoD{H?rpa9$C&Q&oh#oZ?4nErZ)(E~;Y z;a}54d*b}upxHgob>|k8Y7d@Fp7z2(6%RIzcpNNySAt_bxm^OZ zG97#1_o*KobT&lB1xg3RgKcNnR<3Mu01`Subo&kyOz>D|pv-!+k`by9Qf-kbt8rZ- z`)GX)DEwk(*k$4nW%JJhO~EvyJS=3)3=!i<_wd^eaJ>uI{so>qN}&4Lgbv>z_FG2f z(~teZQ-{C-=KzNwPW?Ql$%s&7_gOcVrh1_PRd9@vm~;<2%7bsc0&Wgk2PFbSU%Jzt z|3Uk&sHlyL>fGZv5uSO#3Xormh)w3~+Yf%#$hmILg)Sca5*pR*dp;t^K*K}8dQ@{h zPIyVtrvbV(Op$>0+0_qUxO8d(H@fDW`_f*vhMIJykFIOU7FAyDjGEzZdOwHdn?Y#` z*P4_2JoloWLt z(uSbnI+gjgUIA_pSdlHJO7@mmraW)nL{*KRp$OGvGz=&n`#K}1dm@h)IVr%MH5a0pk zod;skoV)%P9t-eu$8i!&NPo!z;1@f-S?wLY7QhSI8U43Za?+qCywUys_WLhfH@P}u z+NKK#zWtYSHx~@I6`OQBFI8no%>dGgE;C+Cli{4aci3Wb1RWCo)-nIOz)bZgnB2d* zHmU#lJgPo!z`So0P)uZsghPfHC{f{6V`!HLhj-l`Q7Rvz`aMW+TYNdz?kp zyc5Y2lnYQ6Xk95kAuk%o+M3D3Uga(?O<4lp64_mFvG~SC>@gX{%TcR8!=C61c09p5 zkM%rGV-{-i4LZjOii+_&KB-(sCT9GtEcp75TE4IwV zQi#$`6sC~f6Lra71Dyb^(VH$$20(!hZCsqwuRa+_PkBS}jiNr_B|j&qA28Y`#|uU; zZXOdJ^tyc`Ulx5I+RSlWb&1ep|5jq&%n5$Y!Af=2Osv_9u&J&@ZN%CKL}5&*zZRCe zkC5rMNV&(SC5)HYRYbJ}rLp~?`H=vpy=lE6531^vu{f&w1~_sSh#XIauQy^5?Ym9| z;lSR{fKxk?WEh|DyYNQ?3nv!`Ce3*x6FDVkS@8>lh;)y{@tJ91PTRjLrYi>)X8N8Q?{GH5n{%3 zGAx2)j|p%LAV^JMx${h;2+ITx0)ZQ$B0Q)h+i86rDsCV{*iu~gL=ToYk=hVYKLL*p z+fIIlZjHqnR42ZfOz64uWeh+Z3TxW`R0)+fa+j6uCs=RShxY%IH;9{?e` zk!U?dA7J*`yD5Qz2AKtf7;W?ZOV?u2-XUSx34A1~T@9wEWqFbAc~~*@P5|}_jm#Z-$QwdxK3)G9m^&-y)?zUwCeup5F&_JG2(9~Ldo_{&L#hM42S5O zsUN4_z@ftA(bS~J&e!ko%Kc999bL7h{*M0rdr~*L)YZEf7Z@R6VoX#!nE>2l`Peie zEazr=1_Cjv0IYLYMA|SFYFhuY z31>dwcTC+EtpKKJ*2DSfG#|t}A`f6M=hsUXcgpjsIWn;{GuAahFF#S|FY_e_dOI`V zC%ny&F^gCc_bMip9MXO>*KF}|=;>3zbIJ^?%wnWxI^%PJYU9_K2a4u5Vc8T#d*Pk4% znv1@8mzD8;ys<+K0@o)wB=l(WC|wucEiZOSX}!s${OQosUlh>i-AhyC-T-Xw96Bq@ z&|8Gf$9y5Kg*p(BWGswBW|-3vHR63yYON=aVXTv&d(2)to1V`7aXy<#i&6WXQL_Vp zo_dRvi-Q_9>~o*S&uw|`s0OK*arU*z{MvI`<1|r9B*hnX+bu$rrN{s`CFN(qSlE@w zvDW6s``b=Q@m6Rvh))+~aXZx?0n6(`pvZUkW8uIwj}EY@Cdn>GOV8AuKSdeq z3pWP7+?_d__I}|zT(chNOjI(YDeG;EPKBwua7u`Ktgrq>nhp~YC9WkV939^6HnOZ$ zP%I*+CLB&p4aoz*O*7U9U@sL%a%_`F#^>-#N8ulnu;xh-af1SPbi>#QFlIxH=Zj_H z!tK*KKEB}A{+2JUBO5f(@O+NE-RzHoRx%?RG0%rV+Z^zU488C)KDzX5l#DHRuK%5L z8&FWia2jEG3@Tw4B}}L;Bsd8{vFS~Vhwp%4wxn8ap&7Fy12Ha7DZG?@ z`U6RaqbC@w79}*F3rzEmOYGM{(cqPxkbKW$VPTO0krp0T4d*M9x0NtoqX|8P&a(Fd z>{)xC29T96u6mwS!`*yFW^>(Du-xCLBYMb{RqdK4XZ_xgi3kHC=_?I>fHl2IdD()q zSFiv4j-|zQcH8sF7bmuY9Ga8!{JD1GGRDq+RPicY#}xS1n1JvIVOC;|>H0KG#}Q^t z<|UTu%<7b{3z1$Xz!Q#OmbgcE7U_V`RJBDLH z_nUI>70vXvQ0vwk4L6B1BhK?PMhZqMMqIkbN+1=cc-e01wH>>^4bF{z!$9_T2Pf;A zU%L|2T@AJSMqD+u7T4EW*v;JQ6IW5y+wJeWMhBZdCr@HwZ`(W9E6T6_L)Dyi;cCiW#};cm!SIroFFrC z#l-Gn25y0kI!t3sH|X5;(x!9!?JHi1|G>tv4o@9vo#))eUS--R3fMn9KHjM}6Mr>J z3!18MPy|F%HEF^UMI9-Z$w)H!caf1d0NH#C+P#3_^(KFW0Luo3&t5MeH4t(7xKi?~<&W@UlCS_tpN} zBDfw;4s4GV`UYG+d(>eJRk{kngxcptD*KEZHJd{!+W2eQuP zg;%r*?~5hlX)Xa>yN${KO8f#1&5HGM-SChB!OC;7qw( z>!Nat|sV5O@NH8dsqwLrJVEA@M@4f4R;Jx9`cX=)-!})$N?HyPrtr`EuJj7RX6SS%|k%n z(lD*GAV6v5w?z~2W)^;7KI?m9=gPp@>LM|!o_3o!7q5wV_Ft6L zzMovb7!X@qm|SnRdL&#euwUIdVD#AED-_M>U>2xdE6T^dSF?#k$-?wAz6^OuI3~MM z^O(Pd5WQQ=Rr{7>%k2xanQ2h8vrbY|W@TV)^CZc$X|!5;abUsLr?((VG!|F#7J%Tv z1ja*|mxP?;<5D01Y`_zH019<9?&(Tvm4QCMzYL)4XSGFOo`dpdy<@FcONxv2KY5*f zVl}$g43J|Q2-6eJDa`OM)Y9~8I>xv0gG*i%^kk;uW;a5_unzX9-poIG9oN&Zg`#J67Y9u72X-1+;Lx?KeOUNzjvW(e*XQ)``NZy&A#0_3{s|4hD0|- zEtqeyd>DPJphBW|M`=sA4x&a zdKj@;y?|EvL8s(Qp}Y5N zR!V*Mc~ss$`_m0P0?i9P^YA|>bq|v-_?v7^<+wDAEq#E!atO3zjAt{D2a=+G5pTt# z@~Py6Gm30yaPhY#&H2m;)mZ<1475{E@a(SxDhXO_Uhe@C!5yzWu%uBIRGqV+Q8!hUsf7~u+RO!ryr zFvl6VC+65}9d!;6%rVIMMA49wWbiTd)XQi zM)g~}V)n$fOGp^5C)uyy-}{dipxeK?GnRNw12R!p{v~UAwsRb_Jh`Jias9!eQT7v4 z8&BA6oR`%qQ)Kfrh!(Y{_|E#y46l}`U@rQKR|ikG*6&QU)H^1I{S-}zSgN^d`(+?c zK&fO;BSiQBukQe-dURoFYdT@Dx2BpEat)M^Gb1gv{_fJ(7?PNTKTFL;6Cjc{DXtR z|0AOXejmCj#_syFKl%7B;CH*);*Mys*#MrdbqagmvUCsvxRdSJV21D8Dp z+#KLCQ0f|_dr8#NRf<_!(4pgPt@uKKX+bn^a|ZF$qj=*9D`Z%JCLllHTsiGgASC1vp2#^BGJDRS^9H3A9BgeFjeI99~lJL>g zgrGm!@2~$@|7XjI+EcMr5}BU?=l&@~wTias=w3eg@Gq#pd&ldzpmYUe zzq;=in`Lf#{bK$*?yk&wvkv!EQw#}~B#MbtQz}7+ylu%sNqyxGrr~+m%0l|H92vHn z22L`xvGH!XEfukRRzt4x+xXbFQ6IS3m_c}-d*TdWdg({1B;jC%b?WvsG~R8n(=Z)` zX~!11&Hd5m9tgUQLkE5n5l%Hv?%Jfd)PKDeB6JlZ*pp9lWU3lhpn7EGj;Qu(_*(?I z#r&G4Lc===fnW0Up1T~N@j0VAkqqca65#+E)izT$QACr*eN#b>m3!$DR0f2MLIDLM zcdTG)(-|nJY0%<^0@u{OcqA{{Y}65Z1EwGfhej!I7&l`h@sV)ZgHI%Hyw4(_`b_%n zJFa}nRD*=;sv)5P%6A5D^GOaWlp~CfL(?_4TSNq@C|MO5#zVA+Z{2_O$al`lKj2(= zMV8evh4a>Edynr%lWOyFiE&(hVdri$FIs}F`V3ah|0vWJZSCyri?09xqu6|q z!vxq#D||g31f{qRCrPH5IO31}gO@Mn-0Bl2)WZl_&;ET^Ddc6Y6>o0UC8d!chfZEm zY^w#EkcJsG+vT{Y2+HRvfN|aeG=p(2U%%yjEF(X^I*r?!I3+kQH-7zE*}e=Vza==cx@I&KIH9}O!X zx3500y`Ojj=hgVLn-QUXSJtQEu${o~s07gwP#)6*FCPKOWu-JH*g_%6q_MFP!+ak~ zmTz!KZo;P(;%!Fev} z!)u}w3PJ=n?i#JEOwAWZOU38qXdc~vRqyOd8+BNjjJn6*G|odOLIxxJ;cP1fxvWLQr(4!Q=-g6brA>z@+N;)2mHo0(jI!svN|*XeXpVbE-M= z*72BfA;=sVRDsAyA%Ogk)^Or3mrpbQ-H7xBP8^l&H!qxBW+;`71RiNV@a$I{y7vL+ z-9s_VYLFMUr)NNjZ3F&=0m`AXxuyCo!-M__&NGyh(3VFm$vIQYXHiUK&ZyNmeE?#q zqtLz*-wbgzLUu;?9uRek))0^~7xGy)_u#84CTp4X<%OCRRA$u7OK;g5oB$%;3cem) zKOx64vkY*&lho2m2n5s&|Gv1|Z^V7k) z#ACE)w#HVi@U?4ql+Wbic|alL*mjOtxofl@X#EScwA!WuXn5{} zXA2OAr*;;2(3Lx{7T(`j>;9>dW>)gBDu9)VoZqfk?7aST8#t{Z&sSxTp+26G?q#<` zIo?@c@?Xpk@6lmEDeQOVce~O?10Cn;`O+(1UY9YQbmWa4RfPSMwZs&Zm8TS2B2~3+ z0`L~r($dmR-tt%Rr6%viTlB=LhmjI_{AbRF<928A4Eo6fZZ)9gB~rRv{tj~iCyQ4( zi}ze-9B)^Ch>MR89+R^7=_*SvC5*XM0fS6+hCiptnVlfVp}2F zg8k;-?xouRhwKb5DD(Yazh1L9F7Kb7nfXQ{Cv*kmqgMQqzB4S^bsC5(gJQo6_1mcx zH^;NDN0>PT1)n0m3z#3`JuC1rI5}QRcg!w3tu#rxni;~0|3iF(nVS_ft@af-bhMTb{lzY zKzGT&)<0H3hb1fi%>UDnKGa-9-~}HpT`5v90dK1YR7g`ja-#P9J78}U zhRCJC-a}#K;z&XfjG;U~y78rIcsbqWTyFEPJxLG(8i1jkBEZF#nWB!z!1JboI$#4H zbY)=jNC+n&Fu$$g92l%4=fIF3N@2E(oNuo<8^kH^-@5cIxb7z9ehm;iGvNOIveQ#1N5jH}@`fl) z(~ojzRgYu4C)Gjy{OMXVX|}aZnV$yI2VR{!$livh4M zSN4=^=~xr9|J_$YI*LEzZrbx47ZUpzG#-v&`>`XeqLTx(AeJq z0naV&8MDG#dMF9H3FJ0zB(0TniI9-FIC;E#3j9tf-RJtlX*Q8UDPP7MfiBTr>`N?~ zRm!Xo78NI{DDP%q?HCBvT2+8I$OFKAp`Yw!z;_&vGQgDC=lbqU5&2P7$BF4Z%hjt5 zqsg^(T9`)J-OV7RYR`2YT=YM#q2uIKLh6<0U7e!6DAk3L>glMb4+(1B4;-LydX#-z z-qYvnCe2e*8?q!PR0r=OYp9omD!DdI^UBKQOayDiWLZ2YC=B70E97()OWq$rl4kUD z)++77=;XwNkY_3AYyQb!OM6obHK4?@i}AnZ|DS&Yupk*aQZ;r0}-7c4x` z@W7{8772;WSBQ?M&fhG%n@)=CU{%wmlfL_=iTA^?gc@9I3~7Kzy}m!O9dj%IB!CJPpUBbpe-X%fx*FHxv~Dn=nk}0vnr}{631%vpq#P z#UC)aQZMqASno8V|MP;vf!N-qB@dfK`R+h$=iH7_iDF3_k>)V&ri+0^yh9|f&QV^4 z+Z;JJXUzAn>F2W;!#Lfe(|t(G{^FLHCvU|_yPwx@4COU-2&x941!R4s^w|hJUBe)w ztMYPnKgA}SEBkZ$lO7o-QDwp;TuOrm6a&=i;#zWwk(*Q@Ft((nS6E`^P!&Nop6%;>N?nm2r8Utfo)hwbfJWA zA}sBUVb{B#ZB~PeqdZE1FznDytvjuhFyth%`(P6y-uKlSiyeosdo{Og8>&qg;d-sH zWS7x#P`6&^=O0{L2PhUE=_gn3HtpeS^RH}c>)+lwz3?^U#tMS>>nMMGT3kf_()()= zVQja5rA8imqwU;LvlZ?IeJ z=;lKU3}}MW-6zuU!jznhs1<#VnXC+X` zTe5x^?2Ti9?0Ws?&DLsfe(FE<#oyCt^%|neD|4;u(-eS$YY>IQCLy%WWMMF z_V*T|;~Mq01;joYbc!u-8Xh{=ZvQglc=qW`x$W)+`7AVIm8>7ok}8^tYzcYcQJo_s z+;Lh}9*Dy;b%Fc$P_qP@A~4B!wQJ^pia}kTwc5y7J0m zV|$XY2jkT-~V%>%(A5+Gkau2Mh+q?WE4_Vwi2>s9EC)(N7<2?jD#YmB`ZosL@6`7 zL6Pyh?qk;H{eArYczc}QoO53HeP8!ApV#vupV9wQ1};YhsF&`hv>DZ&QLZbTkUGig zY2LqoKTF4n<2q+Oo<@Vri2gw`a|~og|7kJYQJGh%?f0JC4+tPxs&cp7&NB}sX_w8q zJppqbf2xioP1*~%;rtO(nP#%A(HBrTi^+GiS}EQeeEQQmDcE6h>1a;TPYeke&(G_L zQRt?=Bcn8SR6V^Q`p((q7&V--*%92C4zBtKAMCmuZSsM6k&+hN4^~wfswi3SvUz8L zW-X1g1pFT_NkKjxzp0$br|8myr99J^>kxUx7%zgy&=0NSPJAIY9o~bD%oX43`3Io+ z?2T>cjTQ)9GW$SAq5uTgOLr^cag@Ea?{(K&aW-iPp19s&U@-xH_*WJ?Z zjTDWSay*-}6LlT6nP#dp^vvC$WY?qnE&UN<#SG}5D~93fanfyzS_z`cURp1r@HIfn zfYRZW&{vw-E(5J7%#e0DeE6`tcS^L|Q^&X|u`)DjG&+5QXqJhz>MJ=|%3yL@>;#tgF$D*<+uS>QX8ll356Q5*BT^%p4v zXD;Tmdf*-)uTr7lhXiu+hkI~#F*O~pidY=7H}*y2sR`)kaZw6rbGHcC^8~<$#=}#yL1pr8ZKV_b zxVA|u+ig`Tk0FHUXM37~TtF-dqqm!)r@%q#HwSm@Mi;ktJ1*weDFHX}0#bx9>^%^ea#J;oMJ~5$+Y*YB7;Nuuv2Mu-764xrIVGa6en_P1 zQ02DYc{ZjVn45DyMvme5nmcm*lE2Ol0|Y=woj`eqPHeDiL(Q zFDJDYVvpL*+htQvjwo%H-j+c4$_#>%|cg zid==tZ;Q|v{a|~yZkh$xO;r26odw04M?^98i{N0lvuyS(&7RKbRb=onYFEIf<%Qk9 zc}}j+YzzG(iYH!>HCA2-23!1_<=5bg$h1qV6(XWXX;O_WpmLQBeh)(jHzJ$;V$x({egJ zs9BY-G_ZJZU#bZGP0~ShZWw+C@hlzN8%t`}uWy#weg{c`7SpvGlu?cQ>(Un+kWX4p z$C?`Mbtf9%zS*ijH$k3bxx2s&*K^a9or?AjMwa5a7r(;{*&6^Ssv&!i!iF*>2Onx; z{rC(|f6Yk}4qMOZ!||SPuX|#W36o5~r}Y%Z>I>_ya{^V;q-u}fU>rg~>n9X%vSxb1 z_>=-wUAdI;5t^l}Z zbJE?33JARe$2RvPX-usr-zWD+tQ-u2u}-1%%;cy0a_t8APqRUDK0j{y&MQ&yE&vT^ zlXp&jIMzki=rev~bj0c?fU7ehoE`EPY-{F`Kp3x!WuM#tYs?wNt?jxiPz`&=GKxZT4BMNLXNH}!fvk~_>!VN%CzSalF_7Hd!P|Ll3snHY#<1WrA2 ze)};o%`ID!Jy-JVRHeUFaw5R22ay4tSV%+pIe3_SPRPWmKUTg6zJW5R#N*u(wkr5} z%!ouMU+oyU+5%;UZM#l{y^1f{kqWwa9_^lgg=9+ z*L8rX{CXgxu<};((j&>~T@ba|PPxfyE0R~0BA(1{hv!r*wW zJEb84yE(#t(SboL`(w&}hhFT>k9Uv;%dWoJ_u~kKCHBmjGii+aS%U(TJi_>b%F$+@ zQ?GOUMYuKN9VTe+)XY5CqV2m+4k#^+S?x_s67MVRa!s16fVM$#WZIk0B~zu`0}^G% zn$e@!H15y%kBoN}`JiYn2nj@IFJ7X6kGW2V;2_MXaH|2DN|8PxkkdCaNC_F3>A%i+ zFS(co%W4P#{T7X`vp*W&$Fun^^%lO&y=wxefS1OIO=R;b8FL$Fnei#(GcY4{dHc`R z)B-VC2AJwH_35v6BT-Ax^=REp1O_=B--uC{2xdey+$iY>FVc?lg0Dn4>6`RsU z_PBB94O+CJryRk#NYUU6i>L_;VozZ&2Qm-h- z-2&}xra@D~k0L+20;_}g_@(_osC#ebJxJ1xBX&OqIT?m?o;B-R$KMZvxUR~3>gm=e z+t@&XM55S)LhUD~nf}qk*fjn!nwxzk99zUvbzWD1n^plF*bP)gdw{gb_5Q5aZW&ii z&tDVwNc?h@NW7q!ijoRWzCkmyo^J9{jr2O%jB&Sb^P}m>p*tn&M;%Tx1WI2T)U@e& zR5}kf{50TaX4{%~PQO3#G<(Fu)i+Gx$`+eXzYIj2jG)%J$a6^$?cZtKykbPizD3R> zPUNpoa>k(S9wTEm&0e^6t{`nE%Q=%to?%= zA7lecDD?BF+5RlORsE9wtnoD8G@BVS4)WrvP$U@uJjWx6S5QfY8Q>XmZ1ses47DMM z!cId|m6cq*8U$TQ4?g5GKu9hK;HURr8m+bxO>e0oquXb__m-Yv_L8h8+&v3mThWC^ zR2hK;=-l+%45@i(hj&IR9E?P?kh5hq3`F|s@Kx}cP%6S0OR@`0il%;Tv^<-u)u^Vb zDm8-$8hR4FOGMx40#uWe_lR+GAAwqRR@L3^+b%gGPmZv79B#d|335R!Kaf7yC*f2H zHRO6oiWHC-0)(r%PXg|eroL(~fJrz|gk(C@5bWXMVVs>oJ}Bb_9l(kRt7aNVQ7?StvfXE4Z5+ zc3;H;VADn)GS5nFbH%0^*8xMTIq(5me3VxSn7+{S$Y27%?w2NM)Q=vGLAhaoiZA#G z{Fe4Jz>v4iwO;ywSS@B2tido32+fW)kDyLHz||Kd-DjFZ804=I#8mX<(9KNr1d4skFTk4xTf}au7Jw6iiZt=%s*(YujHCY%%G`QkKQkfGmcgP?ey?PDhv$04f@ z39A8wt7PX()Cr)^mE?0mn&mj;?(ItV+&R5$Eub9X+tK|;$pysy6sU{#9vQwPcv}qL z`J*Jy04S^h6eCOSr+k3PBojDsTC%kFs;R4gpYwFVMGys8QSk8aghQ6N4!q8S>3O%Y z_n&DE-GPQg70{If&}b3^=0FWTNBRE6;~)J)AppC?ESJ1fFJKciiIo-G_ejqI z)RXQ-PkmPsLdXI>l|x}lP(43>$LB!4Nrmp8+0=>Kk+}OKURhmkzLPzuaP&FRYJ2HN zD8bJDTqv)6bv4VhOc(pPQz9qz8B8@aI5a<{H2%$JF*#>4YvfNQxqs!?ySFpILl&Y3 zg_^ua6Y|+suc2(3_NPQ8peT3p+tFL%Z+&KRQ=W6#@m{=1$|InC?LP7b+8KJEhvz?b z(+9_7kg@klcw?2kevn@$ln=x|gvDpGOjCIE)IILE)=CS`O{Yf^)`e;7<1qh3cY{-i zud$0F&68MDAT{}#eu}OKg!4UoZCMAx_R4>J!JjI=eCVr*V_XSHOa&AAXCKJXu8Z~8 znh=DNFGHuw@z~_GYuCbonIm}Q$e-_bm4||h&u)Bs;T_}+Pw?3(Zo=sxyEDB_L>|*b zuk%@jnVGp5a{sdsqnJY@bXU;t^IZ?>NDh;bL)@-SQKILoUu*2_{6OrjVd*CfUoGNP zicCtnccTp;Ckf!xa&mJ1mUAuKqNCt9Qk2djd0X;gJLZx8)R);aeztPZtq>0R(0X7A z$yzw(dlRDe;|Yyd{Js+Z^hf>P9MEG>^3^tzb>(qMEAc<6n7_Xd3fe4BLjVhIqmA!M z3zT)O`nLCaFRNngb-z2Xzs{|$Ue)hCPL}j9T2y=ZvYjAU;$iYeje8v4#>Z8lIhY{?|5Ks7Z)Sd}G|o;WZe zKVDl}LeNmEIJ>AX=6!fim2Gu~fD;JB+S?b*_w8LG)cIvJ0%+}_4g@IbtN-xm_`L*I z_2l{IJWPZ_M@rX&lS*e884ZDJ(HTgSphY9&@pXt@)igAsqNC|4?nk!#y+@zGM$Os3 zih=duXCa!Z*t;s48`Fr1JcX{!yf*SyDRglF`T}WvPSUhEf87$A%}X( zrz(l_7V<2wqb!9dV&~bP2bv=RfQmJr!u+3V$>{!(w_zt`C{$QmF{p+NHIaG%E+MU! zCKNRL_k$5%riSov^UgT5+gz~9OB$@yQbgdCDv}!*rhTN@vquoPbt0gSwWWZRPzYUr za!o$asTsSJj6kQNGFxny(DfC4y>VI8B8I6$k(-OB9@A~PS?>UQ;8#NIdEh=L#iLWh z`N}265ObV_n4_93X+QqK(!9{k`-i@SzAQ$#A=XVRRz-snUrPzjX)>v~r6tgR8OR=t zS?wbtM5*uto+qVi<$0JPZx`FiM_!r@j&xcmi=6SF!o)9M&h{8&EW=>A_D2kb3Osrp zjY<-0vUUhSi_ z4&hisL&Kc5M_zhQt{58ftvuHbPFNPJY9LhAUqRBywL7+?mzq5Pbd@ns337oBdSt-4 z)=_Y^Q2j1=W5hqv!&|L9JeC#ywM93shz5&q0`VKKe4J19*AbzB3S>wR_fx5&#dfec z@?`eX=c44W=N{;|-VvW=e`em$JJ*tbJoH^`lP7%6CaCyQVV&!#v%ZI94rqAwzdGlk zWh6R^@*nezss)UKrrT{;8Pe6aPXCsZ+8nRg3w2Ngocs#B^v=U?p3+MkBh1R&gh``^ zz%Z@V%6@qt#)M(Li(ZZ7@Gb6ej5W7K*)aoTW1p%`fyk5{=}0+=bxVbt-jH%04r=h-o6CLFuIB39O_o&I*ki{cP)Z-M zpOBD{3=PhWnlACd2$}7}-IVB7fb?{d02r*(?b_V3<|qt;>aEupM;=@;JUKwX@`dkk z^yc89l8N%5$Ub_hLCVA&8tYo15m!5?9}N&>H3PxjtkQsA-ZoZ;WH8J2Tj4j*YccnD zV3#9G+5U67=muWDu56!DW_svjOrPea=kn{DFZ;t1-t=U5#2A7k^E}sB`FS#cbs7Hw;f36=)FmjtTTSI)TF#Hw%zD z&XF5|61J_R>U%V44RMHMv*5{`E#wuW`uoj|9-dD?=;^{JQ3xM59**BD@W|xuemM;5 z3kP}Fdf|XZX*3>?cNV~1C3^9rY?(_Q0q=pKIJmNRgynA{dJHZ?>7Q*S5MWFx!^IZ1 zHCgUzCP>@bkKV($g;zfY<~qGBe2?Sk;+hEtwG6{IYCho2q@iiPLmi#MCrE9~9UUGc zy1O{!#th+135}r~JnK{z)&!3Jy`)-~M7CeB5;4qD;My+tQzxg*b@+_}@`HnT-n%6L zy0GOLDTE+i_7M;PiUJGhEU;(Ve+noOUA~+vPmezfD;9isCK|F|YjprTHL5TAlN*?& zeS8bDKK|SZ+z<2G%as0W3qc|sZv+cXa0}{!^M4QLe?_qA@?ZP8^4G_iq+o`#arK-S zTfp&8sw3bHJtvmlcOrVAN+>p)blFuPbiZt?CJHq?B)m;jF=9U`{t*nro#*|1e@4|u zA2}X3C7<@ z1eI7344L##FDwbVORyPfC03Timl>qI=N?ePAa2Mt47pxoQwpqwCRHwJ>8=i&@@>n; zYejMj5w1z&Je@MTAJz}>XIn^P&-~-R5jJHvhr@64H0Q)J(}#<$HT&%>(?B@D(Of8~ z#GW42KgmwY-CqN@{|dMx;yYUFo?qzlHJ-S&6tep@ue0c8I}no~GI7n&$mT7rF^`xI zA3Q%G@ar;~-dPK*RUNuK03(fnT#lc`861#})T6i)pm!~&lno8zWr0H!iGA?(FMs?3 z%5MGT`r`M2)L$r$5PzHXi><&niI;1(f|-D)&Vagx3aSGqvyctXaX(E>=4bXXg9kfl`=rA?`~Ijr@Z{V z(`YKVAB43O7J!x;o!A2d!To;0o>WQpkrOxageNBp0g^ZtBKNPcaq;myKsXT#zJpk- z_EAvq9H8J2bZN#eYXd)JaN~@f`*yGV4h%#MPp@n}wdw4+a|1wV8wWwEG+m8K z-bWaqg1`pQ%g5AX08<_mPz%-Uhfj-^cMM7hF}xSi>E8Y-=H-wR9_btG#SKXdumkWU z-nKu+$r#vrZ(?0t9|A#)cC65;5!d()S0p8;9C58W0AwfbHlgGNR-us_EiW%`dm=ad#>1=W`ueTurkJ;yJ<+{h>n%RRmLZ9osD-+LoA z2|Ikh796!A_^hh1BUgxDi^F2{L1`!qSc($+3yAHh<^2N#Uz?KFE)D(9u6XD| z0higgW7%a!R^alSpV=06nSzs>YV!o`-V4gY-cdG2{8q*92nH$cuguFjY@>hdf zkkj}R{dVX`-bQDAnqTG6a1cmLiPuay)MEi@e;(i$t}^i&FWu6Jr?#YqsZ?QvLPPTTebWV1A0qv7ZWGV_RQq{-FAGxmCNm_bwuNv=wzT~R^5<&lnnOJcSa zUbwzroptV40cz!zmv8pE@nL;{x#*L`rSwsrv(rO4P4H?bx9gGa35BqDiS zBmhd;*KykG-b@W1aOt$it?Eww%(rGx&6#nZ`}s98Je<{bauhgFI$xrq-v*7wO6`p9 z1GkkTA*4H0ib6WjiPu9yEP%E}6zGq51q518DQ~C|gcL+V0c*u`Bo2#Dw43zV}E zf^9&ZOv?hY@sB{m6yPs%lV_m3#l(og{i(e=WDy43@j?^AXy%sQFUI3A{M0oDOp0oqRiX5rW$P84|$oX4kptNTW zd?=_noQ#5k;%G!8Vq;$q7>Ly%whvED0DtWt!}r&pu~Lg8U1r|vwbi2SCij&C+;qr3 zCX-(c3jSF=f?@X6pvqy~`}wOyB4S-2K8z5mg`(Nqm{(5Z18)t2ES{DbB_}o=gs;Zp zN%Z}HXYb0byK^B|oxVD2Yxixk4bI7mP@$A?);2;)m^2!0>T5Yzqy-s0BV#?9&II+w z^u+=<$ag3(3K+6N8o+G1X1RJ)fN!t1L-=-5L*(snmEB1HTV9th?{0yqiJ9{rzZaC8 zL>}Xxk_+qsv&Z@7p$|!d#|c3jW3d`di(}w_OBsUM+IsPcQS4&p#BS#Ai)@{a;>`kF z#{lLer3v`lxd-_IQTBPf5`2-G!-eBk6&rSWWxxHvSKkN0`TEM*X*Z@iPrB>sMgm|2 z>Lb@n8C6RE`UJo2pk}?XeV}wifXbpEEs+wj^{gM|$}6l6N%5r+^TwFN>Rza*9RC*LK@Mt^-50sZtpeC|FAq5Pn46xSX3gY4Dj5keE)GPoEH z>dQF((HHe&TBQT^6z8tU9~4{L;RE!f6nessUFASUTqxI#UA+UYbRdLfdo1Kg4H4+m zW#+m%jYyOqIk!Gr0AIe&Uq+{tetoJwf)FsO&p{Fk$X7q7Wbmva=jWvNJL^8z-mB2bW-yA-U4+eD8Zix91 ze;z#4TNjS(KCNx9P*hpWtCxJzP18r-VAz2w7O3HH^x4pOMQ4AH4LSDCqRyCu5`-j_ z5QgsoA{s(Ih&htclcZA^?vv*^LYAcz{}z0TJyi<=EVxvZap9AUVl&e~DSBo(&j*MG zsoNWS7^ssQljTm*($QV8!KcIHKmhl+##40X%JC7KA})#72{c4muzHt~rjnI}T_bp7 z9hF{67VFDyr+x3rb3(=E^5H}C-!e+cvmnV^n262Npfj(5qfM`mh|Np#hXfz$f0wqp zFId_#*3ItWcrH+LVcxB-Ua(kyoE{?OLB?#_T|zfcpDx`Eri6U7*mO_D?WMT^U68&s`wp}KuE?N0I%udQLBMxmcxM? z1@ywF4o@ddhXF1=0S5C>0x2>UeZoChoIMgnq_+!i!@nMn^NcF6I>RcJteP8FKR5w? z)0`+pVD(y2@fS%JOMXR%F8s{S?@`i8D|1CIW&uW0Je#%8K3AxtEpHPQ?4}trq}l{a z44Q=WtFXhfP_BB$RNe4ROu4DXY#O}b7(Y*iHyjso>+f{XXbv;%h7miUiJ`0~1jW@T zC_%Pa2u<&M3y^@?!ZXh?r#I7~1Hw_mk>hkM0KPDJi;biIJ(=+a&#PK9v}{} zpA;FFwYJ|m@FAq3d68#DJiuC9BR()D$90aBk22!WJ9dB#`;*lx$qjTVk2+vduqvQm?s{L_Mj+cwlUpnVf0hqDPD(0l{ zZdP#+`M{baqXqg}L7rIO3vxnq?R%mYj7WUKYLJJ;jq_wWTt{~yPraI7I6Pj}pKSa= znd|8WaF)4IoD86#Iz4SUi=oWohqQ!5jO-MCb7V|Rrk&nBV+jwawJQfO5C8z{$_R3- zu=nxFX}huZ z5(naXhSchlLNwe2o$x7pSV<0Ml9hzN`C z{dKf-N*vFutO-3@>t}|6l9DvGkTPULWiyC0@sPJM!VN;})uqR0R}zzK|44;6k_rUk z^LqkHV1n{LWrBLj!0gkv%N`egI3|>E>4o*v&q-5uK)cpU5+E@MUp!&(R#Y1mOun`0 zpdfh47v#c8^1X_go63{MIZ?eqkc?Ma-$EW=I1n3vDz&BLG)_w~OxD#IlB%K4$cZ$_ z=;`TIp`X>9=qdtc$riRg7v}7nxQy>wX%y^d>!^!t7 z-$0iMcBA(()r3f z71B9cs~teo;h?Ppdm1JM>%QE+_mBy2TAqKce5}+IgW6ZbsF zG~6L;f`$xk5M=;m&9&mDEu4Xn0c50A2JuPuhG1bR+|RL2y;k4pu&Y;zk=}$l>YS)- z$A1378V|<1`KKiEPMJp6Hru2T7Zy5)0Pk6546RS{*A`RqE6N|iclyyc<`VT%v-5nT=;X!mXv}b3N}C&=5R0+cg0INV~mW9 z(x35v@)+9F!~P6f4k2!Afx(q;80wIr!!lz=h73_2Z-?0Gk)q?yAslxgytq)FBR~e3 z)4%S`_qA-*EvDB8$7akbNUcFMDrnYZ;RM{EZW_|}N5H4>o{5ZE*}?z4PmSE+raZ-G zk35WZE4DllHnmOW$2bk7$8xal@wKnxUNra}H|U?(M@Gx=`D+XzqA^5ubi2vDZC*S& zhCg2kPlN!uOpJ_neFDbEQyg!8fP@T32 zxgJF)o1d)&FR9R^(Av!oh-1*a62ETb(qL21|5w(R7-C*2P1uR&2NDNXoM==Waw8On zG*Rwf|AWH*6%nO|koYmt7R%KMZAh}_>3?(OVF!I&Og=6i&~RNy9vZSnnVhGz*7_30 zkm(dyD0qabv&{K62?RES8z4+5F+>)N&zV1KR4R6|rA51TD{Pa^Gx96S&9bEKoDMTD zv8QesM9S>szBc!1H|hIRW{7svXa2Wt1JG6#Rzl0WtLdRpekC&~{UQ-UVCo3GmrK&_bv%xtwV}YF+?4;mRz-ohg_KO78ufQrNcS_oALIC>iTTa;n zNCr9Lz^Iahf4Y+f+pCQvhJ5v!ViAI*LGlYFu_7;YE=JEau0j~n=)r+e_a5A!-S9HF zy}+jk`%oE`%q72@4SL$-np9Y)FoJ_n4^N zY7vwJLbaVa0Py)O1j6GcQ?UArKhT(0+I+frH6I4TV?{P59SaOhGHDjcm)&?ej6$qR zN#j*}fmNwxwpiT>%ETGRBu)$4q~A+}^fhS_NDkY_O?M@4Vj)7iJXgKj!_Dhq<*(;t zbyBCylfPsW16lxZu-~kB&iHq?@SINPAmw`S`9KM$Ffk%nLKGsEvK`vMwVV}(0J^}M zF!|Ct(e?-qcp4f=m`u!GWfnoEuP_0!!o{6|#k*HFhBOx}RH_ri$cibqfQ|!(swd7Funig- zKZo%W{a%`?7~_G6W-{N;X`Ce?jX#dfyloOi&;3jMXn1P_pIQ~)vAg$Tp25fCbO0Z* z1ElW2J?xo?THl%E$X`CSv6-Jz!Z&l1Yo6o}U^C;wQSd9P$63ZaFnd`FL)! zF%1CLP#XyJ5AsXAg^>-y063$%Ow_pSW^Xi1ccF{r!mWF$)VHCM_;7h&L^RF7Qvuij zQ)e}dhKPscN-@H*zDc`s=8`B9ul3I{LrT}R$Ecmb7G9)%BpUq7#aq?yn{Z}iyX%F* zEk2YCEbJ||7*F0^CrsYn2%tM}5~!VYNnDG2EPOP)=_p;sam5U8riOa7!B-Gyku>tm znBY{;Qxl^ij+4jd!v#&3Tx&jtSh$ex5O`CpmCvZ^{wtFqQ+eF*$`LCm;xR5A6B7YI z5yug_6W>E4V(sn4vC^J=RFvFsAe)HpLjdaq4k~FmLe~YN-^tRgK;-M70og?u*)|#u zm0B46!a+krlT1|)`OWp!!z)Fomuw>1fJ583yDNWdwjD=Tq5S==Hg{=d6f}v@Pgg@p z6EB9V-~ZXk%SpLnw1pTs^*KBCOmqe;XJ=EP!@u^1pI;niYYBz9%^caRvs+kxkGFd9 zkKY458j4zF71+#p2b+`C{@s_=Dl-n`ah9r4mCc5g$lf7=aq>JcCLtDjlglYj-BF?H zEIJp&xo_W2Ij+8y!q)m@uDPm`!>B@{)pQl&1rDO=Gic~sICLGT0?=!s9)@!913zpm znE6iY0RfV~HWLuZ3q8G3Vj(W5lIAvL(ls1HIlLy)oi>u$FP$&}%Iv0lqy$sO znruOegX3nXzGSv3JJLQC9$pN062uTB7@EdI z4g-O2KNMP{piIoMnz-6UVXkJQ_C0tPk%RO&A<^p!jZMF!pM|1C;i23+*$ z?Oi82HNfar%|x~REhnqrfOQ~&sVmXGld~L?hX<196BG7!W8$fZ=tx`d({f232!$u_ zD>ZvI@{ML+_H|mce_o^z*4*?qXV^!Bf;*}|T6K@)vdrNQbqXN z{@RGHAI$#}+ATuBkC;*Kv4Qs7`R6dgYpx66+AHw1s}g37bv_$UTQ&2}3O>f)qDZp^ zMggb`nw;jJx!D*6%bS~HI7~vn9EuXFK&BaAF3N|wgU1HhOYdF|KVt4rjvoeDhs zyw5<=5QkbQfuxGM=5yfkv+I6^O2|o&)4+;#EOHf)8BLUAr8ogBs<`HiH5^@cwY@>dwR> zmp|cNy3U46QC6FPx>YSG0zax#*`v# z6q8}%PN+{kUan6qs}nrnlY}H!P>##81d}Zw9USXYJlpZE%ePwEL8XbF-ph%1h?Uv_ zM{)Lf=_bV(mvm8WbSvO)5v9Z}7ah7GXHeUN!(Jl^8=$vU2rylAGJYbT$p7JH|8;dX z;eNN@)RUnLS@!`9{byi4m*QOMQm*{Bx10eVe20u+Xtk7bYHBJLTAz3Ufs+}#n}LA~ z<_#qxp2zfGio{tvinPGL2?ei%Je2>=S_T~lC5=6*r)q>CgCg+@?V*|Af1-np?at^! zzIF&QV@_ggx27F6VN}VLXuJf_X>nBkYQ=tx9WI!VOr7+aXrUMn+z0D}+`E3IL;?!6 z>(jgKNfCWk*ZohDmK@YdRn(6+IW}}NpAP)hVy#5+9GY>dt&AvojXwoJPst?p^g&(L zvQ$G?Kt@k)Pl*^0x@7So&1CkoYQfm+MkXhUJsujrORX6W8s1lrhHI))Fei3Rhb!L2Xc))(&VcSN)RX|m-m4sCL8V-MgW0WDEQ|Wo8KtLxTSyB)*6g(r#16Dxy^LH zv?iFSKUS<~@&VA42&94>pGVY4m$SNhDD_0}w@pa22ACScIDD@%vPz}&pH|9DEjgL{#d#VO` zm?YH~fUslT1mOlU~M zosZsRfG;H6Q$TU7r*pA*SqOPvmoQo8UtKIi{BGRq!R@=4)T{XE=(w{5RHH$1yoHAP&kw4#kQ{S0-e-q0`MbHG!hh%C?U!8! z*eWyYp||qS$lZ3oeutrKOX;Z|Qez|PfB0eMnn=W!;I~dTRMXrlw_1O5gzGGnVHB=D z{BV&GS?C>Xg|=;c$T~saUgNj}VnBhk6P$S{jh^T~@KnF&s4QXk{44yZHbQN9y;^3# zJwxzkD?Lgkc;CK#D{4_s&n)fCb8X}DK=JNFRl_f@$*?wniS?@w|L51M|MYuKvMBHM z3$w?-WyW_NXSRtynJvCo4fl>4R1&Rq{ie znlocRWR|3ieqL&-D@rNR5?Yx3{3?V@h%V)MnL&=Vd1CoslJz2)!qsmcPna1nq?`{S zm ztBhto9gnh7W>3LHO-}?ISyOr&UIEvJWL8Ljubcc(l65;!{BpyK-EyZmIg?)ucD9R0 zJ!<1;PT-C!*7`ZQbZ_C(M>X4N^`cHkPXh9R+6ihUN?PhypiJ5O!B^&n?2WjUf#;beh2S7|I&|8={m?TH3+o(qnBJoKYALUITR zB9@&L+MLv29G)=D#F>%mhB90od|)PgL@@s8j(;|a+RR7tdsf11PwnkX0P|Y5jQ{v; zn{ZR4iNbub9P;z#^Nz2j4vs|nrr%Hb{q3x~ba?8$_FUb!=h}lUQ(NyHQyXckY$V;6 zZ=!aNoELo@?l6%2i@d$Rsph8NUd*dz>4EpvNnE&qxR2d-?w{qg8S1tVB|3edSMHni zO>(&|hC5sDl6Lc+dSq*UBX};#68=Z$GtQ(YT&zQ%%EFcF-MRQ0X_$Sk)v+){=vapDpSyoTbplG zA|TE8Xf>m?dYACcn76mG+Gnl3jWe3|EX}(q_gx&!sSqW64y|%;cf}>3I3*)XVMvu9FL=qg&#noTK9!dVMmi1k!%!3oRgKsvQ8xh$EYbc`Y@dNcZ1B z>|^Qo_0Z|0lU{}zhSN)i6RJQjlunu87>G3kB8+s&E6K7Rx-j*9A55#v(a+WAB^G=K zjwWX=mSnqF*UOhafnnTyJNq_d0#~ox7ftMDa*1r6%@lGmO-C+%tVDA|=&d-cq(~us zJbTJ6Mc#9D4jmY!6g=vnFZwY4#o6=8Z4s!FlT%P|0SQ+1md}VkVDjA*m%vk3>Dyq?z4y3$TlSvK%iAdkz6MujI$TP)KqRGut=Mb#!tSPLf$Tw^l zp73AEq~^szqO1<;-{t`NIKBNKFu9;w7Z=n!bbG!?!Ko?3K?+f=KeRj3o2?aHv1V{ydIr~iIwxs1T zJueD3Q6!%I-Ty{n?OE5fDyuqXr%tv)z)r*A9LVNy!TgbE=y+4k3EB-9V0~C&!REDh z;b4WgiKdD01uj66*8r`|J%LYvHWiUoRz``S;ZMsK04GHQf~uv(`Fx|G5;c$wKa!&2 zbiM}~I{5!MKG03(Fq(be4H!`P%smNt`d^I9!1qO*^c7S^5GWK|HM8Wv;BVRODMbWR z`XUuAa4O>s*V+@TFK`R-w%t;!sIL7yXuH?gQ8>*T;n#rX;RW{MR}3bY7e8-*HrY*O zO&fAvC)~!HPk-~JUT9y%0%*%kM_&fI_sF<7t8`W9L512UKR{_vAhvd9_&g^$gMEdb4|9n!YYNblZOcE&pV$;6R=-4sxz>(?ZiShi=V8u+x{ z_A0KZs5}(+OpB>5L7I-bwy7x=8d`6O1BNzq`5t~G@tOa2WOhKa%YWVI1YGek&s;{NMd0Y1B*gIfu zE-VcHq;c-Ec?;@m{O8+N|9Mu^46>IsRc!^OKu7w2ocDVuMj}vg##_jjuADbL4hAOI z0u??F(9JvV`VM2@G64b;toT%lgA*~y_1%*3n#uoq4JIX~0%_@S+xC3sv}6ZJ%l;qF zl1EO@#MIE3AckfbIZ=d^i{Ax~w&(6rrvOW65TsW9 +#iU2jM5lo=?It(P~=}!X= z+jO7^ zSdw8E;OGS?+{t;ypd3^=J9xXO`@$SyZluX-A`JR>?mh%(iXV{Fk*Mo`K3+T?2-NP4 z_dM?4@H=#H9?0_|0By%9M9p!8Re=Oe0yyAQRhNh~|NrbsssMpe9)sNKE))i`@ugJ1t> zCbdFqLwKhJ*yjf1Ev|nK>ER=02xJV`U&43s^8X70w=}ah+_70 z<1tzBGF;BP(DGc>nS-2-(l3V+xU|=}Ap5?*QKp7pzPJIW0oSNa_w3F*AP@q7~ za4^x%qDvlHYsJgoSeAI4mz0+`-Mua7Lx+=-6Cz+!_!Y2cgEWz5fz$T6HS@w>=YW5V zN8`yhlby1I37a5ZD{=pEGzMYeA(~DtaWhnnwS2?7^_4& z?)vz{WGt}bsQmUeidhkgqt4@cXU?!~x4ki%7x;9|{IxTnG{jI?GNM#j-BajEV1Y^F zNH2PVTc~`6f)FSJWf}}8N^5IoU4Y!867~w|MVO=%RYN7&%?c!PO6V|ERT0T$JyH^2 z!9;3Opf9hxR?_3UIr3^0Z;1>~k)r}Wng@sAA+I*WtYke@u0g%A?lOv_Anh(hj8e)A z^l$#-lCTOP9$v1V$8K>jU#F(e9m<#7$gzX-fBDj#nHW>V3QmteBFWtPf~fg$KwDJ@ z^ue01Gcz)-ZDHy<|4?*$R7#5QwxH)1ZYL)0W|nn#$bO_Mv$_Sa8CNy^W_!YqwftQO zrVhtL{pT81B&FpDUy&)87lJ(^Z7gd-&c zn^92XRtHy5Kt@ISpG={S51eeP#LJ!Tlg2xJQet8l-VlKUsO-Df7V^$>RVIeQgWvqu4{CC;t1k_kvA|03Ya9(ZSQhT2)xyQf z`$5u zmGpmYDm)D9A>!oA-_?-bMw7*@|J$LR2L!ursjWej3Q)Y=AcQaIn65p+!;sejV7GJ{ zFYQpN7W?_%hX>z-|Beu$qAX!|E;T3TqH#+eKP+L~Qo_Ki`c3~l-#pz8n34o!GhGiH zm&35vmOt)C=$;%H8VY&-+2MmE3^Rc^>i@qqn0~;Ck_gSRKSKX=X*%pWX^JG0H-M$* z>c*#F^~Y2S-()=y^dHxp)}$B6ppmn3(4p7w2$^?nPq9Tq#>G!h$b?=_(M)MWmS8FI z{d%H`^#FCwGDHT+33OzD zJ|$P~`s*eTW0>M$n3FYYn%z-=)QXpfFFzyjDG<{eFHpAl5>xZgWb0;LPn7rl$mulE zeemtti4i{OS}52E_P)kr8&|w~az2c2ny&^??b-*d-_z>pL;*YXvvJ6&ac45~iOXBh zAmi&XKo1@bMYY?3;m{ns)5N-@vtHuC{QPA{sonM$%&n|6R8&+dx-6~GVpa^ZA{&@m z9(tSg&q2Q-HNozU&OrVoFn9c?Kbd+M%d|a7)}w{f3DO}>!hHTKQ<9cHd!ES*7qxW! z{JQZ67Z0K{nk*NdW?j&I$wFFL z@5F7Zct!a6`8jE!fQ>R8w*2d9EY4S{G#eJ?4_=X^;lUY{NL&3ZBI0`Psa8GhV5BB} z4y2_V(^=Lx8&h;#7^u@ajlJI=RSTC%*)(hY-Ecicq3n7+RP4xq_KO1ZMz0@ge*bw< z+n~zRrSA3X7|7({QO56CRahDPO|KqSy!Fh7(uce8)b|^-F zZAth?7({W6GBD{;&T*$)6I`|`Hq)q5gY(8!8*CZ>zuFiZN}ed=rUl*p1cB^&4u#-d zKbla|TAKV(0N4^Zzc862>l|P_DeLiWps1Kwk3Fftr-;KVDUMc6n1(X!IRF;!`+?@g z4PQts2$K26c?@-UuX_;D8ofX*%?L(7(EI3Q@ZIIz*_ek^Czd6lXMw4*x5RDyQKi|> zF9pMYqJRJhflzOu`^sx-(rP@6uHyFn{d{jfs_!F`Qb^J~_tgno7u0`Vnc1w%En;zn z*a2}A3CL+lujk6qdpIAhp6$Lspn`)Vx&4|^H+l6US}tEi)tk!aHY{v?iOa93|6q1% zWy2NB{CqXjG-M&-jnc9lcSBaLKNqx7ISqt#&GJj#;w+~~o%?P`*1X=qOp1IKA)-^E zoj@<>F zpNaD>0!3s%%Gn8$1UENlL;7PJ5FrXc(m%%h3d%j)$8=dyZzCi-Yqy(X=x zSNhm+gr?i*m5{38($V0Dx5PawVY@IAVI(0`Sk?!8}Y& zJOz#ob&3%V8?J5xcnRt=0BOpG@!A5t58o33Ocm8qYUo}Go0Rvqk6qb*$huqH7~C=) zmdJ-2F53D%MX$bS_JT=8yk&^fp(En!6(=;p3ED`)O5TdCqH~wu!}^2-F}lKlg9B8e ztD$Uw#nht%MjhfY1_X~TXS`oHe*-(PrE&kQ1o^zB+s_h2aWe^(N?FenCvAnG=> zS57Idnv_WlP^{mcpKbPa{m=`Tv1lMkzy@JkiBEe^8+>ici%XRAYCpGk1X3Po*4?m# z=2{&2KfPS13GK-8t!TN!SNW(PDSgo4==wu-}20p zB(k)y2yh`@QPR>XaK)h+S{;&nhBuG){m=KT3mvr#hTs|?TMGGx|HGDA!_fG#uF?ih zG^1#J;YY%DM*uJHX&{+6*EI}NJ|f|Am;lV2WH06z-ETD42IN;)N2j-FJ92a(DNzrZ z52Nl~r6n(L{~MqEKgO;*9_zM!XNzQp$W}x~Wt7!zCWMw`ONy)pvPZHKG$T+da5{pSs1Q@yMTt+SWc>`_uc760pof?aBxk@6!D% z+oD1Ui3+Ta5Ra_JxnO(Rb&ZLj;?>14TphmsxuF>#KNv>fcOg|c*8?f2F%Pc;iv6qo zEp|8LBa3L#3q z`kG}Gb^=+f{^ms?$$&^o;AvAKvX?;%dz`op-&2?zkcrM5dWehFy?eZYC(5F2K%P>X zZXlvg3ZL}R-V%JC6w3eC*Cb8wfJUlyd+w7ImWH(x{EK|(yYSzQ=a}~To4hY`4GeTP zpPJzIG`CO-yuWO1zmO6jjn6~fY! z?`i+*n}YPAe||7h+#jBb(#AJEhDTxV`s4ob4l!U2!jXxdl&jg8VU49X#*7GgE5YUb z5VBnJMO`2VsT%GgZc$OucO-GZ8BVAIP3m?q9X!7Nwvqnr9|<{xjY_sbWhOfIitc9pFjSGF-312G|uB*jvDqT*h%bs8mu7>7-Anq%7NEw1L ze6WpG0N(k9AS9{p%c;NapUs!@=NqN+yS0TD$$$R6M-z7#w(jrm?|&BZ#})io=K7Xs z*YiCsyw~sHHrU$k+ ze8?K#JOQTF_rrh?w1IQojBDQ(k=O{YmG{pSQ8-gBvW`-2thB#;sm*Qn`)~b(Jn;yK zmBGK)Tyqmt;Pd)iTg%{E-fM5k`j+)^W2x+aKQ6z-5|~ipM-3_R+5E3BW?V-24A%9D zODGCy9T@W5j-?I9Regzj1A?b1s7O`fR3777+)Jh=%_w2EDKI9a9nO4X{Cpi~`pc^M zXQA`^)W5q`C(D|y0eo6#NnTrw*>*m#fXvBiaLUoHp=&-|Ui#l(i8QsO!134GVFR1! zKek{AiZ=udOVl659IS6a_G_Lfl#E=cz^FZ4&YP@Kt{O@Q!Y+2OV)~Cy{I7pOb*IDw zkAPcTy!G9c4X}VbrlM|aV}q5#HmHFCF1cImI{(tmZ@hWWr!-F?LkOKF!QdbLAEm$q zo#x!SWJq)Ehmp$lz?}{woM+}2MpAamPMi z|Al&j`QWEdYE{+MWCf+dqxT~stfiJ(GhsXr$r^yZ-s8j7!2LfCAO&3`v@cLg4aC)d zbZG#`gSVO)&2FIBfp4c;(+haA6CwB5Tb*{H?`*ma+-CE;tALc+eMxon4_B3se)K#W zT7dt@>%#QlBhw5h;TuCX_s#@ydD`)H`t?bukfETw&yMZo)aHLH_WsgP#|T6@?n65W zIw6|>s8pm1uh)VC2oBaqYj3xjV*)a4m^e15nH5r0f>>n$=;Lm0%KYyq+TS)mv0Vahbklg)H86t#`=!SQ*ayBJyJe6(SHqb**z1A;{#43mf;19%W#%kZa)- z42LkgPZz7MelmmT6A`mI3=BtENU@JW49#Qk(4KcSXan=O^@qWJkO(|J*>iJq<4XaR zU>yHyC8nzu4WpX~=NoC9>aRhu6#w5x${>Uq^<Xq zYUR5qSqy6w7hRzo?5-OnWCH{{RL*N>sGE;+zX<9Y1<~*`BNiXw-b=%;&n-2C$FH=&xGr`q9fS zLj=@055ml0?>BC1P1e2g>F60#a_z6Rje}%b&v*v&*2)J`b#xw0fgNlYshb0&WqVzX z1>m6a%6lNo?&(4LqHN}Ma~_Szj4P(5CoW&UoZF7e%*e)(Fy+}palg&>9hJu*D_)AKB>zc{ddi?QGYnB#Oxl)BA`q}=so2H@gh0MKMXrh!E6YB7O; z)d-kCyaf>yif~3}6`p7`-d!fKmll#hyD`)ir7-(m{j3Q+U2xP1{neM_&bVUTcV-*_ zh;S<(#AcL-Y@C>wdBRQ9R5Z4jVR*4mJ86Bt*$Ie>Hair*_!vEq?vR2-uE%Sa29*Zd zZ3!7wYn~SytE@x?U-iiWYP2)}J^GjS){v9xbwy?Ay#?AFh8jF?iGi*{=fI-LWPquK z2@PibFJYp64qQ#UXJK9vRm7@Bt;ra~wD|is!e~n2^`9_5W>*!Q1)jBqpjA-T>?}SQaKwEI6W2f<&_}`K)v(n+ zJ6Pn0H3;4g!7(0{x_k{XGLBp?BPHs1YZ`d)4iZiS(}wSq80Tg<2L9$i6$^kz!HwJk z+bheC2Z444Vlxfwbp?86$_g(ld(mauEYy7h9*4N&nElqVNNRxT&cEtcWD&PPkM=O{ z?2A~COoXi~S+>4)jinBq+~SG3-)^|ZfL;8TKwnd1WmN4wcH-SX)=lBZK(aI0e!6a=pV(r zzKA?9q(n)3(ir4?qQc(3HRSZBA#%O_6=9%hIf${h?8rF`^UqTVB zSo|NL?k08_^F17OZM>^+Dy(}+38ECQ+Gc`j64EQZx{wiX*v*FKU?ANV@m@771KJB7 zXp$FA3V{-CX}BgXm^1ToVu5S`9z{U#R>>BGG#?1fj*Tw6$@lF$rHNeyJ*}~K3 z&-oCF0etAwpOWEQ&~;ioyr*QxF0AOr&O+Nu+Yr+lZw>|0Q29x_PO87F_V(i6*RdS+ zmomU71FDA)ITCb+;1Z@6sKEV5m-T8K05U39>8G4g!i=Ib>yB0u`0lHV-HP3- zSc;s8Vb}~0ARE1)fD=`vj-On1V*?vA z%dc-M1}kDsTJKfX2?$o8ipQWk(+uv==) zFUM_SzqcrR0MJ27)RFSOTI>yh!TQc@5XU1C4<}m<68SxuG%Dx(0x ziBW7(s;75*7n;&v*qu_m%M#NI@IMNbjV}kCRqBF!cHrPFK4@TR^+(bngz>I0lQIhS zRK3e89g8Bejh%P#ofWpy)zh;iqyvkdZ!wYLC?l5j8`-{CVLd$Wh^{beP?WeWj~Ff% zV9-eT@&fK5fSb-NgXNUR;QX<@k0LNZjW(1OP8{Y2!LaB~H2}%TUKE)7Aq$^ke^BLf z4hq92qJiv=8-W^<>kl5SQsA@JG&O7VER$4farcGj4FaMWJiz*9VM$%$-{C3qp9nb6 z2$TpLSW_DM`VQyO{9RV6N?0Hu*22tgTk7zxrZJcnHkL5HO-j!906*K>Z}>9}B&|I4 zFa}a*b=_4sJP0-+q+9;Tn#hNG;2+1MMi0!J+(RC*utKEmi!N3Kfbob!{p?LprM~Uj zHgxbWYfw`ikDp}p@l7np*UoCU$vrA`4<4#=!72rBRD_3qaun3f`qW2iT{M4rH#G6o zU$g+u^-yvgZrXpL&?yu7_L5rj>o3eF`*4a~ZTgsM??u5oJ$+OZ&)e;Om{>sTEYJ~0 zKxFv#%fW`pn^ii=I`ipzb;(^uMI3?ddZIV-HU z$F>UlB|2<(aq*-PtC7^&>a`!A%*oHB>^Q6ZmX^Xu_t(67YP1*J$C1c1J zxcV`F=m?|fm>R~|%&c#+(8G=L({s_9IkhNTbLDQh#?}I@DTT=5;e0-T?*9)K#ch;)A}Ci&&Tyf3#cab#y!`gke@952xFDNouy^rczyWv2jQo z^ZZ0PWpKhr20A*z}9B?>qxh>ebg~4h}bNynXB=_jgtC*4u}+&Z`8f5{1^D zoEA_L2jjT^uKW4p4eAbq;{r-rgE;lx{N6)Zeo$q0{W!hwY8s?F^nbr0+t+5yA^8K5 z#L_zzBHtH**c{rpyO|^^<6E~qd>qehgs6Ne5iZYq^?3RF!AHE7JkNd5zY<7_kWM&! zhr~#9fG>UqijPU|L1@doFNrW^O<-T%twvzd)l@hLP|BD&4BIM)^u3~nnLv5wyW?v{ z%3u=lpfN!s?4HOAVHjya8Gund3JRWFeaXDfz}5xzv}!IdP4`!{Zaf2+%0Rmi(B4WBHT{g2 zYl7d?<+ZR3@ea5Bktb+B5p9Hk@^TY(ZsPPv9CD#d(*zSC=CvZAiU6b`ecWw1m;Bc8iQi zJb`KEDhPs3IwG|YglSuo{Mzp*^nbjCR;8t^H4d`qtYuW8BX1>iMYnv+-U-Nq2@rH~ z0r^cwp0GvBf!&h!mLJPA3%oijRTWxVR2Sjg3HCh>HTCC_^fDIBL=r(hKAL40WiL|IP^Ux-;7{bg%c7fM z?P+5;$zl8;g~(nad>`?$^dWx!ng=55yxp)f>@(`RaG1@gI#_SMS@n6gA(VJDoYv`g z^^jD1wk6e22qYl0 zojIWbF)W(e0C|8^+vfK0F+6YC^mOPYxzjuZV@6>mgVgd0s`-;;6c+Acv%KG2hV$Pb{x!B^FBhe z8*I`It<@B8kxt76@&v|BrtR;{tz9W92!vF{hXpXFz35Qe1oDEZtMvXJa?*1^VqbzD zG$xYcaSF2cd2#e+?2Z2F=y~GUT^p|ibm?dk7gU)CgJm{I=L@Iz{?6j%sJTUlZT7bFq4luI?=FcP7pY)dk-7fH@*Uh zjG^dJWYJK7ThS(3A^nU>p;-Xv&m zOc}MN_QK*t&^#&48G$Rv2RG~$uDr{!)3eqP1Ej#9MUo#9oAl;a^LfL^fDq+d#Vo&o z2*k;w!3hCpG107xK)ybLX^{Zd#?0x#ApoQL0jH+?EY6<1c>r$b{S9r39Qc+A4J*)b zH4U7IT&3J*FW{;?3=kzu=zMK3dHHK&A8*4?=O|g|Y&$|Z4Osb}-Th&HuSkg4hP(|BEFS1z8T1Ja7kucf?0U<*V>Fy*v+DAbxQ-u+ z(uDIv$fK2~aTTP8Mc1V)WiiH@mZNY2Z0DOme!4(AbSNEzgo^sGFkZr>15x99MLs%K zJ=l8)5RAUd(oiiIkf)XSZzjm9_;ba+-K z`U*o0wb?MO#?s_OYFHhS;@UX~K&ZIPO|!Zv&(?i%ESe6zWkk;aexMF=c!kmkQ1{a+ zgDpScyJPqF8Qe9WKqs;XAbErV(S{0azD#Vx8AW&scT>qflI+~WSU*zLimUwNj-#pz z!?h$`Fkyn3J2a^F`EtfI)w;el*cf_8*6v%nPHDE_1HJxs*!d7PMkYzJc{r{8MNqBS z^x>VY!*JaF3n8@^n#hGB#%eO&(fXIZleV{(bt5Z>bP)avjn)Y`-CfyYo7VQ=L==eS z^Kj`oIY)ZjMs`N;B%%_#a zSjQ-ZD}<=hn8CgP{uJT%(+twBkZHH8kYfl+`FlWjG8>{W?Q|-Y$hHM{nD0Cd41h<- zxK*DjF$za2fD~&)SIqCUp^okgoUYC^K>s_xm|QG(6-1K;UPBY?9; zE{6G9z@l9O$OVEke%)hxNKEbbt^|tNAa@3WqD(C{dUN1wiIeJfXqNLU3 z+ez_GZi&J8n^qF7v#TrJtK@tRd)qt;8-A5b+=3xl;+GnsDues)vPkY*V^j;Gzl04h z#XtG7Ygh(Nf?${8e_pBRuDXr;C0fhmpL^L|-XD3%Ixgc{O#$VD`|9TdN1H-o+@9h2 z#pYDEz2LiRr(C4ys=xS2)c4La^i4ttQS)*KPtc&CP2@Fzdes}-d(o^FZaJhj3!3GI zxsrTjoQfOIlMx;Ob<-i75I?`Fh<1IZl&j!aZIp_;kCS<%vTyi&W2@>ng{hl^+O9%W zexcSQ`(J1nqMm<}Rq0sd&hzHN2?mP`^KxKX+MhR)@+&7LdU{eGE`19ER>vRICi)&v z5@rWdT#qCX#pVFql`0$1EBtbEIFsFg(AG5>MiyN)usREikt?i>lySwhgMeW9#1ylQ zEZP~4JN6kb1#Rgd*b88-Q=|$dZ$XdfwCjQ&bPwt}Eht(#S*!1?pX^$6Fsc)9C0NE8 z{bAO-mp@9=hOjy8G=L=iE!v>K*~`Pj)0371CEnEp`FUfNM0~PZ=yDIVw8p{kAL8)xKfXmAPNOwuXZ76s;o~JO^ z0hpPogk#H9<6!6Jz83y;wct3+jYEalAf@e03N0q`Y^7}$0m&ge7e(bg^iAeP*1Dv;k(d*c+ zN9_#Z{LLPm6nPn^HI38U;!+3AK8Y@p?QQVwLTK{aj0{y)kG`=*%|U0;G{stDFJg+@ z3-+cdM|s8U(e)h^MbK*WR+Ss25rh9>aalu5g)*ksz28xYYU!L=g>bGW$!6ov&wU;|Iy7PzR8T2hcxq1j{h^LDkLJgoZe*RMS=;140MCP#sytr9r)-L*` zS$^krfCTfM4C2qa$CL^|;n-K&16Y}$(KMd)!o);F+(@8ZxZodyD1Uo05d2Jws#e9; z?>SmPrYZb$TtNs063$liz-!Yza{c=qY%niO2-#X(gKO!~#rJ+5a^E?jR*X~GRnJTZ z_5DgyD?#!UEQg*xxOGt!%oIQfBu)<5W1@)~HdL<-i;HTr;MiP(AgXpjYgV5);U3KiImkk8;oiZAh=6{)F z_f4;7jBXs~sGGxnehS6<69xkZ4lFArvzS_h+k$y9n=%c&?QD-Si_}7`EX%JK@9e#5 zbUF(6T3fH%1A4yNl?fA-*?>7cHSl}nfV{IATka7797nKl%U~c^Yv}qxYzQPKxGoT;WMW- zBBacRBYgyke@!<{5z^7`+O@k_*o^)BP}rWO%3o>Gg4VTFRrdi}c=R<0UI55Nkn`W| zyrJ`yiM<|74-$*n&%HidHK+;O^m0d28i1FP-xe}FyN*a-`Ld^`!(p`cX&bSp18p7i z3+wzbvH2b^N;Ua6n$fpW;|^>qgN^)UslR_!1+^XkEq4s}az#iu0Et%(Oq(K`+V?lN zDcXL~Yv$Y&-W` z00!(Lp$Q3!CGBtBLY5&f{>}h9hxJ}q3VA+q<+jLxy~FbTWY zlOHl&#uzT%_IMtsgy{u_D>zdW+1TLD5!mXLvlB3pGH;&b+xhB18H=og%%`EbRk~&@ zJmPNh?BIIy!4L}l*{9Y2+20FzB6>mOUR@?iGtRs0|GOjEoS@if9wAnO+t5nZAB>HloVSfoTd}8Bc580_+;5O-PQ*bX6e)uW$!Z80eZsUH_#1p z*)J^+c>~Jf`NqfWa%Fh3pr~0#a)eViu z)Q1Wc&B=AS&g#!EkGqejQ?`ErhzWt=rVoZcAIiA=c`9e32ric!-LA7$s46L5>KE#N zTO)Y5eE}Y`DO`0^z`gs2l%5$%#|`+utz^UIUUmkII=KXS`Gbu__~gycnbYxca7>+m zWJx(^jLsGnw9Y2r5w6UAI93g?R^gYhOo*aIa?o68oP$YRkfBqI=WcX`A8Z|bWy@AL zlmXbNDH$TAcKOdI9 zQnqN2(2wO_)`;YH2(xGzIWg$^?VY*Fr}<%*RD*0Zwh6VMvy{dH2IiG2AANf0-0qh< z@U-~-5ZvbPb3C%$ePM{!8o|ClK$9CVL_No>nb;=!`Q=J}pAJ&x z0+1W9GNw~cD*4Nn(?N*pc}-)Z9o+~=c=Qh511zx##p2j9ENGV-xYDvCne)ELcJ~?H z{45%M^dN`jUZ(C0cXOY8yzS-v<;NR4anD1a(Nb0Sf z)ZRG7o~PVwnV*1$)?v>flg0^@O&r49WWn65ZixVze-WC^mFwIJzA(U9`pZ3^C{!DFlDOZe%aX>Q~YeKZb$ z?ZKjWanjIpOs{j$ls`|*lbw7`VcsGF`d&o^j}wYQyR_uDL)Urr4xtm-;^I_|kZJX! z{j3ka;*`!Tdn?;JLi3VgoDSL(e_3Bm1?s*G-8>rA<5Hrezeo1VnnuA_J7#E)2O%8k9sH?ssOk z8LvRvmpit@i}$sYG>Pe+thhY|xEwp%66d@B32Sk-$;jX;36?MO0rA@p2vEl%{@0o~|BAl6l3xbbBxM@SInDUp=7 z;fS;3A2WPM@WNjC|$2~p`uJLBNd3N zoTl8K)%nQP{lDn2F0(KdtT-5dm+hE!yVjsbD7XXSqQyRmewb=L*$qqs8Ge_HcoWIv zAvT-Ul@HZzG)JTE&EiMrk`;P?RCFp-ih8;I9HtkP2|=}3oOE;C6wYxGo3VwDf;zAGX5l-RyL#)TBbyFSA`o8cnLO6ZuhalHE>nZ=uh

MP}m zWBVR`(;g%#xDjLa(8`w_*B3Ak8Yt=OeWS52OrWun+9}=UA#DRsASLl;B4A>(VNL)6 zAXColYl;+wFhdsBJ*Hq%ZnSjKG$l95L(X-Zx0|{q0N2IZxWgoc`=E+3Z8u2ug;39r z`nNj!TXx?3>{kC43Dh;?OTc#MN3<{N1K=s1W;I6nHbkygaGJ&t(@hz$+n#@!J$rZ| zx2Q3RX(Y^L4YcTd&k@9N{D2=ZNgJimu#83>>YeCn38ZLcj;3(iU+uRv` z8lG;;3sq2?p7c^Zae|sc@ZDF-$)niSAeB}zNifzq2u!dVxOp+Pnq0ZIbsY6l1E}wy zXTEC_phCp?#NMb81yq=!w8?*Z1+3$TKHw&oSpl4ivXYVywZi#4Fv;NMQVOEdU^CKd z7lUSUV^%3|0i<;SMXY8-w3<2Yt8RV!zQPF;x+f)x{g|QaR92KL1TG%}g=}iRbG~s& zWEh2%=t8agN@I?>EtoLI3)O~+*J6(kS(G$p0^D}k5vBnkV{u=RkJZA$H=AMs4XMdH zBGw(+?*uBt-+yEiS=(ya76b<3;j&s69!^ z3nt3Q8^9SU`=!>a(~1LM!uf#!)xP8Wz89tiL3&?i^Jyc$h5b4qD1srx_MFJm51G(j zTp}zdqFouc`f>|O%tJ;c+wSI+5{{b7cj1%S8C+nCISuBT#To;%-R?G@P8C6w7Vml) zt~YpM)A`|MmW)A$NoyBhG!)}X*lHk=SgD3yok_SfH_;nYER_Ew+u}B~ehzD`-vn>Z z*iBnQN`=5(Ulb^Ke?W#>3dUyqiY?3gbrEH>q&BH8**Q$~%8ncm`KaD5!b#(hq>G7W zEV&?A+^^rL(TtGpb!0;1snV1h(%ydg&HCJ9FUb5fTW=3bY8%1*?^!Y~kWVn47Twf0vu1qn^gA7CvU2b+d z1rFDrkuTK@>k5l^I>74DjfxTq15t6`Kv25Lhv#C=BXTx+DWKgN!U11e^YX3?9~AR3 zLpZ2AKSGOLvmogv*EPon9t<@E5F$Q6!&-`nvE? z2jr1eKJ0-hx@v`li%ZwJft@%a@odLN+CYq8)XledrKbE`CQ3=-i;#ns+v2xw;?83l zzkrpWc^s!2ssd+OM?1wnBMs(BXbgd?pU0)TvuLj3_MIy{Xa@ra@yi#Fa`&G;?ll?s zIQOzmBtIeTA;+q|b$TJ}iwVO1;zA7$4K(Tp!V)Pu*@obO30|rY4>_CFETWraE<}5Y ze_eQ$JT+##$K@z1qr#=e?qvzITIV z(bS0oJ#FRl-K{Dc$H{iQ_>o{1aOTm5<_@7LCrJs2w?@4o=pH7$zC3ucQ7Nq*DV`WY z129fO`WYu|wC{g|K2(+AM zCu@1+m{;yl<(Y0|=9F5zl4quQS~wFr?fK6(Zd}LjvI=}H1o^0vvMDHrPo8wjzo2a+ z7%t00&&9#3jqh_Jzd;^*6No=?R+XEui63;@c4@kc&sPY! z^|qW9#)Bp)VZL{2`q==rd66-^iK_8w=S9UZ7}DC0_|k&>UAgL6M9qzo_ld# z=7Gz-gc}2p-q9pFO~#O#wt#UWx!!vpK~hm30pq5Ed2UE9Bk=Hvhumt4l)ma=mrq$B zJ6iFB-p^_RIwP2fX4aI96pkWs&f*H>na3K(@7+bEwORVC$E5(Vp2y=HE@tC4@VC(J& zP?0^OKAqT2u+R;p9>r@7L}S5s@i<3_3R1K;0mgG0eOq*wNzy(EthM9?^G6T8*%9dW zr#uX*Qp5|IR0bVnL0asoj+?dqK7oX~T>}BPz~Bhs{JI&X#G{VS*;>XX@&rGN z5@uXJKPGm$Cp=1(WayYr69Cl^nge32>dynUVYgUsZV zK~#ZQUW;tE{K(+XlyecYas6Wtk1aYo*Rp~1Li0XWLxBJq^mf#-+vCuWOR_0eU& z@T)v?y09H&8y638qsF?+jecQdwAQXfY-0gn3dq1uP@^S9--5|tgZ2t+HFn-}etn80 z6)GT9YdqJa-vNG|e>o5S_(y&+vweP-ujD*QIXU&{^TmqE`ZbFR7&8P{=k!{4z2FF_ zN)s}q;G)PQ9vEUacI8X30O4XcX@dnIg1S5xIiiq%j~IO|iB9iM-lmFS=!}j5bBRMIVn2}J^ukwBhCNid^7m~yygX6~KM_WgS zZh8a%S?j>44wU-RSj;#3h_d(sqAuaqJtBuC(F*kONT{X2*%?NPKdCs5=}kUpXIHW4 z>^XJOIp2V58B>M%Kpg-z<9OsU1>>~6rE6l`iW^NJC3{euN11kU7Jec9*G(RhG}9v` za?RRT;^ay2m0~J>v+rVDLIPxGM3`g23Zt_9`;-g)J-;VGe^{aX@$%4Hg;p3VUHZKI zyABwciXGBkx5wP{8e50+HM=~@;6A=k;E*1~Bw9UY^c)-h@L`|5{KZ5Y3{F&qg0lmx z+RZaiB0At?u4BV9IOx-dDIURb;E9w-q#Or$c_((uX>0kqb_)M^HC{9`Ni@CsHJ@RE z_x0jxpW!{?{ecG@$lJ)yQgD*d{0O-ngFi`3%E?JcM#RC(z#qWq=}^kgOH4>uQxWOG z&ag|X0B_f0XR1ImD>s^#QOyAX?=Bu(iX2~B@t6Giwewl$=gU`ST_b!y*dM+*@S^q8 zmoHx;=J#~2o{3jGxH2CKa}$d+ zUjyD9kJ$yhzaY86`mlFZg(MO(fUBL^RbAAPMse4Y&#O2&sjE>HfzO!R&r|i zP3k_qeDl9p&b`;bj8|MutAMVoFRR{Ymc?YZCgabp%ZXos4- z)1C9tf9z3~ra&z~brNH2+HIi@zwf8$aof3c+6x7|2nGiFV0mlWh7 z7apW?_sx`voGpzi#s1i>PeVwC+>)UrFotP}DRONdz&_{OAupuwXIN@o=?oDp0Q_Udea4yxYQ z1604fP#rviWvU7!M^N*4{^qYd~yF6HiGv&5pV!8pIk@Y2Cw&v;>cAviq?wk*|VO%E!@(;k!gd)WV2qEMmt zlVXoZQE*QSEd-^>@T>jDshLj3+av%Z3m-{)b&FgaOMD_7zHiSR%la0ChnocL&`3%? z8jBRmGzXz$Osb^7eL_0cqc;=)%NLR`d3DYllvTDrJH9e1xOX`Y`md})!*&AN+uLG7 zrvL@fe7ckHjK(U>5J3r$p2(dXd6xvG$+<#Iu}fQvbpurb+Qv|un_D>2|0Z78>xrfh zr-PAO1A=c=upu;<8uKbEEWvL)4%$C*5RaR z3$%+eN*c-dx}3-m_+IGLPto%r!#nphaAhD$RwC}L5BYRC-U1o&(gPnm*Mo! zPF+Mh)0Tr(e^6C}`jOr{WP==0b-NF?;(sY96G$$WN8Z$6jdL<^_)|X&kqL!)9MqG( zzohS_V4XL#&k=M4HCnCP$#Lz2Pjs!fJB`u8xiG&n{FIR5D2k>W6NG!YWUeb6;&vM) zBX_0}&tngw58CZ`5erMGLvC$ z^^^*$z)mO`^%|}&oL?JU1t+5&OxlT*nn=bDn!N9}*>Azn1s#6d`J+6^puykkA#OZN zMUlZ@vW+MI^nuMGtvmFj^bV6i+z0qJy5aqMj2n01izXZtv9aQ!}g0#p7|r0tCh)g59t0T>SeVer{r5O7Oy4d|3S3k{DG zC3OUcq55j^kyZW{^z7z0(1 zgLT`pO+Q!RxqViAmFDg*pL0($vpCLbI~Nd;ffo$F>%#PXXhfg6a8h(;6`E*o&S+nI z1!EDzw=}|ezZGL+ze=z^nR??9a=69g`YO0hS_d_&tEzf!qQRKKYle}g?%O45-}+Z4$D|ydnn{JN0FnA%l$OaWXWSIT-0~VEZ;kxq7{D z&9V7uh=6Lq;e%qU?~PnbJvtD37VPE(ccm@tX-<&>6Pp{~tg?wHP6VF2wVn5!P*tXf zD?g7a6cJ}^d&ZHJ_>DPxfVz`I*>;{IufDXrmDpcDH`y0_eb-9Gmpd-?gmh0LA4@lM zwaQwQsDqiU-Y!J*=!F%n zELh|Y#fSX>b7^4#rV|j-vlr13;48DoI^&6Z>#Q~`enmD`0fBlMzpDuxC`jOXP%_Mp zyqi0BUf)!zLy{jP#LSCtTbeUdK5%W`Ykt}uYEh%{vjKS1H*2QujRSs)(t4G zGAL9zyXqjOgb&rfad1N+>jbBtcEV5p{KLox1Cw!*rc-gdm_ghWf zRJeAz@?yTX5IkWBzEF!=>Q8p;T4MY>j*V_dbd<@CQm9nb0&!p!JWw0SHkJKTnSjiF zzMrAKt^)A?Csx0JusFPr%U0)hxVUZQ?3D;dC3VR$kp!qYSKyGQ4R@(`*Snn(EBhKj z&1X{$I^Tvk5YrJ$Mpk^!*U@ID-`wna5C^Yq*5NF;fB(TugH&C+0|)kMvV*ofhh)SM zs);z5Bx`7BVE;pEQnFS~Fm5cqwfY#!36u+fx-c_(pZ`8C6bb2uwQh~J8SkKK^@N(9 z?Gi9(fyinyzLg*5<3MPMclgfm%(PuXg*m1d`05|97EJ4kTuagg0P$lEj?*XS5>ZNng{F0e) zN7cy)wBpYszYqjOS|xX7VT3>{AE2P%?sCx*Nv(dEQ8;w{yF&d){FEu2L6ta%#1lKY zP|wHb@a5>>Mn0gXRSJMSX@>~=N$}%g zt9xXYaz9)ref=th(4c*5e%nw}pFBC^AO*9D2MkhMPwM;Y>XQHN)Qz0chh^kq$yQ3| z*gRo2Hypw*)}CG(op!c$IN0~N*H`($JkVx$MapZt$QrwB3SGO;F-AVVC{2>MT7XzV zL_k|a&3XDhp7**VWmxWRN>2(tNnp^Lz^yh$PrgUh74)jA%k_WW7 z$VwU9uDO6t<+FI>R@C7%lDxg!p6Rc)qwv}kN#SKFKsC1On)@#hQHz#!d^ys$<3rdo zO!HiVftj};GjGfTH4q&|NJic*tcX$SyYFi!iOdHF>Xe?flZ0eZANb#$F|)qlMS@k{ z+cuf-u+PlnuDdej>~og-x5B7lRPBK&5fQoiBw`sEsEL$FFB~9fUt(6oL?`QCI`AId zLJ6u@w?z=Urs`x?zk5yGGZgD9(QA-chspoeIPw(Ex{t^JLAW%!b0iT?6x`epj1hme zw|jenf+tx&KdW;f?8zc@QWT-dy4lYSTDS9yUWx~~Gj+4BTO4rXyNyF&6#P8K)xPrR z`vq5%=2s6M&^RK^uDm`J?3OwTJO;YpsQ^^#k$tRZf4^KfAGiZCkiZRoE`A28^9(G8 zultPlml92vDn`~`(P5XC?tH2yBOpK_@jn(0xX%_wrq1G)14FuzPtxxy&l<%yj5*(% zfh=52#ucN)M7Vcuq&*u=);R|&25>h~;tz|VaGC}b{d{+Xf)}P)6ZAkBhGcDx@kw$^ zWtn@M2*~rSV*zbQY4i<579aK3WLQ=N85+U;h4^c( zJ>LOIckLJVqu=V#VHJHML{lP!WKSqd>K08TIFx}Bu(IJli}m$+Vx}E(a; zh)nb&t#Wu7Y==XYXP%}4u`bUb zI)|E$$(s2-F`YWtUPBvyKI_68b~Bzb347UM{vFI>2aGboZIaPl5{miov%AwQ6DO&i ztaZ{aDwf>w>F0liolP>R87^wn9Gm(Ol2!53Eto9e@g_FGKn9T@A4#)jgjnw$4+spb z7{TSG9iOzg0Y(sznnP@P4IU(tg3pQG5%{A8-q3q&Tv{0AwM=vnGON|tj>d)r2xMX1IHIYsE-Th{KZAK6To#=G+ZcJS)KbUZluH{QA4Y1iuidu>S} zo9FRvLvTYiYi~kz$&TvQXR2d#;rnOM1qFB#S7JZd+670I8f8T3WER?flkhMk;qA0- zJP8F$^SzS|zTN<52s#27fd7PCw8TK33FeA;fz(p+sDLybMYf-5y0@hZqLpC0_pL5P z4TpyZIbjkjebeP^@L>fRInB(A{@a2L3WR(LJ>9D-*?MrLA!-aIDFplWSm*TmkbR|p z{1?V*iO6lPmn0YX4O2+k!((oBz6C6HHdea$nqv8OoUCSHwziCBA1DdMhf@JrG!<1R zm{H;P7h_CxF}bL3cqBu6x$~7FXQ#!^U7Cq9XhM$KgI4gP3WbQ~&8Z(%cu7t>EUp>D zH^g75EF92g@oqve=@K6mUz&DkL-*Wt0U-S4iC;zPMo_ShFPoco7D#k27((eQO7{~! z{v!}&Wg9PQ)f-=lW-7_Vv~|)p<2&hH{rE!N=X?=%yv@n=Y-ZLb;Il1b=B z6x=g}3~djTgS_Ym;B*w{A1lykCbrIl>tcKriRisa7-1sKFVrNz^E?fF?gxDOO+)qR z#cb=ZGCQd^jJOoWH%)HuN8`^yBOlS^=cv45;T5|jAN92OK}G4v3%e3ZJ5P=6(Ao@X z(c?zx&M<8e(|UFVf&^Qi#)i=tKsBZ6A`@vY%MzH>_s%PrZKol>>Yv+xt%BP6AIt$F zTbB@owjn_)<8g)msK0l?NRQVT2qKMlWKo{`&Z5a^o|n_;Q3e)~7i@bT?F zfKfxey>%!zDC6=;Oql2+9Efgh&l#E>z@Mb4Bk^GnGO%pdv$xNpR<<0J{pOJQaO}^g zf%$>|$Mwyic>)wZ!Omvj8AQeu6T@MHGPFLhIv+4{Ej*tC07JbjRcQdKxt!K(u5PX` zEx!-Qaw59d3W@A*8Wy{pf&?$T<=!UPL3pgg!rne9T?AdJ=X=2WN(mF@gS+q;>N2K> zPlsG9mEG<(3TK2GI8~7fECNCxC-x==u&PCg`{C~O!UK?Off^$g`_r)jWRe*fcXQ~| zUea|QLQM(Q=BHNiiN^-rSmz#iHkmAWvrt(ue<7ChuIVT3F|`)`rqnv8JZ+tsMGY8$=0I9mfyS#wQ&>;zk+jc0F`Ch^@8Vn1cgyh(nGjTvdh9=_S!&G^bl?Y63aI+ z(9(J>r1|TZrRk;7eBon?1(EwXAegOR%dvgx1T&GotKo3$5+jd8(2MRQZE7qQ1)DlF zB*^ttgH0w8rj!mIPTHB*ooNvYVjKR-;weQoCeRwV`sKVsMY)3!uQvAHxo;rmxrj!` z)hG{+lq)W5GlN4v7Q}FHoE0-tZa0_ga`|;q^{}hvQJ77Dg89Y0_}&mAT-{fFC}s9v z3AfX_pf6$Z6AcgnmnX|&SNHtqd8j|QBRwJ{QHL%uXf9+|IS2zY%!3;67fXCm(UlAL z($3Y_o-Y(^s?{OGpQJ;;bIE|n**lv>5j$0`hk*u=xhn8Xt~l1JS+qEpNu(c#8X^`h z^UA^^2rbb>>a;$C$aBg8DG3kpu?qW~iVtb8l>-`U<$&Q2=l5Tq-=EuCU3mFT_pa%P zOjeB*&ofN6UqP7IXK-_9oYpB)XZ$OP_{Re2hJ6rLVB zu_0fz{#U-wJPvL!l>7(EtGA|U-M|9<0Gdd=tN;QRcTcsywAIzgUU8FwD>mw3%+On{ z;tUw^+fDnyn{UCn4{Qo)C!D=f8i9Fyd!j=`?Ih3h#u1)Xn%YScEskJ4@;2!QT!w;Y zdkoBzot8#^xFVaWWvjxaLJf+COQ6}Z)_YSMdF&WAGjByKrB&J~`I6mg(`yDmm7h=! zz@UH9^}^KRjEWdgf>q6(jDc~xw-T;~Fqhp(dZ=mk0$g$gPZ}*u3PYND6e!r1;BXTd zxe7F$88)D^`^fkC2m!0K&UD)Jw1nTO_fT<`Sm8_T6yf43XXF|&oX&sYmhiHTq3(K? zOl0l5+v-nJTKm)UTC%&Do~$|dt?dKlOL&#z`=+|0tv2cjbb3RNkJKk?&5ar;EZ0ex z9(y1lJBvun-JfAhcZkglQZnR)^5J=3r5QHfzU+MDjoD5M3eE!9)F;njOK`w`97z#_ zuGUpko1_Zn7CL3w<}$xgxt7S1T(m<_`j1q~`bYH3axnxmknef6W;m%`272D3P>}Tl zurB2djA&Crz7gmB4>q(f9c^m?ZmwS{BWT~27k~RxPEhZ*U;4Z!Zg}b_#xH!DX3U>FsajiskT01Y%bkl zv6H8|8-AAOM?x@C;{yrS;oJCL-gh3S=7Ki-M#Bl7$Aw9rq@_PKh%Fxb6b;#gG8xee zCJ8hE+qGl`u5vM6$K)Ry(Qd1}x#W2c!_kU=pQTZ~wV^v4MZe(|Rc z!k7T}Ce4rVp;ZqP`%Lzo=18Fbdl76&$)tK+PfG4IwA@Wcn5Fip5$`_}17{)_-` zx$8c{;Cw>PwK%`qqQ3D6RfrgiYtsK(nw|~uP#;K{XIoL^aibKsPrjj3qxJi7U)u+x zBZq~as2h1YP>Km^Pz%}$Jw_bL0@%V|0y)x?<7#Lz9j4$!(#Th2ECog2r}3d#3926E zqxPdusbd&UDo3x%A4TyVa73PkbhcA1zVPCzkoh~TymzCa=e~))Fasllw=fEdNa!r6bnE~2y2Jm)t5V*{0x^iSCOyTq7Iw-)pD1?CdLV9In;8$U6ULrr8S0$F znWW%MPgPKho^40*KmdHFi&A5_K_Zv41;a5y(Fv=FUULq44M4LWy!|@o_Bf~M`s4j@ z{n~L?6<$8BcL3Q|x6#*i+l!83QYm6`i_$Vm;p6ZAR$uzG7yp6kNFc0&MU;`M`!L%I z6q$hM84b%xePAObzu%`*^5xX7 zTqp{~`ko$Q|`>_zv+aV41$-eb9tJQk~WT%96X~vvAs9) zbcB0B@9;o9uBt>L(7o3D5)CC(P`4-trS;C9hla_IWhs&&P}7UBwz`@oLglrcu(@>v z^u5D#OcOKj_SL@uIt%T^9}=m!GS@%_vh7-Lo@4Vl_PThr^`m*ESh;q%uaMF6SU8EI zz)IhNTm;2kInme?YKqtH^8NwI`)UI!!WefmfhCu`;>H|X+tXi$@R)d_DG{K|2u?9OA4_3Xkwr5}c-Fe7Z zby`kkzJ`d;Ez3b_*gnQRdAnAUiLA>vVUpsJ%@K=S;kr^IT3$=QLPo&+go92b?yv-W zO7W{1n}&?FTdflZH)k2v1EJtZa$XX_)ucBb!H?QqyOsvR=@{`&|XZR3m1ax(W|J4`B23>meu;t&*ZB8zc^OGe-m z4%J35AQ%`U0gs>E+?7?6&t%zEk|V>{Vwsv;VeO;>QxuC_d=}Ly zD3erpFdo4vfU3k~TaR2;CA5BsmyU5^2vDWQcuY(n9u|ldk=izbRU-lpU4($RMEGrLRr%H{7Dq0ZCMemoHvQS_sW5IYY)&7d6zKl>Ws zBks_>2HTywhx@9?X7&oqbw30g>Z@_NqJTD+;R2-!3WeET!lKms0*UOc2w{nMDA3V= zbHz8&TTDg1_gJ1%k9*F@TBcn!sThtsM^8V==-_9t&LmLL!W18l0EZOeZuL^fp-Vz) zpbirB9`Fu)Do2k>R$5gJm)Lwxw_Mkv*yMeH8dh7ozY%x*kzJE{vS7Dv`NL!>)^bMt zpVMauGuzrB5`f&vo5@F8*xK4wz)54Y$jc36@wuY=ycT~(eF1Y=XH!2b5dsJ522x!B zmGaFkHu~L6zvU}ngS#nj_OC`@C_<+ZO)kdzst;aQV!E0}8)QA#Agj| ziz3*S$H8r=T*}l*+>%6=uh$EU!$ZOGyXIv?o{$(J<+9Tui}zj9pgwBKGFP^S-tqg< zifKL$##emQM%SKX0#qb_ln`&L6wsnhm^u~i01H*irdLg$6mCB8)gMuaXb{n(-BX#K zeSX8Cmo2FbB-BoUh(bcC`~F>)7B-n}w~grt+-xJDaM7xO!4tyL9BX-(vQg~(<5$!H zWgu<>CIfhNcp(VLzw%qwfn7jd+k;`5KpV1Vn>k_s63yjHVIPH)f7b#F@_vl)Qf&5o zG31%17-iBK=Udx3sJSs7J%GLF6ixb7PAMd|E9rAgsnqCZi4E=cKfdsP{#2Y#aU>tl z!r(b@=7$gnn1elB*ekgp(>5?ve+36f;sI>OkL=OfVLtSIMB3=TFnb+{7w6haZ=tb_ zx?sV9f7xB2(=!YBAJn}iv_ZHQn3GwKkYy1BGOkmAT?mWvoJ&q4ggJ77NT&-_<+vKKWO+;JO0a9d@bvv-{O60JMymwso{r(gWp}_ z^7Hjym|PIOBxmQbcLni*8?6nO);Scob4Q*_7W?WS8~JdX(Ha)u_djlJWizYW3oD~# zBmnU7p8_5AKf^u^lHKpq1y35`Vm%Nn)JdX0wfrH*Xd4vo!Fu&>h^CAx9F8+Y8Q^AE zR@h$%bRph^ZQb@womE~U%!IM`Yt;Wx;Jm% zZi9_Niin)t$y>K>aS8|s_!`CX{g>C5#etC8Kt5SQ;uin1R{(|>4vX;MCbFIjQrgQ; zCCGsI!ART;zTnAj+DAdK{RI6NQ`lDSK|GKzVz~6*2do>Ty?_3SGXe{fFn(~$Z4nBp z;&}b~^`|Mfkc`s%A7X$_S^e(aW~-~3oA!fC-`n#{o$Hgv|J+Jq*|>-SV8ychC~$fH z@+f6Dxg&W16(e4}7`3!;7)(CaY9{o`kEd<&?xP=3AN|i;^!s>j?GZR{t?qPGR8?;# ztFGQHp4uZRE~iePzF9x8Z;E&0J0#Dko!$7EzflT%ww2JU|M`-h(jg^$@aV>?1DNSw zkf9JjFa$E4!-z2Fh6l97yYjbBH|8lg>`o%aUOLiK5`X%j|JzRwhlqd-yf15DP;o>6 zKohXje3#!v(V?&knb4YFje7Jsx+xoU7hqdJ=RdbA*5mhg6r4tYWED{7Zw;;2zg`hG zEcPr04=~^(nXF(#_|I2Q7|z!k*0I`J|8wrP@%E@yh`a$Q2X;hYCbmt-5&UPlgoK1> z9zDphUA+RsQGp?#@OU>TCns)Hl4WCgPbLWXuE6!#$MRnT_%2u+>;};SQ@||l{U_kV zljttC7eH5GXuAQzjHW}yJLIqAgF5g@kVQQ(S$cbF7#K>KCpIi-i-f;}=pic~~ zkRr&Vg-&|JUu#5_n~Xy1#+AEajB~HO)A@AI?|0_+$2bt3m8Auax1baLD#s8Uh(Nww zI{DUVjbbw$vk3>G{`uz>{x_ARZNgWRvK>*cK>^N#@~?jB&L_vl$#Q~l+Iz#pi} zmt|SC1x2NcjRzTS{u3C&>di?F{wcx!tO_RbFu{5-`4yP&Awd6qJPau`q6NfR&f^~) zkq3x_G(fK^1Z&p+HY^|6p!0jM@Zmgk z47#}GU5!#>{N83jNlI0y2jGPU)tAld-jb|3mZD%s^TV z-KK-%T{i!g{MoS4;MesGw!qCJ0AHZ~{Xxu3&499lz}?ayzw<>6&f-1b)e?Q&|Gbp= zw~6ZdH~1~gZTvg?HT=MGuBl$hH3Ok`oVv2vD|Ou5y^RlZ+#`P9-=7C$LEfKInlsM$ zm$Qf=A|Qe_&>k>FkSxw1ys#RivBPAb`DlnLI@k(X4?8`I+^vNn0Ck`H$XQZ;an`b? zBULwb50d!;dY1}P6pAK3{=XJ9!DQ>#O=sh}UEh;n%$&CC%JJ)95es|-Rq3rVe;Ba+ z@r>C0#4h9A0w{v@6BCTn6^iH9Ld^`2oea3hbcyvbc_ql6dp!rVxq!gkHtY zO2&3$PQLL4|M4qtw%9mGqCZ9i;vf}sA=Cg_ShFhjHS#% z*13On01t?lW#CaI2Sk^@l|KM5B#Y^Gc?9|-e&u!Y?0<7^fR2-p3X*!942(Lz@TxIRWIedn(_g<2_ugibI8mv`J zu<%)S0RH~zbpplucMf=A(dus)y}E!KuCA{S3l9%Z8c8HruUoxeF+ex_X`I9GkC;}? zi@<`*6(0cM|Mtjhon6}!Xm{UO?YUI!FcIeE!@jj}+L20Cb=PG#Kj=f2Jr?F?!r+<` zb$yCjoa9F0W&up1>Reuoh4tN^~Ohzmb5_-ovJ{Vea@3P&6*(qq*jHEUI;S|ePs;G`9+vc zy+u>I3D3Q@(94O4Z8b2R_w2N$;Q#UeUm^6vGL{>k!#YpurKDe%rx2Z5IV@u`tI zA-dUh3QJf1@||%cefxiX4oPSuf76FWeYN)CUL+?1;fTm-7KyU}{3~t?k=#MHEz2VH zfQeEAP?og~8XzH+SO{AEAOv*B3dZqjIdV$w{~C(_<09WM##Z?tV3(%Vkm+h6`s0?t zzOMuZ1_qyg{yH+1uzrtUUvWn`>eaIoECnTxM!1Kf`tN@a+jF96z`3J`h1DwG-#+mg z?W9;9nVYZOVRZAbjE4&2Op^M=;Y=b({ zCmxs`2)%(AbsD4*W3!+BAD-;Di#m*)GofxRC~{QoaAV2qhi_QieDl!lm3~^)T_f-B zZ!Bpi#>4Jpl=X}d`0xD71{7#=L}dEJbkM0r{Af_#8ndh*8LUJAY#GV&rng~8iyNLx zTjgrTZfb>PLmC)iEJ(chQ#4||ZvN*7<2zyoi$SDOq=R4iOglKV&4(LxjaRSU{ne}I zbU#~S?JWKoxR$@(10uxbUM^gLI&|wV#e&!kdtl-wv>_!4(3l}ITt3{qdm7S>B*9^Cl=>`d?bRwd>{ndv>H>xmfikT>QGcYZt3i6ZBVNV|S~ntEb+RczSF- zTm0kY+&$I{d2M-sgFGw#xe;3aELPNg08P`#1RSR^?27}oqnCrdMScaej*)R@BlLeT z#;JaAITi{8-aShHm*?@GAOJqaJWCppLEsri3- z7>!=oA{H$#*~z2-bqYt#7Oi+4rc1)bkpL71)G&6L7Q#kMj7$X};!_U(0;Ja-Al{v! zxYckP{<3Hb;*{gb+p@d?F@ECPp=6eSyu^Py^cH*2#hIHhMiY>_m3HCw2bZLzPeg0u z#o+a_RL_Aq;mrDTd8^StN{`RV{`0$G5yh-ul78tT08##hXvvByR5)}Ky)Pls^L$>3 z^hRa?S^ojCfrM?LerX}-Bj5>>1C){9h&Ll8w6T@Z$JdEZe~(Q#Z1}QE{cQ#z3ETJm z-&GtOp1KxyOXd029^Ac_xl+HbggH*#XIs{<50(JsYwt^2H2%ofaoZbIqw3`6&+D+( z!v^P9mBQkk7JP!^@+C=T2b%A>Z)e?ZV%5mO%IP?4e$Ea%Lbq_xG;#Tdj7unk2J#*yqM>d_zZOfq>B(LR6xxl_*VI8x&54kORK5H8_|(bRmczba)g zL%sl-X2`AzX^{?A*n%&Vg8_G>`G^{l5MXIdm}D& z-vQy+P(1MXDnV(N7C_cT#$Na-M2}I1?{Eyd*09B~U!Xl7_8tk@G*-L1dinT0|6$Yp zqJSTWFOydelGDwCz!e9S+V{b7^1&i9S$YV=O26KI13G0WM zLdKiyx}W5%99-|ubPc3KE0YM$-d%CstWWX}ufhTG!a00m&KmTXxNpxKIj!d zB5re)DnuxgibqgmXq?>a7Kpt{j#Q5X3qEg?_FTwEo=~oA`3qDZh-^e_$=QLZvt`wb z{q6~DW*fS*NKD0N+uY0pEuYU_OJCd*LNJ3fBjDx6Z&q1#O0U6e3Owa%00wcSD-H0e z4qG9Iw9A7_qhZg|;+k6Dw zc!W+yr)X#jWbbdS)$q3703|pnSUjn{3#U0+f$QdePzD%p4z$0%f;L40&5EOd5V{AL zKc1I{>W<&Ygv+V}x7DnC_FheIRQAF2894jIqX2py`P#uXv!_l_Je1o{?o!efx3y}j2GcVB5`q@`*V0zRvsW_ok^28D7RY) z8}qY*4Oq3UdLYG%tg=BBCR3?rZ=F#c6Is48V5zsdm}j+zX!!x6hy62=fR138zOiRxHmFZ7>>1YohwTM*(oS!HQ7#kN!K?0XNgd(0 zuOIyt25X#~tM7U_EvxW*15Cyk!3Ap$Aps>MmzxX-1q<;Q1@?O-0U9+gSq`&gcMuB&<CKuTzvcWS)9MGl8}0Ih=qK)N9qiIg2=IQjVsVVXC96MzNLg@G}r zqXJluimQj3m7W^%`}suLp%pZ99Hxl{R`<{t8w_3mJ3ckf5MiY~zSX_h1YYS{j2CEA zy?~ByJIAB{GI01rHh3M23U#Nnw7--`XsnE6go?WpG?>@Q^yTP(lWW-q2|VW@c(*(= zFI~cqBjc}NJvlKg13eue0gnyp#5#Q~@w7DoE;Ht}lacSD(fuHlrS;iKE`hnoLh`z0BBqwMzJMQ3R^;QlM+TvvB8>)aN! zgDQi{I36~832jq~2EPy3f?b#AP|(cWT5Le&aZBylT?RW~HbbeL1`1!_ir-?K-l;QOigXC#bvHK-yWRxfY z2lO=2b{A;Uw1c)v1Y&u-!S6vEGGIsIAy?&vRu7y6pm9G z@Fb#JJQvFU(zCj0>%d{hPibJb^XVI<;o8{v%x_zvW>%-G_aIQ_-^H%weeRXKkMvwr z8 zIiYh}`AMf_Kr+1n>Jf7@;qV#-%lF}I7>M+|1=odmh|?lnyY917tE2M01|)^q=7fv9 zKD@Y`jj(N@k7EUi?wX){<0A4ufUMyb@09GH0+TQ6uN=;2s-FNDxmH@+R$P66;5*#DD@))PwQVJk}7>`SODaAhnVT_ zUn-FuPr&Ii-j$!os1k?e|2If8Ry}XesS;2`%+qMirW!mWn&(ni2xqOmnN5Q6xtT3w zMZQ|)Eb#p{T(D>)=boI|r1pbsbw%vVv#}7nETeXGt;_PJql5N{V$7-Vj|4={9^L;! z95}`;u!Q{E4F`!oRUnHT$%M6q(eF1LCrc#OUu z@7>XR&q6kQYoYBJeQA+^55=90KIGUv<$Y%!6PTd5c5YHLX zx8R_O{JJFHx54b$V3h!QrZZ+Y5uX}jODq$4j>|=H(Z_2PSM#Gf(>?5exY4B)ppSu_ zGzmbo{*|VgA%%0>+Q?~_96%dIVFk-M%zJVzu@h+@g*r1iul`RjSWe$E2Y!S zZSJIp@c~9Gf~k-TtR;_4m@smr9C(QAu(`RpK~0g<&~B#lX5zt>r@PeOeibd_UB{rR zIKZXVS=cnY)Wsd$FelY8a~Oz^U%*l-f!Jcl3l~6uazuLor8mJA@o&*NywSasz!p46 za3z!zZPo3-;YGMm4mhpWr=w%3(5$}kfPnAYzZ`{^Rm40l{pGe=QJX`=BQQ|_D9FmBXE~Y)(n+C+4`@~( z>kGMAP9rNUDC1uH$f*YG*;mFZKE1Dac`2eIfKiIqw(%kz5y1Ggiu!F~xy!EX`v%(C zBg+B~;3^#t>Tkzbv1qp>?=klV^7`9h)b2jjpt4V7>m|XY*53uRU^UEYx*D!@+`yKD z-7I5Q2Jm1DK%%wu5tn+F>9fg-Uyb2XHq=@Vq~@=#jU&sJ$kB0fDyLuiv<43nI- z_Q|#bt-LKMh~2khab z@lW2L@*uL{Ku(E`)LRIoEcy+OJ+~JyIrgk&MT`Rbg&a{LE znx(Za!Lo`MF)fxA&bC$!xfy~|1V8f1waF+rBv`s|QF=6|S;=k&UQ*>;#~8FZ)lfg7 zb6ENOGgO&85FhRWin28+8`K_h=cw)2sggTOJc_`-rDFC1teqN3mSQ_?K)eV!lOZ_x z{)MyRjcWO}_m}3urSSYD^!5QifGN9!-6h_o5H|C+0hp~F<6zIMFPPs;08=^2q8+K& z@~pl1CF1a%tc4(xd-Ho%>evzhMQgy~nG>;#I>S4L92%f(s($(XrBKl8F&%rJl?=Q>v(zpFW|`P^$qy<4f#qLDB9^KqUkv)f$Gq zpKnX)a$7{&v}s0pb*|(0BZbuKS==IiT#CJcZ1^>L|gtQVp{>+eq<;RIJ*<@qCPGQyXEpmHg`Z_|+L+vKA}luKbS@GQl73CMuUnmyBoV2v~U+zU1#-=%YH+64Oz^?Q!SR|PDcO*4ra znpab3+g%WuL;Edp^q5X@D9}`uKZvI<%@=~}od{m=)8xI-L43o^J(G~Z84TX@If$1x zUm_gMG#QETnEp`d9AdI>=ofp34Sjl^Xr@SwmtWKP9t2iEj5VYQ$W-M#xTc~9U3v?$^Q6fna+zTF1hpGB4i>*K@;8iPQyA2>&=CmfBkquBPeyu~cY zeXQWHUBQ=7oc&HJ`vz^ZISvgj3jG@2w$L?Gb1=AUX zXBzc15SYc!^f34N?bS;Y#{UAZFv&f~vmC$ext&cg=;1|W?S^3gEc=+mlG#n&K8o42 zz6*V9Cj?nD%47PWLu9ihf6MnTr6JF2nabm7t*qF>B0NqOdgkMloDt3uNyE#-3Nx!J zDbjuFg)E4@->5rL2syJtG#g7nZq**@IXzU+RX26bH@ORFlNUdn4g;w4mEEwa6u+9H zcH65xgSHZMtPLYUhOM!FZ_&V>qBa`6Q8EUtBth8LApSzvjmZ~uHMnxu@Xo0@z05oC za$G`g`nsg*K;kbqBsMDJ+hjnf;=~$mUrv5HmFpZf#o+wz(5?rCMbSe$Xt3}8YVr=^qW4%CxF-7K7; z3#iq>c3zqc5qznotTgMnvr{rBN~;dcb+w8x?p0qlqS)(&OK=_w49ZmRT@}+c%=Y>mw&1IRx0VQ87I0 zB*6!!*dbi~36F--5tnfoQ*+S0=KGup6j)1hC>&Hch$`*MEVIB`ByX8g4Rbg1peRz- zD_Y19hhnU;|EOtmmfBKm-$jVPv{VZIIgoBNprK+1fqVYcSh!P%+`-+2DYxU)#nzXy zAkh(q5DMn=Eax#)_-1zn!=S}+d#(;J4*n`OtKMVdQc?OguiE;tGhPehGn}M&0tQc8 z+VHqiNq~$YcRGY(?01Gq(~(LFRUZ3q?IMjMd{pWIpTnaE1o0nRN209=D4w&-NyjSI zGPN_PHja={)r`V~a&-RdOOTRzbPnSCp{xhZ)7Oh4d3*BoiRdclKb8Pofg?+=f>-^v zr^Kpc+qUYl5TFGzn$t45uw>L7pycs?{e2`Tp)F+Yvgus$ZaS+hGX*N9mU?&Dw!2?O z+M-`U?rb6B2t1*h<2m?i0@;?7hmT&*^}X1Hm$K~;LsR|Z;B&p*I@Z|o98a*PP{5?4 zQa$C|$%D%Aqtjj6sVP^n8dz;gy6xN5z?@=`hL>eXNTUq&q|`uJf8z-ApIJ-ShNn#_gmUy%{G4OlXAc zV>sz_C;k1Uv5&lJMt&i)nX zS6l(SYHY{MB7O{n2j4|0n2JU77?Xp;9FWg<0L_+{s39do>m?3a_yh#crb|I>ssT{2 zp!AS2ZsuPCu^@-bAX|KZm26swNi&&U5ITpg1{Xx7NAQcFrB(wlqutN=OYi#9B%1@@ zUAdJ33QB{I+{BK7G?nUPoOp_{~i}1G~Kf z6LPE?mB8{uz4>gY@6M5_4v2<3bI(yFG;i9AXRw81hxc!)*OE2Yhf^m6`cO$3wmB?r zo-HF!>_=}%L}!WCjp~_SEgWw-Nm#@|?%y!-0ZPP5r=3Fs{w6x_lXa?`tT?r?=4suB z@0c)vpK4Q2!2VrFU-cZ!5dd=%5v69R(sqn?Hnq;(Y}ty}eA1JSbj?#oUA9w9u_P|c z-E;~__KsNs{8T{MJC$op&&TJa_kqxhPIMuQWaw&7=G{VgT`kt^k0q9Su_g%+^6D#uH;RS9kwp zThr7o8Bw$y4+6Ikef7hFG;i83TR2vF z!sIg7pp4hA8q>Gam$D?2bjt$AByCfDy{#ITyr$ltU|s_2Wg*aF2?n8p_Y=*eF4u?& zhl!-u=l7SX>RUF&01i${{sK|z&s9M(pERL|;Zr67B*OQ%o;!|%D*7$x!f?5bNx^{a zi)87qfWFvyje1rgSmHET+9LU`dES+@0vv>#D=&Y7&R}c7QaS-eRRA-$0)8?C3b2}-j9g?maVR*!*VvjZFju)k>l(dW z>lFJJw85Wt(7?vn@@+q&gpmvKq0ng^2?YXK0hosQk8Hw!YsPKDe{=`JSjDY&DxQ|; zqMNkbZ$pmC4-I~dvu*rokY#^L?l4#&j?(xL4xcSCv79AU07_hD-ed<4Y-v(}Dt+B@ z5dMWMs&#PFom8B+_rOi8AKiN*;~oGeyIU?4pD|pWo_rJeR0_~#o9HKF`<6r@e)m6$ zzoL2%l$mG1?b&}sB{jCK478g?u8ONE7&%MJm52q4w48msO967$c6>OMZdwULgiD8Y z?4d>Pi}6uE-L8yG#YN2Ij2*SD05OMtE3ZS(>|-bUVbUX<5w=~x@YDyYV$I?9r%%2= zj_|Ti@LcKy1&Qy)ob8t=@Fvqh8}`}Bde^G$0m9}&XF+nEcFyatUXp!}EeBOd;Aedc zmes+5eICx_#L%UTruowN;zoD-%a<>YjqOao#2G!qiS%ArA~u_h6CT)hYuu`TnS=b7 zyS&7q{QH<2eTfS77NXB2XFXocC)!Nu+%c$%#-g`ezV6pIRerKy|*a zA22`nprQDXljJL5#dFn{9<-UuP7=&{wrYg}PwCZ3s>J7OWfT^Y5wX4%*1yYUZz|h^ zA6-pZsYv7+(}AA1#CAwnxV(;!sU)U>4}xAqTQA7eQ^AXl^%fd0;?x5Fqckm*s6s5(t zq&mZeOiFRSO!pZ1g*DsSTnv34w3EAT-kzn?;WAneW6^-D+#j}D3RIE2td;tOc3D}h zR0)k)4}WA^bbNP%$NBz;Ap=K$|K+u^5a<264HlCoMG!TxPqPFGAFtzi#n#soN8Sd*o^cQBjs6etak z6nd{!A(c*WP@GMtA|4Zc}b zX|6_(IzkVC!D#spxpa0KXd4FZftrqh)unGlbR{d`Z(-6;B+pTk6zn}k)^YnHxC|12skb(bC}sh6H{8=H5Q+qJ9tO6h>{Kw)GX{M)gg zaswV>`3Z35*<&PNJVa0Boy7;zOCm~>SCx-}bQBwt)F7wIfePPAOha#>*k%nviFaR* zb03Yo2i*E|N@90j1`BvC;d3jMuJKRKXZW)JVxao`7%9Pdn(Ko3Kn}%pqX(9- zQ_M>6qkOMT7|9)!quN=oUb_h@3E~xR_}*~B>|pxj2+|-FP|x-elRA8D5+;68Q#O){ zc7@N}VAK6(c2~ct6Kh+E(Ub^FL>1_ZyM_N^kkcdCy6gN1*EYA0nMi+>Ok8T`dGL2Y zxSJZ0OR(c*wB#u;*~(4~mOUku#hhE)D!});rSC`AS5%!b#TXv>VvFM3W@SU2WnEa90Kb zNk*-MZR3J9J#IgX{F(Mu(S?Ab_G*5PoJBa@3y=_ce(gzZH z7QRY`Hr)XMkCNo2a^kFWU;4f}x{V&Kzo6$xk%#fAmd`VN;o3;n+Wa%a%fWHi`}zY^ zxSvbm6eo`I5z!e=nO>tuUZ|+q8|5$_P&=303?$HHrgbz)d$xSI<;K%Yt7aBiyk*M&IgTT5!lC)cVh&X-X zf+X++*+6Ja6v8IUgjKNvMq>#Cz%(T*AfInOsz8sIUS*@o63hiZk(XKoHajtY#@0}z z<1q%J(<`dLakw&2!@Fb+n%sKolbBMZ`wJUbooMHwHX=KFwNjDz!^=_Qp+Iy`fLNLG z(yv>-pVIZ8mb<*s%NJ&%ifJr#{Q7POO$Yyx*LipAW?;r8I9FyI7i;bZMM5Z;ZjFJgBI{vb9O~xPt_m9`#J&-=f^MoYQ`oYbvAD~yR0tK&fMpTArsmWOJj7iNo zxF8<^Sq9}SKPQ`Jt@x2Qb#O_-<)vgDKD`QVkfAbYDyzK9rT%D|11?F3M^>ie(|OPZZxyQSmTusqHwMHGLfWaYBqdn9RLy}4orUDKI zF?n|N8m3*2+ybGo^@;;AgK}w*R>{uY3=3r-Z-7)c3M@-c|nTpLG zQ{eD~#q+~4VmqjRzI@E+-nxGRSi^Bqdzmht0HH`D_u@K5XOnF^>k`}4SYa%4_$Rky z-;G=*>u13v7HDD*3X^mbohp4TdY#!6KwvAkqyIJlC_0Gl0cHigSx4n^_Z*EpKd*0Z z`G^9)ygG$}Ii|J^e;J%61HZbKP>3aI%i-1Y9WD9lM4pe@DK*zt>ddH8>3vyblsrr} zvQounA=%uO zxiQ;5VzDEMKsP%?c0UuLz7VX5WB*IdrxZ^bwZf4h7Jx;*9p^aHr&mH>;gj+}S02q- zU|L+3S?-heKzi^k)2%@lP3!G%8=sw4e^S@WOy^|8q^1LxA17f$=2vF}gDaaFEruqu zN6@8K1NJHo7T6cTs)n)01Nb=1E=Qh#k~+mH00tHe>eC4rwz$lDP#XFW`!Lg{!eneh z|M|K5R%PZnU!VyMg(9Bl_>;EyDeoR20@S%oonha5hrp((@x%GjX1q&ZxsNt@Rdhxc z_CozBgb;A|_MWI~pP4F(jr5u<1pyUv!?5LRp)2QiPAaO4O?0W!D&Iend7+|qcZ3(% zn23MA2*W|`bVKpOE@xg!LbE8YbbWHCMdE{q!(BU>U2O`~62gFCH|dhq&EabFEW)@)#Zm} zp(-^a$YrAv`eF^tnL;2GKO!mPj47~wstS?)ws{=wN zwt9U$nuN5^9nY|H_mzW5l@$<#VlfI#-=2ZzMxz!bRa>fY!LwAkN`8A`V`eaCIemTG zXiuqvjXTrlCogI3I(y^#Ku5*U=Zq9p=w`c2gM77oeDgWhTXgUGUsdy|?9=WISdsuf z5(nf7iIUXWFcp)b!SnQ6Qeq65_&@KNsIPXFA?Ml5K%MLz;zL1y@!3$?>GWwo`}sn! zYW2NYJU5&gD=8<+ldQ)j$O=4Q;O zdt|%}b~AuDy}ekgeXRqp9*37UnP-?<6$!u&Qv#ajrR&36 zUe!?wr8{MuR5D8DhFiur3HU$8EmD0BlpP~W_JYE%a_ZcMtO5LI_DgodK{Nut(-OTW z>1M$)N@=Y2eQ7CCY50CQ_oJE1okkPbP?bBen$P)ReJQLO{N*QxOefhT*UOAVC^IHu zmcW?xXc6ND2v2PgIZb?zw9+~Cu@^#jN!dp)02U;{sHD%A8EL9$!yO^Y$hb-DR8=WBK6T9+ zMDD+QzU_$u5w+wT&vW)JcyC# zhA7Ma#GL-n6U9rgw73UD6&Xgwp9j;g`Xik`7(Ctm3`FHm65w%p&bUS%780$`T$JdV z8x7>9cE-);do4RN{2GV~1EH!9q5(JTp5j6vB=2a-$^d*dNz3-z!R*=CTd(Zfi}M3lc4a67{V7lk5{cOzd*pRw zY*$ZOLS+;YW$M~TjI>a!8Q#@#HC`_oI+QkS{M5o{@Um#Wlw4GNQ^Cpk*uE=s9}*Qk zN-WwM_$ONH3X=f@F>-BxD8@&VV0Z1D`F6Hp39a^w5Cd!s!+c+l>B=#CvV)M@5ybP! zK{t81H^jP8SYe$Y(tiN~Bn(T-$OWcNnu^h22^%YPZAJ+ADw0aC9lyLK-tcV$kS!dj zTU)7X|KK|0&^NeeufRv1Zm`xyK+fLHg9!P9J)n3%Ojid$UH~9nV_d0$1Bu0mlrA*9 zzkL9l5PaK62`=M0M0oeI1>1XrYH2yyER5r$hjJldv7;>}sAnIUEL~p}U=t_@32!m| zXa##}K+?Nz_w&sug12&t}L_pOW>8g1qdB4_`@Nc zm>n@Pi(JNK!MfNd7x2pf|D~KjL}|VVrEcmO=BWd;T8x>!^r_UjQzLCPK+I=u@=6tc z1ikw0#eGEvJyfv{M6jpmKRfrG3wdruQHC{cFzZ zBx`eVB&p80<1cV4#=BIuR!diXGd>ZG#q@i3TbbH_hmEi*RG6m$Fodbil?gIrVV<){ z1UN(ckXxB(PxMnKR`VD1S8)3W{~UOO!Tg<#_sBU{9_9jc``|_ zhE3iOr>kN6LEx5irj{ug7XQbK#VDmI8Rl$UA`wO4U4-n3TiXSOQv_u4nrsD4UPzgF zq_Uk)WIvPaWY@MOQudN28e)Tuvl`Y5GQwWKjc=6=6QPvMp}i3*2(ob{i!hdHcKv>j zWa*rh-30U7DR)f>jRG?Vvpq}(DBMnd7~TH^cvqp|?niol-*(0BzAZ^kLw;kA0ox;9 zsa@p+g%75y1iZ9Py}U}Sonb7k6L|cw<*-Pa5Scyg+^17@!^5X))K+I1!4d>WD>Y*4 z9D|2GENzr8!c;m@Lt*HKyEia6->_WzNTcmt!k7ayt+BmlHl(or+-w3)u}Qxd1opOE zuG@d1`vrS_T%ri(Yx@X%ojw2z$5>3|(8!h(Vp^qu0Y!RP97&nbY~Gb~ci}$3 zUQJ-{ zaB~28K~Cf}tmmk9kH>uSDPV*`HIAG;o2pf0wps45HFfl^!%VL6LXYifCq9{GhGa2h z|5O9|Y(Q3>e^eZ#q^XNKJx!f6s8N55ZawNCx1kMJ|l1c~j!ZSlN){S`d5hFcA$by~RW_kFB@cDqoiDfa(-T?&e02li~-7E=z?LkWg5askG+D0-X-eg?M-(JtRxq0m6>D;5kA1}PV zMIZa~hAW-Wl=8Ko&USc&kidj6O_#uWypdts&`hd5Dtb?Rec&>Uf$%Cj^%QJ)miwc) zL_n94`j4EJsrn%_$D&)Bs!csXj?~p$hi-W_mWmAaaK#pP-x6IL32f~5*M2p`6FsB9 zyyp+g*ifIyM2dq`G;tt>V#WtYb#BvhuSQZ`_sK!;)zF$jyl|lmCS`Gh73b!9WnoD0 zf=k%4a&v#}80ZFy+q%M?`vRcikk)h~_f*TAwW^o;hISc$Qu&E^5-;ndWvghQUpeJ) zB`ON{@kvse+@RyM&@)9H0^Q*XP56(w5xSP9g_ifau<_DvVmgx-uE+LhhVuXc@djho zr_zXvU*7JBv1Qui0svj#7cq@>xaD*C{AspEUX8xbHiPG{Yvt*ZZRd)g0H_Fad&qeN zDqX)RQ^=trnoJjm_9BKh(#M{Z%HbOtORGvFsgsp1pHp@3WUy#*MDjeSg!lN zL$$pIrj;Ckd81;CRjG1{!@rqvPwabirpgB91E*jVqg`WSkE`2NczKLUfnqK6@`7yPhF%P| z#eH)RQ}7MZm#FTy{F;E!?tfV+j=J0)pOYPw*tB!sqXs8#$5yK#xz;to%W(=M=i?+e zXgbM7gP@i$_UkBOdGbYsq2Y3V$pOy%Bag(Y^V@v?+tk>bU@kmmRf=DGQhv>M#02?{ zjBu#T!{+rk_8M8D#^dc1vL3iOxZi79J8c9=W_Mvauk=2QBi=w~zVqVCH_orqfCEp? z(BQ``E>+I=QAzpI1EB&Fnx@%6gMl|gs64>8aB89-!>~LeSia;_sVl-)=Kb} zV#>+`AE7E+=c22+?ZKG*E?WuThlX9R?bt66IL@ zZC@?xB(40rU#54GfV$ox<78EqwPlCsE3(#FpozY*A2~Y! z(0^)bTv}A}T3P#T%zSFcy);}oIKfmyOLVZ}V>e7V9*lL|39NS|nt$j~c`otAb}dE? z1&EiH??@+oLYTyMq*`!CYvtYNb9|>VZz{zA2TT8#_SV%P<*JStAli^a8h_<@HICD} zvG`uaX5p69uXvR1HF=T$eI^(>Va!G+ycWw=Q#t&jCjpom&?0j^H`CC+w82aVq+kMw z>!HzlQ@4CXsVOm3UOdM6_WUWapx~wQ3@2~g3AG=r)DEer9}3!KOLT4B`_cQzHet$- z4I}3v4h6#~wJ<@L^6FFCS7&oyo+70?ClyWdE&#NDM8C;wyXPAxhx~*Xb4g}?F>nhq zjm2*bLM0K~`8`e3crQ~Z-7K#>7Pr|0)(2aQQaW*>sae~&N1Y1Kqe-+66(nC>v4*O& z2R=;0i=seoM*ZeQ&MmSNv;h~dFAy*yPZUHrXPt4cvZ2ieZ~&krY&5y z1n9cz$dJ&b6h-Pd^DoU-DUfU?gfc$pQ0UQvfOammB1p=K(u6ZWkko$~^ty!&w~qRK zD3FPS8M#c}e9#97!U4ZJ;S4dkqV1TaE+mr3X4#VG^b10-FQ#$7tB*z_OTKFQ2{d zI(rRFku;$e;L=SwE;8-7gi|g8tz&DxcOo34UQZuo7hXLB8pg|r3K(LSg69i^>)mm$(pi$oJ^IC{fX_gPS4l~^M- zL6@vg-2M?{8AQ*#V?^$0ufAo=Yu7h%fireaWgyE4&l^KR3o*tg08d9#u|_PG>d>*O zqw>EFfJha6*#Ov-<$VIAz-Of1MNGN<4V1knedvd0w*XTX+6imva3Z=x9nH#Knf;B> zgyKZ3KRk;E3Arw@$>wj2(9S1q2IT?JIi$(OJlsx{EcwGqD#SC&9kQme4?RTC;}G=s zKvc@k2>>5tOPDQ`vF68%DEB7bz+bk%)yOZmx19OeWY2!)OjIp8PVP`Eb&z#ZZD}_G zgaS>;faw-id46OR3Ay$(J|A4>4!tqGxs7YLXrdan4+3Ev62Ah`)*H6d0$Ly?&7q|{ z@CnvEMfU_x8ctT4rph0bk%u#ta0$3|ZMVg*7s19Yx3qL{h6it#(x0-#cOruyb($Id zvU0iUZg<~FpNYc@eGD8wRd;TFlZE2ynNnefT55~RTC?iWG$QIo<$D!(9}VG7LHUU( zZI#Y1kvddrv`W}QM-kW{^Otlk9I>KVKN(QQpYkn(w83<7bOAhYsEZj^H>bEZpg&H| zku`Y|Q47;E0`v^@!9;s6%~2<=&EZzs<}5@eiHCdj1K2G!U?TPfkhMhB@tY*23a(p< zul&T?*fOwjd#ndN9ul|S4|ET6EB4TTWb4NGv9qv684VDy8z+ffZvZKfWfPsg-l zSdoZXiP5>Nn+AyN;2uzv^*FnxGOHql zq2iAMiGFONlbthQXDuTSDwe5Xmr7ur_wqE%*J9hAt?=8064Qf(F|AefIf^&qj)GVcJ{Xjf^=8P zBNYtBL%jzIVlGtrSm6S_-h}za7f*NCAX?U80S!Os9CHw`k><)*)0P7jC&e~{cXEcq z=esw`qE|;C)h&#H3TC4DSKz*m->1=CHX!03q`b%oh1lVs2Y^!7;RdlVTTiB30tmvl3`- zKeo4B17i&~T|$mj&?b?<@$jiYrQ}Tj{z>;>)$|r7e}uVAwro+Jxi&mqXdORH#o)_S z?--(^nJpc&D0ia%x%9=)_J$EpU%VfPA`!BQK56g3WU>9ILgK)5ZwcrmN*>D3Sz5?Y z@}WRtkIDK^W1r5#ZV;^nkp04`bUXUc^WvMT!Rhtaz1$_JZZ})UlFSZ;YiACCNKDtX z{AY>w5B%*%m1!S~SzEYwzN8$!lnM8%2KYAFp3dNtTMdWQ->k&--l-X7yX6B>VRV#Kb%8ylCvx7B5S2q*%HMd{wiXrPew{@(7K#q(ormb zH3!ryju7uT^1y6A<2O^N54qRuhiF^7LTtG=p_2vAX0{cwbA*#3o8JBgi* zDO?K;+iF$Ok-OO}9NjDG`1QyTfXkj>=}cM9ykvt>#rbPS{7HR+5cQFi2cytMG^~4b zw~s(CmYioF<>h9rZB*kma4vIBW*2(`F8sMUGQfgyYc-^oXB{74uwl9L79Fp}!aKe< z_5htlq9L95no|SzDrf7$??7g%229cys8c8njxU8qS6%-=_*@e}2zAa(xTLKU!v*^u zS!_2;p0Wj7<(x6Az!TXnl_@2F`A|h12;t)ZF4sn^SFlGsT=A-qEH1nZP(g9v+<6CTNT2JI zsC5v#@dM`PdGEI_`Mi^Fo1D2r@af&xaR8Q576`pmy@q7|z*SzcjE`w&M$c7B~N?o%@;=8SHQo?+k3mdHB~f$gJ5L zN<7>x?S@Q$fLZ%$hbSX3r^5YdFH$WnKpR|%Sj8W15kVV0Vz42>$I{1!{faiL$fV^1 z$mU2i`QdhN*yJ$fi49@-KhkM~9}T36o4B4K!p#5AF*C&Wv?s=#1Q;w;drotMSSBeQ zjDGY;zzPsZGvxLST2xem{Ku1m`(qlhGF0l#UZ8P;@mcHy&8cFPXJgq9FCJ7b2h5s9 zN0b%8TO_u5@?K|I%w{hybAk#tY#fJCpVib_ExKoW-={(X1iq)AHCK zr<6UxMwM;^c-xkAqc*F4sPO#Rl<*HTk0)sI@{R}C=jJ4Zj^5qr@Ay@cAm596EncxK-746)GxJR7)0CqX*LJz~ zdgHMFkG1y>#Ik?i$Bo-gWQIi9QAS4gO^9qtWLL69RJI%Ktn5OzB4tZ5%O+dMPO>*y z;d@?2AgV*XwnT<2=seNB~>6214#UhEOx}bUl3U3x^P27bJo5 zZ~i(h9`NxqliCXj%ey?h(453E!m_iFY)8Y};ja0`dy^C97ZI9CrVk+b^+2@XK}s;o zvdA`jwD>f#p@y&%6OP?3p*R)VV9B60-fQ>6lxFD%6M7ZKC(2;-ilcK&EJFP@dI-$P z{jo|1`92_ysb|R~ubGPw>HUBjK6o#(;d;_?F*i;vo_XGED;a>8zb<%i8#rgbK1lE; zbjlN11x2jaB402F{n9NRSdDN7&6#}a*Sm#Xm-w@ssw+%L54YPa+}}mXlGf4DUM-WG z^aoXC%HHmbRwFW3X^Uy%yo~wW#-H@L>VftA-l=2$3CEDZP21}4u@dpV;G6FnGUg-*JEYAu3-?R*WC&q>f&9XnMEG<1c? zd$_4NGt%q%Dmj^{5CQ9yd5f>n&s`H59RHCnGI>PW(*QIAtx<&IB^leVc{!{AyBOXy zy2MBForrd7gmp@O;AUEUVqw6Jk2-=j-<;0tEVNpL$#4?DsF<79B;u5Xj!%4kO`|H%7cdjK7gG}y77i= z0L(gB5Ku+d#G_Ib?Uap6jA+EcwgJ(YXY%>5osl8X7eqc}jQ6T=Kc*r#7Y?+)I$@pL z;Xswj_*QKCotD6cDfQM{N7qfe5Rn^3)qST)P@U%ilhnQi1ziCgFERYAX-9Sxz) z&)T$HzVzLDmvOZ;w1r}ENx>&&GX&Yi-Y`1pYAC!~N$@oSShZEZxAb{Ac8=F5wN*#J1Amq3EL$ESLm1zg~I}krVAI($lfkzOBlY6BDxPm7VB)3#-Bk ziW#2xXSj5*y@p_K%Ja2j8*DaQKN6wVr0VMVo=_I&%lMvI0^(3^=(R%v1h!E(*M)l|;$~x7Wf*v_-UWV+?HXl}REx~A)!7&-O1cfoo z258f{U;39+e(q*W_n1b#=^1W>O0;tn`l-)=$8G3IeH};|-}}^bbKN1Sqzs(XexJC$ zsb_2wY$u;#JtiS>>8-)|7oqikC3<(_(B3~VSG|E`rfkm~zxAf7R#HAdq>gy^w6UUL z0FPqMAp(S}s0<>5-vg{oWv~SL_arfY!5M9e6_GuK=!Vc|%kDF7QLXamZAD~^Jsg`6 z%B5XJ7?}qAjW>3#l5x#E7nH@{ma~IONQ8vTLf6f?lesqrq>w(Td%b?+UuL%nyXt5r3SP}ra{%rAU`x0|hO__@u83kD4_*W8EDjynejqWlJJe@Bgj2e;{u)YsHK+|^AAZgv zh2t2Ekzz)eune?*51_J=kV~Tt`yDOOVuVQE7v%g@Y6DgF?K6>`2yTrn4T)iz@m%B-yl2k5UPV>~~X)t*DGWPFMNmT@ov|bWa zL~Ks03f8v`J}u;W+4V<0vuo5beGV9bu+MZu0QKt_&6SRGM_noi>lS&zC+g{gqpmeh zLL#QTuI|^y2WE5<*O08wts$U;OvHPexxNUXYDh8lpP;iz>v4t*o&@8ua1RHdBsB6q zh4UFBCyB@h0aVm*`8xr zy(#WL!vu5+l^XM0vTHyHt~%0?ri-^RzEH?J#%~YxufkFv)Qb*BY+)c`(oIZ#;;{G7 zZQnr_yP7NB(8c1K!LrDqRxwh?FU*YMqsI-`fMzICLNM-nUA{B};S4*?ggtgt0oTu& zmh}DDS&oBjk3l@UFM$dv6? z(w58%e$_d2rYLgEy(1C9H%F}#a$xvH-pu@w;HWcm92cUD20dZ2?4kuO61dU#2_e{4 zJ4dEnseGJ3<__>6vm_oss;q#n1lLFKn%~NVB6b1l;3rZjrQbhC(J2!ellXEvfF?5E zymgU`(-2QRCIJ{6DbeXHhKa;@2YSLqP{^tWg4xNw+!BC&72n?+kqsxJm3V2(0FZ7d zud$R(Ts7=C_+b)De~s`STehwV#CO;2LpdybS7MnnhngLG5~Ow$6=ERf`WHxBl&Ju3 z64AXoR{sSSGzqO*Cku4S<>*-x%K5KPcbc%+>O)B5>3Q0)+nr>!J-D}t8!i}m9n21@ z@=)*CVxpJb!tZY)D8sQMu0@CzmtdrTK|usQMQZAdarw|)kSBhMh$p^dZms_ z3kUKfj4m6~)>>iZ-n$l8N@4Kc|aZm=nx&p6#Ws#Rbj{pQ^09MITKSADdx`?ldH5*0GGu7JV4X8nPFIt8|JO?82m&1pe%}QeKEtx5VRDhGTCW%hrVUts4UoO zkL{sow5?x{bQJ(qG;&`>g103$Dc#!i4KHqFtxZvU+0=q`hKM#OxHZPHy8xMoq>nT8 zk7Zxh*`sxNvjSmD_Y9G`;seY_=w}{E$-O#eUM_#uV{7h#^uzn#F2GofJ8~4bfaIj# zU16WvZ`sF}NC>0myZY;_Cv1YUlF*(K^uhmJ2XvcL^j5S-ehlgBWO!TY>O*yq^U-C= zEfxQZ<(X78Z!SuzC z$;uJ*1D9_XTos|uw^ zueaHIZBuX&o@gxrlx{A#3;>}KWLaO5Y1|+I*s2I!XLvP#qkD9+GzKGUsN?&1IY;Yk zbHn2dMlg6PbtqOo`(*jZFQ94G0ioe4{qwZtv0uZ`*;A2rlU{=LxQX?W_hHY+L|aF* zAf?u0-dlVnKgImDR2o)i9^`Z|E0d=8gTTZ1l@=7U{^!_l4!`uK>mk}jfI9E<#;dos z(y@7v?Ypc}i_Dn*v5i2lU!NigES!gdpN{kV^+sePgO9P@tD%VXrm6Lm4g)pmCZUGj z(lLi$AYD!u+XEOpW%2#=Sl_QSIdi6lEAW#FZ;db9KA(*d{ya2 z-)y#QlF%!yQpzy{C0u>qQsq}JpfK!Dt_>!qMtvd;=hHs($GBG?oC)j^eI4WB4;yAX z^-Gx>jw`II6}H8vLtY^~vcl`i*fV9up4w|{n^D4ASvpp9sWc+#?E9I*NeL15@j*4F z-`V3d@UP8E|82a2If5YDq>t8mylLK;^;qfT$S||nHEQx6L*yD81M?1{ndR{WA8Ig} zEc340*8)3KJGWABS3^4nwIriO8aKyFpN5!EaA_t0TPm!CIcOdS+111$1W{89%N8gX zSxn?UnG3|*`)afM33%+F6g7fSb8U-%qqj$T>w1kt92@d5sre2oJ7Gw20Clxc%*9Xl zEG*`F0X0s7S@ALN2Wt7VrRjZWCc|^SEwDgMH4!m| z`KUM~^Yslx+QZ($E#Xd%U-)7{Eth^sDvOg|MG$-fSQ=_i+*N!>soJHS#LV|{vw8Lf zqO%X*Rn8y`^YNh^4+i}aZ>gvVM5z!-OP;f?(}L%Hy99gdr3Jg~(D7fGCLKc>S&-Eq z*p#=f_z?vhjm+%(4OX1JP=>O6G0jKk+Ga9n943?I82xE~hW;BO@Ga;QaHp!%Ls=gi8x8dzh@ z=c4cey{amYzfCYbr6`53K>cGiSc9sq^ZDQS2)hDzlj8^y@}b; z+b)I*lb=I40!MDTlHM6>j$Z(O#;;%VyJaU*y%hl2%BCiKv3qprTLl4ik1XkBj)@kk zQ3xa@jeV3d=6M=~Z(7h9_Ct3I{Xz5OmPg;HAfcvjPymzkOjvo$Gm#D zP*h(vuh5}_Su%m+KHl>#<8|3ZNm_};hSOE%vG{bWk3%pYbz`D(kCJY-F7}X$^qP>g z@x*ELNSQYtaoOHn@pp`Ab44a96}n&t z8#SOjX|^HMG5V=D4ZOwN`-?YrBl0Jqn zXo)ryyVGt`Z!hBdvhE1bzb}2j=HBN}KZhbT@&~TU%J0a7x^fB*7C%R_>_5#|S$ zyND!a9YA6NKHh?u%b(JwL(hkupew(R%=n?`J7G;tI*be$(A4zwXMi!t1yFZa8dP2E z$hffx#*7MOk3)91A20~m^u|PbyHH3`?kx2uJd&@Z=Ub!ra$g{#36Mu@?#O&ngm%I7 zt{|Z0?LS?SQQCcoOFB+|pMS1@&Q88ZMdJPw$JcViPP;v_u_^QA-AbkZ(xo!h{}2C582_&Me*9Qs^gfOT0KRL*W3 zr;a&?^xUnmkF^FPdjF=!aCkSHRO!3YI$uYla3>^WaU&2hB4jf=>4*7dKaA=}pLZHK z`kx`{=y+eHtuDz1eMA79L0saHTXumck8c%3!>3Q3sS(i{GfHyR25Lx;%g{%>s|Vj6 zy9ZTpKs)Gg)8nT)^2XpIwUM<~%lpW!Hwr6I2b^kX0b^$1j#PcFEPRW9P(kc%o~oUwv zk~2QyTwp$I+9hM8rfA(m-uJ(H``5vPQ5l`-d)T==*cEN0vJN0XveS6$BMbZ<=)zDW zWA55aKE2R1j)cRLutQ}cI~C<`lpB6PgyV0t+1*j~mLulT%|yd>qRdp{7$SQ5(IR@O zl82{3N#DI^9r}eNnE7Ex>W@QR83c`&251aQ4p(vlN4GI;7CJPMkIjOD=Wq#u zJ#I~pat6N4czbFHGDX&#v@&^wpMfHvy(}6d?bT0c0jvEX^Xj8XTedChf`0O)4-oT4 z!3$$BU%Nwfo2JN?bPk!Yn1Sxd0wP)tg%J*o1O1ZYcq?1W;JI5}APNg(?=nKJg?p>1 z2wpq_S`neZk3PH|1+5*&hk&eI4j4fgr=~38e+o&!v6uG)cTz}B2Cpm6WGRde=r$6( zGX1w5oS{fQKT;RQNN}_2L|n6of@`s2U=wtzblZ~y*GXC1ufBwOv}%6#wsfcMAq|U_ zS0rMRNj?+j^<7Xj>cAkbauxYz(UW|WZ(tQi~ zSf9nhonkxQKRS9A(3o&&%jJcb0xzLW6E+SN6(bdR)g6ZISsbf7$d2TwtmM}62UQq( z%l^D58LUiz`O!!{f!iD!-~A_LYQn|{mD9UZkkVf$eic)WlP5L`(6Ga^#7 zhMG`yawz$qDS6O09LS$SGZ$BQkwwNY#a>+f?$39D3rsS^%c&!T%t7He176$3W4JL} z@;4SbV-blVZ)d`Wt*KWHYf}~yX(?*b-T`-LLe%ciEc!jdzX$_Ek)&eSoJ1TB3tcg- zr(<5m6JE;%5Ka6_1tFcJYwj4X|8@CK7lGTNrfZ2m%i*-=yTS{bdTa8OF9wrK+H`h+ zjxNGTk8nQ*5w-P|S*9pb4dA&Y6=7m9A46y59*cnQ)4YI5875ta{Wz9rrIN+b{UHU? zV>;_%FtTK1M^*Qk!T%(s-SO=w6ilNzc6b8!qW1Q8dI^J!zbSlP6Emvkb?E;z*2x^l z=X%x(J~q{^IR_w2#1?u$B;SLkza1+>Ngqv%zvb%Svt2={UIQ8$XT?qfL7Jt^4<^Xt z+=%_SWnW<);^34Ug6QGEflubelH6}Pa}oVp+xx)*NKvIkIhez0xny(LI~4itlC!`E zB|_31*rf`v9#vI6z5MrY{zgQ1{~+@g4iHjk z5f&;U9JJ?JYtMrAe8eC$I_b<`TH(T*s1M#3W50A6fJ$~@5MZQW7;|90j5NmRFO4Fz zALgStDs#i}^p{`Ka;&<_q{3NVK$N1KIN@F(nq;YfQCykp0$v5HJeujiOl$HJ7&h~m zYyf#M32Z;5xNNT^?iK;e7>qV{jz%g1P(v*nty8(M^FQcLAo2a6M4v*LI+O{kGY0`P zr6y&S@K|^HavzbKyh{VRH-CRVUXWrRtJF;VUz{zkrJ%iW@OG0y{a!LC^T%3avulse z7_R(9F6C2sGIDs-|Dl37dSk_fX zSn+9HfSSAxDxGJC(fRP&l_;Gd9wpxxC{ZxE4PMgMAan=AyHCn{K>FLSLJb8-1`p_5 z5E6>JfaBCPC|1?dO!an7{{fm+!~zZ$fC$N*0iaAmf@->K=b;)N=%|K8`holyBIAo+ z3#;G3c~AFpWfElJ`5r!@-``{BSvpX`Q3@!y;Q#lecmMJ4{T@Gc!9?0?oEPt!>(pb! z*#7H2e1`j=y#n&WWBma_&@NWu2~H_7Rn38r{Q}7`4K67=K{D(NV!m?qh8h&swyClQaG?E-D5L!@S$pr)A!A>DBO@6eQ#vY z@!}$1z&oCd(7y;TISAzqgCFG#qhiGC5nKNTXf=b+ zjp9tf@WJ6^=Ie_XR>26>T`Bj6W$MzKtjOL1>^|xt3ji1$A)Bt|RRbadm0a}s88%TGLkj)an77sV+?GX1xaK1+rXgZbzbS^4K zuXL@^qo~A05cG2HA-Xo0Z3KF5Qj2NC<7byZL~f1EzM4fNl)=l$z6xxiFhCmn31^(a zXlevepyPg9aGW2rQ++C8fSb$q`=!F;gVdo2LU_mR*51794+dQLoIwEIP7rO?9t6F& zrx3U0r=pEm7(g5MJAC|a**uVx#@D~z^4RABfMrtrhC?p#0g=~P@Ocno57K9DkY@jD zk{yHtM=GU^xf%86A*d7CZ@+zc|4G#z>u)Fapq~>NIDQto2?-rzw7D^`9S$V+mwM{s zFb8BkkNFi`q4@Hwr&3WeKvnPfmcbgO6$Qh)mFXUik@^Vr%TZl?P#I)kQU0p%vlUaB z<25K?M)U+AI4Z%^fC9IA=cb{E0hhwb>ZaPg`$Sa8qAfD46m&jea4e3`+xKG%awDmz zGAT6_opp#09#NHLM(#!7IVrcF>4^OkXarGYA!Bt#m{s^ku>M85MxG)sbj4#MEs4{A zVrwn=;8x$YS2?PG9s>MouoOrBG1Z}+Gz?6U{|quoXW=Rti6d9Z+=vIF_E8XCk@aY< zECsRhv*23yE#9d40vwUYJ0F?~KKYp(YB-9j-q0nVhYZIGi8!^pY}=MveI=k^BZ~3Cyv8MC!c|}Yd{+1sniv94B#tkda_3a-{6m5bfPokEr|5T8-uLp2 zCA&thg(gB5z6q>YmVzLJZdY)KxwOLn`Qoh_-bXeHXwz#f;qX`kiNUB4BqR?tyW$W_ zibV=CK-5JN4Kshay5DIet+Z1XxUXd+77@^daR3M(3LK*(BNoej3Vc#Arcp_1b4l9; zxhP26FwKj7&$5)ESq2^rb2_>J^AXrfwLk(&hWZtuFtb9I`?OpJz4=F=sbPf-gD#xG`BC;r!LciHDoo)xQNV}Dq#``XSu~y!^*z7G zE(A7Y5q8{nU{K4D0kiu8q|Gt>Em#u|hdQkm1f-auITb$9R_ZpnmIqNNVYCP4De{N~ z(BBmqN(+H_sOS$o|3jwyj}Z|3rzzfJYVIAgTi6cV_kaDL9>_#{Dhtru$t*SzLH+-T ziGf6(SE7;BkrZAAygkHN;U;V+WA01-?7E7un`@8~?J{YK&_Abk?@0dl@1d#S`YU)> zr~UW;`u%r;G`xX_y<;#2;EK^6dip<|WI>xQq`fc3eA#j1-YuuUKskN&q?HlV?~Ban z4clumtzzj9~X+I=|gg@VBGa--qv?Qh4vW!w8L!SVHFyMDkyM$onpF zD75j2iUCwia{sTN-ujCQbZ$qR*I^#@c$Y+2aT!D8nJ)i%@~ThlVRNMTO=Zip?GbhX zCfEp&Qc_6k!?+_rc|`&GxY*02FaJM2dkhI&Cd0U_f5_Q?%9EX6vC0n?PQKk)sso&6 z-{#Z~Rm1C$_`{uXLHT&pA&tY`583uFsrN&iX9DHCdaLJMvVY!4k}L0BSWjVDD3?}~ z%Y8J0yoOXILrCCk-T+A}0X>5S5Z7Fr+mJ`D0idk~6Pcvjz|yig)>G=fc2ldT;!pYd z@5}I3IfMupAqfU>W4o^-utKkiux9}+Az72`ymQ)&H$Ha%T){FH#Le}qe>Uf#_fp18 zen=HMnv4HWklA^K525M`wpg0VQ1(>1#*IVF!jOg9k2&O;%#`e_-8p6@|+y#;x=zI_8X&ojTbpseLfgu7Rz4aK&+%HCfbHYoD@S&t)z#)U|vc|%B`X^ zg#YqdZI0o;pX&Ed0vQa^jRSwN^N5Pbd1!7?e*ypl8961mL7HBJQFMLGVRql={-2BIEC(p7q=1Itzh&^w+six#KmuvfVV>aBH8!;|sIC+C zS~xi9n0x3#^*QVC6PzqZ3%KG|+JqUOR*DC*ImOmg5N!cDk{ww`078#m{*8fCur{Ic zN|fO&W2y?^L6_AIQvO4XgZMA+hUCcn{&{~N&z&U1oL&a|I*5f{ zw%dwb+X&=r7Wk-7p+tD_YinVoqBz~p^sh%$#(_p3+rat#hOuxOQ3YO|&uEqZZKroG zZ7L7iQ|XEV2PKs4brc$77yL$kH?ox8PO*dPmKPQ3s6K~uEXFn(h6?BWtbI=sK~X4u ztrcbbsS44XK-xP2PsD%+wxWoH%&+c8gTGJYpWo}JT?LRqZu^TM!Vzgi#)}FX@%aCs zAc`qauhq7j_x$;5|My=7sbDWCQzXVJ0rVjcqW|30uKRxw6N?s8pPMa^DlO{z{sS}r zVzFDZMT(;kesC!L))#;eh$5fVCj~b>PT*wRNf__`2YdT-RQ7&_rYGbvD$*?k6Dgqo z3QwydlxOH|w4j_896PzIe7tjh=F;HDH)0h1`oAF+J3nU&F$9MYsx?h)*?+eG_csfjE-t)|D;SH!$0~KaS>>jMgfPw>(S_P;H)PIHDs~ci zK1*=9cK)>h_SItNQT}K79;?}bF3!J>@qd4J%nF|8 z+6e9NtOk8GXrPYM}A8!8}Is{#w2|Mly^=c>?vlyvyY{nQg} z3(CE(;7Ta??~kLgyqUe7Vg|S0YbvE`E~KUR8x=U~i4`q}{n}{AQ0gWSaPS!bZiovE z3uKD`VLyq$;UNMCZK(Di!0+VnCxsx4HWa9)`w|OfHm5`EQVQqMJ8o8oDrx7DbXDj5 z^Cz_~LKS*}k?!k`_xyhspnsmvJPmUxYyZdXH`4#Zy^bD?;Bikb>QAvj-`Fl$84&R7 zD-F&kyY>yW4Qt*A|5w!En;gascxh&Ud?jov@54HOPQ^dJDtoi<^O+5Ys_g_Z6(=)d zbcJ{%PqY6y0q_9M!K)DacE$9szmGUd9U}$>CWXI>1n&Z(Uq~Ab{e3-@nh|;au$foC zIzP;&{zAf`=WXYSt$k?G;wO~)NSVylNzI!lq~|=fQX5RxHv_xLW`ITtfUUuB#Jj%R zQBZxBIkQWD1zt2L;gtek@8s(=kXKzvsIC#}G@!IyPUk&-MEU6*TqwF2Dz7|JY2bC3 zP(a6P^N0V&;8jqqfRd~DG9)*iykx$tvn|{=|0d)6*!ZGS4}rifAEczR{F1Ks@Pko< zF3_J_t9<{UrGH-1U%yIvPzEfFk+j!uiF?2*d%ebZYk{^FR%TtDo*VrML`mroSGdFl z1rPi_Pr!G98Dxuhyx#toL(`LxpEA2)Ure<=nwjp|3puB89 zYlN+Tfk#j>EF$SObx(SgB2s<4SN!tgAf1=OapS@JqC)G}X%? zW9w_szfo+KbWi&@$*;90uW(>F;PI@2#yg!-b*fRr*T$|MCZS(b`pF-IW8D`XNq_=- z0-2D-qY>0Vr*sZ)v5_cK(#OM<38c^54N`hgrMlh*0x!?H%zHiRKew^X!dtX$$a9;z z^VYQmR4L3Z1)$#}{{T-%83w9`QQ61;xxlb&+xZreVSx>a5JY%v6*oJ>zL;%!<=OVi z_ExL}DAHARtr6dtdNNxu&Tts^^|)L;cnZdz5Ih&Oq!_@j@w)M|`CTJ0$oNe3{}K=x z?!T|njp-&m^mXNeN1|<-pYq)DFpS6)fjX58B+Hw~KoEwH+R3T8Fvo{W>g`9(=Y|nF zoCx4k)vpBz#SOX~Vmr!HJ6Dz53qg5rG|>FH(5=dFp_k~@U4L&IILlz{x!e-JCpSy; z=5@UAoQkMh+T4OGiTGB72+reQ zzhP|)WE21RJ)%qVvF9_DM&7K~=g{$nsb@}}Lz^yTLN9n@tb<-al<;J0WQ?ez!V<|K zNF(vS>%FO*R5`rmmP2qAW*8>`!wwwJC*t(udilCeV68yq9nltM<81`N395r#WP3Gh z`fCps9d5G))HaNas&IQsNZ6#>+}9RrVNU5n$fF}pxBTY-L-ho*)rJTVx&DzTCwKxD zyKdX^(0!o7zL#~W)M-2zS-e5KyY=sr0xEnF+JBmbKEuG55k{_DGeg$EFyb@10s=j) zSQt55>7Ns+`Yho7T~#%;o|Tb;hzf#@9Ve(8Z{ zmca}NrcGzV#C}&js8&6AD^5oZ*MG~PB&A>tY5lCKRF3yDvU)AK*~J@{y0M9D8$RK~AL87Z&=LME^Zyr~M%XD(3{yLz{hC;A- zf4fb;C>#Ld$9)f~u86X?|C3h6*)n$*OmYAbsm#7wyLC3Z^Q}MIRWbk> z^C!T+DHO5Ffw7uDITE6y?8;6sgDK*~o{Gu^8wQ#SJwOmmh5;?IA&@>W_L+uWR~J4) zft`5U39#Ahd-#Bavz>lf7tv}<1{8KixNP5@k~|L+QYti_4g3+BO}{44NNMj$`I{2) z3j*IYufG!N9>}Ll|0g)QVt-7YqI2b1YErh%d&#tsEO4t-#7*khv!*bVxe%D>oO9YP z2L9m{fiZZ5clmADp1JafK4mMdcoe>2c3QHuBH##E^M!sZIDmbxWtc+aaB=)4>zeP( zf;s%+(3~M&RaIxRFC&0PC=q8bY@I;D(*phX8@X>FFhcM;f&ld@cfi#AYTbBRwu;oL zHcOv@oG-Upi*63icRRLtc6&|HUfPi7xpc*c7e>KYpg7@9I0Qe*y;7 z3XUissj+#iFVz;7TJBV;>c@=_|5#^GOPh~!+AZ#F0k zJRF~aP^cnmcrm=T+N@Azj^sxzm)E4O(aq6@7kOk;V!uKT82pre`}2`*Mg_|FJ8T6i z5KaTP%WMwxp|lZ!MMRwlnNocD-oC>(fGJN*JtAj=OpX!TOL^XOBtfXfO2yH8GL&) z7#Rov8iom&SP204tMdq@9w{AJx^uRT<2=$HCN1P;U894akHJNxvk;ne^CHDTz-x&t zc1EC3QEO#gsq7Lw^(q|py!9{VT0CvGtx9G&ni}fjRL|epEoAQ4U~gipY%V2ynkDTic3h1 zQSu+Rk1LLEwL1bB#Wer}C&QiNXRwF&u3)LBnQ~NF?OH9bL&Aus9+bsB2o}ltRvAh~ zNf9rAISF*gV8{x{H%4hY1>N=}}N956_HsAY)ZFLeNgCYBAyPQ?7y}xqn({`2x(K`!6u)W4T642Kw6 zBrafaoqlnv1z}P`Q&C98qsw<4R}F32zM1d|Gyo zg!US|I|1OKw>+7j=e*PJ@)ESuWguUlstrFGHLY549NK2uYFJ}M@G$Akado(w8a+Mc zGCKu%`yoQl+PnGbkcbsbOrIW?*LoSxeo|?~`f9BY%%+4F>@sd`0*Nr8QwExK#^xaB z-d}MHT{-4~2p$YI4Q*!eo{j<)!vQN9W;LAa)%D=M?gml^z&BNm&Oe{G_d?0vAZsGY zpk28DrF4|etzmzWIw+1135XWE$IE}p%bh9I0Y>M-{{b9&afsQVu3y9DpQ1%Q8S@ds z7+w5bWLE)ScXrJSB=?UBCVeNTzap2Fu3oH z`B;!CmL?bySTCnO$4ynwt={oL##5W`PT66}%^8t}?mI6T{i9ArZ*1qRu$xFQ zX_354s%*ol6;|AoK|SOpuy7wwL1#lzwGhj9QB`rD3*faSI)^kJvB2Vx2Qqc1@T&*d zRCQ%ffP5i{ON@K&@###QbS&mRjt3x}Whd|2bK|3ZHL zTY#aTxFKfE{G{*45}sH<|aF~y|;yLRYnZou}m)&Gc`w z(V)^?+R&~ext!P(c5&2044b%k5egXTWQcX=#JUb}`dv`7G}T)+63`4^V`I1K9yRk+ z%DjDm^S~F*cv1N9Mn?El_dEG=!c7>CJlETp?3{5T{kR3}ZBYF*T7p60qaV2Wh&e|Z zJR8~j-q`nuo_4%yPIotExAu+|F&CcDd3mN!H;*ueg)WAcb|!9(;oxC^27v*sH-yVJ z8pnT#l@W~IkkHmiTo`Q$IeA{We$#0f+ts7iZhdoAY1mbjcMz{6^-6Rs_0E%E4kHE` zGGnx5^m1nU$q0j+MBx4N2yqC5g?a&MP7UDO*6YPnUcjSM#1pXTNDIrf{Dz@c`}?iI$-unDIl$R|@$q8TKkqXW z$DE2UZ#LWR-#>0%iqlm+kad;716Tv#OM2YLYaUutx#~lU#(+;*HOFD+S+w|oDS_4S z*=gHBEhCyTpc-);#A}KY$*_oM`vLNqZE$-mAf?dpUjP#$=2co4m_6UxS}D~A8tLgu zW(B-WacDRmoal?R-N5L0H1R8)`yn>~N_H@0|X}Vd*fk<^$|7BeMi!%;B z2!j?BtFdAE_;{k{5k>#`a_mVEm1Tq(KTmGP5|H7>pr?-mzGoYNd{@^W7m}ZSuqxVM z+~#RTa=iA{>DiXsN35g)Ch`-VDjDT_;4tU`eB+OTY{M_QKitVU90)P|p_@CD*8Euy zn$w`oyy6!}_v0K))Nv=n*IEe-QB3h*Cdk4WwLDuuhA0Zp_o8Ku5h>&!XG4_;G@MuG zOy}J<#}WhY&Nx>cACdyG18yK0*ijgSL1%ETRj`5-`V6h!ZRng5V6MZTsCEHsfJcG_ zXoWWmLBZ`6EFQ+s%8y~(j*=@%+?Z35vL8I)_VL*zL_M&8Y~DDeWTIEO@if6oE3k%6 zzrY>ip71S!2T0}E^Iea&7Q-4({v(2~l$EGW9 zYDhH+0;A(Jm2bCvW7@X9@Xqo&KGpLRRcm@k+%>_|N-hHefg03AoW&qu)5>0kwO+## z*bLF&Mv*tc@G`7Ko<)|}@5^)=5((pB%U4v%Xb|IaeqX*5RQOAL=dwLh#>S_*{&t?& z3O=AORqKYN-y7w;ZC_IR^bU{{OXz%vF|cQU57xAnuslj9%3C;ge5f6#8ZHX@x<;8> z27esiX+QdRPj>^tpv}cHVGK0^^*3gkYV3~J>Oe`bgaWQeK~kUN;L4NTKZX4Cy51r zj74o0yx=GuTmhOAe$&cXI#6^@2Jt}__|asj2`x}%S1)`I9b2he6I3USiXuS|B)N=# z{xznL3O;iJJ5g4a^AE7Kk==Oj>#__hr;qr#pwBV6<+(yJ za~11+Ea*;Rn;kgs`~_IU4-p`iK7jthB>^vUPohQTV9|kJ6IaltkI(sl<|zRN{vp(m z&UTmR;OBG?ojh?v?0d-Ql-W(3h@=kj^PzbDL4^cADarG?`N)RAQxf+wY&StpnNFPx zu?m?Ra}KUsi_=S+GXwq{vajo#UY4kyuQVX&8f>`X^=M55a|l}z#i}qbh#kp z;E}bq0!A@fzBr9@Y>l6gGz^K~3eR4k9!OP{mJ-=ZP})BsMIAQ$#2USI_p@{~dRVo~ z#O-Id`5R$Qr=E(*8-~een0AlxS>zZ8IJDZb;S%2pTWF&F@)`b5;*f z6H=BH0!Iarkvj9#Fx-A(gM_z;IXX)8KhuH?F<%^5D{jU7`P(~%!^4ZrejX8d*Y@+z z&TpP^qrxgh@vDN8W6`0SI?Xs3mo9+>NGo))be!kG;kIlR7Q5u05 z5LXpC*-q!2j3dVqTRkofDgxf*xp7;}TL&Dj%giZV)Q6j@4D{~~{IGaQtekRnm~eq4 zEQ!@|>o(V3yaAB$y)pwTkrJ6wJqOZU$b#srhzYu*Sfhk-e~1D$Mh^zxN*5hm zu;1X`eG7Gc>&@0f8mk#zn@<2$37dDvC8t0ay$T`LAS_1et+V$J@TXBUohaLarS>Eb zMw7cHImjBEPLt!y;}w|!^g@q;pqzm>+f(Fn8kSS^>2uQBoR3@xTn8fhsDA8(kxxFd zIydijz=6JiX6`GHi^c?nrWg52zc-Ncm$EKvhhg7)%wP5m?+L4hBTP}a*8x-|d~*2# z`xDw}m~*RvhjRil2K1qMox{1HV@|>uibq=lf;2u$lWK9T-|#koO5Fm)*t0;Orj#Bo zzr2@`hvaWV&!`Q%*4ol6Cohu=#mxbU$|vrFRmX|>0fa(9{;e++D%LA92B7uz@f?j* zrp;0tkzK`+@*@?8J%PASXDhSm%4)%cTO~LluRN0Y_vIiDxl^Q*CVG!uR`;F}DgoN_7CnpU(%$kV%tW_5n zLC6eC&j@C(e)SZF?+!BKWkv!x(gt!^7LZoyn&f%XWvZ(s`=Isw=y7!UBW2UI1_FsE z^M2Un11_h;j}LhuK4$=8gzGwWrfNSRrs1(TUM!e#ngbNY&>-k?tjwnOR-#ew0O2ZV z(quI!*qaI+Q14)l*`R281~L+a^xII6+|8|%IP=y zh|qx`(f0U8z8qW3@|}c@8nrNEi8ynj zayHwM{8wd#cnL56pgKw&HwTuIDL?>irvFGFZH4s-#5n2G1|Ai5;cE~|H-elTQFHjG z0-_!%Oe6I5qxI@Rd3k`JervX@e%X=4I7f<&o`ID{vhPpJyl8H{cR9j-OVnlPhTj?% z{`up#9X57!CWxepj8_#wNod>SYjdB-;Kl$JN`p z3|r=_?i?q)4RIc_X&on%i^z%_lqMBEP!=y-t8RV6WiS{a%HXuq%KPxHL!%-;6=3|> z%5%J(<3oh~89U%gS48J3u{RxwS_ttVT~yxEie6)@ec_R{%pcdBC{1HUnC$|H0m@KM zjbk++wF<( z129Fwq#S=pMa0Ag17K>I&`0MzF;o%**sMo@wv6$_XG3YP%nlf%7M@FV7LOztm0`_i z`Iecq1>QhC_jM=xbRPN*pXZD#8?dA-hc%vQ`YCsPnhH}XazLQq9ca+ethUS=(4sg| zp@+&lDcMz;CrG|x+HkP^LT$sXsDqPKGA_)sic2yV=*dDfO?ykwQ47b8%KQZT@rh0%gm+mCAh)5Z_bPBO#DLFVZCR>Jxy}qVdOUu0(y7I%6nmJi z3c2@zudwgIx?2*6om1A`;=uZm8GZs3FJ3*s`4VkJaPZ8jvSX<**t=}@^3$qV({lh6 zNUG12wn>=2liLCULIw6w%FQVU5_;;m<}9Y+ySjaDeebL1`mi}(v?gD*b(6tmLUA5g zoXYBBfl96U)%@&!QH=hfjb$ALYvLzL&Fr07el}(CHa+@}sw0T23?uu3cK@&Z1xV~v zTfgCnG44Tv9G^gb^c^UKX&=1%)JaA_4l|XI>y6P|x*Uzis?_|&nBQ<>-5bDKJh<%Zn`PO?Ue1MV?+nFeG|$D1AaPUf;xeAAs6^@PeR|N$5ivtQCT*)2fYqD61TgV5zwuxRiFGwxCWuqWd3!D13Rv3 zuXh*Rh~-dklfE>Y$TcvPNcmD$IQ-#ZjaR2nL_gTQZ2*G3622mKXNHGa6_13*ugK-Z za0XX&Rj%m)PQi)8Cc~$CW`~bZg(1T zD^kO{INzz-SNXJIA2hnj!ANlEyZX!ddyd35UT4BO91d%a`-GetDr<+}9LZDb{Ih`D z4@cwhz0JcbhnGG;SC>1>PaT&DW73buNQDh33(^xwre;@jL<#+|@8zrGlmzU@6vZ2O znVvV=Qv4S*)Xt=SI!Dr&5xo~`fB!23(6WZFjyP^?Ks1X7D46o61L7rW`p$_!>66rB z6T#KXEN2c%Q4p>>;S6Wz`}Df)U>U!^z(4=xot}i{E<2>YKIltU<-{=GJ*ZaJ{~UY= zl36hkn*!OSMp=7(}BYPOuXgTdQdKH z*cxNDxQCC=$ut6z(f)}C?R%I-0@sY|;YmD;G0;fF(`cEDAhpAP@jmT#hH>c&td$-h zK`L}~kzg;PN_1ri>Jq1&!+l~$UT_yM!Q@UJ0>q3+L_y349%=d#r5H6M#w$6h@3XC2Wu$XSm`)*jtDdO4HI|LrS-RAV`Xoigbr4DWQTi zC@QIR4xxY|prCXsts>phjevAYsB}u_vqrb~zVF}v>ye*~Y*y*Y{fMT<3W#=k5vP zus~bq1R>P?Nf95V_LsN)2UbfPl1i4doiQ)UjSS;$v-JWHw<6!!;Dvn+?<($nV|*;Y zGa46ze3J5g=J`Ke2}LeKJ+|(?fk1pYrFt}$!aL}m2_DwMJo-A!zk>uN((*9?55zNy zmvoxZ__}4Pf26$(87g!gm@0`l5e#{+OJ=>D!!ZR34p&1W#C1*a2qG{+FLg0T`E~m| zl8J937cO#T#b5UOpnXIVN5UBISYycp#GY+w3M7|~C_(KWuKDup+K-G9RZbD&$#Vwr zbc<16Z9GlupODzQ5?srVV2IdSawxgLn=H`grBX<=ZP4+JWtQ~2E|T!mJzUMV?}=$G zv4w&?#vP3=CJR{o{PkaDh%Vxr2xf(=NTuTIye9v!Q|KrE&vQW;BhOTop-rj)Ug!%v z-0LvGDH*ZzdqH(PxHgVnwTqVjWa;dRuSd-lshH zmDIPaur5Yp5n2YO;nlKQc1HCRZ6oN&c$kTy3=2p}HDfgKG_BZ5Pg4#fJkh>l{0{c_ z)D6CcoVPC<_EpScQJnD31jHxUMea7al}>+XM(^l$3VjvON!(xT21#?d#K+F;h^}Zg zP%Ur>Fe4HUpa?bRBgmJ|z)mK!X*z?BsOdo*3X!*xYFgFxz1%Bf8-RW{O9!0prRnCj zlV=(wr__VQ5fzG21*Y}+b;p4od@)T8W)drvzrN5JJ4y#xQ0-7mvnIMPa3h5rIcwOF zdL2uF`huSW_Sl16tA`_7(RC*;-9LrbHf8ggV$<`#149a-**4TvsBv2UAt-aMV>>z0 z-V!EtD%9HEXsRdIxy>I!XjALQ$T00XJbAXtq!vpb7}k%zpyMT7*CsXNHiRj^{yc3U zGX-9Y#&#Rf9~}D4&OYrtc=(}AY3?VEnwWW*;t?#Dj0`1BCif3uRJavY!~_tmWUWgi z=0oL94?iK4Vs>i`${xM5uSRrezHyWi$`h$o#&TTautHD>{*-vRoy8d==%a zI&i2`I42a zQo>Q1(|%+T6S;2c@nH4U4kb~bA-Z2lX@RU#|3?0ngiY;B{JqQ`ka|<0Tz(d&qC4)b zbS}dy{~#YMW;kZ$E{SQxu1%O-PL5Iu9mfrC6Unz&WuAo5oT)~{3F*^69%BDu%6=0QVyFEhrVDs zxd6#Svf58Yd*ID^-e8=!Li_~P2 zP_HL01aFwy1(>VAPwf4fQ~5$p>ON}C>surCf`blMiL5i-HolWTCM-6{EvZ7RSV(z{ zVN>I328phe@XwDe&Pgu~Ud>~wmsQ-VR5&y4p^D2DkVdZFzln8M&8E~vMVOfXO+xhlV4iIpSa+q3iaXBiaE|8xR0wF<$ z_edkI);t+=Ixo_H>Poj6jh9D%MayA0knh482|I??>>E0;u`a~X!7 z(biyCO9y*J#yDLZ7U5JgF1GxOL^%hmRBCxtjUaM{AeVx3um9mWvu77CehvuQW9Lo`ILVP}$0l4y zWB;Icylsc2V-31Vb=+6*chDmJlLb9$uZxjo!FxBcJ!5(T1GJ!R4{1h3`T-b&=Kvi@ z#V>8Q!M2j#+;@~iEsU0Rae5R z%RV>WGXrDY&}=CYnkuAEiuUje)f82k+i{~4q^Be_)@2uP zv^f?;Q}^eb7V4{l_lV70!N)Tb>+5y|;T(LnQ!L37eHAWtrf;9sEcpX zW1^*{yfREC-a&VM2n&{ye?@8HS5Pe#O<-G_Xw(`h0)PH(vC>eX{T#|#MMRZ-N&L3+ zBc?V5@iX4LradGvHpFgzOAoWLZ;#o$5#@J1h|C3H2x}yd8GABur#p#7nWX=gJ86)^ z25Ol2CI5Ict+0~>Y2_DD*Dp{)#2_w8z@~eJDDAx^!Q09e%{_L;6kV!AmZOFD(+Xv0 z>=|pgKV4zRu9%)YJ@ok~u}pT+eQXgOUq6pRsC^`+-F_(Xagu9hoLSCz7372|Uh2Xt z(*uo*s)66g!b4V1|Cg$3zs-f-VjK|T$rK$p3z-yZkMaMfR)b3Ig(wD3X-cchgnvd4 z<#}(i#sSA{#wYG=9$IcEk${9I5fTz2OGcdR40O>;`;M0cS}FUzLsb&uqyBb_|5r1< zWC9m=b#slX^w;De@54rN(1ct}cc_Jw3Hcr5F0D8>SJU+Yy#(yOs?%-L zOD1Wss+!NYenvgt&0^vCGglnOc(=_tt-7f(z{ipYwt`tEAs^VZ^JF=mL24{<>i!lc ziuQDqHy3~0J_K-I9W9gKbTq9=Bfc`fpa`o#36Mu z#^Ptg;j4>j&4;i0x~6%FxpYu`rnmCQiA$ruC|zo+y?#p8%_y3ZDG+2{m{7 zv^5CGbT!vV)xXOh7{O$rbT}vskJsp{HJ66ek)oO4P(PT*j*=cm8d4ds({ug=W!jnBivsp)d*)H$FIb) z4zvCo=P{PvoO(9tb%9}Ht8AagRFsb;-WhVjMz(hp^rpEL zFnGAXI#ESWJ1w;#b+hBzkFkFm6d{yF2H9ET?vV@q4`R`}eli@{)i^dr@AR=h{NtvY z48g*G=66(=;3piDP?@hiGKuhA1kimpyI?#Sg1x>eOMKq?)YGCD@350KQ5Wi;&cQb- zJA@|5&p_xsMTig4TdK?^(YzdbX;0&U=qbSj~hc0%8S1&NuEoDO=$ z<``ah28Ei5yexp7;QC!Jbfi2~2YS@+9g>vPa=*w+SP+p-W{mfKCT~*daZ#+x=(CgL zg0eU?uhdq`-+&l7)Vnqk10^ww`m)ZlS}X3&0giE(@Bxb{)qmTuM3hc$OOp3#| zWGw<# z5=RV*>dU*nVjF`g`P_#-N-3s@Cq`?j^C`dn6i)ADFSby@Gf4Jz{OiBZpsUwW6gJ<~ z+SMPY{qJkx7-LEKATEh!`J5d4Ihhg`)NLWmrc7iR<>+*b;2{*Z-Da&1GwrjHuWeg# zH0dlyEqUWud>-94uqnGJ^d>k&mKB@ZZyqs0x=jef9j14@DebR1Jq(V0J81Pey;-d} zEp{}*zu7|ZgLa+(tR(%?bA?~l7CT`t!Y(xt2GX7Vf_;jNvv$@4o@P1#q0Ot$s+@4_ zu#cu9DRbe>3m}`-u5?{*8Rf2svcB}D@j>)TyTU|S5TS*)N^W=uf3TI=ZK{ZO$R|Q= zyq5ylc+Z5FqlNGIt8G9@gdZO1u^y^sk23|C_v>O3!3Zi`S@J4kWDpTQ$__#9h^V4f zSawv0Qii^gsLzD#DRkNQ`wS~{5pD|NV=8!ZDOhf%6A1g+cj^b1PoWziQ6b4kx6FL9 zi+9#UdmG7}W2~5Wv;RdIspP@dB5ef9{4>;>(4#TafOfGZw9#whs6#UU4m*vgs0zl z@h;*R_bdsjHVDO{`$ITbH2gi#BoN}ed_sgxuVq%Y}fTS*@~GD z6Q(1@m4)XopX|?*5yu`B=()9k578z|K?xl=o)IT)c9y)eY)z&Z44N+9(S%{n$!?1)kQ zijM+)_fu?Hd%sMN5H$_zfY59QLa}okS0C zBwL-jFXD>pTMT-1q|}w8rMXgL%Ws#n@dT`k>=hil9)53}x{dMQdL!GaGpw0L3eW!t z`S;|q=(5~K<|3%-_z4b1^L2_I=+?Dv*o*yW_@9;}{4%wP%+#>>iu#x$;H_qs;#Q1| zeU7%wR&hKO@IBihNfyr~!gA)g8qh$gFzM|9Pwnfvn5Lj1EJ6n^>h0FCa(4r|?l}Nmw_Ejs&sNRk;ah{Y4s@LWSrJ&9UWz%+$spQA zRT}eNcADS8K5^M?#B7mflu2t3zWSXpyz_uX+V=DIDJC(UHI?|tQ*Y$%;!pu}NS`q` z6z2u7v#)P04r&Z(wnsB%-%C+v13&@m3Z4t$uiSyGUMkHw*z4*viO9l$wh^q5BIFwX z*mFPZO4yPS;WIa_{+V%3f$^wM_kDyum;$h@t%F~ssUu>}Tdd9egLW_8qP}H!1}tvU zmszl8yn}pvTEGO2-Y<(_X5o7vb9N-Ga|_m2JXrMOMw`g2njK)sHu_Y2cWzbW>R4Pt zQL$bnlsU86nXsmX!K~)+wV*A;o)b~G5IJrG3aKyXT9|_Z^JMspdbVgvtcPrEwUDn& zopLgFyfo~Xx(3}iqanD~bYPYhK+&q5#q1cKfH0xdT(II{GoHD^ zjI3qA7*fH>z@1qh{f(Sz9~SVCOP$ul{dr}AfA?Fq_Qljne)3yHYy+^c(R}3fT-XJ+ zmv>^+Xm=m4+z(%Hrm-Q3+Tsm?IxRKHIR{r!a)A)%w=gH;^>CXT@U((weL=>y&C zbVBDp^Hi48sLD8Gs}a%Yb{$S`9a_%d^`6*oq4ujWGDfYwAx5TH*5)yqU_=lwmXPrN z7;uyVK{1Tv7^J2uv!TPCYs1@*mg|=#m&LQp+{BQ65KrAwEM?=0vaxmSlPUdhY}B=0 z7qPcI&BmfiH#=c#Lkr`aGb6x{juJ;6CI_1my|APhsu9&fAk zjbZcrP-n4oqfre7G@(xR@j`0ZUMb0Y$@+s34Lh1fT#1OdTXld`XI9lXD=g|Tti$=G z>)#V~m+;(0G_@=itQSmyGWB{jU+fQ)uscFnss0vF%oOwo~?hMYOg=-f2H0TG2%SV4NpcC0j7IgpsD z+Yn7CG4Em(N~me|t3zw~a*S9s@_-a*aDwugoofJKnw`$BReeNHDYb%!^{TgM?0i zrRhzm*yQYsT@2@wU3D_=K+oz{zOQfV2mS}^X%k(+@gU+!Smf;QVRo4vV?3SuWslgIfLOn?!Qw5?vnpy=iHg?j zlH9o?=M`-6Yj6|cQZgxYWPRXU%me{T0o!EaQVwulW3QbY-3SjW`6d;bd#94twrWey zf!WBZUpG?P=Q#O(?0Q9~xPq<-JR!O2p-mSP_^fas-1jBg68R)QnO!CqGmu3W4W+|f z*u&&9CJRMT8y8lx5Y86x95_D=fsaUtEJ58km87OG>tePI2TB zia2fBS}VG~arq`!I|cy`d;>(O5Tj!)Kr`rNZ}r+_s7Q_OF+REYTt)n7!+n-|ABQtZ z;EP^tiuPF1;LL0-DbEacfeak}#d#8HLpmionRr zKOgz=pX$0rQwGJkj!pjVxo5fwwM&KN;wlT9Q~74xXzib?YAp(DsH6EJ(>96AhQEQ^ zR>W}QOT4&{U7A{gC&7ipPl{eB+Av>1QMHkf5qe}Nol=^;SD-f>SvQoE;Ko`YzXQfU z9QvOy|5|a@`Fk80;(bh#>YJ(}R7q}W)pGi)k9VA!fpCrM!dO{B&y48nt~0$(ml$O* zb)v{*bAt73kv4APRG#V1;vj$e9qB-UVKF18@=ssT3a0gqj8If_wI@vWJ@pz?sFru! zZ*lwEc7l^ZCL8OP1KD@BFbUQ}Ba1AED^722LtS(4-PC0De@7s~-~FzuuN;cBpbkjP zn?iyY8Mh;qLK#&=$i$xoAPk2Rw3xA+#<`bj1!b3sD(s$YL=c}+b@q}y9eaFc%RBV` z(cgR)#PeuTH!Q!YMIAqe6z;$0vgH|JrYAjRO5-c`>zUv>7gQXrd6(+9^^W4r-E6xd zDSwp$VC%#Rn&Sej`lc_L+~;kSpe8JXpk&}QpU*UjvbyML21y_llQa`gH42vdkZh;$ zG2uD)byY`r)p94$_P}!ccEYa2ToKT4Vu6|UI}rsq5gGgxi!eT3-$Kw5W#2Xh$3Onr5p1x#$h(nhe>XT)+J1T- z_Exe?i4&kP--oi6rwM&N>1H0f0rE<(fO12n?GkO$S)+cqYPeBPD%}lmW_6g1hlz6! ztj;j}XrFLU$E-)7mhCPrS^o?rc24iPWeF{RdF`!`!Iix8Y4dW_Q8Zto{LehldA9K8 zLT2tGjDcaVtEBh%+i~H2y^hNWRP|sMMZ)7R#wV5T2Ol?gbCcwvmibsTzF7AyFHAdX z7qNcN=1C9z7Mk$3=ekR;ggk-`n{fFlAxYFtBR6)qA6x`c03Cm!mwStxu-j&kwfY&R zj`JB3Od(060V)F3Cxqr?*!; zR?3t^D#TH~tBy_A(pQTHjjjU|`z_3xo2btk7`%IM!uEinkWc{s7MtHW|A7}GjTFuv zA~YiNpxn}WP{GS+ktmmk@t+R8rv>e}Q@V~)B1ZEX?8!f1Y59_K{%Ob4<(tlZct;9b zhG;K}rF&%wch{sK1;Kk6=y_|$-Tlx1D*`C9&x4jczhr3#O615@kxz9!CGb<4A0@D* z|9c(&u4iQ|FmVte?%s5km#%YHDuM&g{YRJNZkrHp(6HMGyLO_dj@Oi6IE#FkTC~i1^s%K^LJe z%~!Q~V+1z4brh9%scbT%%Ne{izF2_k#f~yqk9003@`<-ELF2H znUlDcL+I(MxT$ClbQxe~n%zm-7;$t2F5}3XeKFUfh(uUD1c|LK`L66%ySGM>H-}AA zl{cge_W{b)=!xY?QtJ$B7EYae`RB|E&WG`Q+`0YADm;*X{b} zhaVH4q+blweVw?Bmdp62#uKmX^?_Su_Gc^K>*=|qjJbp#9&>4SJ}JFtmF9ev*ys0o zXd5Ee)|5|_7oCr3Qu~IkV@7C_Ukj;_PcyGQ_c`Q$arl7tXeW%aX-g=%`&NDk5hA1J z7k2HziVnm`)=#y;GBd9!Pxjv*#oKp~^a!pcF|OOMV*PZ-mY)$SyvnV9B>9ip@e|66RDE2NZhuP?Gtme+#c+bo2vorwQyi*n#6#01 z?(Q4u!Eic%rNAI*`n!S)mNoqhHAii+6u3p`IB}&9-gKOywIKcV&^Y=iOaZ5`m4vqT z2rX|6xx(r5m~|Bkh{Yq*1?uc|; z;MmSF!FCZJPLb)qpPOGC;G3$nWr)B_{X5R1lSHtVgHyh7j32OuRt(NupZ3iDz45M= z{?4gq40k1n-_)l~o(PjZE?p~WO*E`7iCt5A^f!C*&-s_0&vkrYb{VZpry2Q8t= zugh0{9Eg~F*6l0UdHxDWX{7gHH_JlgHJP*1G6G7FSs}KFEIoKZN3s@)S#D>62^cmmUTvD+Y?sAqNX6ATQt z)*ikxd7lq=a4`WrZi zIJlwBOdkOdD3FA8;QeRFsqi`7N8eJ0V2Wd#gRwz3|A$U~ z=4qLO-1XZy$j`!P!qCYjkV+dYv{5c?ZFS8P>1uIv@S&uAjNSDHQ;5VlCQ_GXfZ_e_ zCSyG-Gs{;DcGmL_&3Qdlm2)?XG`3Z}!DAFa-oT-_6;hHlx*01#;dX(6s_;Nv86vKgRALQ1% zQeZ1n^Ta!Ft7YU>_U|)3rK1t@esls~a#99oG@fUQstNrhb}{BY4De#Kd-Z+>tQmI1d%+tOh+;>pCmtGGe1e}k zITz@ge*9Y}%a~E4BbK{UBVa3$cPdN}s=cuE%-C#C|!>qmQn|%WUi}=SS zP@1H)^M8)VxSu?^#sm>U(pxOJ&r05#lFYo>D;WKvG34&DG;}uEX7chRf6zCi&oacs zUOd2B)?GsDHZr>eFF43zqol2wu~o(XnsZEX_bD(!lcykdoB zF^e||lkP6C+?-~qvi8=XJ?eJmP0uSu1rhtc<&Yhc^%~rx3$2S`&t#JQ%qB1igRDS& zD*GGVfmbzS(m%&}Coe|Yowi!wqm-?Oj8ZZLrTj6-iiCy24V>{_^|LU+CPq@+$6|51 zf;i_nHVCR)8pMA!b8NK4_bk|DQrPUr^HK9DO7Al5rON8Z*CR6Kt6qEDZ;SPE+%Fu+ z3sT+buL!cuIrC7Hako`(-+j^aNRCSMBd;#{jIFyM^@VWlSnTD)-2>yfWlN7as0rly zo6&We8^Jr&h_?tKk6u6(5Y*uP^>O?pgv3T>K5cYKXp5cUnY&dC>_H9b#-7A~YEN_m zR`w2!wI@XXPmMzgeIW4VP-4sMCJw_pMB&*vvTvN_;SG7kpLAdfGt`>kGNFR$TIjp; zoG)t!Qdm=z0Jq{s#og{xy0T5F6Cd%ljrxlDto-aABZl%ceyHcYud8K&bs;zA^#Hu9 zei1d!!Ip4->aTrStPGl`u@FYs6FIo-Xxxr*hAKy4*N>FIzZM5;Nbr-@EacFP=zvhWE8A)MCAMlH>cr!ZJr~1V&K|FR_sCig z6N8T8Ge=u~{NLpEzyEqTKFZ>_&eTgtZR9=(RnJglmtEW6eoJ>#+s_qqL^;(3rh<5sEOCclZ!twE`7%Is~+1+UO#f#V~g-w0)e(Ua(R+?7YS-!Yxjz zWgjBy(5l5T=gYU%3e9(?VE-nXST*=cY_zb!(Dpns%h4ZS*QP}kE%#9h5)9n%oB6A=5 zi|5g}OU*4J;@KhuaV|mE78UXW9h)xqxYYq^b{qD$`MixbrjUpzI0x2mmJ^fUuI~`W z{cz*wp7oUOEyubnWCkCumYeQ-tV$we1^cx~GJZFgHu%a44`4_T(94aCDnMnKz6?bX zqupCY-bs-^$6=^pfEH_Vy3B_Y9Gm`k>k|9r0X zPcY~wpG~sK0K-16GwO%h$|KPqU)BfbaSccr-<{ZPa0r<&HuXpF-*jFuT4jBpyXR@i z&|Phsw3-aFC7fbyPHg@)C!LKh>~L9lm*>`|Zi%p);KFVZjh3wLDT7Y-KlkheMqB;3 z-m~YevfA@~;P=POe)~L&hh1$`a4*tyHiXp%fU86JrVRO?qEd+MAj0J;dSL4o~z#~QlYN;fwVa5(z z=!9B$gaA?f=|kQ8ciF}1jvTC#_`gFMOpl+Ng&FxIIv9U4dor}@z+B@$fnpk?e2ukc z*OVd)Np#NLokiT$h5g7J>q0TG=wFJkHc7VeDnC7Ms@OElvDGaLHfG8ywlD4a(KBF zt%?@`Z=eiH1(ox-0_SasCdr*KwrD08A6@+54Ny8vP9=KLf~5_RYk`_!;=T`Y@@A=T z-Z9l##az+QYX}NTYVCb&Kb;RU+PaiG3dl}uqCzbchcRjF>L_RGYV6!QeG9_-3=6W25sdP5U9~;GRMW}Phn6q|wx%G0RZ%8q5G8-#VY4RL+a4)^K=l=b;w>XQkK+`+=0Irl(V1Pr> zt<>)uuJ642;CPgR?^Wx~>4*O`aGTVcD7spuCoddCE&(<~{$jsx$g^>RgaaeJHgGI!VwyqLMzS4EjWYxMc3VA2m%oO45)H5bE~_E&Cl z*2GU5llc#qAW|A&v=i#mE?w@4=W!-x=P>h_DcG+pu^5v&(MHh9ka$QM^X} zx6_HEMGtAm9h#Xi@UEUfg^-ME61OFuUSQGEd(-g-j;t2BI5ta`IO@fVY|{K4e?rY5 zH)4i;%wn#UdJN%N-W(QP*b2f>$=$! z;JW#7#43HdO`#d2NH|xs`#3}4m64j!wHAg=zr1lz-6FPyjY~g`EN-h#>B_DNh)7Ig z6Fh%dGsB~odlg#M4n#}qXNqa!CVeMvg<0I}>tM{YVSXW^{s*!(HL^6>=ia!VAV9mK=?% zru)h_P;Kdc8$T{$p1%`GB_We0rm9h#nFz zQY3$k# z^0`D9RQ(JXK{m8Ff#5|nY5;>a^JAvsJpB9*hHeoNVCO)$Ynqd82ELi|%|K>C7*?$vwF^ay9#jAH|h|3cG`>71Cb=eAg6K zX~c`X1V#wYa%k>*o|C)Mk|fMhszBi;_hwYT!Sp3m{yadffg8ujAcHHp{bQdWuYrW57k@klN>iO>a|uZ`wl%Q6#}K3tP8 zhko)!ECQFzGf~)jDBK}|mqun=6(UzWUa%(}vz$In%mJVGC&dcCi#zPx!S^v@sDn$n z;a6QJBfXTTh$O%~lq%^A>DWdr;CKgz<8Oz*oFr)LIDGXv;P>&4xr;g8_%;?q7iFT> z&>bMlrh85mWEoupq~PEHl~EA@tigK{fp}C#DHXK#r~zxwkEoA~>vp>ZGDCLLepsTR zj*>+NO>PrmW8k=e-DeFCO}|$wI1~p+A^?Kf0QE3Vy+_Zj(mcuf2;o$RS46vnJI6@# z0BV^~qH|k|R&=fpFg7iuz2P~JH&gyCkwHwwO!;9(20Wj8X(A>KyJy$6E&{Z%^h3>U zk{Tr(#prVp`)X}Yvyy-qM9@s{`Fb!QyLe2_CcXxZk}O>D_A@c7(M@{099gPC_6NSJ zh0AvAMBV0{eCesEN7v$rh9*bboqO>fZZ{uJR5v|w)EK{omvxh7^{wdQiTT*_19rl0 z;7V#wd5XRrJcdbf)zQ`&t?m0WU$^+6??_Y^D_iUElbr@LTN=1Z8|VX_+9oCb`E=c* z(v*E)k2%LJ1^=MrSY8s=d&!EHb*;J;v8-IV|ahK#JutA+_J+vMW3PcY1 zn#|-tUZ<~q)xd}@IXQPy;D@5k;-ivPfP_;_s_l$nOf&akS~6XDn=|WYQ1D~<@-RDA z$P$T?_ZKu%X>xh+)o}PHk3j<;Sl(;v$lZj*sBjt0ZqH6#8EN=(O$l$=K$Om%lO*jY={Ndw;>~ zDo4j3z^oUnF0aVe}-{<7~Bi$c3{f8}$Q7{a#|URPrD?G69uptGYwnZ!9rG6GK$ z#B1+vz)Na}GH$q<#3&6#1fB?bR*b7OhUEEPkEz)|Y!al-yEN)%`E@qaGfKC^pC!rg zwt*=#cI5}Cqem!OL>RN{hb>tY>EH5aK8lgPuq%l1WW24;;9)jvAZI0Eg9x3h8ujP) zrmKw(c|{3rr&G<|;{3j}A2X9NqpmAGG&zn^p&oUE8F9~CPa4J&qTe`0PuzrmOHa0| zKhHsMWdqZPV)oMnF+Aebz4M$`N|-BDnWF||?tpJsCK%L#OrD5HbGKO*UL|`y2j?_4 z)FCF?_>mID&nTR(BwnD3T?o11K*0<%EYACyB-*b1m_deANacNiIbjJ$K|PYpGvgiA z$;lvdmXL%NDxTk1YNmS65RplLwS~WO4XZOzQk?HR4eu=xDjprK zukHzT7UoI3N8Tq#E(=g0nog8bO0|(`;!_mezadHLNAoL_v#l}xe#J{>B5fQzETiDJ z`sYR>Z63W?GV%lg8ppFwI3}J1k@xLF$ruIRPCXrTCBRFVx!Z#E-CyeX#rgF+=)Eea zZ2&JQ@E+us4Q6R~EoCHt?zJFbik%>G|0x*`or7G)wMe$O%AY@^nbV_aR^DPO z9~ZvVI5=%I`SAL?*W|dld9rKz)D9fKKUQBJp>#O$6j6*luQl$@H5YjYvP8px$|jgl zA$3&xOY@(5imVC?EqmckX*iUOrwi7DUPz;tp`D=idiRQ=CluNz!iv*S%LS(H4j)17 zoeCpl>q74gevZ2I#iBu3XX&`22Wn)F(KlE*u11njSs6!fq!v4sZDF~RZC~k|Qa9?f zWRdUfJa%OMrZ6h5`|DCiL9-)M!d3B)Fc8kT-?zA%*%pyN@@%IE)Q&7@Sx?bjYru|b z{}0IT9HGTigdX1Xi&f>^c?*J!409XeA;JISob14~(HCb+-3$Qr!Ak0P8)TdKfrP#% ztKgfs#>n{OgteBFwEA$3+nM69jlA9-6IkA)uRem69xr-j-x-hzbn9u3?!&3IiCRt! zwU)-K&8~1+4b3_&JPQqtHaG*Gd^>meZi-V9sE5dlk?gxq%=tfp#jSjN((~_`m1(1D zIki0=8C*~OpIQNa&;HGM;C~R71LV_u=`13l3VtyyU^A@WbDn@jADsgXOo5-AyQ{U$ z6|jfJfoG)VovMkKcl{f}Td~WRgysu6J|2R9mexG2oxA3i-^aBEN{xogqAmAs4(L%~ zaWRnm3h%_WV+Sv4)nr*Isl!d*9s3JQu6eZlP|&1tX>+A6gj-YJ>2>CHNN5YB^J?(r zHnGJKe5hmo)=#8u(jm_m+Ih@X|9+flcu0g6Z=JyckAi(efYq+@ZoZo)&oz zt>w`xYl#ij$Qn=d%~Wai8;w|*W;%Z_`=Vwym>l;(jZ@3F!2xHVrx3C;{^*D(^Tcy_TLcZ$t4}cHtCR#z3X%F z;dn8+CvwnW&=ixcs@M!QG~yQXvICV!&Da_@4wqp{lQv%XS?~T>YnRd%y!%ZFT=WC! z0Wv}e(J_W$Z{df!6>3cS7Bl|IA0UhS6jt`g0(yqlIlrB+A|y}GkL~G|VcevwpMMU& z+bGv#{9m>;@}Hp|4=9)J_416UvVGG@-Fq*LJ6W?RgKWE-{WbAu*HZ>+&F&t-XB#?4 zXzdyB?3otLQZ$MOxBo|?%1_0L;YK?_Esyc=aeqH!ezV;1ek_R5FWH>+7$2|vCpeB# ztmm`gDbVX=(J}Il@U9w_RadUlahc7O2aKA!c?>`{JF!_T=;vC%+{&R9BsGh$)=Q=C zF*{s*4*hI&bjZZDVdbPsg4%ER!fIwBdDdeQ>>_@=+3&QCv~H42)soOF?vmSQN4B*^Wu!|&3QN$J2F z`<{1ijR!Ozs^%m6!c!Z=y2FkRlAhYe;07!8zZIW9MFg{;8%QMpAR2b8VSt`8G?sY9 znUj82=aYkgaPw=64TVFpU8Q`+?HmX0*%sz++X&Zwr#XQY(FXFGBt=wYfRFTwF8bRT z!OW>65K)=0Z0X)%9UBFAPQ?EA3L;;nwF2Zr<-6F56D;Y$x!o&3IxVcO zQ!{;OSY^A1VRLJ4wc@G}8UgT8s>O2S@{1e!q!xI1KLG0QTa+gzTU|hw?ZYpgG z#Gy^_oCQTltgF`etUCl#@>#!9)&4wd&?h^}(d8O zucEW@^Q|!9%KCM{FfaZ2L}j=jL4IbnGz0<`=hNaoGl{D(HQ6PbbAE~Md-#g4B}qFn z(EX)4We?}#iM-u~aW&=ROZ;7LZC!w5Kf=>I_*)-06^gO@>jkJGJg1NB$5p*G8KhD{ zuW6M^Gi&)LfMtK4rNDPCQ2;LxLma>t$2kUTk9=NC9jp^gxPN1~<4Cx&ex%6)@AUA9 zu7+tF}*L%EXm&jEf^Pp0LIe zI|`F+h|w*+vP)ymIQ4m1T_gaTF&w0!Zg`&1{bFc(1kMpG+nq1ss|_;ymHzrEa;R8g zo7k@dKbV;NP(F79ll+|#GPqx`(C*RKMXoZLyA0?Y@Vvx6qt>oZ5}>WM zSbJnrb4@m>Hput=N1*T2eZfnw!vr^wayUyi(04ifqCE)}(p`!Ki|5+vQ;m-ilO?1H zh?^LOwiQ1e8F*SUFfPUwZ+U6cW*kzvextF`?%H;tav-Lo!ct-NoteZK!Erkb(BC2S z0K7H2Q%i}1z)8Y&H#;t++<&%b55|(`KEN}{jbUA{T)#wTXNMI{k$iRamgt^ExXj_x@)`v&;@oGPqugQf%&;f*^Z1^? zwjyjof;fHtCgbG}zGNw8}?3+O}BO#U8& zan=)Ov)vleb$KphF^ju3&EuqCsd>7+M;X~KEbrVuL5ke?$s z)C-&atr6FbtTmqLY>~2vb$Mmp{Rcl1X zVKlYD9h;2~y4JYS^S=L?CMW||8&?kQZ*3bZVjJl2sD@pV{3W>hc1Lf8y|7ofpWh&8 zCDSPd&0L*tg=aJ5(NN5_xG2I>r!Q6={znC8sU>Ww4%V~`caUnk3-7|U%VoU3TaNrU zSb0(Pi2|5~5TSUY%p&x1=Vf+`RyyCnh(HcO*D7Tg_hDEBWkF(}bGskSw$N+}W(EFT%U3{huJKy@uP;=JP+e@oLWDw!*8k>qW!YuWn)Vfy~nnhk6}YNa7V$BmO_m-aDSl zz7HF>x2R-hhNwg~8Q+S8vXbnP9T`RT_$n&d8I>&}glySlD=XP6JF@qD-lyuS>%On+ zety5_`ol|C`Z~|gc+cZ~9F0EyZtTJl{1#uXY8p|XE;v*whn~c)VPDp2RCW2?ABQ+1 z2_wo9c(e>gnp5RA&eEK}Z#n#Aak`Vw%3lku`n}FHPkDHEBVF)ozuv)Xw`(Dj)$1Em zxrYR=&TV6L?9Z_=g|9HwQ<|LO_lF zIQOtr701J*B}S)!<5yPp-(b{qRQs_FpiDw^NNj_IB7HD!;d%@KKKB%N0b2fC+=5?} z`=bYltTSqpCng(5DHhukdq^Lk6e>(K-ONJ$HrT+uFYuZ=6cP3{?-^Vy0xaJfpd_i{ zt|oJS{St~&csJS`^~gshQ~vHa*f?{MZW;Q^^i8*$;w!I&mx%5lWn?L`Z5Gvvosj&(htduYn-nV6~yqBbPMNKkGLtxQ{ubBqj5 zV}~)X+VNT1c<#UyOIXW&$?iK!`z7TM@>YSN{D`n_Hc}qU11Xl*uSR2IH`&_0ISGb_ zLsUU6c5FlTQN=91t7Y7S)P6{23%$dJOjto_V(2nEFwKPZP%`YVS?`Ag?1HB~ATM{3ZNRbTFu50;Mdp3>lOxO_7(T38wh2moGwRcig28auue>$Te%kAGa%3MEYHO>jilpeOOP zsC~W_tNIjH4)iaTSU&wcWW%p84h^DDJUBm%v877)(Z;Mo_K~{+XZFOflt@%aK6r)a zK+qX;W;QmP;)|fqwH_!*)pnZS7Fx@p!VgR8%_I=Cv&Uc z=#G<%P=Z^?gRrn|bBg z#M6pvv)76)*o&^s!UWcoBsS42Oo~G^yiz!`FHyKR9$~X}?4Q(`!?eQxl@0vo{WMa* z1>s#LebZBqUnpdfa|b#)Z;)@Z(G^l4<4WJ~IUf4ohT$wM!6%FS*DzYqikQR4XQPBa z+d^G|re&N*w{uh@@ycN+Q)@-VX6f~vQ$t9qho5a!5=Qe5#||YcGLAg8ewG0vT+Soj zR0IyJZl6n#xaS)fNG5V+pALN+DU)A^ zDd~=}ceSpmA8|R@bBzkdT^M&56jGi7l>2N#>uj5azH)kzQU30u(5U&bE3>AyD zSY$TBXY5KSzQv)=<5A!>(mk)l(M=*!F9!K39Q6zUv7lE)XapglV4^s2o`o3scGYqXLG8`?eS)U z3pWhq%ed~OQhs-YXAzKhj!pI2I45Ll9qIZZ4NLo+DGYO}y$OKcU8LA3HojmbO*<#k z*Ozy_&4!1#pG+_2=53p=v=0!fF)!BKLrHxVn4taHGoL-C^j5~8wG<>6^12@6b3u9k z?cKjJRtnFf57vi|=#cj!GcVn!7184)wES1Pg_mH+g)RGAZb6)9EDTt7Rvzsp@VR$r z*c(ub%ik{rl?t1^(#g|93yOj8MHOZA`E@<~!}YWecJXE{P!1aHgd5eBg3s{>FP+!F z?!|Wzyf{`KYQV+^eXkve>A$}G>X332YWqZuTlBBRUfdztmfPsaTgAQR?ul(1TLSfb z8jZul1ZEtE@b2!rw3d>q-h3aBsenpOw}$!5ZVaLu7yPFppX^eOpV4`*+MP9ke#e2| zLed@ft}and83T8~SVlP}on*j4skLNs=qC**tO%0@C&nXZ*Mi~PyBbyNoMp=ZZO=$& zYu0G(v+E6%Bpy?}k6s^bnP?j?J4lIwHbG&`V1ka%`sqTd`1 zx|DiIV(04e zO4C~agFq%93yYYsDX@46B-cPV>gi_uQiBaq@oaXJ8NhO@JWkZ_>j;Wq%3M0bfbwPc zy!?T$V!jNdG&J1@m9dEs?3CI0*)TBv#1BQFW&Q$>jNvL!G_{q<1Rsu~Moq>zP0-wN z%P5+l#bm|tsKsPson(Q^;VmrY0?AftP0T; zA5m2~B+X$)>~IyD$^9@VIC_uq%V2tc5T5xYpB(u397YFrmU8ze`y+?$NR!~t;hyxt zcR2+uK;IXE`WYCnrz4d1AqL0&z&jP=5b;3JQwW<~&mws6N zLB$dRj1^6$Rw@)?UVmvGZz!so$U8aNP&oUcq2u%PuK!Bx&^|x3cbC9e^pX+^{R#w< zJc%g%?Yp5~Nl-B(#g0e8uA*}`5{VMfIeM5HJXDs?x{s5{WnKSDA^akmIA6WH4fxi_ zEF5Y~#Aek&k^Yvpy%|1+RVwzmge-r9WeNrI!H529khc0B9X1lohhu_pz|~-$_%y+r zQ5l2felH}z-x^X?B;uKV^ThoSLBQL?`Nz{mRAuYtzL{!WED}zc27-Xs(Djw8J1RH| z0#BEtx=)_(ubWq*PaepxG);AX#7TBYz$VV-Wcqg-C0Ph(^P+Bg%`xtr#r{5`TTz1Z z_>=4fo4UC7?e?zrYe$XTOBq)6F@3{G zEeHXHi&z2&*?b_R)gMUi1ug5ey8g|Y%$)Lca}fSe4r5+&hlk}cmEzATNzSCckPtUT z8QiV)S|5G(im$8cM-wFuA#PZ;lbh5g;fRVoC-(aOLJE_g!K6%3v|u!*H-TEso(_<- z`xz_ITlu(M-;hmPJL7-)EAjuBUdh5*pc{B+_-J9;`z=0xHa95Hy;8%-87}TFv^4~Z zd^R1|#Trfhi^&*2f{YX@BbR&pKGtgpR3^@bx){QFQyUTt)`#EU0hP~ZAls~DU(CM1 zgI_`ENtXSrg87A*6R!X$U|ZoqRubTWJ6i_T$FK#BeIT*sb0l~!Z$I&L0jV&Hn2eqBH>>|8E6^{t984p`S|FyxYt(id3~8W|1IUT?T|F3c(3P%&$Z?r9^FCt*0PAe)Gv$Y_na1q zqfe!2q)2yBt!F894pnM?!9&%Pa{xiEjrq}c_4eOtsw#S}A`&C&g|0h`bt;c(zu^ZT zudH)_`V~gq8@^aWr3-6;gAQ9vi2N!E`Eg2nYJ67=mU?Q;_e`BlW~&=17=^9zPKNpz z49Cyi!U3$QqDX{l0C{1>X5oka3spIUj1J1IE2S`eMsp$CY#)-Z8hqtbA;7zP7CY5pmsgN$_VFpId_bmcrE`a4B z4VmX=P?4M z3bKs^!xIFjc_7(4RgXY-D)w6jODlR*=l2=+pVLHC+O!rY&PWGBW>h7RTNiYsK=e#_%6WeA2U zD!|p7Ktu12HdPy4xCu9A`;5Bt0}V!Mn;Wx*f)jJjzPihwEo7Z{<%M{s)$RL>GRJR= zlZIa>b8EPCe{sd6G(VYHCnIC~8#dtV0@{=kmGY#n^2}H|i^l`mJTIobiQagI3LUQ65?D>*}N&%@TF1Z=Y?s zbfv48a=%&6=YM#zd?=zfmLBaDFq;2LJZGjNM#6QnsRg@^<%e=NN*6Q7RBYwFsW7Em zrD3LSsdibgZtP4O74^3q_Rv-;g0Styc<%8&f+EKGNJg0x$iM0a74Z1dm`bycox5~; zLMMBV4NlILJ?UJ4&#xQQ#di>p@z4><>y5o};^yS5P8ZSp<=Q%Rrfaw82ZXYKW1ADE z6?xnfr;dUcGYSK<04vzet?Rqdo4;#`Gm!^l74bdicU6hK@#&MZZ@nxZCyqA3!^UNm zu?QRABT5_P_bPO*#1|y{;xPaXdBc^0kD263Q*SK?x74cA_&w#Tv$b)9o%l|P^n zjgVmUx4uq#d3Q{p|2yODu(dLsuy5}5F6^thu)+O4m@*6p(e*|G-Q2XPKDw zv*^cj$)P6Fe|)aDJ?`qj<0u`m0kiKJI%z{feFSeJ6YNje&c!NPZ!NG!@f3>>hz;hL zDxUBk>PkTSWR`8EE~;VE1GYP^fs-zY6@Pow zh`-Y%s(sr2R9O9s@Hwh)WwTjP3bF+jsTb}%B0YRzJo(9)nn)9Xas$C++ zx9jp)TVEY=DV5{_|8zqAT(P6`z*YKH=kR<3N|mW;;gxaDEnd>PnX);-UXlFovX7&a z4cnY)3-fJ8E}DaUOrMar=78(OZLzZ4Wb59~tp%4J9U4EcV{Q47w%tA!O69gX12@`) zIKDZ>&@W8;d(6F$&;N0<72#xWz)aq#qMTd^GOJ~MDDRcs{NR8iO<3_ZL2m?dwhiHI zV-bB9CN{(Vai&~wSngn_xzBwAC6Pru+nj{;%2n%Qq%Yzg=A%;jfnk?zNTzPrafsCX zK&ZV!0u%mu4vC4pN!Olh5qtVQ=D4gU-{on|F}=46Vst&aBCct0nXqrM@VUL#n|D!0 z&Mgi(fi(I`aT%R&tsmi!Uwg3RKfe%!qEf`TrQK!Y)WqzzVaW~d1Tack;e4F#`;<;e zO4A{@wf6n=yLa!bBH=H&g8PWns?%IaldfL9iqM8qlkrkh1cL@YK8 zuU_@*+uJ>?L~T~?=SKogJ3x`yoAx5~0)^k`m6vIkIJOb+X@GYO(1SUTF=)n+yBV6f zOINeozsf1LkyjMDRIG&>*w}>97~Q18eP!Z1lIk^vRnMxW;flb@Stfj?U~P?z9i}yRh_JJwK}g#tA9z#=H}PNu+x`0 zxUDe`&55?2$0B%GRuRsnC3Oi6N|)38>TVdCnKWX%D;>ISWh+LtTMh%@CH)t6`i&u( zt{pNrsfo}(cHZlX*b6%QgH6ObF>HZ~4^YXZy3=2%jAUQ2dWT*aSUP{~>>!o6uT9sn zINzJsM4%yNGwgYDVQaob_jJdM$X3BK-DmHPC_NvThH-2*Z2Uv~f;~=JE8hIhT$Z!l z?-UFd1f>)+-M$IjF1ja&VbbbqCpUGui|gj`=u)388h3!e*Ot5Wm&+~Vz$i~JmXV-BM*&^+WeR7M@IWXMiT z)kV(WXS|Nyby5^+afj~S>rN~hrIeAut)3hp!!XEFAvuf~unOgh0MDw~HE0T+hCZTD zhV?gMiV{vJlJR8(=2`Z%zlw+x&cD-@caFZiEWNU0Ml^t%scpu=q-*zW3BOdP?QKt= zGP~+$o~Y9M;xK4DD%uV~?D9ia&C;`G_Tu(p(3a=XO&R^zBF=0lVF844B?A>BoOuYjOfV6sxsfZNfW zZQ>a17Qtfo*#_GH>WqujZlzQsME$ot)cm#ms{z5{fkV+NUf>x$YxfYjkxFs6ESpimdS@O9IuBvM z{WEDf)oD8|;s{JQ2q^+rw1>%7&*UDI7Ni(&br)F29`>Cm3|7a+*x#9yd}`&3h{^W@ zQ&IRJ%Y2LH#kxGw&{!X_Z`B0O4CbwG_6F+*h0`aiAb#S2N$$5(*a+Q05XjFx$2$b$ zX&U_f?Y22-R`t3d?+!Thn(t)(c%fiKFAW0XdvrZKBi?XvjhX5u{luY<79dD`t`^GO zjo+zvZ!nj`d6nSSEiv2C?TY>Au`|jjVv#tRx2HYb(gCAqqj%j(=w0r6&`#Jd3}5I0 zo|aF&qI+kio#H29EJk|CZshj8Y^$X%3~GnUu->?sSlY%^vcuCJMf*A(q5jDN21s-=?*5#gCyyZ>y3X?69GG`m70s|afdVTEN1f_6Hog@HcKRsAl93|;7 zNbPAg>Dg3nR7j9ZTa)F5;(mqY*G6U3~YJ z2w(ZvOgQ7^P`gpkv9Kxf5iwV~uBsZ^wfq$Wv9#!6!YKje(tv5lYjS!kaRz8Ld1vSM zi}RnMYUQ^NIEJrw-#Zsy_P~s@InKiyZ#6q#S9*I-K#^3FoIE2 zD7e*CzIS7VHgL4u@;@|m-b$PnFGAzCX+*@3qXDG%Z zqVJNO$Df|RRazIct(iTT{C>oTE)lfuYsK+yc7=#*IGo4ArQd#s1b*TgBHs|N1RjkV zePyU3H8y93uVAzZliqlBJ{_bJX)EVLTaOTU<6B*l{~-Bl#J$8r+x*yp$$JTx{!9PG z?;JT)b~DtgFXJSxk2EZ2-fw>5S+#SFkfV9#5-Ofv(kT~x@cCxwE9GT$W{O*vkO1(9 z!~6A}7bp4bWKumh;l#H`YC|{_K3|-9XyJ#LEOG8yi$Y5OaIr$k&d#p88I5MqPex@) z4hNQ{z3+FwW1h^psu#v8YkK{Alu2vftxHnF5&BSW<^`d&q>VT8k(iiKuKDIK3eTpl@kG&Dkk48 z`T&|048}kfT1;0T4a;XW0#Aj%5LV3TOdzEiiHSO)rN%j>kg-i)eWZGP}&!%e}~5`j2J*>yL^!Ub`g}n`|-ZDwQdhPzIIirY28* znq7BFf(iVcQ%O%76EdEt)Eflo?XRSfIL%AC$Jh@?n!D)2s3`PZL60K`C>%#|&x8&W<1D+oE|@YF9=4H`u=%ob;An<*Jwc-4 z@`%z2KECUqOddUnTSQ1mc-hPmc(Sm0Nn!C!4~2PF!7O?cMD`r`WDLzT3px%1Y*6zM z(%qO&-58}^hS~=gZQ_g2(9jO9t_UzySx=#^phza0X0UFo;#l$;s`-o|o8N zxen7PPx=;>4iY&gM=TSF@vMFKBJ{fNEL^_Ya_|DdEW?2xUj^T)7W?_673Ek!=nxFD zUQHn6d-Xgp*`}WX0jJ(Pi^(B$>w7J<{$QZP`9gx!irozY&8x4y;1Q)f!B!m3db}U$ zRfdCPuud_jp9At0x_BH+>+u8}&HJuIKsuIVt4_#~uKFefBv&k)H7=yQ9{?T6ZU!&;OvF(0zJg-eX@2u(B)wa`*yMZdpcLAZ zW$>WGU@PR3TX-B~8iV|%Z_Z+RZ{~Q*p+*o99T?`bjvlqe|2n zr|UrC>0qCj5^=m%F+ET@jqPQhZYWTW3NeI559twbG8W@i`w$efmRid&b{9k6c=>n= zneXlTaH~&07Q>M}F5VsH9>QT>zHWoNT)%ur(-In&Vha`6OCSA83r z)P);-kDUhQLarrHLmGQ=)Ov4ewm*&%ag0|nb3Bd$+4pHkm%`FA?(P-yJs(Z9MqUeD ze}tzmsvjB>8Za@2FsfyAHLu z81+8?^Gf_>a1Nfom5M2jXQk`$;e(>)7&m!3;)X|>o4HUZ=1H8NhLuVG0g6XrI3mD5 z_?Y-@`Kv38l{P2OCN>y0m4}_7o1pN$mc`6cn3iCj{iQ%{^6d53SA&@^`QSL%tOOwE z>y4++F_=3VlcNgTELLcIJ^u;cjv6Xo9753t7q##(tXZ}~FiH~fCRq@w<}f|uT| z=FY6!=PUpo`GX}ksn<`VKCFi+nB@y~I6BNmS}_B)gjcVOcQzJzZ+#$;$lO@Bv%4)$ zy*`R9EdDAj<)XJy+lemO@pftdeaTU|a68Qn?xN5bnyh$m@%o>CF zCG_Bm9#(l?%zyjy6-qA6u`bgV#PJxtqg6`jasoqk3drH@zUjjNQ9FQ*GC#EfuDKpY zLSrX;q|z|GX*p83O)Q4WN^g#~QuzaNObzCwhwZfc^6&VsWxW4(Dzo<$?~faUrWoF` zV#=E){_l`$Arr-OVC>4l*uDL4W4DOu(RSjA%Btf2KvdlLq^N#$FFI1Co z{`djM6Z-jt!t0Cg%~u8-hQiLz6;?;Sesd6GK`bgrn1x;~4{~O_ioGL{rH8%yQ4Ute z2sAuZfW9ko#hhIdtq&Js#kb#9hlXZw>vpnLtomZ~aoIg_{Y&6kDpIBikTJ@o+JS!mBX= zN;Y|PrA8NyPUwdZQW2y4#NU_4gzNC$;^Ar5*dAQmb3*T`hwV*7S%Z5@|2!5x*B2#|lHo{8CZpN7I$B2cH}bZUt=2p>AQ zFicq5L!<BC57(@ZuZ({8@DrjZwPDIQzBX+Jt^! z@ZQ0BCyGJLWy}2C=+7KlXYjZE6+J@M%Ot4UHsE&okJE#lz8WdW)uIpO22;z=zl;>v zN-%bgL`W9gy#ujps_RJ<%D^#$`_*M|hF)C5vM2+X88dBBM8e< z-(D9;9SXq0=!^kO;q~MLpQ9P-?=HR+vesp4i9jicB3DPG{31(sibaAd=WZP_5qF}= zl?b*+tS3CVImX;wnQlgx9hlR8LP#1EIK;|5rdpYup!R08J~!Y54%K68Rs-hBlg!#A zC8#u_r(qTrQAEf#YBHut5)&ir4zf++3W%ZYM(Ba&yV((a|*!OaVvnO|<~1 zKWaDn^9(v;M}Ii5EO}3eJ!IPt{kU+yHwqlKD_Z}ceX!6aP9Xye$dMsO5CBOjxi*E* zLEulWf>pWUIRpU(Z=8m4^~hDZ_baa3T18PWj;WlzPv%2<`2JH(3vO|7ot3wr0Ly;= zHWNGh<+7b6`B9g@xlsZ|^p2p9am!=Rss(MW%SbH@N2@{yWdOKCBZ#~aMHzjvuwT2B z1-taIc!f4R9-0X3w-ECty|2Ik>Fx8)W8KdhCZ6Drx|e*sUSCkeE+F4T{5|!2=ZoT* z#PmTA!JumLxjOvN$WK2u2#KGHlIy_=Np;=C#`xG+x;|)u^9A8>OK5rJbe)%%S8Cqg z+-wlAh1VVs=Jeg8ay1o*TL9QoJ7BY3dDOK-^9*nk*&piailalg(P%3=p*O?w9!PY* zJzuT|U4a+L#%|31Wh;dc1Ui*DEPj>N0FmFTAY44D{rKtAXWiZEFvoON!OdYN@z{Wl zOWAn1mK63;NCMfQrSjVFg^+d3Vu6mFoNvea=^Lr65MJ>GB>lKuz{jf zP>S&MoW!bhM6#rou4JZ8*0?ODxA|oE*y398#SR^$u^i;c24-em%i!ed3O&tEcZjX2 zM~_>kM1YRvqUn=zC=;8v#-XP!MfXTEk|WdnJFA%JBS_fu%PKEuqN?j39il%4 zUWrdU92nGOMGpS5;v>8AfL(gz73-44%jv)V1v=1LrouWy$}FA{2v>!drW$ zo}>sgNt&K~d2?L`3_82RkXwPa%lEv?Hqjbws0xq0ys2Aq3wxgtRnr0sE~eu7`#FHcF&yB_ceW9S&y* zNQ=IoeGda1?YZXH+a`%SO~F~$IrS=V47z4cwV9)x`qkixoaw{Ojp>E)ey#HF^+vv{x{1B2@6Y9q; zR!vj;=;)#kwGl6IiY=Lgy<(DKQfFKs?mYrAXs((zWBYNDyNTygAor!CYFVk2Ao|ln zJS_LLV($ekQVH0n1hjXo$DY%tL;?w&9|b6pm3X$nCO0W=@RRVY9&; zH4Ln>+|KS{9Lg+uMi7AXP9YfqK>zO-KhBsd+B`x%m4E#iQQ4ZqA68oJy-+|~*5!c{ zOT8AEB04hp&;jm>26t$+QvkV|ydg_e>k&HqgGly2{hX3M?h5T2yd$FF2s#RnN(Fh^ z(^$bfC85X2Jm{;JRpyKdIqa;ZNPpd;inkby3j;@bRM2D_`8>T}>fxk}A^9)V2k6MC z4?f`6&;E7oejkX(MrZ_*vtFxRI+h1z!ycUcBC`cVaa)wE5h% zra~5-@Z%wR9lY3c(0cHE*1>$>E+wE4M(Niv3hD^FK+caGTWvgaA9K9HJs49924I35 zzk2_(ukxso>5gRoy?*ae^Js)d(9$x!BgmDMWW~rmzYFW(fnX3f9KXwuY>+UBsl(NpZ12CZ5krqg51z@@*)_&j`1JhTCB#+VCn)H@`xLzV z1sE#~JBnil@cNb`_IbzN~<)E;PtVrRpVeB$37OhjTc9fTC52_0P z_UXtkW5fkM(mP^TVnRZ0^{QOF=mk)`%Mizm8Y&)Vc(XpLS5oZ5pP_up6wSw*lh~JC zy|kRvbV0)o?yz^X!ohB9g9ldUQS|3C{Z#KVUwRUJ+cW5hYF=y&Dnb+p5GxMtKg37M zf8PKD9sN2fsR8`;7?_iA=z72gXjApj%F+E7KE(00n#-8cgFY} z->B{A=gPLNfbl;sl=FJ+b-{1{c;jL5M6kf3P?ECRojGWsCtN~SFP%W@+p>zfSpy>nQsoNBPtP8K<<|+>EU3ocsSxfU{?p?A*8xUV z9_3I?QHqf@H8wsbM!;pC9bKKi;I`P>WNRyXf?!OW6k$1i3 zvL_Qp?k+AaUapA38xk;UMP-26fmpo5h@eC5uY89<{qXQs#xa3_zn`1g!$2N&QVN@p zz6sJ6=?BC}^5l68eZ^I`(f2`lAav^2t8ggZ3nkz|k)sF&WJ1PJK~unK6`%3a4uCi4TITR{m~*Byhz!+#lGG!|>c>Ht=5_tk^W%`Y=`QK4eCtQPVhssG!% zRq(Zlod6`g&C41nyZk@yI=q{;MVa&JZA9k;3OdgPVM2}`i6~iFSvS5YV~UBk&(+<0 ze40hRxHIIU_nvz5TiNpZhl&BX_Vz`LjH>RU2Ohtk4Qf-#f7Pbo2oDn4xOJW9zWuv0 zf}FbhL;%Q_L9A~xP?xT_8NKaDS%hl(1)CkSmD%qbBL z&Rx-ipO*I|+qf?~F?5J=0ekc+_kV|~zb!Ea7OtWvX?j_v6PQW6*>2@S3}R-z>e|{- z%~2xP;o3~QnBt~*UnypkEHu7hezQ2egGl1t*YhGFJ1$B$p||r3d4|>omv&d~kBc)L zjnU;$x}0!O4g2diLLUr{oHTMiqeSlgv4<{jJ})o@Am_93_xY>-`%*&M%1)4m&Yoas`M$<&3!Dv zZ*S^K>&;+zMLjG$#9lKEGa-A3{fD9W*FA(B5ZS{LAeu?2^hVOJ3SShfIJdF!9$M6A zGO1twsM6HnW75Yj7AKm0gxGAX@TQmJmCB-F(m zi)esO8Nhi}+NcKvGJez}{7->VO!Kv3EW-q!;Rd{_9F}VZS@Z1$Oi7+kE67LuadZw= z`)^;$h+&>UN%a0KhpepZYyX;>ng+-fl7PCb%z?6!OR8~qqy62v>=G9)PTHekIhw!P zea!Ei;pLtf>ysaR{yw~2(Dj4X(LcT#Jh1d|!^v0*#Irye<+FH8{+A<Dr zWZtiMlHeXDDa&zwv!ISMfG<4(G~Ff~^Io@)d}=CgInAamXT9x)N3P3ChGN_0|*{ed3o%F<4W z7o1KG9-eUz;touDh$FH-dg&Go^?{YC*vBE@82i_71$Go|1N$g}aMCA_e1gF^JQ8a1 zv)A>bU~_I^1uvDTK7Kbra|k@C9`oO}6Q&<0`JaNqsw&Wx9RG*y-1}w>xhcf9R8Jt^ zM$$l)boFx{_R_`w>!o2y9)?ylzu+^TYK;$xiLnyRfMQD{VAvBODq|LyQ=-1gGhY{R zmhwbr6J?mS!Y=!Zo7rdXeV_~o2@}tx+8vs`%ev{{bgRapcAxG>N>IPjyTaq!Y}d{v znyAm!MPLJ@`6Aapl$3gQP6av%MVeb_rfEo=kB1M!A!lT!S@jyb^uL>RSn8OSl; z4m8YREnsD=UQ}~HJT*%L*qglU}WlId)@`7>ATmR zo&=m?#aGxlb!linaA*F0au-P;KL>Z9KQ%%I8L;vizMTc^h zv2oOhI}(fOUHE;1^lN;NEiF`&o&WeX{t?5GfAU$D4v4}{Fr;Oo0$M3b%soZ-lKIWs zKg`XUk^TL5|Hmz^@bVliZ_vrslQ51KIb_Qm6cu&mS6lH*t3Bc^OE$hfJwi|K|LQT$ zmb>eBqMGrdFE{1G+NGm+WHPodlnIA_U42R6|0HC5z(RJq`+1b1qV|<%b>SYTx10-1 zy28WHIoxo#y$%t~RcJrVN-m~#?P`d7ZZE2h|0u{-FoGxiqiX?=$f5ytzu=Ph&7^-m z{Y9N&5G6c}m>S?tr6N6>h?oR59IV}v(6Dots}NuB4v>@|sVl)fH1Gu|f>7Tu|5K=$ zK6Q^qtY$Y`1-@%B>$3HupXUrFYh{77VhnJz3fF+EKnLm3a4IJ}9MEY88kzrLbvz@W z(zkk~`O!Dp9bt`D)w0>*cg#`4&0AoOh{&uZ#h;`1t za^PAs*?;9(24_L*3(p*?T^(m&8ue^j=5^#87vn&h3Z$*y51h~5l<^Pf%?Gh-l8YaX zA_=*NoowX)S}F`IU4krhC-Irc)H#?g7Im0A0|jQjJId9B0*Ol1M8tOqKkRPc-K=W} z3ctZtW@K>vn_u%8r`hk;J{h5dVFDuO>4YbA5ETQGWE`$#jVz2WF%0{JmmCB@l2C?{H%L&8Xoh>n{vf zA}clyqH;%npRz?bWwz422d50wNd7uyh%5!2kC^aYBO)rQ zSsTd64Pa679D!^nVdkDF$@w}9Vg2&gVM(j@#@e5D$7<|elx!C6ERV5ey7hLRJ^esS z&0bWY8gFQOE2^W5pIRCFhyX|1jUKm^gp&N#hphYeduD7M5lbenoN!QXN5z3ZBnjo; z{e1(Zd||;(7_+_jg~d7etB0>Df|xHIWKhtN_$!0L^pF61CKl{`V9x-f^eezY3SJRV zJk-~urZiq^f+-91^{{%VVklUQ7!22>B4V7CB zq-9CNXX0mMV)F7(BHZ+Bn72S!Ko{_66JvJmCCiMfVvSHarxKXGP1u&@!qa;_)N=Qm zUTEmHlqoR>Ck@<|^)6%)snfmkF(^ZxOkT70SjU>C&dtj)mYO}|&)wx)LUChbL+tl= zG)fqi_pT4SmvqTDV;&qhcM6CM0}J_o$1X6jqdnwbZ)~jc=UpLZ#{%Y?bi{EYa4<6) z<{v4{a3%zZerzEJDQFsCdt6T3hT7$GVubtoTUX{hKQXCH`;Qw6CV?pn3-E}1Zlf|# z<3-T?XnK;;|C^({h}BnM4ZWoI^-wClDw8zw5H#r#AkL%KlwC=RV#Y!)t&%K~;#aBs zg`%Ivhsz}+PCXN3j9_%%8(6~8+Syd(g;X_pl>HXstdD^B-M9JS2ZbB@!NZ&42zv@VEl_dJl3R(2F=lt9|81 z?#>hiPL^b{({L8z_uvWu;U@vhwu1f9qep*cJV>+#TzAICvT15>ji7hyB&Y*V(=O+tg4IvLNP5;D#o;=JiAmb;;susN;&nlE`2igB{S{tX30i`z7#X1C8`m^c= zPITl9bkKw|84Mwf3B&=MEDMlO+NGwAaBbQDDy`$_4v#fFQ%O_fDso&*xIPKpIsvS% z6%Vj@3wme-^8j@zW9X}A-587 zi)~P2gs#AJx72!xtO4YU@3JGL=TBmU01!w#P3QsTPNZ*;AH zFJ9EH&I75J^a|T$lO*Jt1HmPht@TGh>m!iHdv7ztdyn}Ri}9_+Zrpfnxv8v>oVe|} z2E{))T_^%xKR-V!euCH`b}99Hca?H`nzFRH-ufxqV=_*&JM+9F&qkMai&QgvKj}av zA$CLf0C-Vhf*fGHB$0nUy9b5Ig~$pZkUmL!Wl9~G)L8%J0DG2cl!0Yaus^Mu!t}>_ z%ji$HC$$5UidZ!CM|PKhJO~d#1EL5Bjf{-yA<#DhhT()ti?VP)%K$X99BHqA9UXPM zbC#nHKnE0SS<|4FnWb?G4}lQ=HspVOr%RBoS3cG=~J5Y1ImxS6Y6E#s~Q@G+qsFx+V4K&V|s^o3;!?xibx1U7;f@EZd1j5 zG+ged(5s+y<4pS5s(@tC6qdf)X_Xr0n;cmeK;hsDSk9<#;b(O;S7v_oZV6&MeGUWg zSFiDTgkS|HsFSpWeV1C{>kFwm}KLFN)kfD`u?&09PQU6&i_B-UgT=k^uYNPE6ELAkGpHLJyC~XdYp;&;%mKRCM9xQa1pEs5ob@1>Gqv1#6H4= z-4&=(?|ykCNy{GB%9tA50dZt-PIxoUKRg})PrZr8NyVBP8;J%zr$l6!pzj{zw$|gS zXNAx$XcXGSf2gUEj*2RPc}sSSZry^&(~ld>?`%Zvu4Z2y*m^Z99&@X>D{(s`I5IW7 z*<1*`wVjT$x_g;jPk32s7$+|giOFeQ3Lef$bZIw@c%!-ne|!zcJ4m( z2CqP+#?a7k`SUolbKpkG0p`HdQx6vye>fl}3}cb@t%n+%e*qd$Qk!{-v@%SpxX`gj zpcH3^d|y?10rNptu4Ru3!VCn1g?G22(jbg0z{`89SFQ_Fd+aiS3obJkuMMOnMnf2b=$%0upp3U+{&GlS{uW#_1zU)TmjWSznM8*5>!|`v)UQeiutj>+oIqTcUA^iLBEguK-x# zx|Qv%BQ09Lw(iz^@R)?Bq1JU<^B5^h`bstiu-<0t>Wml7f4 zLV`%7IqFv${nHFqX+Tt9qbptuAWa5h3XmslZ;xVJxpwW^u^*L$pB*%`KJk=kr$Mz( z15}iveE>5F#kt@|jPB~q49Ay@`T?L#?q;Kh-GBUP{w{c;Mr5KzmhVC)3=*_PFeTzc z0C9zz?7=_GeUK9z1;C$A!^J08U>HXXIAVAqTaI|}#q%QRsm~QvHr#`F6rbjzml)$wATw$Y6?p zzVPb9iXL0fvmL8Xb_RZQi zANSHiSf?#h-|m_j3aOrAKB1|{b?mEep=F4T`EQpOiXfp7XP@tsbXrQBI!KRy-7*}lVZdERKyBtc z=_`fFVIv;X=S|jT|9=RT5_XuGM}D~LrqwY!CFrH!oc)^#`6@}4JZ%*9trTXK9HDvHrEE~`Un5Hi26|2;YEhv4OW14+obt9 zGqh|9j2(e1{0Rb|R@6drJWzKY2$$IDA%MmEOuC;Bi?=UegrH%5ZO0$q>Fc2MR6oNs zphk(~yQa(haW)VU9HFDLD1F65Z_XCSwMDk?XzNapuBcnK5+QnJ9{)qym5 z0T+;!Y_#el>WAn>S${{>$XixYI>L~zF#v!Rs;4@V1I=vWb?9&fvbKX|7=j^v5LwLu zj_qkkXR-+U*A!9lS_xP>XwNFF(w(aee_e~eMPYj<;{ZFXnu7K=NdiU*WY$?0hG|dp z>0uGsCnOmfLY1Mh{cDTIU6J`q$sW(1#2$b4jH;tFpYvuy)|nYK`CAFZ2Cx%TN=$7JkUS39Xa+p&a6 z|H2ff>zyG>mT757k+FkT^wavjlLDoyyPSKuYfbVV1Tjwn9~>M#ONc>QO_W{&40zY$ z2;4>wL+78q@;{CMx|M>bSR$3%`d{*CTx2E=W>oH{?gx78T>71%ARp(&rB7KF5fr3f zB3laMUKi+NG!`Pct(^*JStkJqeEG7^V$nX3vWJ3zQzH-~T6KqUX{lMk{H!urcY9=kJo{`&QhiBA^i zi!zGuA@&#G#7#h7%f`kgZMU4_BQFTjvf$t)nwHPaXm9Vpw?+`>XG8DAa8}f3Ho@Z( zcsES0wGq5*nH#dD2fBSG7@Bia7*cCFUCbCDWYIEv z{Qq$F-tk!X{rh-?q!dLmDosj8M#wtR7M%?tWn?x;l?)K#(?CXKXZGQHLcO_M57;X z?1&u{yHZ($KEUZFP6+iyr+C^zUF0Mh<`n@G~vTcf|Xv`9$d z^!em>dyVTpA*Cw=&j->ttIu(9pRw;-skdxzU)7#1W&8C%eVc#W>FU~H!Rnyi_djG5 zA~6sX*jh{jq?oTECAnV_kr~7>8GiD#pL^!8&y9uSkMj6n;mDxp(6pR@Fdf+P#4DN~ zHWGUO>MpcH!Oq(ldd8?QeH0@7Q>e96J}+T@D2IevMD6?CCW@Wyesh*@Ww1E=g^haS z`gIeAIjP7j1cyo7gL?lm_GV=h3lx0h30grv?d9miD!BxhM zNEE?VGC@9G9o`T=5C)j76NV_U7kQ=gm{$=C_3}PbPRRld=?4gMn&3V(9^)naClFck zn1#ABKSX<=ahiYB^TV;mdYzLS`WK=^NI3LyE5r$Pm|ls~v<#SnO)6#J8x$EdwN= zx1etj(8x0X<&g+9LKiGsiJkT`=xrCsO*mCQYd{y@e8C~*3jO1~>|!L1?2hE%>>T zj(mINsn2j`8PY%bJmh8j@Y$x3aXD0DkcSvQ6o?;BIC5{FL)O50r<}TEQha~M=s*Ui zLxq)H|+% zH|@V$J}(8k&JU%~%})i*xr9j`!|HYDCp=K{p4eSBZ?e(dklf#AEfV4K2be3CKXCxl zwQ^|Ia&8yOR?7ul5vlZFFi+@naG6HhitFL(4~MHH#Dvah46nGlc7Z>duOs?sqAdjO zD%0NLB2*1sbUv!;K$W|O&nZ*FhP}sL;s8TZYHsfzynwt1hV7K!uHRiKS-1NajM6z! zaL9n6Ha{;atCXFRZsbn5^`Bz)H}BSerT-`3GmE+d8OTAe8VE+BpW-&PHi%>)!gDL(pBw+NK(JM@R)hKVX}3Mv|rhv)e9ZRXOFd9 zZTEAsJ|Wv(ixL&M9e>ebW+1Mzty@W74b-QL3l;W~)i4Fs5~-I0YA34PTG&AhQ@9jX zN~qMwn?I>{nFO0|DA9{mZJia~9y1h` zRt_h&MHl^E^gv(Fp?Ys&)8V4T5Z~Epy`K+1dv;yzZKuV9EjPc`|8^6(Yxee(YoK^p zUdOW&`LmF~B?J!9;4OxYXoJ&bxWe_`wKh1~98Kld(FuyG%s0?pFm1%?i=RqmOiI~46 zH%qZjx-sn;WbEfhQU%JTLo^ZqBvghVP}l+&feh!p7ZsOD=iDB zbho7q>%nTPS#r_-HYnycr|&LFa4@LJ0g>=(4*;D$qeV7Xm+fvSu9#m^0WP^Yhi zo$pU`;RSNt#!KBRXJ<`Y7fLNzUmYW5lsR)(TT95a{XU0dQ$F4A#8`$~X)dC;wf#a8 zqQs4}*;H66gWpU<(pjR0R{8Ri;AFF_*RLx~EE->!i`xUa^@Bbama*IT6LnFv?!j$MXo^?AzPi*@Xo%^!U%P7dJEL*dtkU67> zO?oi-{@y1K9)=wV2BH0M$=g`pS@qlRja8!uQ@hNF~2PW37p4y+fDK1+ZPcq9XnH&3y8J)=~`a)*LTr@H2$WU zg4DHRC=p1h(=h!;(W6(h6?-U%VZLp$;SJhQoTeqt6v{&i6AZh7fH_(lxFKw*?P zwaA8x)d?E1I91O5tm{keV0vWTQMV;x)ib|QvyPig!lu7tUpf(2mR$Rd4wNv<6~VGL z_Xz*oex_u_4prwxu(I|yybc4ZOnXWA1{B)J{m#GYWlJpAYO6Qwo@fNm>`DNuVIBu@ z4*&+6f%Sg_l6&u?R3KHAMZuokTVU|>4gof_Ahj>Tc24L1^x(m6k^PeS1^SiN`xF(O zs(SjIG3eV~srM!_uVTM|N%v5^-uB*KO2b<=Cg$`XSj)OB@JX#eFEFhamPK#r7&!Ls zsGUDjQV^=X=;u@AAiodkJEHkGx^4DI*;^-&-n~C>_GsHRa-@K(UtOV2gHQ<#axxT# zXMGY8_+HnK@{-!M_s@>@{V(Shq(6r3kT4mOsJ+S*WL|%Tf*?(TI_$tL4({dI z_6geegK(z!nZ~(lw;1cq&V-*<%z`k4h<*nioaBv){pL+zVjF{M5(hI50eaRqx+CK4 z%;oAX0Q6rBX?#$hv*AL0Wx|ch#=C0%7l+`r&=$9dbygr8A`TWD_@&IFK^6qfcP#>y zPv(h~Ssvfg#t)i1rv5MjInI72{6bdvDE8gowAjC_?ez4y+m2q72m9o<1(Y)x$LHhY zq%&_gF6bKgnD()2K*~`&@9~;JokCvenAJ-aLdlTm8fw;W(<6_dZz5b_?C@jqad`)+ z`N>3qF7(Ap9<%&PLL{>`>c)T5BmN_Elg!N$k4&muS?sOOe=J5-(ktQ0+W@+F`M(c zT-9^0iKxxm0%Aezmbcdhk0eA49?F?>*(bGEr~b#fm1+Bbr7FZuYfqaBTG^_sW3-bn zgfRvNE{xCBaXiw7fR|KH)@|@hGLkG$q6<-CP7+?+yll-WFx7l?5!>=I|2iC-w{Q2y zW!Fm`9&(IOe*OA&HjE$c!qxC4FQ39vtWrowkR%~;(AIKSVO+U0)yY0K zfTbHCFH>+X&!zW?2RGH6m_xv-ylN9IND zr5HosGa}q6$bbs&9R4&ExGi)lOMJu5D3xROp{<%bfi_0>e2;EX7^>!CdJ?I*(d+56 zvV(7F(lL!FG9dWSUEi^>=S!D`(%Z12G#!h#9mfzF?$wUCYbX&+a9S~a)iQ(zB{~$V zX=@b5bq0TW1UHH~3t3!{sVAC6oN^`uCM3^V;hSr~Zlddk&&Y_38H#c)eW%4(UGE1? zwv2ZKs7lr~>2yW>F~0LW;sgyItN;#*d76Qsae! zWsa#lJJ}|J{BUC3C(W8WQC12k=`P1G{enb<=deAhn21%E`KUc`o}dMDuu5cYKDlL~ zHrayA`a(}tf#O=yxNe=-#;2B*dxw4{rkxu)LY=sQ=ND&0?y%gaRqWq?gVQ-Ij}#a9 zB;~2hSe5ws^o(=a#6#)s@xs8P5ny=WdgsI*tXK9?_UMc=!aSGZ&N^DLpilY@p`-3c z0~(Hy8<=cmOI)Y)+`M$F|ugIH~u$^|4pQ$7AQNUAw#$CHECP zuE5r?j$h?R)ld>t#;DnPBD~VPDR(QS87L~8B$P}|u{#1D_Amn`FrkJf1h&GYUB{M& zmrcz)%X)|+6SMok)R?f#uy%fhp~AvKaa0AQw&z$C&hG3k9L7N{ zZ7KU8UN6$n+%qbuW19D7b_zRg-|kzqdf^fHGGQj`W*uLQ{~q2B6RAIG`rkJa=+ra) zU4-sSXmTSW9OT~9@c@m!yl}XGJV(|*n}EDKHEq;(Xf^nVnsL7V1zh2z zhh!}q(7x}qx5s*D5rnt9ob?wxd5nc)$_ue{j2Lw9DmPtg8g{;~-w|7DcP3k#_%yU? z{~R6fIJWriFKj(i`@T#U72)w8Wgox2c(MQ0wQC&q9XSFffQ&UP>bYW?rXMmjy=Tt$ zD0u0m4X#>^_l(Az`77NvSw5-VR5sCgbjJn;hN)u#cmA!+h5*V~j-gPHCxx z+B0Ff)k^x$_^5MTk8h-eDeXQ;Gu2LifM*Z>ao%-G5(9^6+Uw(>&UJub{uVdr8~BO& zH}?Q|*`TlSK=fs?KPz#DvVw z7k-8&r#W3+E6U5xKyIG?jTm@)WS zOeKn*FlA%rt`HCiSi9rlUMV7}C%mRZTzZSRR?>?!It!`tMK2d4|ta53B~7(C(lS zW`{!&mb8jyRxOx>4sKq>liwxpR24$SgoV{5uUTQeB*9dDxWV8sI^yure-|wS)g~OU zyxM{~N5QEr<@ZIiwr(A6t-C0S;_QANNUpzi_~NQmd53tnb_27_!a^u#v+ zz8&@4NtS+lv4Xfq9TloUd^!f#-e<0(i!?qx?;hlNzd{!~VXizh+)_FHIOmC{Z9m@^ z@W=Tw1+)Cpq`YC^T(U}nd6hWxsm&j@8FFwjFOgspqi-_3veAp5je+6RoMp@jr8C86 z2+}cxTTq^Du#R2gFkLvA{T!vLtXnTB!utC%O@X3Fn^Z?(zZ8uJLr;c7Ts>D@f1sa( zvY<8IgEC8S8+^+V>H8jW6wyCM~E*v8Ms7~QcAs`l3Infv*F zX>1?u8&?pUFL1U`pLSz%Zsg@uP&<0QAb;g(a1F`kpKi*@QXI&lM zINx1`t$^1K92X%nVt~A4@503m?=xhjS7lQb7nC28m!mVskqe70}iEXJCK4fIWw&l8JOt14hu=)%q#R$^r0AJqA_I-u5B>U;jPbvmjFF&}@q zy!u?aP_4>xaDQtqP-BDk1=RdXgOYMRgXtL*t&6y(* zQs`!Y*Q@84&Vsh(jqoFqUANypcC&qu*KRUnqsYL*L->vF6;JMs$>@eRWVqmiK z<=(5_xp|GUZkp|ek6^d}4hv)re%0UNQqrp0W3y(?m=T{cn|H(uZCpEpaw%ySd4I{4 zo|Pv6PIR?ZS2zEj@j2@u#v0MOi5iH=yUZUls8GHX&yu=3q)^YF>3=2F?`YAxw5ZjR zrTkT(GSUl&QayURPX1K&nt2(WY_1Nn1eMqTqPO=4!kSC$ zrw9$NwG#-?nQw{?i?Sh!4~Kc~@K?f&-i&p@y4@)MN05p1_XKCO?`}j5d1S`2<;%IH_uB12BHf@AG1|PO zr_lHP@I|@IC7faf zYxva6ka%crg*dLbWtiS8T9#mm(l(bhiS;gKf;DTugcezZlS^ZG{Z-i ztm@x!!;jJrRbKAOLuYeHBY(6y)l-F9LFXy8s(MM=amRGY#Qe0B)sJ2KpHk~}_j$~r zYVYgdBL<0-bgqsb4%ZS3$i&KF7=^`P=!t1OC-godq(WbD4E@eq6kT<9{q?Nd!W-qo8M@q4!2+d9Cj7uE zvdn)kt^v9($`(|M{&;mwne4T`}jits0OO@i%ZCe!&exvmmb;zGB}4* z{vD@~qqg)cPy#Lk4dei&5BDcfP*zg51^_ zQ$+PskI3IY#3>?k@WV20Ios%muDkS895kVOT@50wFT^}Wl4XfUMR_{3o*dE)De5%2 z{rgH|t_Ii4l$)tz@XvXaTkX;05&N0sA?cG8o5-#^zLXI=F!=G4rKx##Q$ z`%b`>^*UjTrb=R9O2*~i-oIyDK(+f`yt21;(Xb#+V$x@iq4IsNexTI;YBTsSqYZR6 z0hn}j_XqYO9noqQ$KRGk^HVcVI8wrfoIhjZ0I`93*t^d2BX2{0SCdhhMYPY)u#tsn!K8#m_1I)i%OR^HAj-k7_-t@^BwkAJ!4^Y^xk zo`qF9iea#1RH1+S_hUQ1s;LRbn|8Ji+l1$3PJC3^nCbh(H?c13ihk)a?ETeezF$nZb}nM*?Za-eK?wMtBwyXTHQ(o?xiC}BlnPmfGRDo-(djuOsALdSD? z{jar-iPJcUg(kBxh)q#Bt3fvee)T=^PHUr3;C9!`x1}?xt#gGlds0=zYrlRaud*2g zp0$<_N~RgbN8O*w;_N9p?>Hsw4|Ty~ceOM}nK?{aXuF7nK$TSmLYd7o16O(zV~g#6kA* z-InHb^b6vbjdIIKta4C;^5IM3Wld2Bn_n2ei=rESUXo-vTd<2WG(!69cDNN`+0K_l z6S5J&F9K$hMf3N(^uWB7EDkEN)k?aysyD49KTW+~Q*UeEI{nV+#X%rv7GDn&#XSao4@%?v6Qb{QrlIE` zqcKeP$P}#Tc*#Ru0W!X?W+3j_@Z!2q_1t&AgXl~2nBM?qJmo8S8xHg~Gj}m2D9uU! zFIr!=b86iGa&e4J`*Y3b5wglZG2)-Lnwi0!W)C*U!B0^s0+t*j6{;m+#l4T()CPvF zE(8!TQ$13qxMYb6JdaErXRaM-PiUVK8cK6U21G}gqda@7_XWcPXuJH+_3jHlXi+Lr zv6%qz^2>^2QH=tlnYe+^S-7w@DGkK>Tr@)J{hy~_iAN17ti2{S)9Lf!nlIol+{L(2 zPc=y)lqw(z<7~n`K4^LQ@@3ljg|t)eh+>+h$sOKi`-&%rwTfI&sa?ld`-WoClwP8T zz$|j`yRzyKIzqE&g;ja>CMRsjp7ssHGOR}uShf`BaNthH8Wf>{=XMX$=+9#+X`4Pc zwC}m?!`%<}YQoEI2l2wD8KA*IYYU{7gL`>xCz%!1%qyU!)oNw!>q8pKul7hbzOG&s zJiot$>4OCSMN@cCo2q zWIvmX?7)pRML9bctyS01Abz;F6yXQ{C&4!GT)8iuEB6q{PcC$d8;%}7>7b{>Xxhi? zbkHPfkoHoo)SWkz{hPO&o3{7%1>1oN^$jxt5Na3g{xzPaHF_WRtuW~Bn31w@!(w0m zbPPBNYsVUOZLT_z>JfU9%cd{eIpI0~#`^B^$deW;_dj_3-Y>1c_d%CqTLMG#Nw`}K z1qQXyiW#al!Ib=tG+sK)8En?za{bi2`0uZ(`#Izti$W^9s-_Rt>Lhzxe7sQAzh*QN zE!bYEMF~rNy)!MMJC!&8gJXZUODMrI6z9o}zD>78h_Ypc{)#~EU*~Frx!u^Uo&TZv zcAt~rNImKnfM9aG^Lrt5bEWfS;IC5heukl;;q8!J!H!_m*{MsvXTZZpOx7B4py{l&-03V3tv5T%APz^4MF zct!1Yvy|+A1J&&}PmxxrLI1BG-Y1Zs4(UZ)X=>ine4Dq&QIG6gN}?MAqbaU33zypj zOL2%DL98+UBqUylSRl9K&BL_Ubg4=r#joV)7Q`a zl6LMpo;7S*QvWcsh%EzU=o_IxF~>NEXdp6MfQ@%5xH64`oW)=$@qRa((m7sM;Qp1P zz-+CJV$}Wo=B9YPi2`*E*#9(o#Z#!ko;5a%!42dH0W|<7eeU8#4re=2vlDGq`@1&Q z@kkx&sl0bd4s(QaK0d#Bmd3g%rEJmmm?I^V7Y|W|`RN5!$IkSAk=Iw(gC2%i5`p|q z$%-MSoF+gMb74md*vuZY=ma(Ev4a0sW>z1sK@y{p7Yv>ssd3N=qZdLrW!?FIl%npUGs})*5A$((=c%~oN4(&teaa3@0`Kvfpkf)N}KP% zR&Og`?0aO9_vlRvU8jR@dWf6Kf^w}#2iLDZO*4m`&8=N&bz`nt|EIQdq+`zAkru0m zMb+|Yv87{YVti=jmtnATlal$ghRyUc;+;c~jFi;JxCMhLXY}MOF#CUKOTt5tI1UA4^2%uV}P_jXWqPeDHlMKhj!Vv>A#g`n>rHu+3JY7atEWS!?@_p&sIQ> zEG4B!t<~w<=BF62VWLwpglz(jj7GbowRik|Bq(ecO`HPpflBDd@e5dwHe-3g&j>7* zx;?wS5|dqN)ojTw^5~}cp`PE zxV^6oTkgEupTdcwqD8fViUk_IKeHAcwG64o+t_?GoH$;R)av)rmd7+A#k?m-(>Ksd z;JbQFGUGEwIA1t4+24biw)le4yl@7_aYp z*bVDV;=a(x+ObEWXI(7TbJXF;X6}vAODStu(-No!rGQk1M8o@l1}P28hHE@9XCC$? z;6ARv(N@Q-1t-r29}bT3}))&`-Yub8kskI8Ks~} zSGSSFy)NhBgaKQHsQW$VzsaChm?1Zfh61)@%)B^aqw)*}YswdNd>t>cGA?ZjoyPIq zc@;vSMC+Cu`?T{hK0{6h4W74bSC@`RecY*JLxEt-I-9?v20IL~ZjzF(yRBM(5-@Q9 zOVu|rpEo0FlYbo%_8y`M$@X2G?8Px}eJl_EZ?bO^Bsm+_x?Dv+uOxM}TEy0}vmLmE zFaC6n>5hr1>05X_T&zhBl;*(FoBcx#u0xxKuph6QFIU4ikg2N4<6`jLqtszI(yE6X zyk7w)LxYN>{h$%$WM_-@^}qtl*-HvLV)eRwEJJh|cTvK;irxn+l-soztqP|u2!^pQ znZX{j>1lKME%&MeERT&n-+&efM@mX|5<^y8tg>~9fE|`X2mE-Zcd^YDN3wB=okGx_ zr5se%Zrhg9FY#?HnK&lTejqLwrhRJ!aq=5RV{< zQ@jdOhgpO|sgAv9yNn61pDtj}b&DQiLx-uSxqKZ>z zj6Z+RG8(Pq{#Bt<8mBkTFzebN2(IHAmy-sXef^%0Ad?<_dnl4nvFWWU-5sqDtuGvr zy}3eZF#o7>nj5N)!8sDj$QkLH1RFk-ysz*GKMqRTnQp}k1AE9HXUyvkUYru5uOBW0 zWV7ga_qPiUuAWuZl+kg)zrM#5dds;54Ugbo7*A5@ggwF~2D`b}mWr(XbIt@;5M~$w z(4Y$A*gB1!oqeO*K5!L}FlL)bA43CMc;Rd6siqc5IcOK{0n2(@y_NhXLxikyPE z-CZVvKYc2+zy2fiO8`{3wzEuXBb3pjeU4X@PZfTUQIOcUS*hP%|9fR=S0$dI!X7BO0v8w z88L+*TTrGl&GZG}HrG&3R577B1%*qj>#jtIVL*>_PDaC&;`LY@j(-xZx*ZYGnMKFC zf_)Jq=Zh+Q>^L#|O6vXHZCcsFFDgP(OQ-#}L8q03f=ApDTY2;K9QF{G&Sh zW`e1WX`)BHL+ix6<{KmF|NItPWGq>mVb` zRbeYdSf;EPES!-0lakYdI#)Rw4eA`jR-H^4jaNJhs!AAUH7}VhSS;I^|CDEKZ-dhC z=bm9{*C=4-ne)+0B&Ml8S~Tf<4J{GrHjw~|^K`%=a_8NP&A-4p?sRxLht6|@%}#cz zwqzb3v0|d62LtK;6$C5FNin6H;k*rSG+LEomV(Th{c`4 zSx_M9{5&~zr5Ok>mpqD&>!EA%2Y>u*)r;8S=j+eS>Ahu%F$sI!T6CbY_)oCW$5{R9 zq|1Gg5%AGouEtZ&WlS+7n=ko0P{47|7CCg*!16BLIY2NSnY>3gL!{EtQf=d5Kr~k& zF;PPqsvZkF#k(X64Kff<)j(b9aJES*T1ZF~r}e%&9O^le7tj-g2OHSM)C0R49y(_< z@%fzz`=^!r)zA#&R^IBl!POD= z^pEH!dEYfuO6)6*fVJ*zdZg$-7KiKq0Xl;%1ZN+pw3 zj!(V|B~hZCpo{J!_Ll5lwNSpKm24h%UI0{Uz_C2un)kUNJxjOV!XH9rO$tjKLb6b4 z8};o3Xu7^FzM!8=kW}y!T;OzJ`aPn)WW){H_J2EcnK=c#{-XPm49Xf%Qr+XdwSYBy z3>&XmDB-l1PTO;?1 z2={|zT@{U`^wJGWayVFz31N|!JMTfIvRnvDj1fhwTT0g~rL1{AjWxO%>*29}E?+1q zhEQ1rPWE<~5U+Bqw$o9Blrvy0(1VSOFa@6DEHMBsXOkAyNNgI1Dew2{%VeO!elgiB ze<7i6Ht`(>-S+gEGrV77z+met7f+lk9&4laYG7}orA1)%XX%D!#a>pycdc6%`3}Yo z_lfqFR}ZbgU}&w%jQF(txcs|BWGEBTnp8WhX!m)!P_gISDVK*yk{<@_w00=9ec<^L z#|0mEHXMW1SM^Lb;r6uE^VueN@Z@D=FAgmUA-lwgr2ejVRqfSl*Nk8AZMxu=aa-0C zZ=T2O63u1TU^@m3jv7Zy^!eeqQWC9WG@x4=e+xUUA)HD5`E`eDbJ}o!+FcZtnKK*} z)bv*oDs!$O0C_6!hyGo~DsAdNSEnSJYtKofQ?vCbsKAlMLiC--`FpRLQ}--GR5={ zR5w9(`Im|Jn3w;DxO`hz-12f+318%CK!FEt2rZkU`e!iG0`T z`&vjS<<=D#DHexFOP!^rRoi^RtkVPw+x0{@bn~8FFw%!J)6vDWd8IFr*f9ROFl*CX zJW278_q6Ra)t#{bEqQiF(x(!3vxv-MuEJB&RF4f;>8loF8qKa zov)hOphH*_ilmqRcH_+g>V0)2f-Ow5_yoa%mFm_GQ(@c!gcC@W+%JPsKCp@=TuZ{9 zb-d#pf1K%+iGjv%hw17NF-$y}zU;=t9<1nGmXHq$@HhAy9Fv^IJF0JUb7XWG__--^ z|MREeckkX@aSZAqqD^$Fy4Y03T_ih6Af+89+=^-1KV%Y@Rl>D5TvX;MRog4&QWhwl7dnslyZwrgPXjKmH`?8lceskZfZX zyM^=swyhOSonG5c=HF@^Il2EnMnQ+#Eh8eaVP-L^S+r&iuLMKL<7N+fwU(|L{_7SfNV3;-rFKFt9#zR31+F!kPo zghMJUJ;O5?GhfH)+JcnpbZM?2ohPxp1pLVj+g`0$lmPxYR|V7vgxF;;b>!r$>?a4w zg-OhH{7n$la7SlBI1V6?227TX_%q1zhF_nb9QHS#&pt+R2wNU{bjjmqk0OqGFO;=5 zHa8U09skk)emIoZ9m_R%IFuJtcaKsJ%z-dUF96Hrwho347cy_&q1~%eVyqrKSNAg) zb2wIh_qBg{H3v*Fw3(f^)}u5fD>pl^mS`*DYD#L1Qbdq~<0t0Ucox}cKuGNS?$1uE z-$Ra@0^wW{#WSI4MVbVufj^XBSy?u8Ab$DC5nsFtXv|p=`JzAV3krHSHf`uyN~Auc zpE&>Tc{6RsycA~U74c?12Nz)-H+$S@=uuu8dNeEsyrcniviD@KuiUi}O{>V4FJF`; zv{uk^$^`Lvv#r!E!?Crngv^>z%k zpHV8+w|Y8ml=oNla-IrP2}L$CiB}r>>63ZF;J-6nt7W0m=0_@@eN4zlZ3cSbSPjIi zKsR_YJw9KtS?VXT+sF9oas%tFFoDdA|LZd0h6wVwqgB`pU=_5Q=do4=rMr6HDKPP6XW+U#N#AfhuE3}t^xIqE)- zYWJf|>Za6TKhWjv)LqFcN|ZAJF~uJ+M8d8sl2(U0oz?8F(yy#n@dMm!JQ}1l}z5y?JvbYGXg2wB!}P73J>R z-C_>AgZ5M9!u#Fi$#D^J(5Vg9Iv3pMQ*Wr-p@<{RH$p`3!@Xddl44oXvO*gpaKpoa zlo^7>_gn!D7drNl1vEu`l1_-aJMc=34_h7?@v{354#3QDmnZ{CDBZAe<0N;&9on!Q zNP4#Q69xJo=tyN3|I($ZWZz?~G}V8#&d$-@)LYCm#18+jh=IdLznA8Geymfi`I5W(1Rw8=7Crw-^6VwNx;uvj$VL0CCz8zSvKCoh8l24;fvT6&826j zJV`Dk&Rwu3+tv>u9Ch3Q&@~_Zi1cj$3cr>metfnbU*6#Efek4LEA|qn z__#Qgw{AI1_eil7F9K}TTVfM!V*3c&Gs6@Fo{sy@{JKbTfiwPku#}(EVRfoUULSEE zpXBv{J1qhlpVSWt$6rUr71tK-`mTeT=vbG6zi=9`Z|=jyDxNa_cazvb(ZYs`25KZ# z%bfiZhRAECkrOl(<1RksDVgJP{S|O9$HgP_AQw(yc%YNGg^XmZaWssY&17vQY`+Z4 z%!tMNpIL4?ILP5JmZkh1Oa6K+Q|K431W>&=xh3X?Bzd&k{+}K#jDL2}9xb|$NggdR zzy*tZ?GFi@xId5f-}S*?sRsO)Yp9~6u4ySfYS19baP|braNHxyMg=I+K>t_eN_CESs+ zkOS+%;BF`u$UeT27c@$2`=`+0L#j9!LJS(gAm#IZHCdecZ`9^zlOevhb2vGdWA&h) zx@OEi9e^s!A@iFNc&};9uGI-$O?J-TRwsX-98306XcuUeGy>`*w;z=>lqTEy$qWg+ zn>us8aj5YB@wS1Y&e^P{cD1q59HBej#Ax}}Yrdcn_7;Tk5thPj@%2&0DryCX-Zk z^(QTwqdw(hA`oVI)ZSB%s*m{(PWUCG89|s_0xh`?YD!L+WR5(9zngJ~UB`&2LqC!E zC4IgzE-X*@z5WDz_Zs%mcON1>;J-?9D<JuNW3s;X1u?#oU1wx!?2P0p; z^Wr4mx)d@|`QEy#_nf=#9T@k#1DOXf3XCA;l^|PuBWC=-nb&;|viM&?*xikUUN_x2 zJgW@G)Vv7~knOe*Ln2;jjp)=xEJ+KzM*V2r_u<&ob&ZQZ={J#YgX|wmjsGS5R9~_Y zfs0f)2$7RSV|Pq<_QBNeNLd8p@wklZw!l3)dNrXc0BunNj{Z0o4xDho%FJs$G6|u5 z`B0!u$1)Clx}DY^{{VD}9J193G80BSW3RD;Du4jEuJFM4Zu@nICvR$u z>z8q51-Tg1NA$$Lm;5NQRBV#K%_nEO4e|-IG6B#z|CDWPkCuvILPrd`4;JBw)?V|JZ6Xt5RNm!)HoKghy^_w=S?cICp8+&VV!$KX9DIsbO^<8H$vNj2T ztU3{VjCVH)Bn$s;a_Nr3Rijs&apfauFv$&ZfY09~K{B0KY~i`xbI!=f_%rjGLMKP# z`O8CMXpN??s2*Lp^+3-t!t4q%937kg2}%F?(|H|!_-3KygVyFv+}y#+Y82exFtQS^ z*N~MTIZ0t;oqOX$S&8vz!rz~i{*WF49Op&WyX9%-@A>#u;^#GmN4K?)XtdMTxeNV3nJJu9Y?Nz^C+ z;I9!nP;^y0PsfcZ|0{{=k3(sGC%Zz0L&Dpt-S=xs)|8ILu0X=(uDGZ$LA)7DB%yW; zwry3yBzg47hfiGPxD!P=PP%E?rl}g^PoR)5Je|OVxr&XdH*5dttYOY&9j~H4EaK1wQIZ zt4VXnVt%p;3vu`THiQ7ernD=pvd(DB&;rH&nC8}v|Zti=Pk~4cjnYIQxH;M`V`TN5ZmXVZC6&A;yx_e4x(;0I$9G5^BFCn zV_Ql9PHa};=qa5!e;qv|JGBS7BL~LV7pLvYf*}jGRfbK?-Zn`Vap#|dJ@1k(vFt<$ zx(B;^OFX0=jSB0gxMx7Q`^ah^_g}kI|8>4u^lI>Le<8;i+1O(K;a$T;VP-&e&@Hn#MxKDqKB*>yi=1$Tk>;L&Muo{7}Tg8gT zk2iV;`JpuNXb9;Hus3Gg#PMuKd+xj}c!m>X7d<>ngu$KV0H(eKmT(X^3Zh2NrRYH6JgcNtJ+`Ks=Up63j1ScA?`iXXpGY5jyVb z>y+R&Wog^|>3#7GI#0%@k~1g5vZ$}=D|YR8UBf3*!wB!ur5M0mhG1U%*8|b5`@uei zMV53;gNnyUct|3dDe>X_!9T)44nn%V;K(SWIKFx~9hVLxU0U4<)!4*!r*;i-N5jv% z_3Jsfi+^B2{u&=rwcbT(t@r%t!J>_*wZG>3ws^QjF-pRBtQ@ZL2e<`^92{5X*-uJ{ zVv<>+B=d2&5@dR~>;cnGkdQfG$MdPx_sAKy#l9hK8Ds^Xqi(SGjgNWeGX3VCmV?3$5yr{nv4~ktU`TKUp}jtM1wwH2rcw6+G6;E5ZAdBo;EQ0`=2LNx?He-z9FYzL#4 z0`PPNFn}xYs#9NqEa^=61UNuy@GK;}14TQ#(5#h?pQ8WKP&BTZc6F@-1~mvPX^L}G;RzR>3-+at{B zeLMg568Q5{;3)-Hft(v_jFw4A6wRS5lGH|34h%{mZ2Ifyk*t{=fyT9$!5A{VKmXvr z{sf|u>X}*Rssw6uAetv@IY<8Pcvv8dp72h{txc##Yc%fVk{6NgO2^8`2X#-$6rvl2 zTlMC1bCwS*syL8O2A*V*^`a?V(a81L$g_75TNxeXnN&w4;xi`sYW&9;yOd9j;vMVn z!BxDcJ^}ko|;=wZ(hejdA;fBlJKFawEi3zFl{j%86FxMbeInlEIiOJF5z)(p0LwT}EIW`5Gc zo3Ama5!wD*s?q+IHKz>7`81RnjnO0rHWd5b;(!>vib7%$Z+4b>{GUsT+s z3Cg5c-zV7?xbWI}TvP0cynDC^D+8B4+Uc<-_qvdOtbJo1P{^woG~LR4%(5)OhCizN zN&KSniC--e3qvXe-d2QOE=qm-?p?wW?bs#(*gK?Ug^r$8{-b!Yoof$FcKm4}ZKk_u zYL5y5=80;tut8)3?}Eo}O!{^(51P`)Wb>c5iZKo`_0*F)N}T-*W^}y;6+5GokTjru ze^hA+3GxU9dho5E@L@7vO9Ugx_ZaGbaR6hnA^Op?ZhvuE7wk2!esxeexC`I%vEcf> zn3BFX92<0quW;csg}45qAq`F)EP^jk6Rp-y-G|LDjuLEzC80deWPmoAH1xZdri5@&jV6cNCVuR{V`NPD={m*EI)DAWKY9M2|4wV=2 z#@HseY5jWI_W9MT-}Q!WNI0XY{Tw?NU_aJtOxZ5UnKiW<9s?;efFM@i309ti0EcW4vefy; zX@x1`V0NjaH(!S9eUgKr@vu10YZ*AtBE`Ia@0MP%!jhAk1Q#y?=>byeGXXGf0Yj)O zfCJuwTys}H&4rLG(=tC4gm2nX(?$0|N8U)e4Yo%9VHl=$ z9QHmw4D~sBzFjd)dpZjiAPF@W8;+KS<9`Ll`UI}Mz~%F4>Q;)rFA+TdgEgl-F)z$W zx~C7GsoVD;(~*CUnS;c8ljV(5M=~7AuEGSf&D~lkFupovxRVaT6X{ii0QXJOv6)jd zX_NdE7?XcUJgT2%^myv{4>=pC=)9Mhb~>6>u2NF#hKO#s^W1Q1I4V+ffbGPiV!p*s(5sUJ!vomtRktpfr4JdwU7csrPH@0-D^ zkcf)ggou#s%{9M6X10~6=pw=8uI7lFobLjJGU} z-ZiW?(LLd3yH78l&U0T#Ro{}c;BdP`><5^?7|1fx+$iPJw5+1&X!0-whghWlp|_9! zu-7T~v4u-|_rLAw`I*W3JA$=nCR*-~H4~W{rZv{7oWo~mB$NWU*(VyRe41zeR~@)9 zTtiWs>|(iXTruGOm8}%&Np=VS_$r5HVNitDA4|B_utq8=7K{qNFQ-!+fBPZsZUqMS z=DX=bkXi_HXEAXF!-U0tK@`Ek7dJu-miNRbkj_(9`V%FM6zYU3kyvRP(3D%|fvqCk z5}D2Z96YZhW=on*n}4Vv)Qf2r@7i}l;i4F!<-uo+w6@@lltt<9S7O~(Y_L9xm`Ek> zN4_4w~P8|IDw*g*Y@4-gn%u%AIVL zymVDUaF=v(cPp68`Q7bOvw=DVdb~he44BKJPrG3*FKQ!j3c6>7c^5}i8Dy^?DSls*(?rV>TSwrg2d@3%ZK<|>*05?Eoj|G=Js42qO@MK2jo=eJS8ORZnRr5 z$S4ipBf^|`E)kd4+#kqhB0LYQh4uRKw*->1aAE;^p@P!k>OvuKBC*;bt9#5$@%Yi37*&?_q5@` zHX8bf!Pdm6oDrCN#i6tFmxLCqSvsbqr_fF3leKTxd}at;2p&KTodd)SS6VBdH}KT?+cYAskvauFtLa_K7l_Kreilz! zqyHJ{zS2p~xk>m1>Hn;HbaE9h$otG?tZbX8>Q(GLF?TNkwl%G<}WZ*0{0 zlj3gQv2PhM=DXEw_%LrcDJf~+RIbij)AT20-7ssoqx8GpCy6gqRD9HIj>0x@hKv6n#Qm*6VGWLQy@47e*G$;8gsI7 za~k*Ca|(PD5Y=;%j@5=6=UnL^o3qdgq~2a9pQRj1VRT~TD4Tv#s9@ufnYK8#g*7iw z-UmE&f#7DnM=q>ljv8@vuBdkY9n|&xqZ&p*CVeUpH<%0!^eSd`!M4~{8jc1Td+vuW z#9dRyudDl*=*0>*-QC1I^{@t3E~l`YCK2zZU&#;e#6q&ZwgP0FAJYV-IF3R6c-#HF zY;I&01~^|iXMVn?&Rf`-09~iwywrNb20pCB{nYekXp8qm zKgR6WrRnM~c(!;$ruW1jru>^1V#z{z#7m%`#P?LMJPj^78wYgVIjcMq=E-d zjQt=Dz9lO`;OA~TTa@wJPuO#xUpS1JgS5{AcJ{@GKSf`D^hj(|CrZs<9goNSn*P%z zxlMJ)Didx6hXiy;{S|7lCqzeQQU=CrM{M)>?FdaE_=)j~N#6i7!}D~MaHIrM3lS}z zP+_%N4CV~ngn2{DW`8|Cx+vcJ-AO!o-B8MMZJ5C~)t#QxY8T}TPO>pLr1yIJ=ic+5 z+m0B%vAq$%s=EHx5v$X^4!VpTr0m8J<1QxFPfHrd`<3>WPA}=K_<|N^W2hm#Sq}2K z(z5{D`rd7$r8oB$T*xl+$$m)C30P~fK0g+RweCs(`&g*Y%EX{b?s=aNaPNJV*QCFD zgCH4gVUl)@7#`mFgk^4|=m*%jG9yN2lwjr##j&A5^i-@;97~4(`4gpz9$$+##f0{P zc+8L7{Bhn+R;$Ix9*5(v;>q@bakvPFCt;^8!+gV~uSuZj3yi6SY34B>ZnIfkO-I!k zj!B)KF#66N@SB+b|MB(R@m%lk`*}ybQK5`VGD_JbGO|)yC_BkY8Bw;3%uX6A8g_QF zGmcRO!eklpyqRONOAwdvRKLg7U}V<%ZWNd<%Tnj)sS7 z*O{uj&hG=}m~a04GNr$z@%^vzId`yykNJed*eOzspFefA^v7%DbN&i{Jz+cIhn$xX z-m(y6pI;lv-HV-2EPo4o7g*o3_GRd<&qgejX5^T<MNjT~*zvMKsnVR=M$27MthwHT;Z z3EfvQ+d9iLUT44J)Izz>yEeiZQ~2IQ9on%3SLv^+H+Vsbd1v^v^=P&s**WSJ`maZvokWA@>!5V1Gssa|BkblQg!hj24kil{!rICAn(m zVlpgZG*dW=vvtM09A~S!lkPEEU^FKQuU7Q7TpsxHv0i`^QX=)g+LTff8loj5q^%FY zw*qX08&MhgmuUv!wwFHH=QV@CoCU~GZftb4^RL;PS{>>%K@0V#^E+!4lwWAaAmE$g z+`=rRwJ%Kh&xU(5!i9OKRDppSoP>SEb-ss~AvUj{q83OZTwi6t^Z5a|tje(&&q~aa z6vDMH{QR^eGs%;daDROT`4(a3e&Px-FmT3e%QL{>+IC2Y^nSKZR0Ob>N}Zf+j}h-bh|u;Bui{BYZ1YC1Y+F*<(v`3i~uxtd0p!Q^pYB?|L% zINT{h%c^uBLh`7T4syRMAu&T}#{ay-mS^Am-DK&5a&9lG_0K444O!NsS5;aC9koV-pq&im zI@)=N?tL4A6agVQpH5o0OLckkL%wIisHurz>mXi_$Q|E7$(XK}^PGIfQ3Gm&?hIa( zWjg;sZ{k@8169FwhjuHKC70nEI}S(Qd$9G5F0w=$pUKjAMk%TwfkKoGM}uyz zeS1EO=0_?NI#7_SLdp2~XQtu1RcgbNd&xYEK#K-PJ)ixie)=DNr3glxn`1C9hM2Ug zbEot&;o;ekqRs#LWT*(S`U(R?+B9ZWz3ji!CNi@R=2rO;G)vr-|1O%(DnnY|QMox< z>}wN-AB~iLdB4;pP^b~Sk+M&kGz;Kv@WABzgdQx(~(~_gqVb{Vc1G~aTqWYeT zb4J*=Mh|X0w{@`PSjmC8txe)oQ&Vf>@drC-SOU#r3DK(R(2_#=g`vxrA23 znT|hSfFf#OrIf2ZFl?tAzO)+p`ufTD34I+#faX4K!e2^-nRLEVTiQSzZ@D$iCj9;S zj)Ll%tHd-W@XkNltCWuYxV+&5F#U)%JAD*s7z^EBzGRpw1O9H$nV(|xeV|lGfiK@% z_=nY(bh=(g&X^3EQpXFWnL78&Mg_6mJ@F{Ntp>l33{hwvvXr`x|_a}WQ zu>ilR5ETQwy*{k0r&}$e(0Qi!%crPi>c~hP6O{Su*weBo9qnTfKtLB~EnXTJ8r^;jU0SX$%C0!*q zm`FP|WCA*@*zQdS-|o&QyJ5VZqGp{y|B>mCzPgFOA2ZJwObpqmREfbz6~&$Jp97UN zjFnt7yZW)bb;+8WJw|&H&2N+fhGnB_1=W1#s&i?lxHI99PgpXEJ{f&s4cGnqgac|$ zTzg`d5D!lsQn}-u@_Fcm?S&QUndA(4*fFk^b#uIw@f-P1#)DtsKpHx;^0MPx%G?V)8RS6AR3K@9}1*zCQbZAw2#yA=Qm7P#w zcbrC_6n~s90NFk6KtbarHja38T z3c9+w$pwCwFF)8Ozlzh_+nEwxR-nytR1DI;2p=(o-ZsA40SFMohr@w~r_b*|w)aS$ zHG(v^&V$c4l#fTX=V|`=c!7Og#!~5`u;OnIej9GTvm@>Aa%`h-b8lL5YuClU{|er& zygs+A3-^IQ@e&atg?iPdB*2a9EAjw`gq=NSo(Hmf6{Aqv-giJmZYNZNp~A7MAKg8E zQMO~#Ph)!oJHYzp763zIf|&&Dt|Y7SaQ$HUZCo13Xi!=EW*@6qA&xQTk3*q7t8{<= z+KeWHu$i=ZFckdb$B+HX+Ld;u^Sz>*i6qYnZHOiiPeyijx|O8dRW6u4(Ew;#!;Uao z4#$KTVw77@1TNREVflyfozt}}Aq-O0n_J${-mSho(O*1x0DgnTk0l4oC(%(z0;k%# z!ki}LcVE*%qA$JWG*AvV?6suyRC#?a*0$U8>l*5j)z{y73H#{_Gzg z0zSg#yX4WMM+P5m4L&hhFikg5L2f`LfWR6}5zQiyP zMoh@6?n?wMFf#q9->Psf-vnLGDGwL$y{SVb1jTIDuD^0sVkg~G1Mayl8^-`CqJN1e z-NgmS<(JL2^{NslpeZ*eNlfO6);_$Y=!+Y~K`NGT=qpUj0_jZDm-hV$0$O|;z@C8t z9?x57T?@k`S%eM0ywLhNjRTbNlNfn^(rmbiDk{m9un{3xf4JL$ie@mxYDEYIOQ^F5#cH=iyI>TdpfjFct95M8Dl>d8~sLZ34*`!=?gm=X)SI zENEg_&}p4}eVI3~Bzh+#E>cl6QB@Ca25ney(Ci$zY85rBI-OKI9cB|W`h55&{{+_g zmQyS5m{`VUk&&14&elM}qOPJ34abG%qx@thf}X{_dGmJFrWV>0QC5>RHlCV76&NOI zO0zQRJ&X(Z5r>0v;dO*#04m+~ud~M>T}6p~`m>7p5H~XHMc0tX!y3jYt%##uTeJ&) zp)NKNE(6v-a>7@je!M8MMuW+8qTbjqyl&pN zCYw?Wp1ChYE`=+xso!wqJC%=i4vY0+!er_haQY4t6VnO&J;dMp1bpgvab&v#xqrXi zLv#S^)Z^<8yAxyXZV$V{BJJ~+CwiNh9b#-6M+t+2CxjtIn8_KWjPf>?NFXbZHIaNL zVV&oMk~DDdQyJHvXeo3fEeqyNI{2?CUzrf8rOYxUlIsBFe%jD3c(Qb^sbI}yW@eV= z?nQ45Jnt9~B^9P~DDMs`9yN;*L9QA98R9W7Q`?qUWi97iH-A+!ua;ev_>crBPx@+8 zF*QN^W~+S?ouM{mfaY-U&6^q-2^-665gDgo2B1#)op9kRuz7iS>vs>>4di~`s78F8 zPut2E_+ftC{DywnjwAHP*T!&E$@JLKs`7m_jGHaCVMFbJ*uX-`I@I@Pi&1Cn_ zN-l@5s93A6C!weWWhxOxaK7W!SF>6QJ|Ww<7~MSw7<+83WBns|8m`|=loz%i8yUEy z8Q?EhDi*M}Dra29G|_jgIXOe=Jiid1 z%J-mhZNW{7ulama-AtreBmk8n$xSjSASl}Tv!;za$Spx zO8@-%^I(D%4HKb}R8Pu8_v6m!H;h7TxJ89?S5)2gE$`I3gv2Pf{fI`qgR10%!Z(70 zF$pbR?ahiG2#**@5Q-8=FqiY(KZ{6REFz_uw-nc>=Yp|;0Iv^IKU+qoErQToV*;SS z7A0%z^!7gZl{qu2ABL@FsxwD%gjES)}yXt+OWy3Ays&D1&N2 zd3hu3bXy$hjIe(^G?#sM2gR!qI&UMa(>6{U%Obk2^KPhyMFEu8}=N!0NgeCp=vca|)~J3w+(K6T^1l z$554>rq+Pg(TAE!OAj(Ji$&imt&%(Jm_Uvlafo&9rG9HdSA8`z!T4hj*LnYnW=*AK zHOtczuEpMt`Ir&C`SI?g1Mcy?PxtU`^ZRr@xB2+lsTVdEn_K8bo=~e^SJX_aJG@om zfC_v-ackQ5NG+ELP9S`-Q6ZXBO13(EH9^uTzS-@+n!zAIDEmOXWQCB}A;hp|O)`Mg z?KDi=PBssyOhT4Z#kf(X$N*C_5sPcB0R-4GZi51)s=5R4Rn1XBGy$1_og%QNo{`x8c*-bJ?yU+)5*jjrR_r>hI=J}DcyE?j%H)3 z$zTeP#M=kIKcvDxqj1aF^m)7Q+<9+al7(jlI!?~81bQ70ij_E+kHmx~NKe++obvoF zad2HIR|m@DA&V7Ti3_?BtvDz2C<|qlqR!HIlcNw@a2|x;3}CyZEs7zaGwFaTXi&1d zd-^I?;2}rw@HE!Gp?d3ZU!lnV^L82rYx%$~7cutjbX`?HV@D2f$Vs=TrwuqhR2gT?4@y#i~ zg)$Ej*r(O#h4bRlrMqU!`gzYjee&qnkuWfH|A7OirNYpVCYS+8Pgx8cudi4yg8}oF zL94wUQn7S{+v%SH16WH~_P9YR8yFqk|3exR+0hWQFOZ+f@CI^m>>da{cgNy8_z?5s zVK?;4A`-;5?Vd*^d{@+3CukJ#Mt!oTrK4*?BqoM#I$wA-FDLT3#vs!FWh?yG9so`- ztQ<*6O}B4RYeEI>>+7rSa$s6g%~*QRTDed1P7#@EBHZ-av}qWwFq z`d^a9-P07#WYezmrKXGKx##2`yVAWQNklxI;qE5tQf9%ip~1_m;+*Uh15~TCDw<$6m;HNR|IbfF zG%YUeS26Z6`N+pTd-n7Jwt5L{HVM>>pw&k}JobR!sv9tcAx!cu&@l(hI= zcrpEeE=2YAf!S0Jsdj<4>xF(?f2UeY-gj9A>S^mbE0+MDLGq>cd9$ece?tQpIaKhvP4v@@z?D#Dmw;CjSc$~X_*c6Qg7%r zq{j!d=xChOdP3{>XA4vCEJtzrZJyOAi!e%zkLTI2;n4}t&hwYhEVe@5e@B@7w9~pI zOPhNL|0A+(NtM%oo)Pad-kHSp%;TfqoFLIUekrTdsx#_&aj{H5rwZH-3Zk#i^!z!H zcCFs)(Fo;e03id&Kz4gZ=EIT2sxL2LSkJ2?^;`ZDi~xmm8n1XwgfldzEI=?FMV)^i z12Vt16b!0NKyqTwxT*A$AAdva&ZVHBq$^TU>?8$moVyU%sfnv4FM<3q;|W>ft9)|* zugHd9J4g6_y$n~e@}kfT&$PSBlapq!Pf$okJF|{a=%jYh4HGV2eTzx9O*4*S^yJ+> znVGLj6T?{c*Mn_1LFz0BLx~T4|)c?ly*v-zd8DLnt{&3k|rm_HvY}jecy~w`YsuU{+5Pc;c0} z>5uvzU*n#u;1cRcJb%inb{-uIITeOSW-MA7T9i6}FG3&2wk3@Uz1iDH6AXJ*RbOK$ zc3@CYhvSaHPIYjDG{AZsUqzofbzQosqQK8k7k%l92-xvsE@-Cl<5WBSKL2c9`l?VS zH*>YFa4DOlfMBF|Mi$2fTOi(X(;p zB(J)Cdb!E#bkDT*tT$9Ifxf;vw{L0+=2WH>LzH~Bnq+DUb~4()d_V+&?H6F9?=UDRFbVj37Z_|Vt*7Wi@q?{+w-4)k&gqF%5nzHs*P$j zX*QN&GnH26S;UCDnY54bCf3t}+OLEe^*>#T6BmOwdIxO-itdD1Z{-CYr#nfw_nPjn)^>^ZzWEB?fNV~E2 zY06inEq?xF+Tfqh=6J>AB&_|C!00Co;nv}M@%Mjjh}j^;XPRQ zNy+T}#@P1DuWV19iFpbZxCU6){!s~@zZz}qK7ARbhTw1gt~D{gYyW7ugayDDPoog3 z>1&HenK<^WIQC~KG{4#O_0}pdmtl z)Zoc1ny!$`%t^QtweeN8@9bxazWea;)ED_i{Y6?M=6-d%UiQ|e@54h;tDXzn+pKWg zV0_3Y$+pMOZOeY^)rnSXpGdD~)w+D&O8W6a=K8RKF;0CiM2gj{Po%Ao35G)ovt-%o zw%^UVM64oHQM_>8c{HRlO8`Fu*Ns?JWotw3%7 z8R^SWtUM?K+!+)anp9q{So+z-(Do06vcsl=%+r)fe9m)1=&Xh7DDdV@%{XPG)H$w$ zW@rG?$xL6hNC{JQ1ozkxsL5b_$iZ`A1h0?B$aru<#^cAMEqk_<3!m<^Ja~G?$Uoga z3S?@1Epd&?<;RAKp4t^oNN6{Vm3PxWkD5mQ=N{)b6#*fFnPv%2w&{v(R5Uex2`V!O z!n+ITo11mTvpT@f5@Nr?1m>dB{OcdDA(d9&YkWwr#J!-kT&z#fps>NFFN=Pb!3Y3*~fZc|0Yw|;Z^iSC8sc_MjQsxt(C^)%gPnD-k!jmKZJzd8p zT(g-EwclnZ>9B}M+&7%O^}J9n%g!nj>P zx-yH)&?9cRe?qh4^XFl)Mxxp!EU>T*Z_Y)*+CD!yPlhiX)X zG+li;bScQGo?E*iRy`sv>Co!uA(RUU&}C92L~1RhkU7Xy61O2S0NE=TvM(PtVo?>= zU}M;y;AmsTJMn0LKCe?Tvyii?)(fii(g`8M&}K)eh7-7q=L zOe-T66v^$VKnd(G!xS%eD&>mJZn82yLaM9=xD_6Ou`4+VZ!D01Fdp=3+DbmVsbEQY zsjL7sfgTP{JE2%SXwycg_6cP0z!7Ei&@%OdnSz8~}PB^umW69mP-rc`H1l#r^mr zWYHpul{g{_0|L`)GrtWt{YK7RoM{qxM4f`e%8eU0+)Gx_PRIGSbOg-zOtpvgM;BDO2#{CyLaBtpzke^w1RV-vv+GWU&73ts$v>Vum1w7E~FhqCG< zs@_V-&zJnOaaTO?B^OcI|M1H(IpQxjA$Mf5|Ie|vw+!gZEOggWP+n1}qUGnkm#^rs>cb z!v!25?c#cLsLJd~ol052UNf88{jOYTz=fclVJMqXTXSx9n|Vu6ek=K7jqEkGK?^#% zxPzYZKXzAFPn}NVgA|&@Uf_{EudQ_sS3pGxH4azKiTbBe)GG-~p|)c_NG0n_bJx{Z zw6fQyOm^~=KC7S=#h3{ffwG#k_4Qk3t;tXFp^vofy=3|BvI-K?r~Ui(sheTsau7;# zF<9`UOHfmBU4$4=LGOZ7F;$a$r{bIx@+r+y;mOSSlYdM%SI!0KsSvVhCWJ)$22F>M z=$hK;I7imng-FWu@))d~bPtp7mrvwn^R!2M+k_ z-M3KheHsHxHV>FJh(3v%>0r4i6Lwle&_fvRbbJm2H=?hLBs3_SMb3?XMJExBzHkk+ zrnw6a*D}&R|ez=ho%L%WV{*5+4Tp@1CH(Zfui;|EeXo5Zd zfH}bRY$u?aChidZPdQRybE(FFFTq(Q3N0oUmXOeitcvyZ0FT|XHabjw-nmR~UjOYF znls4!v%ewvCQ;mhm3bkPF?oYGq&;71gzAbWrBX)lkFaycdA`N28_-w#+FQ|GdnzTl z$y8f=vz#c8!^SzoHdP91gds|i zym-!IV!J>G?RrXX(@fN5A=-Std{||LGAe>yM4VQBJHj3KkfH0HL!Blo=VDD0!GQ5^ zHRx^1=;v7ih07@;;mVo(!~$CA-Z$Ba)!WZTzOOFb)47~d<)uE+F{7_0{Rv>QY%F{P zECZO|Qil}Ipj!n}TY&D{seeqAi<|siP~_WPT~kvqqV&9y|JC;~6Si96SKp1~6a7tX z^>wbM?&&Ex{o3hwkhtT~po?vL{R9>!C!z$gpaB9uLBrza69H)15hI*BYhG7(5%Hny zIqn)gS7(`ktAhr$Ulr{(b`{0u_kEt_sLbA&v!^zHv+=hu0v5EXb9GCc-auA^TW0oL z2|YHDuvXYAY#`3M5|1-?4`(MRX6c{#nlp+CNqk~U|C!4Ly=W1p_7X$He&0)*qRP^L zA_2+^m$Zv(PtY86b#ckBzG;e>L2I|>)t*H@#>{)Gs$VM`9q)Odx+lnpu{!K6zXTBP z^5@r6w51etQg-#0KW9i;#hyI8Kapm+j{mlRqor~a{k4gH^ygFW@$O&EmLB>{q;zA{ znL^{Ep5HU5meukd5B*(Qt7`WsVvLLJgEP_{!Ooq(Xk}Y{pjELzOEGG>oRt=K4`^-oXlfr(POrwW9&Yy% zW;0I|9HC{vxg8-#)v*|dwo;eSNAb^p%`=}&=B60*H%6bk0VctTfI?&fH8vl@2Qc^) zmzzBJ6Mft5=#cQJS$`#crF7se@YjVPFI;T8~9g&POivMy`2`NI%q*=#_Ke6toYM(p1N4ah^qGEjgb416$ zRsG8Pl1)2gg;P_y$&-x5KV3BhX7j!2=Ze^1{9!Bys>D&`N|A3v=bvnnAWrtAGj6n| zYN=MIn#$-0ND&gZSuHHC|8Cb`^di4i&{IAn**u+2YrCx9G?PL$5eGX*WDwW00u}r` zh_Iu+3|26&zzCLITg9gUc26vSgnpYkV(9q#t+exl@a(058qSH*Q!+HM^zMXGb`#snN=3gM*Lzz# zJ7qQ92fWx5{TRZ_&oQ$9+|}T9>=zrqBO{-f5^YU;58a@L-RI-iCrQ*df=sv`iM8du z79V>XUMR`QxAz_wO62JUojN~lf!?kjjj%is!@Ni)mhJL83GWn`4Wzc(D7{xKIiXYEK8pO>V8R%P46LBnW!1!+^{Cj;6#I&Adb+* zGT<)+GM=Av8c5B3co>3tQ7G;|1GrDc-*bfo<%{?1J!?f;C;lI^5pQ7H6$%(z8BLELmoyNTmezv|x)Js+V=L)!pcJ~XgZkbYI+XN< zYbFhSa&H=E>muIQ?x`ilTkanXf%2I+hb99f<`eOlNMePI3(9gwFmY>Wecl3S!Jj(lumQU0v<&d5R&2_R@8_H|2cA%lX?0{*MjRMKimB)+Eeqpt()q&4dkP z;cLKwG;W}Ps8ZH~J(8A|X5ZxY>C$ElJ;=BJ^YX8UEza(K+5yt$bQ*l0q%vgl8Bz|N z-QcmPfdI}Y&DM^C;bTv=qLa~b%x|&V*VISS>I$Zci-YM|zwszoSSMK>lGkYx^V7WA zJYX-_GpF(M*4wpyavPD z$GIr!C6x(BQ3y@=2Az(;>;o0nbxg#%%8JadEf>FbrxHi*kEjWn*b)7~%b$*<-(p$y ze&+0!5mlgD(9O#EmmKlsr{QCL>(Y9*SFc_L1_pk%_mZAIq{dXJZ1j$@T*NCG;}XG$ z?{OGiN%iXiszggLTI=@i<)x1wbIsdNl(!ZM+tTE+akEp7@n?bqiegvs&6_t6@cR(n zAx_gV%z{uO39aXUMu zIn=Glj7-Y6en)HRnSIM(uNWHlk@4+yHVciH3prHp@Lovk{qSC^lPhsAO@#=By#!vo z@}0^JGUYCgty?uwmcWh1wy?ytrV&~tapN*?@5dE!K!)W}`yKqcrmN^z(dmD-n7nXS z{>Il;^w1^f=8Qt<7r}X5|7Ta=yl3Q~*C!F(BXFXht3LmEB+EppV0@N9@S50SIc%Kk z3Fe`Kr)LS~e|_Rn(A6PC0fufp+|f_UX3A;-~bCJ zINg!r%VVEm0%nF2ZJFE+k5RbGF}VOBBbbGWR=alZwuFF$@W(lL%-DW5gqH0mf#Xnb z;QYRW=TkAvH^N#k%>W6Mifu&c3|>^1J0=vO7aNO$`{Tf z{+Wq5lo9=J2cL6W&OXrJbYSYIGI1a9n|t65DwvI)Lu3wk`c0c|&oFzLSE2rSvhAEL z3adO43_T0s+m{16s0JoAJ~8Z8*cU@A{iYoJpuc2RI%HMGp4CC8TV58H-ARm3Wh^6PX|Pj zJ#I_Bf6Haadp9*@hq&MML;68$(zuMRcTctzovhe1ny#OEu47Pg^nP**uSAnNcqDR0 zm#G$9F%(8L81JJEoZWeJtx&c#)VB!-qtl7%UUXR};I>;htyLrGszTc3j_Omd&Y)PU zd$v+v@ZNE}n-w`^WeqATD@~t;GwHb_ zd{4}+$1{?LCs*s_hZUVDtk=4jI?09x#w>Zw^*~m3kkgpeM{wy6u?_9lKIl5@UO343 zW|Q?nl1IG9*{GuA+&C_oH8nE?^_ksOCQX7Sf>+CehFRJQ28WGtN|yuSk`Wnm38<30 z5DL87ONSRxRN?BfDiGxSKULV=E@h#@%!jSGW1Q~HVh~Rb&ul>NA>uxNAj^pWJbO{% zr!rv@f%C#E?WKklfN~WicE6OD39NJ{LCAUMhUk;0#5LtzL;`ECj?K9x7MoC#Z7mbi z9q>`QadF+uj+XtFz6X5=*h!+sP5r%HzNgCIh0RI2^CxeY-y!bwiPF32NAw=^i^{CN z$F-EQc!ROu4WZFrNgwpQc2(tdJZq_2f90-f@9(+&Vd|YD}@-gK0u5+2%zxLWGY^bNu7l;dMRS2@w zUHt-ZO}5T(O(+;Z&Q)kWywL1}T}aQC-CuniSKgC!#X{pt;6`*{MDHH2p88>T=ra?`CXV^KIgB4wHMn`_{T|vcVUJzo()D=t`+uK` zd7;{VR!CyyY)^fX$VR;!bPzBmsgIkRTfrf9zpAR>O;dvK4Uq#A8{2X;n<$fUlkX_Z ziud)tK%`OR3Xz%ZK;B23OZ#{G(8lKH^-kT zYN7r@ok!>mrKF^|?JeOFSu#0s23C!fs_7d)u_HcKxc_Ktt#jXX$bj)S1Dmkg@8IuXW0Z_NBU2dBGBWP# zAM3gpHSsg1Z>f4k8{Mw3x#Ge0fhk^99H);`*)L@)s2bz3bO)# z{D_!ry$hz99!&m`JX=)!yogrSiw$KXLLo~@5J~NS$IV_@j)oG$ThdaCO^FA(Q$YEubXHABT^7r(g zl<9p#$-Ev^l`B!*8p`j(wXu1QcxdSPuh8}7Vm2_h=RC861WG4(==3bl3D7 zcA+~WN7YUVRO;?7Yk4;MA>rim@g}*iG7&am`Fv}iw5sXn>HFN4wWW%BBPnWf?6 zLA#A?EzP^Z_$V>UqT*KCorDDGtFOkY3F#)G3$Ae8f`sS`FRo(Q-&d0u$oH`wd_GV# z&cYS^G`O3e7;tWycXs}sqA!Bj3upS-UoLz_h78S^q=cIN2NE& zX||(aLq?6feZr%#FTJRE3mYH_@2gavFND;ZnzrVgnB2!|t}gmB$@awK92Sd{A+(Ha z49sG#*U2OuCP0sATdIEbJrTaArFHT(&-=@%$Q-?V-$6wA0GCI`i`dy|NesYoq4sUxFW8lE<7{}Wt<}D z@FDNZ2;2=Fwm8O)1^#_k^qGNW{yRr{B^@q^1~BUKrTbAjs6NuIDZZPo*O;z#{lseY zgx8bPS{)RBm*gGl+_GBlk>&N#FC|Yc^*8XXe#N=KHjHfN1BBbZ#6U8a5XXl#8M{BV*W*G)o= z(MTP!7of`(ET8@|T!&V8Ob@~8SRe>< z^?_Sj{p6+NG-qnMym2N~3|=03cVhB&@IS64M;;&Cdf~#pjQ56zoOAAeOJq6>N@ojG zXyGPhIr-6001GR{Ts}JWqNB*f&|R>+Lm)sjPkf|016PAS z21FQ(^}>*zniR`{i;3rpsMNn>$A4GOTNotTZe;_a2${EqPx9GfDmXv<;9-2KhPIJv zHpdqQ@;ToiAs2Oh{dE`Bbcrp`qiGDfSs@g~3|Mwn8pr57qJGRh`k8yD|D|R1XFPgS zk7V=Z*7_pFJMH7k8jw=EU7ok}*@v(XA4Cl=k2d5vuiQ0zn@(?}2yWvURbp&5Tp(fz z?s7wty8W*)rckVz7uIat=7k^mTp^Y*)|2WQ$QndW5zAfH=e*OZv~p(h#=NH?j;0qu zeqw)~_P=Z)g^T0?QX>5ui&wkKo|52n(TF7Y&Jmjj+F-e80Q)}~u{?GIy&g%}ed6+Y z!4Ecn;%{$=H(kuy^LCTn^n)?^c%70;kbjV)?7J=F!9;n#Ri7~1N=4Im&lX$@iV88A znQBnyzeKBBtC7_V6|*WqvQs2YveWn|pJfyjK$P&6xKKxr8U_3W_kZ@ys(D|K2SyEq zW?QfSbHU8h0xaCa=Q0T->SN7jC(4r?nP3nZz=l)Wb1Z)Y%q04HaT2wWLY<^XY7c`5 z0*f1azJlpZv7|22p`)cs3PHw+eGq#*zsd{?USqhmWAN>rKPeyervlF zQ`qLeYMvv;-#*vzWW z3!v-i9f7#zMeI@|8=ZOQYHGi7BvHBeXsX!=S8^I#`G65a=y*rre7FBI!}|5<=#*Q+ zTnvfkRjdsfDKS>-kN!S%a#wW^zBuT1=8^ilM5iN{T^=;$m3$rKAo^_JTooSG zNeH&7$`aq%zfD`b-~aN-$i)vA#^4u})vT|Vp^5`JhksQP{6z?&8O#%QK(r)Jfb>g` z2jeS+VA-55U7E$ujgL_nmRhyfY;c^v3Fh{v0HGkgY`yI0zdkiI`cwoY183?J2YD^Oh*flM>=^tZLmAHTb#E=yaGSo% zkJn>1?;P)CHa}XEw&lyqWrF`gDkX1ix?wo?Gw<7-*yXWuwHuu@CNVTi&;hK5WaF>I?#`+J70+@C=MEvL`N+AFL-)ExC0NVPG zvrXk3IcsirPCeC&4Vmz+A%@+<=FRRczo@7NAvuJ{!kqzIn#*=uINNM|`iyEDew>n; zFl_AWyK~>Kspvu984trvsF}-XT7K?M2tJwfyEe&R_0!Lu2Ukh7mAwnTls7vivGp+G z6J3AUqRua5m z)`GE!f#Y6*QkI}Spmx2&-eNX-93{b`j1H0>Cq!} z(QNBENY|U8Oy}b8+`Br*$wG5H!OT&i(QM&}&$4I|lyFYPM{7`GMGCR9vZi7dtW}ve z#l*79IrQZHMEtTpvX90mhTvl+<=#BL;29RgjOhg_+e2^&7zFAU<}9%r3Erno>9`I6 z&)C3i1=0*4AM+2r{`AS1NAU4!Fb*Eb?h6_F>Mlz(GPGaxXpk{ z=Qf2= zKM0{=0hoAQ!%0w`MMN@Ct)c6oEKpEazm5oh2Y6ul_bkxmD}L|P(b2ioR|=;Fe~E7e z-wONIwJwDj#ttFP({6xw{G%D3R*h9R7dRxA!0ct~Vz4(G;0lWzTAz?0tTP)cCcmlj zeat}4_nv;=T@sNw5TsbWj8oc=XLU)V^!8>WZn*YzhOI>UH)3t^RpQO`?m^04>?xsj zI$UP{%`$hU2BL8(jGNc&UvN&r8FQz*i zz7B+{-oM;E@kr)&h>d!YH@s>oa4`MhoES!OhyD#iH~w)1a;=l3W`4M7@*MRlF|+E` z&gFcs^YZg`Ve25Ur4}-}PZ!_4KaD|B6%M4WX4ST1BA*6!&2j;%C5yb1`5nR(eF>)@ z>w*quFv8yiaUS>+8h4RR+Ht^Ttj7nOb$`X1XqWn%_;n6~@tOjJ-0#Zg-*;#hZ>1nH zuWE)ZeW7!IIsk0xtJPyFBBiT_x?esrxxQ)zsgW6n>g}JMM1bCpqn1uNbDGGzk$A9P zDcV1qi7Y&_lsW_n;D6+Cga{1vj4h+Bbl&)QzgH8Ew8gt(aZnUZCp-sEf0{WiAnV%gVSe`%Hu8 zWUCIXpZ;FpVKOX|wr$?G%bMXCLg?E@c9~xld-9eTDzfKgHiW(fvWa;#0259Url!OZ zTfR=R?v5mnnu{NAAPpnj*LMmR51jqrKB$^^`Ag&(^{}%#omX0l9|ml0DhaC%p`w95 zRy>-0NBT=AOE&z3+7v;!7Y<7fJ%1iKiuzKkZmEY{R0oP3Cs+N$Y#D{Ue6r&|>w8-Y zvIz&LsM;e`G*x=}IGu$s7jXoGIT@yT))je(0~F=H zO^V0Kx_hw*w5OKDV(*3#U^xK3sk;jfivy$Yja#(s|21>q$RtW=NV*ngow-cUDl65Y zSIj{nv{LHvf1OgGlQ|6bV8)|9N1vS` zCCU0<2-}pa>t*#4p55MF(}e#-g$YfD+{AFZzlkphVy8Fn0t4Cm2^Hp2_6{3x3Jces zhzDKMVeCc2tN|OPA-WZ1{S*vXff1}gu+6U7F|e7@i!xesTB8`Y{A*IA!-916we!V= zS{n*l+CZmzBj6W7KylFsq}_9HroBuotqvnOmsR&jQ+oWQjC&SS@+FAkWEgpbf>#<1 ztG~PVLpI`}-XpY!?v8V;r5xkM=+O?IW^=#a1+P>dX2OhDpE&s6(6>d!X_YJ?Vz(`X zx7U;=hq#@DSS(Zb`Y4+F64rhw6b@}0O_O_Q7*iM7@8gg$IX32g3gu%<-1#W|KW4P< zhhuEvv{MLmX6={zyw66ON+)t!`fI=x6@;HCF)~Y;O7)hwRRVIi$io$k%f_K%t$IMC zsi8rP0)ZEIQA}L9t5}Dy_`m#w`ZzZI)pItx~_!rA?Q}D6TN4JW;L_f<| zqZT7#k$Ynoy{&Q?BMmF^Ji=wPQ&Lkm4RK=>)8FGUq$LTy#0UO#c6M5VaX#85KiR|c z7X9@DiR0Dogc}TSv2KktCSJ8+47y1IMU=Y{7E;PzxTUEae9B=*bONo0QOpF0EDt?< zPNLO4o5(oej~o`Kh5jD*X!u!=gl8Wi0}ulYyXU){dCg5O-x5AGixMOF@vDb%`6j-@ z1Z1c2p{D5Hq%8$6qUBrFn?<&7e|Mr4aeO;Wm0%M~XanN9ySp{U;bHa@tiLMvfRZ22 z`AwN!9P{?ZmeMkYKPHSih{}l|Nl)Io%z(8zOfl&2e(cXR&`ia4586B=2K)LI?cTLZ zcN-mPC&x7$j3pY;IgqX}Arm!FkDIRq|NFM*|HJ{IC=S*91te>!v^~DG$`T_15w~Ee@=RF} zjF(t9riH=M!49?2`@7L=64X*$Z{NP>$-JftggRn)ct?1Uc8o1}b(UbN7PKF97NJ@f zz%Gw-cDe^gzX5s8=V6}Iu;>%WPtK!T@$JW2N5BavA~9j(`=4vPX}xJ-XRBtK1z)by zt8>jmP};7eB43}iWllD-uyFfZPbjK!q%{}&1)f(R(}vnm3?4NU@GPmQA6E?ZYhWPr zfu`Nr`H1m=^q;J>3@Ys(8>a;`-gK1kxJkv1uIPVlo(BeAFA;k%V}VY`bpLdkk;XfK zlXJLp$!iebTEi(;GLe{B$WK{ymye7YvJgTO!SsW`ebl1Ls;@j+`}5(4ABAsv`;oAw ze&y1oeJHY6lfKtS{?*E{?9yRM~#HD?gN zl+bFdY(FuJ-~?P7?p#y3UV>_SJI&XyuIsWVPY4Y$rR1FjDDE6h2u=yb1(3l020S!CCxDVE~5fxO0)t<#TW`x7mz3gn| zYIe-^<*{%hEVBAK?vi}FgpM2X-}m@)8osQCgvDUJq>8(l5i$w`>j+1z#2I+SnQWCW z+6p7NCDv1rZKpseE~`n@K_RK2%cT);=6}N@bIG_unI)2g`)~c}16rw6D44K*Ki!G- z8(Yr-@-w;>)yug%H;9VfdwlG$(cnIMmC?yJMXQGSde2NwvfrRzsmOEd-d>6fjr;lv zca$bSoqc^af5Q&mqPDXfdXK3-lQ3vthn^OnUmy*coRqKM@-SMa*e{OBGE0e{!{&;v^5UCDxWuM*-?wewS#pU4)F7AfB zTh|r&w@2fV!kPV)<1Izm4r?(n!}x%WE{mW=7!L3@GBO@l|3~bNTa(wmqD@0(qAAe0?6f^TL%O z80(~GRU+@P#v_-?_iB_=B^sW7W4c=;X5s_+&?n5Zku*DeI7|i-4VYbjUfbnwz15*p zWy7$zPN{s~r%E`#&>ta8#oFJVK&=tXl!&2@SJrI(4F6V^@h~)zxmsE~1h9|tXl%Wj z?rzvw^!Ggf`%f4Hk5RHt$1M((Q>LV(>`Fwy$Q&#?O>MP$Df+tkG~GKNN}~n6q~>ez zlbkJD{!=$OcF)i7(X{LrD?LU;@1JbW+~GFV+i^4M)m2-Jxa;q|oWY2-0#)B63ANXSL1-4lkld%g=>gu<}6jUX+;d zn5pPMj35S}CTP7wj*{_dXW)+`3bF57DV8_a$CMb2_a1Uuv3c3EcT}9a*XFYXc$Wir zs{#W_0HZ&)geU(NFg){BoEY%?mHOC_^FE1^UvI5U;S&4`K{+(ypSGI4i`S z7S+ENw?sdsuh#QdcBqRQH3`$p738~!@fgHa>{3#fq7Of}dvM<+=HC{rJ!nP0_w1tI z&NsbZa55;w=umv?Z`}WQcJ5Q#Vv4BNp@$r=sHV@w|16mPCIy&LE;-k^(J&@1PSKH1 zNNB}&x|1Qp%=Va#eer?h|)6X$-`horqIWHfr1^FXDCo6hP^sI#RW;39kE3VCZ_|DWjPtpvJQ0cJcd`Rqi zX7$KRAgt#cgRq(O^7r=sV$JogpBadBO~oJyC}+!tn1@xPXZ{~$?;Xf>+lCL9Q6eJB zh*HQXl+2JlN+BZ2sH7yx$Vg_BN|K^NMubQyo8oS0$ey7ndlSm`9v2$-^E~hG_r8DJ z^{qR6zn|;6&g(pn<2a8~NI{|T>240~_`Ed|N!QQf!P$99-O`A7!PBIT<;-?8v05>o zoW3^mZg;Ubxx@cYMnj{@6KU@KK;Oy9srK}N9II>pYy-HoZQ+zxmi9Qqozu1%A3fwF z)$j%0X_#&l#x5wTYi1_0l}pBfw@2=Y>4$R*N#usjs9GHIacUgOv6%W(bA&#Q2QIc( zuAS1U4e+A<{&7nQZwx&r?`aCTrkiqSuAx=#{R(OK(I1@7M6wVeLUT4dwQn-&5J6`#>r68 zddo<&oLCZH<&fB61_~^)b&!^0%NkXcGVzZ`A;MC6dyO|nxb7fU*Ep+bYLZ3QiZz|J zLGHfoHMm7Up@gGo2 zJoAr}%+QAqAFdAM!6IU@K~QMu21ph{AT+HrjEKhq=A(g{g!$;V;;d61*_cSxiLW(f ztA#MeL?rU-c{kJh2QL_^dBt@djUAVjtj^;%)m9l|lwO-ZWvUGp&@KpP^RqZd>aRhy z--Pprat2pEn3oXE9>{gf@edy^4;PQ%ZNP#YPJ4>w&NNkwU@hG~wBw^=j-_q?vR=Zd zQ6R87h{WV79y^xMF^r)X8~$2B(0HnGP>E9g=L&XYOoVy-_!KR=dhrJ&@$1k=ejPqx z>;H2hSxcAsj6 z9TVYNau^)9U(k6g01QDE3o!OH{(~z6F*F!Br5n?l$e!U2}8aXx<$5&<3` zxXzSqUqdBX(i<%EFwB1*9#w?ruE^1Fr{F=oVwGj`yu`uQNPd*!)(n+v{(CQr9RXma zvhtB6lL@!qQK!}Sxx;RTP4wCE18cDh&r<8PQXBR<#jU*av}^B)*!|s$!f;(QiTUWf z5Diq?FtgT6Vb9m6!Eav3;HLX>lY_}FR1p~j(;{hT_aYyJpKiNDMzfKF&=88jwmZfe zXQs@vV93=HeMRI3%-FUY8$%VG<1IO*uop(L=jv=$XtHgOLs`m`eG=l_bCMAl`HK5Y z!s^cF^gvGj;Cd_W7t>z)l%J-D;}qVL8H{8I0?Lzc>78(*wDl9_)S<*-rW zX)9TtB?6Ua^4)q+D!riznSi70)ppU;Fzg$LVwrZfX3wt|Sa+bJw%E>OZ)*6}J=+o3 zI~nR5gb<}+5>i1gaa+H{qjv@km!b+&ojU#{amP2LFbGs`Eo#K$@9QBwUrtY# zCIrSQ5cBDbu{T&#-N00uIAC!8w( z`I-ce4uXG}F(JTi;8BxpULV)$+Dy9Y6R2|@Rm!nM)p9hvp{>3PX( z=jK3@CT1Un$*;@G@fI^*G;}fxWzWhonpAv9hU3e`bJcrMPC4uW_N5%Uz*MWK1Z^r! zOgUXrUH4V-z|N3x-k&D5CSn!c_Cm-AZcy*o5b*xw7X^W53eo~qZ*Mf*rsBHi{gO(_ zk&Wtx03*lFl~x~MBE24a0 z{Cp89{ab3iUh3-n%!ulw7aRK;)kU_Zt&Ibz$h*Di5tl}5aLA7jKQC^0K=2LDl;W_| z81K#WewjDbd(r{M8imCD^0<5(Jv9Hkd1FN>s;EbrMalsik6s&V7@L~9XZ_CG+{mnGj6XlxFSQS@oE>c0 zk9fC6>Q2nS5bpy{`u=l=z^FRFej(f6_Xjp0C-sNI!dBQu2J+u?efdKGM>G28Wk*NH z=Gx^C)$_TqUaix~vc;;#ftShJz1baC@>izy2Xo5QiHwO+JtZU{K!bd_{l)51NbY^r zxq+FDKDC-!M^-aiAR=CK%gWD84i%&*7b0@D+&!LbuV?Tw-QQC2>(^J8T453^ zIbD4G7%25zR6pg<&$tv$b4uGOq78}{)P`-T8+{Gw5}fSNP}p%>C1&vs_1T16Z5PsU zrpk}#F{Zkpq+Yi;osjW#9sT?0y{cIKlb}O^#@i5`+ux>&%PFZ|;CmA%6{8_(0uWD&nSXr-VL;tZ9E4diPDx)fqfMBBak@ug)noO1*cSF!i z;Kt-Yp{rsZOE*L=JJg+uIX{06;&AMeaFDI6;u-tf0?aD@V|cyK;UzaiLJDD#YYC*< z0rP&Di`W1LTj^3DnoRRt?-G6TQ1w}l_*k#Oqr)Aa`sk*cOw{sqD8_ZZ_bbToGB-Ae zsmcHO=If>F1__liH$K$y2@Mf%11V{GABCMfs6V-U}~?fh9ksh#;3UZ~UYu#(ax z{d-w(M?5#4wALR|PM6ZBIBLz$l*2;{F`Lp7N&}@rawo%;E`-P&dgNSjNc|V2!v34% ztxe;L=VZnxEUeZ-AbewZxOrg>)J75%-vO+sl$A;*dN}1YPXEYoKoiXl=-6#0EHHrd z>)G)~#S=gKm(}&d`t(*}a`p!r)ADpHqZw=*eliHza#iX*qWpxl9-E6!;^3(>nnyQM z(yE*r7Rj4**av&p!A(g9DI6}JZ2^%+2m3e*_ffVtEqIR!kaP}}c4G8Rm3jO?12p9q zdMvVsl;J6u?84&i{)^C$zQe7gx9rmDxU0B3v+_d4_+`0vW#e_gvV|y$Et`4_*pXynRA3GhUOY z8i%f>i{agp9D15ihIr9*Tv6Y3S3D5KS{hmju)?UCQHqF>YZQV1wdM}&mhMzt|&xcv) z*`$03K6q(-V*$oTZG1HbWYUC3K<5=jvq6vYR!mrR=ZVojxB9cuA$DE1@t;xYkxDsF z@(|D)XylwMwyP^fO@|YM5POEuLKuJ_+jzV#HDOhP734v@ii&wjZNEdZ6^Aa;O!u;U zGg=By=BDXBFZG)fIi9jPodNr)GV@l+EpNck_dDBV|JM>SN{d96Fn6S4-V!;RnX`*H zJmeJ)UV{10+0>-QxMlr=H#;qi@Ipq3QF9mh3&(~|;&4THh1ceh5b8@XIP8pIh@1VJ zFh*(Dfk;d!)g$IvomGjfVo1-{<!OS|4JJ5;{a>mXzN74PYq!&T|Z zchbIc|8su5rrCiCWOq9-kSRpJL_{@NCt_RlKOU%y0}AcC0}8}CerW-Wk6}`#1n+UUR*PYh5c4kd zWzW`5>AT!ITy^hOsV!f)4?XZ|zFU^NYVAtP@IQJL3 zY^O}*wx@pGi?AlhUqgWtu`(QUj8^^sTQ={-Vc$-EWSaY7133#spVS4|wFj+wH^nN2 z`f(!gaLm}$&PXqs*V>Uu-*0c6`u61G7gybB&-iuDD<)#rn;jT=uUJk`WkUtA%keBA z^oMaZEA0meq5_+zZ`K)m7zE^icQ9$k|D)cv{KRb#Diep%hx{E{)QE_qbd~kHHJ(GR&A+E->HK+UfA9OX+ zj+^cU&UBHrW!l!XWYdp16>Yu(g1eCih)E?rQcr(VI}pg3K8PLp+h8$89zRBu}#yehUZ z(pKR{)X^EuV%s2t2?iS<#mltr-#z@~X2uTg8*Du&v&T3*nl{ZPl-20C)FC>m+>HlV z>kRg93STuI_F>@#a4ff=CN9&xub7~9J;L;Q9egB2FnBA2%QnaRrKWOUlF-y!h3U1# zvkkqERFCe#6uyih@yrz`dDhRoqDh=Xcd}9w8g|9oiqU zh{akrYX6MspIY+H1_R^XiJIL z^fPz3u0I62uo703)B#+wN6u4d-`=#g<2FY@ zCTilc5<3f1J^4u8=g0v2n3K{j=yhGBVo~>8xm=emYsG$4Ic&32F>CY;iNnfM=U(H^ z+7uoW8dqcQiJan#0r(}iwr&Qy*=bt=ez7fBJ@=VM4SxtJ^ zq7*4i+2An3^aW`6!jP%8UObJoVH z%s)>PJkR?)CB^allsOW?p?We7E~FeBSq;xYyGR&LXnXFSAU0rJsEm? z-?|Amk zHCeqc6!YoX%O$8rb^v$+5x?L9+Fx9K()wQ2t(Q_6cPhR$J@eT}q^nf7yrRpDUxK?y z(&w^J;LjP)J!aDnb*rbdG#OR~?O^L=8>Bd%>dWdY2Gipx~$)ql!TOQoA^jGLwx*VA|tuqWlIK; znT@2dy!<7H{w65&2AW)=cihwL)s(-GUEJz=E`}N^O+22*6ym#kQ%JB%)N^_e2I za}f}J@Q!Dtth(}f%)I{gor$Mt`rv62Vmu2)n<&rWXS+fr59TC&bpx-El(H+gKw#yx z^TDLR$rrvqMxFEMc$}{N$n1f2+!xl;1)tD9!7;O~{uXNe_Z9*2>oLUfOaCT$O&P)l zdA!TY+wY=cZI_3rVu} z<$pHtJkI@onHUI?*14zEWt>!9kc}ldNr~5#Zj{}XEXT7qS$@l2q`W-u-zTxwQ|hjw zSi&;8_gGreOgGbMr!#@M(@ue$f(pU;_a}bp=H_=bro|aL2@9^k4T)vl*XmQ3M)}f~tzQoGn>@u!`u4S2wq%Zj+*B zL005oUHAjZpn?xbghF868(LdigBN!K&r33UZcOUJJayeI6!wfbR5rV_@>cEFvDQk) zVl+3GOnn~B)SDk6^XHzOeN{$#-F*2Y&WW{6C$K8H@}8+^HteCs9QGc~(+K$b(<}?P z)u=P`b;^9=>Sw_zC0xp`@|nJB4!vK*`{#s_8D zBzPEke0nDeTfJtCc~4G`__}94GZIR)*t5ARjIoK@5Ud9VBf9jPHBZ+gjXAf~IOMtv z1#J+kl}ktI+A-j?WS;USWtx#`Ye3$W{PyAtFQoPA@3%m{vc4itM{2!e!tv+MZ>vP# z5Y}~&TBePl1^cobV#8qMnkFG5*xikJ><9Nk`g#*gPqy18>!U45gHo0l=d3v%+<`b0P&dCuNs$?D)on- zy5FML1h}%0zn_(`F(2#WzYQyORI6wZ<%sR14W)#mm(+9t=f9knen&xmTrtvRhxSuV z@*o;|72LQ7jOPjA-IxSLald?spjZ$Sk5DMMb>P%0BCgMOq8PvN1+ELb@0-G&`35E( zX8pBVv@PxJxcN+5fF~;zWs=#KP#+WG_^@Pv1rOtvg=Po**)1Qfn2J$*VDc#;(4;gf zDy>zj5o4%IsyUxEW9S^e@}{VWw9kc$e&z)~a5O!!>zA-#p&ke2nsuY@+;jV%H)D zTphLdF~xVk&yeC*-Pn3;>F?q~~+M7>bIs?4f%9o`eqzWb|T zmCYddyt-O`s}*j&S$LkAUg(rX!g0leR?^4dxqle;)a4j+Yn*eO)dn4LuIR15Hh5u) z?FPUBlR%XY!v+CQBbb{(N;d06gBA2+oUEl@^%~bW1wp^3@Ro_jm6h(B`ng43o=cL- zL=U*f?%4i`-gJiV6p8if=YJ4M$HRw0 z0BU8ntMz(4iKRu^!JBx9MT`3s$uNbkD0CC2lvW=8eC z@@F0@GI6_tCv#7vhuP~rFf#d`6<3z}I*r1hYMs|7^YsyZf`K|eZ+_r!40%^Qsh99A zk8b;#EoKLHjWd=mp!z2A1m}re?69VPGp|^fzqfMxt4XvHlEIqmw`vClV@TkBarf_M z=|l+6t`(;p&I`o3)?>aFiF~NX7g^djiFTB`9fzHCw&)GjrEd@v3|ze0HJ>Iln^1Hh zDS{B?s@y(iFJdW1Cj#({KIS6F<#*$LIFPoy1WEMmY2IeOg)c$lt_b@H*I!i?gLXm7g?C#C&; z$+H?KNo6ZRnoI-a?S1U*kTo3LI9a+Jzq_zx<#m5aiL#u#|9Fj&xHAhE5B{~_Pf&NB z-$LnA$%3?9;%&GY&)+zlSx$b( zhu_Pb*EdK+i{pVPTe$v?a^xsQ~F!k=l0p*qk z!F)>XK2BES+2*lP82z+fTxEM5y3j9A9nqwUz-a<{;_A#+od9=H#PBbxzO9ka-13sv z>x<<6V(TEjqZ!3FwD~P}7|ZvMTv#c~8lSZC(~G>FFKV$T`h_KwmpGZ3D6vI37Pa*J zgDb$kJ)VYFPw`b>UuHAIKFqQxJxCx|Lb8UrbtTxJWLS8i*q3Ms0c)(Fzq^H%KE2|A z!t;QmH)8V9O`u+}k}IFPgkw{fO7W&i z`cCAeEgy5E?CW>)az(h9+8ncZZmnMLAc`oy?ecSfoCRwucjX@+<8$g3K0{oNQOFcS z{x*<^J>kij zt&3#eXyE?%lcPH^)#iESg+T+Mr>o1jXBTWaCt)*5n(C@z-G1!44)q%!&D~ezeXMgB z9XLoBm{xqQ)R9agmhUW&EynY;sNPQ;EEtA0E>141XWPjx$>= zUw2Khg@Qv{5^nq)vXehD@+2tU_9&OHQ&1ii1{PpaE~2YPSvNf60;tw6_o>&(eR_K9 zI5uV?f=7DXUn20auyD&u>XD&ps}GpZ=Fj~S80@0nX;_}TQ)4w{*>#JlmvpOTjdvwl z0`*j?*8w?>Oz?=i%;6+06zUBinZ$@jz62o-8pKH>s)5gM`T zXWMl0Dh)o#6W>sSkyLIrgecGqOeU{U58O7pZDxNNRU&)GI(a6dR8UyB2)9Z5rOghG zYlgE}!hy-3YcnitXb9M2vucblLAq<0-KUZfG9HP7J7yP|2I{C^TwHeK$Po^GWbzx^ z+nknqx>FBFxzEZo{&%doO^wZNnR}So7?ZBuKVfe)nBP1ij?$P?+es>h7)j(O4OLf!1 ze!fS~+NwQS7r#6*N_jc@?Yiqq3R<3;DWuz1&%|)V9~Hq(D51RQ{*oX$bkLz!KfYj8 zgIPDe{py#uy_E_2E#YD(5|kW}C*A^?$gDLo-A^Z)%Z(8%0S}8cooQJojY6i+%l<%a z>7UX7v;5K{pg4jc;uPr#S)#g1*T}$tk0V?9%#R-Cw&kmdwExrDY2T63Y~sV^5rvB z??mlOj7l*r!S@jYP|8S*cg(+d7HK*+~<*HiB%k|2(m+%ZV zSmRo`6F1)Wv%tZp|0mM}ui3X^wz8CUwK-xmhv{vUK@DCrQ)Yb}C*}ZqZd-~*&xuE5 zM5YAA#$j)wx**a1a(zkyn~xE^fsZGtJvT59N)kWzNuaq=KYR1Z&(bPe#fxt0hgOHo zSO*INk3W;BFJkp*o7Yol2wuqUS?eV#5E6b#h&L}@&r{mLz0NCjho`{9o3AXZM685& zX{I8Itv3#9UVEK$p$D5iATS5mq*hybFS@7j{T2Fo50I3TIp8X@?DBiedha(!c2K2G0a;r85THZnlxEgmli0FdqpgK8$rZhVD=kYa{zB`p)TJor^ z&2ly8avh0%Yqr%S0IjsLP1`xctY{wq(4mi=&Umf}RgCvG+uuodjS%(5u~JVnY(6a? z3R(TjB4%4&PvVn|uNiU%-EXbZGf7t1yQ=W&ftP1#1rxvR7yG>Ba-a1Qgs9_k zR`e8uEbmH6q?F5&rUN1mO5slMJ*F6%B?{JH+tU;VV>;HGtS1z|VnpL%BCrQv{Z4lM zIzkg+BGm^VJLi5>Y>LHDD%pn{?)vvq{KPc3R)B-SM8$tlg_1}9!6FSrJ!SQP)uudF zPXTkNyY9Kf!1dA)-#6->0*9`_o;`;vANzQ5Xg`by(9rKu=6d}6hjBBf6`Fd)tEy|; z@yrg;S;_VM(ix3H)@C7tA@`%!LZXYFb>~sm(scNYlwHrTvxLKgxWLJDVmC639mka@>cG_wy0a3A*m)FBUKUw0(Tkn?37NsHPr3wIm_o{9v2lnZgcVC zM-288k1q@{aqvs&xv8)1mv}t#JM>)jG`)26w~vD`+h~?+lqx^cCG)(F&UPG=hPa`u z48girbp(BBtd11gfFwaiv z1+S8qS7j{mMj-!q-;)DM{?_Z{6~3V;Q;OK?Y|ZZ0XXPrVKl4iqaG!^(CR;j16v2p* zvG)0y3@f7nu%W`c(GJjx3E~pdpJTbNF9nUTUPWHw+WV=`m25}dI!y@qR#f{RrhjAu zp!j#CMMP#89+F!_g}2r*5G0WZ$I`n9NSjw6 zheFNWrnd00X;XVkizf1?s|h$7WZ#Ki^9!kSZ+XX5Ca9pGKsMf*hjE$6%iVuor52tW zYLu_t^dQvs1_b47i4ZzM^&(hsGdOq=nKVRVZRI*UpwB!sU(sOnl6GOq5-8c*FJ4{5 z0+Ee(g(A+;70JTZ{wW#)sUw&rL@>e?UED1n}tTuMZrJx<@F9U^R zJ84$gc+}7m>IdBA0lwQxRLIj_&KD_qS8lZFTtQy*cz=LFS>tDp7yE0tKdFxRHIHgw zZ%ngmxnWtqfbmF{D)2M>4^89jio{&=uKBJd?!IZmhQizMoj*jPQ+VJ`Z5=HwEj51m zfRB!emgAf(Z{z{)WggvVg9H@!Or>lPCdo02&gIL;|5Qw?9K5|7;V zYCLHN_`|E4vY=-ygm}0oa~H?$X@G|u3+J0w+pSaN`IQTWb?EEu<~# z;@c9bOL zO>q%p3;W{ZrT4apG8zw?{rqriLo7s_OjWGAP{q53;|CJWpzk8l>evn$;^fYb22;yM zqRb^0Axu4Nokm?*gXjV*q+BLjE_uThrZo*O(?pwY|YAW=l(BTq%vD5`=2P# zd1jbTh_>WScjsO0HoFW4*{rsT)kyHyhEa?G_REpLQctMV6edENN$b&3m@$_wNJbvW z9%NcJAVWX23X@(iP*DY4)wb+Cd-v@VL{YQ(WiF9to(34cQRU;uw`JK#0fcZdr|7Tc!u|lNaUs)9``@5|I}`m+IvI+5Kn~nwhYN%y8QOx3%J|4HXk}qa5+el zrcC!T(D_4kum%lwHE+*p)y(ZOI{~z0G5k}@`MbPZ;3K_+Tw)Qc%0*);x95GVwzf4} z$uIeED#LqQO&sZ-_`l{(%S>(}%~9QYs)L)rjzchJMM(g)ske~tz~ij2_m|NhU-BZiJhg85Z`#i;mhfEKhFfS zNGtDq7i(n%ImRVD#!r<+=Gz@S0oA+(cZ$HK?dipc5U)8ezp4e zvl{OVV3(SJVGg6yEBdRyPxRVLaJ6~qmtRnt4XL104^fpNJe;aL;i&Z_)EIITNgK_B z`Knwa?ij}YBBdkWhcepyiT?hw(igL$&)zHIVY=O?z!gPZ*MG1x5W;{6E5cb`^)547 zF;(qEKTMplc^;N?E;2@;VeUC*shW5EA_JH8`L*|OjWKa)NbNYK7?r+T81&Ez`&FMv z$CYoK_rmVi6RR7zH`&UpMhNF{mIkg%IQ6Tmq(q|gWgJ^Ug%B~oJBfd68@*jPv;f}>J*AksMW`$p6rMW1uE2IrcL{I6fOG|9FxKyuHQV2$`Oj=YTQao5~ z&5=Avx(>fQzvM=EcyD|cN@(Y<%J(!*y^VQZs(EiYoz9YuHXk;cj(*Rn3`28m6!HD9 z5TA+8YqK-}eI_ z3=T;xOR9)ezU&B{MR;fm%;M*Ybw)p&QMKsTlK8WC4)qQ#ElUDaVAv35(M08}(&}~> z#G1a~G~5cVKx8HK5Uk4>cBydtP(Z9pG@>8C=WRqyeQ;+$(%RFcQ<1d(?~dFHx+RU0 zBjyL<_Xkp=oTo2C*V&z=tL3EQOKfQbDi<9q{RX9I3joY{q5ERf z_=5=1?wO(4R;3#FtbZ6CY<|=A;-0BuRCTj>1G(zO&wlnCykf3tY;3%qwp(fT)bZvUI;OBOJEvx>P@SG1&sEIqJxBOyS~Qf&JK1n#8n{ALaPMp8csml_RLbDx$|*jE#mTf-S*pmmYJrKWx&|e@8Ytq zA-=7oZ;r5ix8Lq<8us6Bi3 z_3v#do2dko zxHSe%My8asl=L;1B=#no2!dI9L7J%~#$!+%WF8f#g5d;fOe+Em#MK4}x)(Y~6MXFZlS6 zgw1LL7EzcUwXnFgXy{mn33@e&`aB2_+K{Tzp*{Qt6wc)(W8j&NPDV)IjntN} z(eUTsLxC!_x;9zM9{a@Zt1@neVLz2F89CTX#83CB)!EV~Oya|-1w3lk70(lmrr$(= z!h&2RO9>PQOP*VR; hqa|-ACLjk7}F*$}Fy2545_GNyBOaL_EK=3P$>$aR7yE5`%f5KjB~)gqKC z+C4~2*6uo7KLeKVRk@GL^A(Krx(QwHzDKoKp?LsYnlv z^3Fr~Tj_;j{%cdrZOT#eCJ-Hx%BmExV3VQ`av^#4GEt$Q6xCW54#Vn1W?}GL3x7%7 zfagyNMgHSRfLhEoRhw;hMOU04XxObxSL3Ft8MEQ<#_r=vx=F8kJ5D)5crf)rIZsbf zaZP&F6M6Zc3Yh=GQ!A#RFrzwp$h(OU`sg-!hc?!eJ3;LPf|)-L0LN?9n)ZVzOkj(%mL{$YY;x)=m|>26gjWCMoKN)t984 z%0rk*E33BVu)-1q(gH8eq&6SR@koXLx?|@q`us+U@r7+VUgP>uaz899LyT1o7Bv^a zJ@`Y4;<@7mz}DG^*sQ34;aYK;Lq}wWiHq)2caT98^!0i|R{|X6{aN zwC^*`e)z!hk~UBbRa?m#fCX#c_ZVnNGA%GyTfZEF@NzoaZnEEwP*85|Z*z{s>EDSr<@`27HVUPHTh!{}rlS1)GA zM$hHTSoPsN7*+}i3B9&mZ4{?;fu$YwnzWP!grox(L5_T3<2Pp4z?IwV8%kji5)yJn z`>wR@y?S9sWd{h(o4Met+=5i2tMe$OPc;Fh4m1ancqG>-?vLJj_saE5Sj z4~vPAB$IB#EdpntQ1Dvw+mg{>Y$42a!g@Re9X@t3Y75S%g_x za^e#O@YvGsF$L+j^%!j?@hn;L9wc-uq$}isaqQ8w7lHWLam$anADQ1vf#frY+`_PR zkSBet^`YJMKOmldtloIa27W+Tqc6<0Pc5%tP~dws+z78~j8vjLjlp3AH2u=eDeRm^ zqJW#L6(^cLbs_2Zkd8kE*Tla*77b5iNw`0!O!<#322;5wNy`+>leX*VVC<&`$yQ#! zDIiZI93{v8qsl__?@o#&sG(6YJae0pyqDFihy~4*w_Dnd+xltWU3-XZ>+%Me!tFrz zQCmdWjk_;wPht8D(K_jqA(leut1;II_EaN{39t9qSgnmz+OXAN@7~$0MX3RBEwMl) z4+ybU`=RB2kpY>-bMJm2HXk>UD1k6R<8w@%=}L$@3>@!8Ph!378%k~4HEZk0;Bjf@ zQdWbJ#!8?zpZefdd~zR#*utpz<-S%Me{X+0AO~ym_Wj82dF|$isVaXhI%xKx_L2}Z zcGkG2y!n?i(f+$TW#zb$!7p37d>1?qNM~5h-dEd?&V7A+5VSniegWZsg&*HL*g2c; z?|gq5Awrd(o=%)ZOQkvpE(oGcKBt=vQgRB~xs=>h1-%6)uQETndLOaC{&j5E)+Id+ zS^C41siBLjkLj-ck0_ZzOZ3>b*`4c~+pHoX{eF+i>ZnX_YDGhxO=J3%#bNHUsi)w} zqi50t#l}sTuCh12TxmSqhz|1%f?w&I`1QTrft@lhI8i)IAfVqpJa|sC3+~B6G{sBV zHsy~lH%*M5bNIqR8c&%R7Gxkngi^?W1Q8tfyu!}^Q>6+#%Yrkv?(&BZJIWH!zB^lI zDhv$``MaDY52Wqqsqo5(uKFA1H@c24n9|74!>Jl~vFY4*o)9=CMMbv&N3S9c=EBe; zFp05+!WR)mK%HOKSbHf_BB!S(oSf3^qihJrc;cHuYU9`O)z&PJoqIk#(?HOAV{2>1 zYQ1vQG5&Lk#oKRHCM8vc)Gy|+bMko)Y#0Dh@ScE1CMtKe(6pjv9$(fiwc{Ilz69;7 zImwCAO!fBkQ#LcZhopzEqZ9~tQUltC{A?NC4y9m|jl0@)0;vRAn=?olsWU|R^wiWOCc zE4qcj;(txW#?PZg19nYdtT_`M;qb9TqSs#7ns%(B0EGza=JUQVaOPOXxTviyLZwC~ zq2_%$ib;}1wq;d`eO_;-Ho=wLAEiEM{T9(pP4r7|TbonV5g&z1buv>r>bc`>7u~Y* zU0w;4&JPed#J>p>y&-wl_NQIrKA?05(@uLBRClG(q9S>uYD0FMtFdApi_(loI9RN~ zsH1tFQ!NnWeLodpl{-P?A*8nn;kFT5wX1(H*5yx3!Yf5yit#rYZjCPJ1}}Ja#;_HT zXD|$Icfk2%UBZnMdV6rq&ui6htVbRmQ|5SJZf#8XQJy8QTF&vyM;%#3G=WxN*k#K9 z(9auOu!J=@KB<)+_ zab&j&EphbnzJ<$E25ue;kQl++YZmAEdxOt^8=pGD*uvV(qO5`X7gnA4Xr^ss+&1oF z!s0)>D)8deG42Domw(8bG^+T2tGcF1Nh=Y*Nt}B#K6i<0TGf@28|L5M?7m+FV$Tn$ zRiHqM|6_uLM}&t9qYqO20UD5u0Fs0)y|-u;kt{`B+WPwm3yX?MDUT5XCVN-zjuRfs zBrfljMP(#_8JdTrq#n~r&`ruzCPzoFGb zq(&YaikPvXvM0*m`D5KcM3Q}Lc#JVbW*RN;hF<>s&*zA|U;m^_2%;Shy?D55@nMGQ zk&n06qLo9;EG7!>HYDYS{DS+s5n(2)L+}63ZYJGOLL7kK2Yu#bU7!94Ok{HUbZE`d z!-v_vp7;4303R*tHZU~g@HyjyQ)+i z%lzj@+>eY@#LfTuca$}?GSbPd>$`wJ@bjfWnfly538Nz|O2n9uLl%89hGb%0`--Q( zfhljrmjprl+QJE-RFCv0>Q7QdPW+(sI{(gnMsb-y0!l*t_j6C%=tWBh-LG`DQ=AIR0D97Y^SU~**>p(-N*AdR-= z8C~b>Lk*`=>`EULa*jG@`1M@idA2Ue!O4K#xt!k&L|I1%Z^W9-J-!K$;j7)Yq!pWxQ`GczdO0FUiB-yur!5FU`5U0+*h4s!hU5Zw8mcuu)Nq3=7$ zm-Dwg~tT}PuyH_5Pb4{=FUC69tTCI5PYKl&R*A<|uu1L11?zNV5WXO175G9rqeOOC?D z2d%H(%6Zo|PF*(2Kh8SpW}ko`a;!WPqN0t5Xqb+bMPIPDUo*Q=r_CbYrSfC3_N&!`CQXhEa|K9~ix0GZ z@7a%rt>x&kA!T;(FHcApigA)?&i*@e?Xnul%e#xCYLvqm$fVVr;&0b4HiI>4)*C`Xe?g8aWuzpDY!aY980{Q1OAc)2};$WDem z1lf=l&L!`khb+8d!)U8}Z;l+?v z@sat1JuWO6W?ZR{Nv`E|xO4Aol56Sc=!C%L&7%B@M`G6LeXkkUl|MAtz%#t*&yT9w z^a5V#JU2x*;qL1GE7yWl;qv;6^|L>wr$;<3>?QTK_W9?M!2RS@ryjecjgfycd_>cT zpKJ_;Qu(+MI2(?)+t9aFUiZppHj!=+Br*r(bDw3zldV?#UoZ|u?i~v~QV5=W6C|AP z=c8Vva}GVg?~983zYFxVEk<-5KgiOFQ0uxK&)tkexbE`oyHa$gcJ-8c@uG6hyLImG zV#wuRW+zu9T$X?)TWslUO|DZprQ%AHNdzU!*R`P{~FIuU0 zjgC?G-5sPef5CE)(|Q^k-?h?=(jG5*W#~Bc)OW3F43y^uuYCRl?-OW%?)jXvcmB5e zeI+t@#6NrZa7WMY4C`1e&jmX7;`#H4yP80q=sK(F=!2r&5^i}3FRu@He)raY`Cf(_ zd$LK!2Zv9lJLy>q7|dIZGt)l{Sk-hHHv!w-@az5oFZY|6_!T8`H~xweEG#TW&i8@x z&vvet$6g7^*s*|27eY?8jmsz-+k5^{G|7;FYjwmA zSy3fY1BlhbgBBixWWln_$xrN*8*V8-Xo_-8j{IiM4iuWl!=~Z)QcjL8V z1{#>KXcZaxCpDrMB{x~*_5X2`O+8V>efi>%{5uQD($XBKXNJBaINn$SNLwp$nQK17`_Lxnpk9R;QXGHps_8io7 zRXCk5ICp(94us{yfcr2|YxNvi@TWw*32gsN@)~hzPZF0E_FEHAwIrmsw}$@1_AK8o zAOF_!mn+ccVd}f{#uucz-UjF{S!dA=TktnWQGIpaiHeSzdw;J@fh9LzP=dJud zEDOSB~)H&?#(Sm2gm5 zGMkR|fdjF%O5RXMyf-fTsLw@aMi-xqFK$}IS*ckXUPZyJg&|t#tNiBKuxA^{tk~}z z6)xC(ksm+l@7Bjnuf3cKv+jZ_q4V+U%Lm!UF953sv zF~`edw3TOvn1`<}A_tRl3Om{DJcuX-G&ij0ztD%+86IHl#HBU&ZoR!lNeX=9-aV0V z?MvDS-?JCvxk^M*!q`&%NrBPd8Hix|Uw0!ox!9*q_5M2d2iCS`0$B)K@~7Ca@7ue5 zyB}u0ycrWH+xu9ma>Vk;Y-DOqqnqq7uxwq<~v+ zW!GPb2}vi;QV~Z8Lf#@B*Sdq`@WVWd`YzTN!A1XYWaoO6*6LV>WOiDPdHruW3E-V7 zij;rt_D$s3FMoHiESHXcUFzM?5E=G1aH$-hxc&;ah$I9V_^)tF&s{0U^d}*4j$nS9 zxXd;=WOBJw0K*BD1wPp{jJigw&sn~>K#KVtG-#%wqJB19>E|jr)YA(0I;dDy*HoRi zpQ>&{0XAEoxaT~(cg{x;pw3qF@T()FHRX~VdFsRUiGa_^MQ&pjH{&zl_?4ouw*a21@r3P(U-ic zR679dr##Qg3ngQyr37ZfJw5Ob1^ebhs-_IDUaTe|MPt$BBXBD@NkYoMfxllndjZ8Z zrh!A?E?E|xr6nqD?TZPJc}Cx1`15dkQ6pOdL7CNi|Bc01dAnGKBNE2 z_-w)^MldS$J?OP>?l(IUYYz9Jc;<CT zuUT0a5*+Njt|?&h#>~>CV|;IgF?^E~EzOEg4rkiE&(BJzmlh0!JI{fGR!vc+G|@rL zXYBXGVL{qDm8d-6l)7m-UwXpY0f2z-K+bg<-}(4)Cy!C_EaHG3Z)(pDzocb~5H#z~ z>(TxzIP{@ipUiW&n3YKv78d#|s)Up9kQg6tS58%O;$Ilr@BKEasiGbbV8b|!bBtd{k^pNXESA^`(*&DT8>B77id@>%RM z{6of6`*iZjOTpJ*jHX2pvvQxPeSfdSulI3{3XCEz$EmjJ=(Q)=+1cmz<2%u@5`pEq zt_|y?q-tmcZRRR!ZLe#o9WrdUl}mIIha}3~oO0yP{LsYU8GjVh9{idrw3N8T+MLE$ z2S(71@p-N`9lxk(jdbsJUGo~J{+a%nX|z?e1jYXh_<0SQ>`fvcXJZRJ>!?Z7rF#Lm z0}3+4r7*6U^kTKthmHK&s$DJp+kp!X>K^Stv0^sdEk#4_hoWxr%+eC1dI|v|9{(=i z7zZxr6F(gUgqs*tSpD?{RU~ZT4^g|};BTj;bOQvirfu*6w6RRbl zk^TPRvHd>|j4|bVa;}C@22Rzxym__@u$>N#4Cl0pE?zzW^;zLw*9p`!D6l{kaXL8< z9Jl`tuQGbRkhbU{*dR-}YZ9*nG8a96x*fmmjUwqU_c53W1EOo5xZ%C2$!78I6IU0$e1C47v#$TWa1qprKaDRZSsh=^T<_s!Cke;< zw~vp6FR;>^;N8*ct3kV@^}^;{UPGy;lDv1*hYP96(<1vuXv3*>pXsVId=2Y=-s>ZE z(ROBCyTfFCIM^vc#QrYa4(cH1)rBA3JYYIX&GW+h1@nz!!PmYUkz7Um<0lD9)P4M_ zyX-doFH2j!V{`*94W*Ry2$j$|*yMBjUfQ`lJLWqMek~+k9^SQVjKNIjX*=o`JUN07 ze%O`8SMEKw@~la;>c$#~A~p9px@UeZ0%iHpk|MKJtJOSUl1X!%Xe=#A?6We8%By6P zA^7z!~&i8Et#pUBGxvM}g%H8_GB zEVSkXk&bvP|1f-!Jj-gpW(kB9N`I5Ac6^Be2*=BqD~82tR&;X|NB$6kwhA+ zVDAyCzV+@SJH8LW-{5#3Z)$4TgBFX2`}+8lTx^v;Ury}){e8kOzNqzqtUMN6ql61| zrX4jtzoMRxWwbp>O6qNX8ch1=7DE%rc1clI;IFrKCU$YPdr=9HW;0K*Ib4lea!ADP zsSP;2cRp63#QB^EWuq+tHTCedRZhcIp!zq1lT+gKvh0Jj=ZX^akHxCK6H1p$-{m^Z zT5mjc;rY!s@9kxn3yyKVEGg`*wYf9iU{KdRF);JOG3ha*b+}^m4$h_1c1G=?=wYA_ zHVWps+)?vxw&=%c^dgX6pO13-(RB_5yz19wAf;`ExzI!oR_1Q&$M*2V!X@cGIh1`d9GT4A35(RZyEpX4#v>?Qai#B~t=KHsaMU;OcwEcHa|vE_P{YlLl`QY8dLy|sbd;nVA;dDl-SqcGH6zJTNyTB#qb%@ zeqH?MrE#s9hraQ4ZK)@UU{Epz(R`Ku8dfF8G*n6A6>Sjfd~o~eG_v9IUwO^uX`Fz5 z#1vV)#lst5(wZ@eqp z*0b9S{E?c#+*Tyru*C6o4sGFX=e2j@gdXG1ta)T-g@-w z5ujHKn!3!>ec z{!5qwjvqBK(Kqw%a%lZY+V7vsTYtVz_wU!nomYw(+@h<$@A72jxKMbhO^fOXPy1wz zkjAuFRoGP6lI+gNYD#vMi{`6B9t#Zbw_{YVM?^M2-+P&*4B-Glb(6HBSb2N$!DK@& z*OAx0RBOM2;nu|uR|YmvwDL;XF%*;)f-8}l6xi@Du0LXsx1)e}Skl&$$wv|{GfXm# z-~OfuUsZ-c9IiG;hX5DXk?)GK55=CRCCbX4A2x{etN!)>*}|m=KtC7`wAysqnK3zJ z#RHbPT3}^7PjmaM3O77vb#9FdC(%>APw491K}A8e72RasVft3O6Rj`lM*q1@tjeaq z1|6$=bbb%`jz_^Zx}f9jlk)plRPVfCMHk7Z2uVy|-{pH2I@vJ&1qG8AOB?CqIbL%o zN|7-QV6VY&(6{o$E!;t8Y2n(nqMFiGn#n*icnqJE^yTk{0*iSoq0ns{Y&Jy&CtspehyelvfFhKA$w+Pd$xOJlXIV*SR)%2d^Wr1g0Kn&0LVj<^c zssp%3e3zQ~-rS?)_lf7uyh~0Noa0l5=ls=&9i3|dU$jGKi;L1pCaHAn5VorNkmo*$70JOJNe`bGPanc+WhfU0I|KaWu6n_HI2`<%o|^hX zIXgGk8xMwDLO8mkoVm7NkB!2HOr$PycgPGynv^~XO7aOo3vxK*Z|}b_Q--{gBI^TS z{DB3nX9Rz6{TpE z$V1Ef`xa)*!;b6PqAkmz5%rhXKCeb9TLXDOAg;_HZ~f|;P?3YxPwCXE;^r5SLtYfp zHLGqN^eU>jBi4~!*T=KQviOO?kRlct?snr{*v`MWXw8f-Re4XUy^In)C?Ck&meN?? zE0l2fORhbHi@;12F#IOPaVJqgyuC6h>EYg;y!dc&E)e(C6(f1oZU}o>L7xI(2-^tD z)>2{BSv)se&LuT?0ih8ygt#wq8)_krfH2so_3y94-yhHG@O2Qu%W2(9sq=|V2JQN5 zbF;cqPtlXI{inU4DzZGUOzNEH`Jm}}=E#lqeZA7o>unZ3RXR6%ucGjdyfgqXs>Q`! zEPse&as}J#tme`P89OTYS&F_&_jkS|Aythz^f=`0XO&p~$^8k`co!^h$vNeD_JRsV zo`uU_Qlp{L`hm&5v}c8GGgtHrzB}=0Os~I?GaCib8D}`PS&g4^5nV%Beb_63_~?tU z6D8k$(Js$y_4|^!-M4pR-byzdVr?Pf+guj98@m-v{n&k&1(5;uAS?WVDEu8e3Edrr zHU8u`HjF&ng?xE*L}jj{pg%u5+@@4100DA6vh^tnNB`?BkU+Vklnt8g!u#s9x$S!+ z`sh?%U4WG(1nFBHpM7&}S?2L}!A{rUWu0Cy6JN$TT@+UU4*E2K1s=txLk!E^DB zkFL#0#tg{8v1ImEf~T<&x%D)*eju|_Is!W?52ep4cX`u~DYbW*QDveEU+bumO>Ys1 z)HT(n;kFbwcg$2uRp2`p9ur`K_F_H(bay1>6#U<6K@uAQ3Y?5AST~z3)an|_>~12= z{RSL3EJ4g=R=3bH)6i9))V3ME^BezH0?wr`?Pk0JTPKfD)fA{?j`j3bjUb^n=O?tD z2UQyT(?my=Tq4sSTUlC$fSY|9fN^4fHndYsWzO=#C=29`k2UdUaSt*W-4v7mxG5%j zgaO0sv=2=MC@v|sK>xe+c)*5~R9iN}4PLE<1b!n43%7r!Chc_f2+(bsKu!2b-fDM0 zJLHOfTZX$KP{ZCsS>)d2YyF6Sy(u!;6j$bA`&?LWwdKZeovuE5tbZrHJ|G7W#>>G> zC7{~Gtm)eT{YbItgI=Ff{RCQaZEMDLb}s{#Jf{XG_e>eBTUp@J^5?0201H!#@9&cS z>#41?Z#^|16UdA!>~&5;gk2mvtN3l+(PRf2vB)?Q61I5e3ijPcT;|U$V(eCXi*G;^UC1ZdZ892mil8I z=1M}}w=fO`o6m4{jm)VsL0%CBYu|NHq=OhKCscLwKO&uv=sUJ6e)e+Bl38t>Yax3+ z9^rT#G$rEIaM!@Q=D+jGD9d}G#8lP9&721{DDZ5<=c!qnbNq^g>UMoBI%p|Iu{vdu+nv1{1B4Xsl`sq3XIbO61_)IijH?@=6}8Cy-Uchez3jEo5noXaxkArb z@5*&HJFyc0(Ba>eRJBb{*|~*@F9-h=Q{>iyKl1tBn?&03)z3n^yTmRd`_M#7jaI(W z*3YEG(ekKJmF{B|nvTzeJRDTeZ0JO-E5ZY7xLw|u#!-TXPYd0>Wy9yX-q%Qs?#&xdMZlfzHp^p zTR%heH(vbXg4Y_B2m$|2Q`zv z(-e9yuVp!neNqNf@C-i2_71c@2@uQ8X&R{p)!NmUVmEh; zRjxlb^0JuiTCrz%eb~2J6?3iqBAcghb=QAe8=lVFy< zV^ul&g&FqE`6Rj`s`=}m0jq_3S7h^X=81KZ=l<2Tolxiv*nXHfX?^RP8ssRBf;S)a zb+1cb^GcmD=PqiEi>`m$$BZiMFRKjy@@ANQ1ITD!gPqx#LBG)bM56u~eCWUPnTJ4d#C_^%ZRNxHy&s<;VZJNrDOqgwPRPjDO0f zn;AzfU~Fic`|f3(=0Q~5O>ZF zrl56)eX_DUtpE?G?UBXRgW=W$U`K{TWw$?)M;3E}zm7no&RL_F(UjShy?~_gyxfV4 zm$4{b3fLQmZl5_%akxRtr!_CW<2_`h3GeD|DzvY*m6Y&x{Szvo7e;fJeCVERWyjl| zaXzkih`Qo{Ay8f@BhlN2F;SB9Wg{+L zRz0Mf$pxj@X@kcqF^1+*tfpDJy}Vli!2McePaaS~=qGky2d<~ghMscAfLN4_cMu8R zT)~yQs0xt_ApKK?yahf0(X0ye^>?I)kNwEpxOwFNakD_@_pNP^$RJC<&=AsFoGaRQ zr>-(!zFH1yPBCgKkH&9KyAv0dEq!q%(4Px7aFYqLF|Kktxj$#dd`gw$F*g@ic+E|6 z)II-4W(8b%E30 zs|33YHfYde;ZjPpBjcsn&*ovu07@KGW0iPTzf14=bC3bTgPdT8qVjpsq~7cVOD>Ri zk&VJ2e1*HXO#&co({bfps_}dRPBzb$$HCkw+e==7SWdIps)cEJ{^KdMdil@>NEC+< zjKoX!AhbItPdizYvs5! z4gO+$#nJ%T(P1eNZX)^V{;#?+CO`!%9Xm{Gc*QsJ(E0u=rSf{q=NJVSDk*GVfXyf9 zW7N;Dp0gh7$PoZ)0ZoXkhrJJ9`x!QdX`=x5-E;{cZqu!x*Yn)v_N`R!@<*TFW_W}ok)x0{N8|Ne zXLLkBsM<1-?s6h1m_G$>^zZu?_X~}wPBsC6Rr8;9G_=24b@a5VkDpvcY8-!{&sW0U zdu+qt!VY_QYWa>;!MUl(I8hH@n>^Tl=BC6(r^ZlaiJhB4hr&J3IWO@$YDVpPR_5gz z7adFG(W{>D!hdG-O3BaBWYQrQ8@=G38;2Az%R7&ryurmYhd^lDr*XG0z)Wd3NoV>p zjwgazcdUO@O_J*#J!Kj0e!vy6;KW}`b6f0oX$JDdgdYBy(F36TQ&JuFzX^eD&nbgk zHh7XHvd|X~pOkohH=f0(_a2KbD7o$~xs@;yTEc~m1>YMz-5g^+aP$tP)I5jZ%6+T$ z(AjL8Gc3IA0Es1{4T}MkGC8}a4J^U-cn~y{QKk_&%F~q8eq`;8XrIer10_a#P|=i3 zzW5sQIzmO3#X>Z^TN2zk%9r~C0x`UM_a9Me+V_g^1|}>NxXNH+dxwq#;*M4#VB-Bv zueqzhtnV@GnG(9m60E=giJ|O@_Zgx9Q!ErfND?bbsl`2$1<#epKp{ee@A2 zkylxQ)!$2FBDx!NtC)8^UaOO>X{QgiL z6IRBX?L`~A*F&92G1RcMMs_;sO*lQOi0OZzS0eL+5{joTTleaJwyBR)s%+#oB2ce$kk}5XrV_Wxc`~-Csnwl4(tKe(*l7)!x zG}`)C#D`(gr^Chnm%Va!gFR zcU=bJ!3tmNsVkJ!a(91%8lUHUoVA(x2fm^Gi3Qr&GdLC{BkH>*so)Jg%sJp;Gi@ka7_2Juoa<_JV2n_F7jqvZp z-bzy;Y;|+tg$UoMWK2q@!sh*CSl+oK@@$3ksp4wM z2wX|2hDR_B)JpMPh!0$dDW`=r;c#T znOfi2|Hzjnj{Y~sY2lAo+YH8B_jygq%cfT_%E)C{AB->}glAHIpR!@X>2C;tv4p8% zXB1p0UPv}S*~Nt_#9IVWb)X(3bR3lxY>E&KIU2&OqGTlTm3px4`+>Ekb>$scto;nM zTa|m+%>Pm?C<7wkM7#*>_0~SGdaTc-37O`Asg0*{b|N7t+gu+SdawT^sR-FSL+yqPu^I>(jZ6O%tJ+deQXF2)kj3gT8 z{`Kz6iyZqRp%;YR=H4Q5p-ma^82{xXvlk`izH?Hp__=M_MqiH}lPxxH*n19IPlVQh z5e+xBUo~Ky7ss=|`FVQnz~MCj{f~+m#N6?dJ7`G4E;Sry{;89-k+j>4aqbslLnnE| z9i}Y*|IgbrWEpL8wKF-!MljNh;WyGh?oR~+2e-wZN8F})4L43fc*}&5BLJA3sBpl| ztBHASA;RSn95&yEG<-uSmcaFF2wXKNC9!KyrPq~@D^2_qJYP@RO!oVjic(gRQJ(}e z45Srb>HkPt`~a=oY2tpGD0iI&fu8cM7+z>Q(4cTfrr5_%nHTpH_le{|z^pxeE08GG z8}<(j!iH2GN71s+r?4dO)fj=!%xGYP?gD2WI>bvZG*HK>hB< zjGS;ZLT~O6$STcZCsh^petx3P@CEGHfIgR+tHz}W48q5VW(~+f#)(KhJ>n(?!t3H+ zLQFpB3H!+rzqWhzQ@2izHgQSz)&`s#@!6NinjFUSe!ad))v)J0LqTEzPq}A)^y3gL z(4L(*xIm~k6679#@vyrqkkex31*H_!HTAOekIE)Zn29`_e9t_SA|q{%S!2n_guun) zy_VerV&W{l9B{>OtfM(gY&PzFYAx)x*9zdnX;*=*pv$%iTQqRf55RR#+S=dKpamC&xEZUFVVa4Z1_NoRn8 zcMUOrK)S6%)(7Jpsi_|KBScKboghAroDr>S7m_T?gGEd~F^UL<3wU^*ST^*7;HOZ_ z-6yAhlz$y6(lfF|u(!;{1`s`!9|r_h-Sq&x9bnwIcnzn~psFuSKLi!h{}HsD&44auf@ zESo$BRCe*%P3YYSZ6;V14R^U+8+l)K5zn@}REGrH3zNR;xys!Gb%)=HK2kD1O-0?w zbE}+eA^hlo%hHmM(5)GFRB|J$fk9Ug*PeMP78IITf56{=M3wHPommU4j!RnuN2h+) zjzC`W%TBjx1?)a;a0EH~RXfer6jok=Wge|hPd#8gVGn+jj%jy8zGjfw)gr|XKP^Oo|)qo=)aQD9P?~> zX2UM~h2*$8pu60tuHc?6ntX>!{#~<#(an7Zl5x;wq zfb&RDt-%N_V;AFeGJ=t6EGo{VYmG7E18?nnw|m%=%9OXjK}oMm(16;MtViezI`K2) znD}VU7*so?^B$aI-R_~VzBX*h?lRQmvFPiek4zk~%%=|}SFM2MUFO4Lh-g*Coi`rC zH0qWVnr^HgyRhe@yeZ^v0ykIQ+QFks;z*C&z} z;pZT&qDZMPl=Q<4VL5bhv>nI?HO818frNViR`&G`+M7|ANa`=&*VLUtD|!936+MQ2@Hk`*YShd(GIKd zm@hk4+Cg4$fXJ;>jt{J}u-Be<)bTw?BPE@2Uxhh02ROTB=^q*2=e4nHg(D<6>{jju zK4mKO`kfzLCO)$O7O!iaiAUcJe%ON`@m^@NnobaB3kZ+zl!tJK1mjv-rOAE?$$|&g zGzD%Mxx?0Pw3S`$=m)#-?6KNN4as)c@{8((h|R@U^%V7=0dQf8;;UyZkL@OmYA0EG zS6wWBeBeYOm|@>Dbf}}bqdvmHgbpQ3hU;=SB>P5wUfOL1un;rCDq*fBozr}>$l)w@jz<4{_v8=_Oa4`0l?+6qqgW+T^{|5 zRlsrLKTCpXpjXH}NRH#ZiwCFnIBQxHko-yxS1B?Bxw-(Bvt2eXGH(|vJ<{`P@#1Yj zUrAOH4Q%f1B)9TsfjC~HDRS3$`&&kBr4L!6u=0Zr)c@d93bNoi;2FPwWnsJfS)pdA zX=CEu1E+Q#HAW*vLYL#12r$dePzavfd6UQ;`N?)pvB%`Alz_PnySV?GLsG6#N{YT5 z&wd&GrkM%b;l8o_{V@=lP6}?UPkHk+b%4Z*?rIMh`J}=s;5*KaRMb6Syr5D&%+6WB zf6Gl`?CMwBqPl4O{9}!M2*0vTqNJb}SLUD^DgsB*60m#^zExeu7S`7dIXkZ0|HX~9 z*^tBUrrQGbe8hm=REkng7nC;*+j1_iW@lG8 zPxTln4{7eKfI#e}*-Ja)SOUJ{$Twm{gyEZ$21Lsld0&{gIhQ~+V*dJ$m$Z5PZp49h zNa8qkKeO`#Q&+jX^Lhms+>W`|53XqR*63LUydAYi4OOo*+E;~)OGI`Uxu@{4sKF&p zpYn(cM-M+g0*~noGD-|NB3jM_B zA&0@ySr{cUx3B+wF0e%~e~kM&`QXZ=Sk>Z5+E0VPgXoIyGbacO2nQUrqkh30$d{*K zT%}jkf?6p2M@wi1b*_v1#hvqx6^Ps06c#qQ3OxpG6f5D~V`)PB^?c)n{seUk5_}*< zMh2P*ZBKb>Q5d|%aPtHVVQ;aaFxYg#@^PzLrLxix$C0Z%1HcnVMbimb;#SW#M@j94 z6#ePioW67|q~Th}=iVH4gua7Afha}cRy)=`PY&E7ETEI{6FD^Q7nM8>wR0p|I~z>N zvUdVJ>E6U2<{Wm!T;Bs5<9OCo6f}#Wkb`x>o@z!8Rlp@yfq>_!$}t(>kJ)W&{r%=Q zkos#mw-P;Fk-J9X_V__ERd=Dy7cDG*4MVOo3v}tz`=Jes=GLw!e^Gs;^qRc)=4$UI zKNJ+k5oOpI$<4Jn^9A`kBCsy-r$l)#Ufv*RO}2R$91q7WQRma zNI%xKD5sG$akO*xza4*a9snE1<)PSS_}!$ZY(Z*SHs7kMe^;-;$6*8T2HGcz3}tXg z9XqX`(i?4nxGSOpwg72WczL$n!SEpi)3N8;M$kG!?V>wyj%w%9SBGxrjwVntQ)}Bb zGUb#E?|)P(hgd+koc7e%V#PhL(cPdgHhhFP*1`ToLy;NQk)yTw! zC{hvJe`&=rq5T8@E(!l|q1~a_{6Mr_Y`QARSz(HJzb%-1@eb+kM^$D(f=*X{sDbsG z-s^}9P(1!KYC>#7ah(#eHre;onQc>$Z=t}35!o9I1U8H^nr}wFeXa61-Y04|Y2z42|Dp`>J z3*8uAf5LG{Zzr2}lq1qs-Aux=; zEw(E~{??uuiKk~>(TXB4^S+}zTqk|WPfp}vGOaYH@*r$RI|NI3c^y}vP>H_f_;ai+ zpaJ{%1|}QY7US6FC5NPB!K5|84P7v9?Dd!n^?;p^vtO(paLbN^b9VT97cQTBUb|#i zuS5TyHbw33(?`>LymYlE-9|JiITxatFl@8=Cgoqq2n+KdFfItfu%G?B1$u_QhAc?@ z4ctA0H@#Nw-KY~>vIFIfZ8lcf;JBN&h;5o13wqX?C_5hboofCM+422NAawD8w^--r z$vEdb{Gqs@1((uK>ib<&p?BL{fPD#1kR_Nh1%WEVC%QeEm2cuuO!YuxTTU*HVD?Iq za+fgTOS8bF`=K_?f(3P5aWwBaEoj5rGS6vGV>N%L-5YqhfiIyk;r(n<;q?mP1W=yF zQM2S0Kxa}(`X*#6M4{8H3QO6v?;fEJ-extkaG`KxxH2m?6e6pap!_&*pqcuI1{f5o~EB~QkB#zl%@KViuy^EiLj-Mc*nd%<><>FU}+qU5X{g9t;Z@vr_aPZ1>{GK zVPux!=%wftDXU+{fz?OM5%NmRv<~g`%VA(awk-;_4+sGjWNPY3;K8dnnpFjyg$|gg zZ*gpVN2q|SjLHe6Km57V957gP8Q(c{5{4cwYwUV+{yzn14xM=q8OOEX09J>xNwrVT z{dlFQ-8b|WF~W0va!79D5DC$y5Lcc)#mE%M7>|6 zTmg#|=SugFGiQ@DG3K6N0yp;f?h{`>Ic+nwQxJxo3F5S2mZ$V8?JVuI2=m-)(3L12 zIH5n*u=>)v>P;}Nk75Bnf+g+@;vzdbjDLM@5#Y)qq3yDW8%R6)H?@F~1srTdyeT{; zxc%DuM7{#;`dP1ClG*rYQ1e>C-rp4H7XPh)Sz-eo&nZo{eX2fG9#c$coPjHk+!ym7 zaZlqBGTq7noJ%e9t5sx>+ z?M_FSv37G*3CgcNv``X_ow(JYT$$t(6=(BH$C2wlbN+)N6e>f|`0&4}QP(VnWvwOP zZ*K|INTCieap6RjH%aUc&TN2_?8=vi<0QLYr%+LI@YpyFNW#8ZwAwXdW%P9)2j?nG zf`?#pOIXuW*xIggMjJHwsY|1sl=K=2$J7h+w0%q`Q8p3_*+d9)#y&4`q9j48&6o3I zZOw&D08@xJ@vI+vl1<*0c3K{dDu`E3dguXAE<-r_(_Pf-t38_FK0wybXIRjKkdve}kQ$`rznjl{O?83Xm(R<{Bk1??W?wHv& zD3*@01SPMtrtc$tA}(DqS>uGRBjy5k>%iZgg`^Uz+zNr) zb#=-XhKr_E1oD{_h&%yKr^5f3Cit8}3{1j0i#$Tns>L4^vg%BtRV_)7s{|zO4FI19 zGhYHi`38^zxEA+=`0X^g7#2|}E@MWkUx#gID_;bja3YbZ@ws*P?bj2UPJj5FCBg90HjHad40(bh1# zi4D2KK!;x1s|?YRfHOX{nh(wJ*_4-nj5i~?sH?VH=?%y}c3!38=6N#}8$ShLm5^`W z34FQ^V@f%ZYhLvP<*Gt~SNJL(LbAG~W(-SC9KpAs*`eXU*+GdW9)a$~gbo*fgZnTN zqWJEB3K{mlMF_!oSCQ7`nVS_1aIVs5xBeUkYdJItgs>ur=!hqa<8WohzZ@5ADz46@ zgHDWoRBMEhuGG_5fL(oJtY4f5JlLM}+LfQ;tVI?y;W`v+;;r@dMIWGWfF0SX` zQXjNhsyX0o`xIy(6%cNydd2EQ1B-H3_rUq$;z~`{?AQg_#WfuyAjq_WqkZy52_viA zFOp7C#la*XAk^#XZHSRw3b`j}uKyo#bStL%P8@uXW;*~|o00B)SPOm&L(p?KmXikL z)X;ClbuKVDMu_E?5fLv<#-O* z?w$TQR@YM!MtG9^B*X$7r{~_Ni9iS2k1!`Z*y|Iidt$>?R14f zObN2_|D1bXXn7C4aP8@PJ4*DS1TZIbL&H#5SEV_<)20X*iM&Z@LLb@>RlCHnPeL)d z-0}Ix$bAi7JF4WgqR903-LydHg(){|rJ#t89zJip=6}2yQ z{2UJglT>1ZvMhHG!x}4$igMtR_({=j50j?dkxxS%)Gbw0&ta|8&~gxJz*j~&|Ji{5 zKl9fei65feFyz#ywhnV%g<3po(ErzDKXo?aS0j7~D zZ{rJL8fuQ_9nK#}iu|al#fNNPA3ZJ0lKmXojgqm9XSEx5zr&tzT;b#DmU>(!_psxj zQe6`hGDt=?|5kb2T=OC?x(Nex_ZCa<#vcN}(aa*^eyFMHdl&?OJBI%yZ(h>9!u}^T zZ`IWyE)(oB(1YDsAN?~zXo(R&)obx(Z~BZWEh8FuRfn?;3=u9#OMi$J)Xg}fjP@MS z184U7qcQ^!qdXiQRz?{ooZ1&~Bkrn2z?ce`O%E^uib*3JhoGCo#%cg;%MdgnW`+gH ztjGU+(-`!oX`oxakgEFds_DoAd#My#o>ssnZ>D-P_(;NSuZ6@Q+ZMPHncOIhLn#Fh zLNP)w@MJ>(AKK%Wz&`B>5v@8E67eGw_{l0Ijzg&c1PSHYnE+)C`~Xhk*u9WSa$0xcb9kx7rWh&76BemDxil>&~G9v z%Q;;NewJum^JxLA=QrVQYrO5QfEuQ{+s;uqDLD%?sh8|b^33WiZfC`)v&s`s00uIA zZxP6q(S5sza&H2Nh8CikEo7Ng7?)m~JOtehZ&xpbI%pYgL#b5rd@nV1J*#76*a`); zzF7Y%e#$C}K;1ki-R}honb$WSaOrwzEJt^S_(n2jF&ri%`~Z?i*=u0%?z{geLF@c8E70j7LKolVR({322-ugj^ z<@8U*PrwZT593(2wx5Cx2pcLA$yY4o3Y3p@B{Q{h`;r8=i{!TPy z#88Z!Q1aiPv_Dx29$p;vlK^AJ!Z2G2XiR2i-BOvCtFIrx1@#MBpzl=MDi;WmP@?bi zNpUMUA_+kMvOk&F$62rBvXALDxAv0|Q~YvJgw~{PO*v|j=tfPYk))i2@5z>W10Xq8 zd}5MSSbqeNrrG(Qm)bMA0bkR)nqx=;a0(CK+v^BU0sfJw>vS?wlEE$?e22*C0X_$W zgAox>@4O1oN?Rg*3rZ-y7D%pXF*0LFiBVi;{6X<^G2 zVo5Ng2dY&nennQ!v076v3lHOtR+6&B*`qO0vXVQ4;*O`@?nU)FlrO^8t*LQq>kAQ} zsa6H9o%uUh>r#PM!i^Of^Nft)xqU6u8>Jz@&1Eaam1vPVn4t)s2sS9Zh+uK zzn_Ph_u|;>*b?xcc`zP8cc?onvGV!||7jpoYI|Yijo^LXA&~K?Fmh~SZ_PY+7`0f} z55N2xjIUO)W94Z~75loW^@WtAPgpgkg^ z8`YW6EGXu^?i6?M)qZ#6D31#IuEb9DtuOY*!tHzw_q2d_TK>@RF4!Yy@b0-V5wtY_ zO@r}aKZ1koP1$$`K@5B|vK0g~&jd|G&NC^T0|ziKHF_9F@gBbLCLBagPYNG8ndP#` z2NDw+>UqQ|U5WfI+tprV-^+UL-s>j6!6LLL=11xHAlMFta&vZqcs_fkb_V!~Tw>j@ zjgj=@v5W6zpq1dZN^}V9ECT9z$yOg6V4bT(Juna3<6GwTD`Aex_Fv9<#ew$B=9G?8 zqG1>~*IU7cBFp~sN+VEQSh6>&9y1N`2Aodi+OG2tUwM9;vun$U0ZQVD_fKRAaWEWs zr)Ad*tvGp%e0&2x9yPRY|q}9mV6us#3JoTX-cw_iBVj#sd9%1K^ z+d3S|2xHlW0>!)Kri%v2=5{1*0q zJTpO(OxFcW$~~azJqwLB&e#KllpO4XAR62EaSLdL;xGbvX0L55R)o2kwq<;WT~OR` z_Cw7IgFgk4B|4_WpHs%AN8e3KABBgo^h$-JpBfq>PUxs(0P2iPIE8`;AbhCW@TEo~ zdv1az8^?Q<+u-SWyplc;1Gh~%2Kh64uboz&lv){iRQ(ZZ0&x~*e?3gr5dcFXSlK-8 zWb?vwI~rUeNxT$Kv56{gY?Tq#5xnXU!eKP|*Sy zB4geSkZ>;6DK(Mq=zjeSL2k^oUcfOIU|qa1LNo9dx)=Iy?aGSU+;N|1E~0@AT4)2P zG1|U!A~IPz#WU~DK`CHlcGXJaz*Pd4TqyZQKcz?U8kL?&kS?!bzCOY-3R|tP9bqa@ zpvjH7YjGUHNPYlhoeQd}d5K#A1S5%`-Mi<$P|kKdLH`}Yh>(*nttJC+m16z;s%wjN zvJJ)Rl?R47X1?@%ga~@ zC!R89l<3eXu>eAHPcH7wAuEzKaCeRdW>W2^3V6N3uzgN!G&PEHfS_cbv1=am71eWa z9$?Q5Mu`HJn9^K*1r#WSw>r*?Hfz+o2MUm)9OgrhK>|HSbO02kw-W(MafG4!<+}4v z9A%`3^k5|cT0xi(ti0+$yL_RK!lF$LQ85J%bH$ep0I#(~t_`qlS-S1)=BZk;t_gx_ zz%_KTK}a80HbEdSO&fGCITzR$8B+sAP9J@|yn8(to4{hyOv9P#O}udp_c~<3K_GV1 zDyd9v>+{YSad0pjF{^V{0AOr_Y$~I@ZfZcVaVWT%Occ;wh)p1rR$`y5nk3puO}(?V zFrFLNKPuo=%-w;`TG>*F^F2}H(+BQ9#C$P{50RwDG6#hQ-+5G^;`GYDFc9n;G|qXG zRRe>{Kd6H%1w@bF@HE<*E%v#Y*;CIRv5mU~cZe?=z{-miMrMQ6+>>wF5?u#^?pqd) znIjksffonV;X<7#{6X4M(+N6nC|uHsrRrf5rR0Wu6R6`lh z?Y2&R_(wvlj4x&oNb~&F_|o@43Fk+ixiZ1>KVl1TRnekSxQEqrd!P7;jt>F@ya@yd zMAe7fuK?;r(gsyFJH}b|UXqdzCKqgwXJM^cePR#z^SQxNK~FML2gejiUS+ej<03DU z1q{rAxB&VR`F=QK#yo_g3o&);80t*MNk62#g3LNvJq#6UW%NYF*wy z+8Kmk9f24T*A&37Y4cpE)2P{Xw;1DwPm>afe%1bhb8P4q5=U<E5 zHFW2bhZ^hOz-WlUAk-3R$u1zG&)ckq{NL=we*jy02v7L|s#w>_! zsizlPpzi)CFak1@3;x9`5(Q9gXTz~pv8#Sl-DPlU4i6AY3!N-|j%DQqjaM{SB?07t zkpBFzUrq~Al#Za1{q@Ijr#(dtkNhy&csmPwBWTix!T(?o%7!4vpWw%Yt_OU})&$}t z1jbx>7EP4P-BVt%A8phQwLm$u71Y>Hq3)+8TrAutstbyrYbvkLzPj#wP)WRmN%%^X zLH`nD_*4jC`EZot#?io<;7f;41EcwwyCDhmh`ot*BhXT$QtyB zJNxxU!u0zynj`i0un|E;#p*S=8}Qdm#KC1bRb9<8R3q{v@!S=GSuhU{E@2jfeL3vK zwNs5=@-Y8NTLhj3p)i;+T^(E0aFj@rr0lrHaL~@yZz>8gilJ0vj^gb`Ob4=*UV!A( zLSI7O6bgKpkUS0Xg@@J0i7M}U=rhv_oND%X!IDkLC z?J*;!jz>vJtcJ~Sg#iiLXWnAX^d#o{7JvaMHS!?jARL@i$=b(OyB{1QL0)lS?1Z^j zHL&If;U;ONL@o8PbD`zL$lV?)Px`B4L7exww&@|KC`do{Nwov-P)}j^=Ra+$e8K~u zkpyYieM<@Er@XZTfq1=^S0ba%(TmR1xE1gFu^3Ot>4hj;TcRzC$fQh=^(uE@Z3?QP z-NCKS00XhIE6hE{sIn{>j8qMoC6}YNI^(m+5vb`Mgw7 z6XFT$GFVU%rWO~4D=AqpvO6BQkL#66WbnM7{V1E$a08nz#%E-*{ovFh6b7T7IxMNnm>J8S8EHv^n&n!xJT?kt>tfijr(2yG!FPzRBc z-Y{nvO{|fs0{6T<69694MD40IL^I(dm)q4|O~2t;131BPi_ag- zmIRJ7XsjbdCL+t?Dx+`>a1M7B2A0c&Ev`?Br(qrAK_Kv5%M8xmZ4AT&uW(X76DWIL zy-)v!771RuTA=7b2imRqsovhR5}#X$!XO1TkqMM(7O(n|@rT3m$#W!JS$IM{NOuKh zYvr#mvENQf#yHD=eb{mzaOV4xupKXZWgS{JvsToyVTobv6>%&Kth1w+h2*!n0K~-& zVG<#h#EQ+)+~3@6$K44DB#2ihSWc%u&I!KFMe;ynI*#89Bh~{8p-H4)H?UoOzsgEfDa$^eIt~u+ADlx*tQn@3evbT{U(T zy%y|MLck%}!8Vb1cCqKsX<+yg_WpdLHU6fJtC(kQ!|sYr{9*KtMu5u2zxqF{i^657 ziT%4x2n1xyZdNsYz%vO<(6dFpSfa<4=+_WsM)%xWW1rgV;Oj&~h11Quk#_K*^AX#v zLI`YE=%Hgne1=zVwwwI=Qx6pGEgco-=uonRb9V7ib0ZEwz*0b#vM%cD~_mLYI+d$*fBH^W5H7`^~PtQc6{G|P<_qJu_zn!2@N=VH~LAN*@PG> z_WG?TzO!YlW4@7yA-Dblg&aH^qCnAqP`qSxDT@KI9W3lH=Fz$)i4EDMNB8?c!!K?l z5ctCw4g2k&=tEv1(eHSk3}~@$yj)+rT6I36rF|+`_whLf%0^+t$7$XlQn%+QJ}*hbYYD7!MY=B>ord z2+D!Yy}CFR3vPd;Yz{MMw3HEwwQ|akX7k2;>;iIxpK$Fir3uXi2#Xw_VnM{BQvzOD zMlC1407N)qFm}OmpN=fc*jXTA(E|zH>?u`%{$$`nTh@tb%Ax+nX`}>$R!J+>7KK2x z9POiq#M?r3(8#6`J_ZQsN`xeKv^K7mz~pHk8+{@SQX#& zMgPN_Oj?t=z-(wZ&ag!x~;^Wg(5$53uU~}z>7C@Z+z*{a2c__V{ zb6^}vAsS)0!2>wJ$Mzdg%av2Q;Y_4blPY2E-q!mV67r>-J=|zxEH|Rtb~f*OLN>c$ z7pH%4IUm5w+1>FwNC?$Ie{h52&DtNn%wG{0-g&Dmc4C7PEHP;^LmvUD_Yn(YM_739e`-t#H9;jJ-)Q84t8;R5i45ik)ojx9Arg?`)zwe zDz_TD4wZLU;xb6cXn(}meG23DpKnEaxmfz3P?R)@`>v>Y>vq5KCxE6|!ZSwwbnYOX zEZTd{e!Rvr6N&*nV_>Fc>5(s^rS4F@x>>(Z_T`b5_LH;laa@D(`x!M2v7u-=CRm8q zahoKHZwH$>4At|^ruKp2B-P?7CUlfQAz(|!lje9Z6Yz7al>6LUkpm_#c5&}FHXLyF zEXtR)An8ZQSwYkLV_b`{Q007ALlR*?J^iw1RhGcGf~~7B2b;HsEazu=hPO!)BE!_V zQ?S8|@>4$(U%QVC7wOC+4X&Ul#N94rd1ZhI0ZtR2Kjf_od0~q$!{)<>hI7!-AQRU% zm&EcN*=4gT?1hq`(KXC@a0w3ja!HWvX={&D$fgts!HIHJ%&Fl^8-x5?;5j)`7_Qk#_2(?#y4zpVOncm3%#A<2ur zGZs*?vqq9(=s3JK{#!F|))VoktQ8&JE!WU*V zY*2mjR6+zuOCj>aA}qU9jx5Dcv3iGi5)0bH$PTSlONk8;YssOe=6tM=SOl2eDVzL; z#e&w?F#Dyzibfd0uCWEXZV`aYpxJr+r27~W*w~%|oYP?-k=f^5 znJ&KiJm^Z7e~{qVDBlTtT{xYT=spqlf<<8i9n+KQRNOFNP22W0dz#QC{k>0+OVF>L zcn^Uq_5eAH{zE8S(J%m!EojB?4Ev}{I4lFU5|sc>ik#et?m^@i)MLl4RCgnE*WLpW%r6c^dv7|*lz+W0qifg2sp_>7=J9gK zN7IPz&cdk^5;q@l@Dh>u2Umgx1qY1%PboP%14Qx~^ocQV&?d$Jn!^;-18i$vH{G>B z3udoXeWbB0yaCLs`pEJ%G;igf0%ietO&yS3S0l26N-i2n!ZSc9c!ge#Fd%*4W?fSn zvUDVR07pQhX8lCBeR_CbUmaJF|1S;;FX*1M%tv8TbXd;sDEp(6p^-3(QU#Kb#{)8D z7Gpi6Vj!uP6@CbhR~UNrs;N~kxeTyh>?^wd^U`QX&Vx6gLOT@`oGSaW8#a}IAd8uO z)IS+m+&Ll2B3Jrk(Eb5nt+vkW4`*jRKzOssAE2ma)X@KbqHMiDZ*aGO|a*49Uof%E(^X>vz3BsB_MJ?sMPw@B2^3IgcL4 z=kt2KuIqYU*Y&)f*Pw^3y_oKOFEP7zgY9z3O5LhH0a}%_5<^+hBO_Vgo9H7BDKL2I zp2>rOS_F?^d%U@Wu~;=0>mmyfmeE-7FE(7Wfq%$ zy8Qh?-@9o78J+|&iM{z*XktyUNGTpdxLg3LzW%`X=0eD?E_Q!A9CVJJm#Zc6wAoIO zDzk0Ol9!=o=L3xNma5#-_$QSRX=q-+Jt%XuV}9 zip~>WR6RtJ_+fK*6I0+khJ)^k4xVft@e!{q6W}M*z=o8h87Wa>^D{W*LtX?Xh{_g( zB^l^*yA~Hf-j7)blhaMW(xOE`Aq?Waxv1b%vAqykbq!R3L)q`A$G5f2Lfbq9{?5l0 zohDl8Nf4O`RYkb|vG$w_#6?&j;1daKZt{>9Fxw=ne{YZ+0=Y=gaB*mCgO zRop`r?`bIljW-hpyVF(blC`e4+rk$3Shr;@gzSTrFA#>h^=SUqM+r(PUlMxPxDyZJ z&y#M>o${W?Daja|J(uN)3P;wNgrDHHB;!PyCYB0iTp4?XcW(9p%=N>SN$-QGIbd6; z=d7cMShyMVYb|3y>A9x61lU-{(F@}YQS0LjvkzoL$UJApPBRL%1bk^K1X<)Tsp|U& zPP=-_y1;=2GSg-O+JB^nU7~~uqXl97|VxE%uxd8j{vZBS^HI=_rS~_wNT*bnE+7kTuM!Y_@|UH%I#CJ98R_TQPu5 zFY0{{)3iu*R#)66DZWMKfsAagd4YI!obSc@fXkdWf`HG5IUeQBk0ZFUIcVA-@k%Dmu3+j@p z;sVuJO@Eg~fK<(bx3Ca4KY;YG?}3k(@9RO|eakI^d(RUKV$_o&)RhMCaPi_NptX5* zlz=|*EFUZc@Qm~(%EJk~G>Qr7mhPuKuYPw?(>1pbKM5`{Gs)VyJkw`;PQ>G0Xi+7* zWB;N&Z&O37DzmyEO*Rh8^G?xil6S-DXM;Pj<^(x5K@$F*q&0ErYU) zEFQF?SD~=QN|q*i&1bWQ*v1*=^YAGc4w2L3l#i!AyPp53Ma`B&or75^ zOGxA-z&JaDn0dXWPYRbCV8AS`2-w>1{N08hZ{MF~_fh32pA$o7$wG8VoI&wXs1^zg zH^TjZ+mY&ZX0cvvUkIEp=YkM)6iuLup$wqN|4i-9!Kaxx$6@^DTB*b$uoQnTv=n8g zELKtjSj}-te)asKhKzpHe$)OROdx9O;3W#9A2&WAn#o`!v;4w+W|T$;Jxd9e^C zJ}^$I+}fD+_TE0>WC0CZ0gwwAloeIjMFW&R+3${|Cr3l$CY>RgZq3`xE2y~MSS;v~ z>F(1f>b(92>n+>+3&%pY9)cK0%n+37i-}TQykkrddiB><>I1M|9kzVKfNusX}Q05|`h+WMF7UGf&OUa#) zg}@N~G4*g!O4TRYJ1S>NnnN!=^Qt{Nl=8JhR`B!PRiAo+oiB6>?)h@y%LNV>4%2gf zY)OXdHn9yR(EdI$5W7yl2VNpF`SaBqw1Q~Se3oxiI0yy;S`0q^r%xGhWsffAlIZR4 zLBP`&Ng@c=Mp`nUI{NGaE?EK zjJumtEtkR^C<#;~s79MJIQ343OG4X-FHjbenYIN_?BFjex)FJJA%TvrS9Z$H%$(X$2+mB!AmmBnIB6gdvc|Jw>c9Kk$?3A$f|*<6=j`U~EYILw!MHGPQv z`cqii@vyo*MvxT(P4Nh-e}0r9YGYuAi?lwV$vfrw?fX1dQix8NSFK^6bBz}^CT+sj z&O-nZ*z6=kMe5DZ5pULyOs2-37TdkB64Ct?F1PNbWkJCf+D~N2{<2<_p&PaSjN9Ch z=o(~tFf4HDKtXsoBf-S)0@84=Mb9c7sG#pt<6f zRbg3;HAQl8>{m$LscVZsE*xxbt}I}X7!lDRS(n*d7JYFCvi*L@(_bQs6QGKUY!umA zXyW+m*$#!l)d`DU&|*cdPDvXVkCvqX*@koB+M$@%9rJ6*wjF@x!o=&5awmyiRK_b1E|kbMaS4q1j3?C_9=WbL^~ zP7`qA>Kfq>v*>et52ulkhx2K%wyUM4Bedif)98=63j8eo97$K+J*L#)@M2L)6gNVI z{?!!~X+9%P6Y>=(@4E6rv9VI=-Ps3m*sbPBih{I3UlPo*;x!t4;|cs0B#K)K?v5U( zaM#^ocU}L(fM7KvL;^Rj>-n0PQBQ{^hfSXhv2JS~>m>*~WPSHdwvc1*SaCBMnX=-K z;@nFq1eRWQ$~osZ=|Biw>hW(@%pYB*2tVFXT|2Ob8h?CD>U^wr=PNS83|!YH5cCOs zl2`$(+4dYg0f%hJ6A0W<92z;gG~J^Iv(n#zoaU`HmtxZ79$!=CXSe+9q1-A#bFh)Y zpNQwQ;91U@HwGqez1Z?shC)!5u{u2uUp~K`iLI$a;&U2ld0Bk|*}rXu+|+MtdaSPhfF= z<@mUEgf-;(*0HV+l(75)5uJB$1x==1qk-mQHTTsMFU+v-OrP4b$j~kK{ksFCCxh%- zfV~`ckWT%BJ<7_?fqVutOn{N{nQXUyx zENBlL5mi(?LN1OSekJe#p4XulH1RPUIKvc4OtaJ@xRU3I=L2YP+-TEIKE%C-8~i}z znj>!$gBH(JNQK$xPKWi4@u=yj^w>fn7Qkd?q-1M9xQG9HErdR|OPutV!*Fk}7hN~v?M8Z&vrlk5d^KMu2n+V@XdX!*v1*z$i4Of$PrY?j3|OviX+*O1Z$Q(?ki zQxM3ov)lf%QpxDC{weON?Rn<~6HpZOJ$ZM?bG(FE1KC(dc$7xO=mu>2^p3hU%D%=J zzpZ``skkCTK)MfZ6uZqoHV6klE~TfZ*NbxhnMBKT8NYei_oqI>gfYK}&9e@nWHbj|}<>4xla4vE43Q(CzoKwCJ$3}9z9AAa{f>P$%F4>2t8#p#@U-eN;cMSW z4Z&4>dfaR#vgCvQDKL=TK25v2xGV7JMQ zPd^=$JIuIPmVT>wCG)B9Y~7}<<-N4=>6qvj!z_D85>Hn>=Hw90bbs$Q;ZQPARP!=1 zI81l?e&5pu&%De->`zMq(bgL`h2iLevkqY3hmkjbS{yhw1iU&uXrP^Ov@nUq`=G3( zL~EIwoqbp)X=J=B3Pbpy>p&$*_Q`{;II9iIv@MeR+Z3djM_)tXa0EIE z;gyxbeN+hDucrF!c&{)NvyrWACmq+Q;oBoU^W@DZU_Y@xQO~7EdTu*DnIL&}pEQG! zUc_i&`gzMalcw7D?=tyE{Ofd*2Pv;HO19_===AcLQK1f9p*e&NXL&ct(ZQhsq{TRp zj$i-dDVTYyIlu!@)KBLL@_9sx2#Dj)Uu3(6s%^e>J93jku?9~qSd2WY&35r#1t)M? z5s8v_GqX7jKYH|LgRdM3L4*aOngaPF*9M09q6X!@1fL@$Flj2MUMy=^`fP16HXIxJ zYR`>`NTOP2CzzCQ5buFLj%rm5-fxj<9EWYJtqI+o%}wBGdp*N@U`qjtDfQT@Q7A56}>68lnm}Er{a^FBM+Ok>*&C= z{pK4vEN0nw6%!Q!#<{yOUI#GQap7=p-60R&l)Sti(hsDTTO6Do+pZ-)5+5s{e$6lw zz>Mr6eN7_*#~)7$7tzv>jS<(%2r@@Db4>=A7#kcqtI*_S2@+iJ@u$8lOm7JMf^dK` zJ^GVNF!m4sOJ4dFB}yZAUOwqjr?AEEQ#U=WqgRaGdFQ`8lbeoNRk4Sa$ml(kz#3T7 zJ4N64dnx5pmZ7VgBuf&BY;0`kqa0FKQ=_+x<204lJi(?=-13%#*4@|Ez*jx+fcG2D zw;WPMjJLolp0bFb#8hn*Ji>{zBrWP%n{c!X+vNjLs`s||;>@bS5*3;_FKu%8H zFyN0oWlz@K5aYKSVsIZ|!MXt!L?V58*-n$9W$I&!a0Wx{DYgH zl?msA=A*rMkg2aG{$w?LULlk)oSI60i*EUK7nrJ^Yl9r)l%m8lC-~G^c z<9qFU7j^5fSq_U%S1~_kPit#4?IRdi%@h#S?WY&pt`K359h&A@3K&Cpt(!k2FNfym z=MUSy?LpiZ1s>wQ0wmDxixMjfGaw+qzFms30cic!Mt+YUj{prL@-cfU6Z31$xHUCO z&_pga1>G*`iPH&T;Jy4q)&}18UpiML!qc*Gp=z>R!^~Jfz(cxa*In(q@Llg!?|?Y3 zpFe+I)6seMIVHrIVKp)`@^P<~rDZJ|QvEmAu{|_oc=M(m+H<|27|qZ-{3H915&hUu z-IMF6xLv1*{uI|9Rl`g=J?Qe4-f?gU(5=kNQ`C2v=8odFQs=jA;NEk#G>@?%$}>RL zC9^?_I2(Jo4#|pEkN_U`Jaqb+mXKz3^s$kVNAkgamDnM&#uuB=V9~DzacJ{KOfG5jVUitbBCa~y6Z>`z!2l55 znH+h4z{A}9+WPwXTlRqFi=GPDN0nSGEG%~YAmQBcp~+=(oYj{p$A%dD!Y)zo1+;cr zq!qT-Y$i;)=@I1c3>2KxjNiR`H~yNfTYUfVT*ueF`_+44(qM<*@_0}k9qeN+O1mJ# z!b6eAufe+PXT9Dqqpb4P!9Bmpj5xk+1jpz0hPB@3&%9*8ZnN4PRz*ji9=5(V4VQBG zYto6|U;8+5{fh(M8=V@B;TPx^rpo$~?b_ln1{7>XQMLZ|w=ZH{#e>NWu4BR4Y!6=b z!L0C6!ZQf?5a_ZpHxEutO?^6+&i4hzrJLpp=@bmzyZLAr%UV8Mx}rd%Gq&|~u+wT# zG2Pn6%uGSbVn2TGe#DTRD0wP- zdO0?B?o|+)^29#sIea3;v8TU93r{{}&$QrMpClNTLDk+qk`rb(t3&lu>s5&)yX!Zh zI`xJah#)3EFHw4S;BxWsG{TaDv!FAPS78YIyyu!s5CP_Cs*Ki{Kq_8#TW5zsU|kB@ zmfXdDIvBvnwSH;;$e-;Vxv?6{vp%gIW@g`gSNl6&(*#c<@!3BYO?3L2i*8VmD z3N*^VW+X4xEyrXv3}7U4?^mJ8-(DCn8G9+wBF~k~g@J$A!iTA|e%U)fW82|z|3Xku z^HrXB$DS`OIK;}>zh0at7s2oqRBh?Rb?3RCLT^Z=fLoN1gJ@G1_5IUs^yFl>G`BQx`pfiKopcrOc`Z zt<58?BB!UPIH`+wGZmT_SWgE(q>nbIKWcb%z?;(J7USCb3kLs!XX{Ok%mnH(F0F>| zdqWR+A5R*+iffLCkf4GA9q5#nmRdKp0*;Qo`wg!Lf`zgEEE0Cl<-8V~j0G**3_%!{ z`!CP?2k!Z*%p~#Szpfuc1-swJ;M?Mwm(>c|wfC3n=cZM>etl^d!u)nJo1sR>G07PI z#>r60hrXQHJ!>;#D0^J)5k}lU8fgX}_e8YK*+qteAOoxUEF$BVy( zzkAl~xJXD{fR1#1uKQBvP%+chJ{Zp?=lct!nZ3U#RANnx5b+OJMcOu->j0un>hCASU_)f2kghBZ6w~tA4Aj*b zEOVToC!v(<1X_I!kZHSQZ#WB{)&iAs^m88pwDZ(rSRAqh-eLTY*!D(WpzN_KBuLrI zx2>X#xfjvyz$5q@3_8ED#mU8W7M=OpPRw4iECjEoIdxl5lln#ApnNu zvqjm%7aO^uVD~| z4>)?9Dn}n7H*lneDG+UeC<06*a85zXtj5l@AK13TK#~cAtsS3=xDnBo=H~($Wls*B zyoo}F70Sx>L@~)G7Rg;Ciu8C@8XpVe8OD1Q9jAxOxGEr?DZ$QaJ$0P$+Cb}h=8qc)hY>N|3 zu49&*N2(N?MXlbBfji;h`(X1FqzQj1QXH6<>9>N|iC~s5lJLagTm8!uKQ>g>EwX#O z8~<{=@`eBjId6~3j!#Y!l#K(=#{}w@oVTv8UN3C!WL(!1utd|UO^mq2l$;L%@>{IIY{+4teS<4ebq_7-*$d#hd3w!ey6gSFC)F)qQn zM-(-+zC}owO*7Mb$A&n%B$eo}d)T|Z?+XEM!#MU3_Y#RB2EJOn760hJ+uN1rHvlBW>oEJQGqmZ1~abrwc zfU&EIk6`b$XJIzawo~tZbL0~8wNR_{Gli!SKOSm5OXuE@M+5brzj|@PxdAI0BzFp% zcH2GnN53E;=Q;Fv3lv>0PozqoatIfMnXIU6|MvrM&Nw6zyT9zFk|8MABvRz}+8C@g zY77IxNszON5RYb#Oj?}cP!upp!JBcRkrcmrmzb&ml0uUm<_ zXcj0qSA8(BRfQ3}bnE-goJw+fQquYeB%d`d_Q)x?eZ3;))!unlvs7=gZ(-~mHL(cI zGi-3=`jbZyFy62%{4J7@0Q}isq4>K4gB3W}(O&vX-GMj^_k1@W$Z65YlV%YUW@?jJ zL9nhPKy9v;dUNPdR4%d89@h5EBEJj6(<5brTRC^`-1#hgMNTe&Rrj@`YMxjj?C`N& z07xL<{smT@$|6kUM%7#<}A>TVIZP!H!gGg!)w<>%#wn5rCBfK?{CYCZO<)Y zqy23gV%I|Heb(tj=%~@767acc1l@UzLC;N^=W~5Kx>~;TI5iW4j#| zw<(S&zBOz32%JGjP?U%|0T(wn_WeWb29<&nw{`D)l(7FEz$@+G;2_q@4|CF5fVu7p zo~|2%XB^b5L&N#=mCzEtS$v0AH~(<|j!g)y2afG~Hcp0{UGByvE$+sJGh@ued^atl z!YL@sBUc+J|5W_JZ{sD3`&_iI^xkhjfa%N@oCz+v!Y(`J_Dwy_KK-gY zN##F1wFi@*Y?b(6f59&$wHQ1Eb?Cf%&aFzdjY$im5J?A4U4ubcw!ff?WP~I^&m#P*Q3e z`9;0gt~|K@Y)kcghy25uh@R6FM(8JHY~GWJo7$Nq^3MokjxfT=WM&f;`uznH@rJLX zYQ^k4S@~}(99L1lwD9>E|GMc+Z6V3c^mBk`>F?S;7I{zUji2W&?xds~QXX^Xj8 zi&w+(_+?+YzFo)K;9W|Mu^cD`oj7sg8~I^@md%{gl#6BeC7Xoss;wsy4BjMs>45eSq(eCufK1Wc3x;szvul))3TZ}7_f80sO^SGq(wP* zB#&l%YX7;%3Yn2_QX{EUWIRNY7pl6i%?9fv2>T}-Q#(qL7v0CxLAd{AL<}`)C=ZgI z-Y_5?uH~2_>v~%(+@4@ zrUDI8~iPCh?LcIjb`3-fiZ@lBV<@h3x&*iui2frfs5q%%k5a%dejdL(xd$ z@c#ixyk>0s_>opkO${ox{h3%!S9dSWGlo>rn6R)-6A;adHbmhk7FQM-RZjM`%&r$enn#$jIHNEP6A!yEf&^DZs=jSmM zY894ROm!7)uY14qUUAa;!UNv=3ZATj#;LMttHUyF>s>YSl~_hlp2Kq zbPc?6x@R`(^xRMB^sn3c{T!>sF}_8Nv7`X_|6HqCsNqggoa(O&%&bH@2+9(wX z^f_J+VUCYW>CNT9aQwB~w_k-nd19&Y06XiRYVj$(bCDiKUix3To|FzXsIPrku(2pV z7};{evs60Q`t|jP;bei^9$r+f++##+3qzBqZ2NnEKo76;$7q869==uupoP?f4uMcb z{M@;VbqynuvWH1uPsTkPZYUPEj}Ki>1fNyGtRYULRLHOuNq&Ah`s{t zg5Sd2+~-~o(!uYp+IHwEb+Ae-KJ9?8Cm5@s++C1c2Zl|KHf(zh)S8~tmcuOAdJfsh zu1l$RgJZs372quP%XLQX!U2;1e>iZ!Qxj?FZ11&nmhlM*3F~3ni4}OwNrZw1~B&YD-do&#W zNWCezb%4Y5jEK>Z?S;8(T5RW?W^^GpsYx??3YldZUw6HTw}Q}8xGu7l3dm03!cG9N ztv~09BEtU;dZSK-PamLzPYFl$X3mBUaV}2t?0eF0mv)n-H6%&gF5p)1+c)gtM6_M2 z{Rg|=t+Wj#YVKCrpbBZJF{o=L_rJ6*dl-in*U(56Brf!!hpn=GV1g(cI#Pt&HEL$Y z7@2{g^>PMLOd6-vlQb>`q{!sF!cbXjbnFOHf7SyCrsLJ#{}r z;nNT^#E)7cl4_RQJoYN02h|R|vC1jAiWJ66U?;rF>8EY?T9L_M$kq)k3W*oXv}M8I zFDNx0fR}jK{J|wPaGIMui4XV8L>kOQR_ZqO{`)-Oc?TEDJ!em~h6HqS~d>H|ilsd)QS!SED0G8285icEog$3Y&mZD6-PN0n}LHy8H}4 z1kV1G9IwT|ee5i4S^MvijE$X-NaGb19xL18=b<{~+$vlEShe~p?|zfv`*4VBZH+x^ z{>ULZy_jPE^gc3>OMEuopt54^FLV!BW4x=F--2kh?OUl(6wJ1g&>8Vw~-jM_i9wqRtzM^&?P`T`8}+oI@_$D#)jbMswEE7c>t)GHCt0VfKx3 zs5yqx5F>LxpG$!-OHH# z-OGRkstMrjUlS;#mtklqQd(B_Znu}=W}MY~?%cUQo$C4OAhvf<2t)Tlc47R!OQ8u4 zz(hnO(!7&%E7vXGXL@|~rffv2Uawb7F|2eG4KY+GVvfcu=2k3}kP&Epuya%SqRYQN5YO zo%HoS7XT8{N?QXX6t#{YWTxnD>u;cd{t3jAkisA`A2kAei`&_~5)u;m?pycLb8~~V zpcy*B9l}h|0(ob*{+g~IcGhTdCG#xfsEpE;eIq)6QH<4(uXri7KvBNWBT+V&IjnB` zm72cIl=Raf6$f(89i6g=&v)v0`-VOAt?@>uRScM4%xavYDqdb3Av~spb%gOM17A$oFWd- ze>!SlYk0ksLDyra@CgVcrdjlTcl$QK>#_g7>9exDEbZb_wrlhe5fQ$L4NYICf0;JL-+Ov?gbDTI-xXBa(k>1k(_zOj>Xhr#R9MM zB}(ldU+3$i@pDZs{$YzpqRvFne;G0+TF2xZ`&gKtVnsvy@L6T$sKe8ZWrm9fzv$stVAp&XIw-t0P^CRGUVJ%JM9fKM>MXdo(f3-o$yY?M8FYc# zpbJXT`5<_Hr~gcXRR*@5oSdApiVEqH>!{O`Ir_Xc(4TOz?C-%8=1DVnC^a>2#xcTKgjc$U>UdiE^u-m;3f_w-=z2VqqkULrB zy0X6muc|W`zCyZ~l195%?gdtZ4rUag;-#1Q*K#h|XH30P8A+?FaMKFgwE4hOM+l*+ zaaO)ZXsX;yy|}40&hE&lo9XDKl1-oVq@1#Qw}blEt;4J*emJ?dipv8@;EZL=eGyA+ zSK&A$m9HrofKD|{A*I5{PWOedI0OwgZ`y{&rZ(4sw>7ykS~HF~;ri6_xThQvhkv!M zejhlNEX7~@ntK0xU(?CSNyf@5bN7j(se6+X6T2*dJ>uoRdSJs+)cU~&WH<=Hh|u_X zNaLN#du-{-u3wQr*bN9L3#oRey~|>-Wqf`oR1lWcDP>+oiB+gyG_5&Kpt;n0E>7kp zs`GCg=Fk%n223&vGM|%GP607nJ^ajU3!jB2xE1VzHFzBPZ@gYkdp-i7>o^|=F>%z! zkKT~#`#anvV(#-F^lWkkeh}2t<=Tcwi=>fS zTyb(mPdr%FXjB3VKqcJPWQ(jpD6kM=<~GkVd^FrO2XVU5hIGUn+Wuq?f19g;7i#|l z>(0>N&#b%u=2A|bI#okt*n<#f=F)5K_fnlW@t-UrqZ+zyjKDB%Nt;ObW&_TZyi4I4 zN~PDg1Y_5s7-0gdKMZRAav-!xy}A6}x~FozGq(}K9cAAH37`~mIjx)TG1V)U8^@Gc z4Y{k9GF!0+4dmBiR+?~p7k~H<4h*81|5&3~Cb@{<6_43${2Gz;sfLRv$JlvRM z1}zkPW*6vE8#QhO5(upHOU(M=UpiKje!!PSF%kc%d2#xXkB4p#10cjF+q52HOTG>y z82Bpkjo3$h&c#>$n1&FAtiGjNO<_+cfO^wRi7iDM@VV6L0BZzh;6V1wX58pX2>+ap zXia%K`0qaJ;)A`qAWDQC-I9ixBv7lMKvM$pa?{d?3%k3z{(DM*D|g;Np3&W#lu_D? zJhuJj5A;iMh;|zrHOJAN>fi0Ur)+IszOaLQwb>le*{S^p`}sEgR%c29Z-iZZm&sjE)ohlr8eBm3W85S@ro zxgn}?B5u~HUT>%WEcMC}dU4)_#A_6>7cR1{e)S8`vtRl|EnX2T-}d%{-a-4i{2R{3 zDo$ccevZgpG&Z~=z9ucQ^+5xhwE%|=S+Hed&h&C6DVc?I#AJ!@8)UYzYXexfb^%ch zPIJ;H5H*4s1n0_l}n?fpzy2veq1U$H@j}ry(&%5?dCc4jKFle%+7&!?z@K28yUxZf zZ~OGbcgL;D%1V9Jo6(AvZl|1Oi>n0KC^_29nQ$%m4+f@AI(4LDkL-szNNEu<*Fe6} zr%I8+Q+aPpK*9a`3T?7#=Y61GN{3GFI=A2$RCKE@?0~&iU8{KDN<@a;9WEn*;ovL7 zu*LA08qmQf3IqQU#M&D`I^A#g-P)~I6^DtH`DcB9t9+=P+r30GaSV&u)#IwHY;B)3Ha6y|K;R+eG8KNfriZqD z#L=z)caE;7=lG`1BXlNF;fH5LNqOea%;bNcM$DcSNx*MZ*VScZG1oy)1T3z(CN6t= zdOl5ONupSqupK`kcphjUcHM^_`}x(byJWfNl9FFmy^36%BuebX_3&TJ@fZT6sZGJE zd4A%pz-+k+d&w}#7-feb+?9ox(q3UX600LJ5Be_wSnR3<+G=gJ&>`31=jZ3tKS!>) z_9H3iNErtbjB5VdV3dJLHuFj)Mb}ZhLN^w|;@MRn7?#+uL92dbpjOnv>a2-CtK8?ZPGQhuiHycmWmqsGt>h@W4WA{%x3V0ZIR zx#e&r)HX^6-wXbNlfg}xsdXF|YGPOEeG9$tZn2SMIxoinBlK&LG|W{j|HQI{Vp$1L zmTPrwdbln}jvw5F`Y#-$@zV{!;5NUQz@Zt8YV>sSApF?DYp#~sO4o1eSTXCNp(=) zKi(5Ke!$NSI80fr^NlN)d9?z2Z@YF#e(w0lQ7qhdHj{THdH6yr-)n#eb~c|2bKLLU z&-(m%e081l+~Z-%N8L@^Dw&C~8`>(7{mZ(q3RVdDE8Tx!fd`VU^TjpMH3UW{qDbpM z$h+`x;^N&8A3i8+Y4O=ZA*15Pg@3Z8KX=AtDb5SI`{pm+6->mu|44b#YVxHB4UOf> z#?}QDwf7BAaz5jt$>n;NRk!+bg8D|dxwx?IFM2CaPSzXCua}4AzvZ}H$@ZiD3<(Zio#J0T1CV*Y#kW$+eb$(7JYjh?y z#fz|a=~h{Jbab@gPz%~Uvg#?AiV3`!bmG#xq5G>@drjOB{GdIEaR%}`%vP*CfxUNn zsyCf@_Ok+-*lJMX=3+5Vz`veG5u18l2MFJY7BOAqb&Sl|jDK{6NCCVUD-=)h?vEVf z;Y5z9v&KzRI}Dt|1og89gJ*&&DFq=xmhBVoj&xYNmta#QjQdO{V ziEpQkIWfnsc!_X*q!N&bLd869ryIdNA{BELsJ`zvZ$nD02B%BMFFwQY*UrVIaQikI4v8YREX8f2?+^L zEtpUVwq?oZcrY>Wfk4xJs6yXP(<7*J7N*Hk00M}mFF6cf7}%aEI0-W~yIqtvN}zcH zKY$H0m1s^zTH0jl^GjuIZT?Wimomk&&Bob!9>FWi%e2@?L52q!B^UgNQN$MvJXFH6a@rKKgL z+MC7jD|k)PeqQU(#G6Hu12)j7TmWX;p&e8<4}`FWsQe*+XtN+-OS9V2ee&6Y`}ImP z?qAGZ;+rxwfT&q$zM4vQG?_W&1Zqz^75}WyZsnHwUQ`(_9IY2$MYYq#v~zd%d-CkX z)GWo8jZG82>npdEatnXus%<-2&q|L0kHq#gJ4)m#_Knt9Hb|G6e+W(6rV2k=fjz;} zT3W{!%Z_Nj312%l>U0XKRuraChFfh z&c0l)@Vk_=eT7&$JC`mfWinmXeC*CvU}}^9o(i^2SfR6#KqgpG;*f5~lft z?z@+GIA;o$WXS!jx-L4qOz(YK>rPj!vevg#d`7psQ8DxNCvMHT9l2#!w9~<|_VMcy z=ga*1qN!jGn#Ju>rR~Cmi!+5{*j+m#I}PhEb|lLLu>oy)23LbZ84JuTV8gYRV!4jrNlP<7i%b<#ojgfaxbpMoTUoW^ z%oLzJ;9=;+qZo?BF;&cecS7H&)O^rz`r`6if6Oh1N3er|v;fd(f3${>rocdPokx+nd`a?tL1{2t5S z7$heqvXkKCy&nlAKALM?ZEeJaCzBc6diEIwo_7e4?i>gfQ4y-IcIntPAVTT6dbdH7D4eS&x zyWuIO$w`P}5r3vtR8%D1q$*f1ziw=7JSX!c3aS>T|I+$6KeBaJUx;s)kodb|f&NK^ z730(Q$h5nJot>R9*~q!;=2li7_X-FIpv}g$Vg`wOSWEyB^{BHlGVmv76NGKMXOZIP zrhpNk#X!N-zQN~D=-ho(E;J$}u5}=8cN8ZkOH}{FsKn1v($JtJtfm0_%C3X@zQlBP zb~Xgm8<79?ZlN$LdDJvTNpakLJNpM-!NNzfu0i9a4WP#W1$AYqb|MgoP6e zu(GicKpY#0fSO@s-pGswUwXhh`tXywcZe_j4vdE1%{3U+sU)~`SaG$ZWQRUQB38+o z#c*&$At!RB?`KtVm#EtAr%HyvVfT7kISNbTVJ`qEgp)|l`hLF?fzgeDB&1o zNF6*sj6GXl6ib^u2}tR&uNaJQ(47a)3?iM*$QW}IbFnO12pXtzOnxQo&~%xUBQC}S z$p1E*348A$(oDl3FYol*{@-PLnt(l%14$Mt|5n6(W!J$di1;*1tXP)nDHTzChvGZ$ zuF{CmV&G4&$4}Tfx(RAmb*~L5nzk20i%>5gjU|X_MQAH%XfZw=T4g!*6_$33OPC%( z`(-FcB`!aC^5pMv3b*sZFr;#sgPFKn&^AN|(|>nU7)t@tXm%!OnhYyj$`-&NFCUZu z!zwoG*IcWaO~dyt%CnsO^>$D8!Y5N3=Z(!$B3p2l;0B5`x1T_#cFjfsCHA}H1ut!l zeTYls>+wUfp}-R~X&NQM8YZ$UXz(J>=KLXJ(^j49Vd!KVVhkx8;b_0Ls$fZe=;LXv0!-rCxGEpv);}R=wFXz=4Zo zMGuNVP^7-52sY*J;84iL#pQFtWEZ3c1|Cr=TfeCaw>~*F)j?s5%9SHmZ+qZraGl#} zB@0BjA1=$#;^VSHWN)|H&U6*`Nt@ef9%l#w# z*G_wE-cmAdP_>0yiipdVV93zqOvUa@FA4i^3PB@bRaXgr`mh zKikPwQn#Ct!pkjfee=q!F*)N~$fU!{#&Gx{4Vj(b%k(R_dM4LRLm-t-Ul@PRlBBO8 zG}!5}EfOs})BVRwavE@m)V*1QU84)#iPA&6G!Dq%^;Y_5^bgBAU-s2d2;7^z5?RMy z-Lzc~C^`76q7TEgvOxxkUiqC}UD6T~@2(->u?R$Ol{R2bKIphwP*chnD=>4*Ij^L>brF+_$P2>Ya#USyLoV_gxqE5IMck+BM{M$gM^AM1~iCtaJ6*x|SGt zV>7rXUFT}@fxzZuYg=Nar}x~t|Fx3e<3|SzkiPxde=NE06`wCC{A#BT=c52mvYbPf zWil(Psd1yv7dV{0y*k?3aEY=YN8tD>_17)pF)GCFA8uw9X@)~aLdQD)!c)gbPMX21 z^n1+xOT>@{9Ax!#N6<$c%n^H1JjYU1&89j&%&x5{84;KB0j#SuR&Fby4*1(EwY9b2 zCBK_w=H^CDOj!ELPA_0yxk56xA(4^@@WaPgeuCz75E&VTbWLE#`t9MDEiLjEwzd>j zmXR+SFZCexGBXPNbLHz zc>oRKtv+n=v~)4ba)~6|`y!^`8B^qu9aHLIGgmlJROq5;>yjh&<#Te?>YIC~96Arl z4_N{B<3SL^yA}_>3AaVk9*JZEckdhUj%|a%&1l*-e zrouJnRe#RRl!v1Mg3{QD7=%`ptPV4v=}XPeGNXj68Ib@ew`r<+(1LtgK>e+qmFi8# zR^sEgE~{hN?^L6(T!rx-z$Kk>&hTG7=`PYcNv?3~CE48yW#TC91=ZtaX*(Y)@v43A zw~mn(5)l%9PVF+bgw()W{tojm&-u$RaZRqG;8t2vSua7v(svoT%?ymnzm)KhvO_<~ zH3ku*v=(R`;vC$Z)>ffdy0Bf8syE|4f2BuwXzJp{xIt5Y-JQ^BbpA zZcT+@RQp_jGDxO+V7qi$tI$IpaGcTa(AgW>M_j0~`kQ?6cah{g`hox}wzsv>umnn> zONEm^eh?S(^6Kd#*}za- z$CvJIvuD~t%v6|U{2xh9bH;T zZy;C7U4%;4=H}Xso?fA+9Tgo&@i;n|fF}5;C)5x01228^@$WzP1?e4efVRyo%?qPW z-_s9M^ZqZTE*f{K%`PdpD;O?5WU6Y=7!mLcALrOPns{#W_^`3Zg!RXvvs67xiHCJI z{9(oN20byZ&lJ=5rZBYxGzp=iRn@4WWtCe14+l!2-$*=DL?Vdl`?m&%j8_;s^3XFyv7ED0!NCFG`#C|+ z@`DNw7So+X8KesL8!e-MqPYlDot?LN{)>(?l#?G$Tz0Vb)x9;W8pW3`I6=4dy&`BN z$D`<)q`?%;ePn_;yH1{{tVPAn?QGThSn7k44znqR)t}-~8_=T;B)O)9eYt!ig~+t0 ztdFEpVHhE{9H*xgqZz>jWDP`07TBJcf{d9%7wk#+;G1#m&Pe7=Z|#sc2Y{Ul?f;8i z0^@vM=Mnr7FeRO~$_nVBjtMWt(YfbX{CTpcirBQQtgPWkh2<|Hs%Gc`i<&3y8-2-> zx0N3m-h@DAs3;bp?GY&0)ziZamDKBU)8GUdpW$CigQ^;BU&lS!9^$IEzU-z)MQC)e zU}m0;%~Vt9_)wi4G0y6Iz=91bSJ+2GS(&82bBGg4Wqdt|;4Oe*lBnL1!w!yqRaFMA z@Ngy-^_d)$4=G6b-p$N>$6h!}uC5^iQw{~i#gE{VgMDVh--H(WE5k_b#qh%iT^UQm ze+^9kzFbZ^fI32E{{??0nI9tIk>@n1=WfC!8}BHb*{>2;_XRy;-3)^Khb4;3`nXR;E%!j9C7wTOh4~c)KovPiLLZ*d zePw$3TVIHF5MTQJ7g@4??}I2KC<3WDzMM*5@3|)%;Y%slcEYet>Xd5m8*|Sibl^&H zR{zhj5vK3kUdbL;Iiqn>i6bsr_vq5RzSTr%U#wN=a%@5#CjwIk7(d`PxhxOZ*iXKa z3rrWp#Fxf;GBzVTIeE$74 zk=oeU*p4q}4{N9pgg_seX+q{19WPv9_5bApRYj3TnU@Pl4nu?H)?SX`Ee?UFGWIky znWZ6iE~AakEAhup^|d#pOYlG5Bfe}J z)W$$n$QS);$mMk0K67vuHc?qy5geIO%fR{B)tykTcpM(i!Ii}5=%Jx6(HWbH`f1HT z0zSX~dhh928s;to(+X|s=c}Fm;7dgm;^Pf}CYFfM#5-}O{IbELFo>hid;XvN>K~Gg zr!5D(8^yOwzkjZ_Mq?<~*_Tc!PHmU%Hy;|OHi&-8kaSn~I2=`WGaI2! ziBB()0aJTWVmULnK&X`(x1RJ|-*652vlNdXVH*OazE_Pvg^WfC%aaQi8RQ3|3!}&8 zcj`|!mwxKnBjXgHDc+|a1MJq@|1&T}``5@_{|aM?V&oi2$;oG5YiMZH0qkMygT;ap z?<5i2|Bzqc-QXZc!?RgV7|5mv?qv2PcM~nVC{ttN}IYH_By#&{FDxziBx; zHB}&&T^D{Bx)48<0ruxh0J?F!QJ8kBAj>Fzkd;oA=f<9F}< zzA^3?-ybgne1UU#_TFpGHP>8ghn~#aTRN3U#<@6X=N$mGbE{I>9*@TD(DTaSdNL_F z`?|J1Ln%4m_+HiisPpXJ^UlN`TPuK%rFRD+m?ah^p18P(CSL_BL0S{#v*GeN!MzS4;!BVh7fItave0Vab!53dzOa$0C#%2l`UWgwMA-a{>-t4oussy72dI(=3~$TWj;qqZt7Eff)gn}JIZh|u2Whx1&OyM_c} zF#iw&;&YYKHjX52r%*Vzf>Il$25#vJN~XR3bu%V{*)suCB2zT zG?iQ3lr5P7y7g6=HDgroyRVblAD^|7tId0$t)gDt!#fn?-=F3xk)rw#`4JdhjAF`h zP&d&goR?Y3f4(Dyx&p*87-Iz8Yjj*Un-nQM562x@9=eYGzqrls+;#5K??22#=h_)v zrG-hl(o_Fqy3+efLuV7#>EX3y7;w2{Z^z zTdOV4`F>r{Bo!d9VAAV_T2MGtsa0?RC+qBW!L$NJ_s72A;KOf{K2J*$fjfMu0eYNM zFr)`Ck+F+Qk=|@H3(a^&i;GLhm{jv~czqvJQ0Ru#>Q>)9I&BGw_i5Gn`9IE5|H~V( zX93{s6mF@4kxL5DMFl*-^HPKb4(K%^uipps9dtk|nmOJNw&3c=r9qu5U{aF!7+LuP z$lU%IN;?0WY5$iBRWC?UPJm+Fn*6@M-GdL$y>(%^b9^h5ZqUz{m^(c~gCdS!85>)` zDTFJka(0Vukk75?iIZIkwN!cOG_Rf(432HEr6O% z>^L9mFatF9kKnfTFMAD=I@vinasX4W>-b-_0J8+}KK<|8gr-XwH>IaZhr?TDK~Zzz z3Gk)A1jYs=FS1*OWVr7lAPeBid+v8oVii$Op6-5R@6&-pZli>0CFXUtf54Z6B`2F$ zUaTqYVKIgpI!@7f`UeUSiF?3d3}y6fz=Vt>EyL8nbz%O3;pILV&C@d};fV}Ns-5f|BEPf>Om2(7FHe{}!Avt@3< z6X26u6UdQ+IuZ#I?go_cSicCpmWQx!YTl}*rJVCNU!nkwHtB^kQpj?oVI`>A4Ntr& z*LLRusmz1aK$hTFvtC>FW&F6>^p?b_>2bV=b8qht=`R;B+6)L&>K+ENXMgks8`#p>61U+=D|3!R- z#soE-XHwrS@eeWqH^eYrBxCdH7GNd!8=tj2i`hXagSXMvrOUj(~09<;0H%E$=VUl~{IEeKe;6=NBGxA9^bmP2#K#$JBK zh31T*(LL|y1OqP=QE>eH{0fg3(|*qy`E(Y>uSHdNUw1l~VSw6tm!$VC!saI&_GI6@ z$hs22sZjR?JuB!qRisN}%pf78QWY3HDiLGkzn{1eRn6;Y6l@x^-?qc+kM9;`kB%_S zv*?kWKDx~I=(X@yQtzeN>^k6Yx&^K$%USN$2gM6;b*b;sz5%2Y`Tjr7?(Z5na%*#9 zL4eByYE0*Vs{tl70@bL#8cYv8gF%%%eqs??z)TDM^a*{R8_25a5YcHH9ut~%gtQ#c z!fFM}t_*;M^%`hf8b~TERPLJ?8#6zbX_nfQz_7gnNr)u%X<_t-1CsRZ2}F`eFb+&V zEbKaaQdSg#Gj4h}9pmt9G9(Dqn|PNW*Ug6tiDqnx%^tbd-(M;YwaCksb>-(zRC1S> z-`G_U)l2gaU3P{_*eT)<`IL5Tp2`NK^+f@RcBD#g^D2q7#~_n|lWrajTUf?@0L1mx z$;nMnzQvQl5z}Y!E!MKIsYA>cJ!jLy0@|1Hs%!uK7T$Mxq07qYo>vZ#h;O+~7g@=^qQj z4!%-;s|FqIuYBGBow(m7F$^*kS4?7TngVtDWumD}auY*UbUzq9_>jhsAjiyAUesd0lloH!qN58hF2mb!WK zw#pr7h7OUIGLj2}K5bJgb8{pY2JWfBU$3<9Nqj+^@BJrv zP^i8+??IH(5_1ykrXaN5)+H6LTPhBJhuS5VXsdT=%vvS;_`r|I-*ImBB1(D%Ea3aI zuhAfGi`&XGBHMwX2aYdN7k0r5GAsVP!Jho(JG;`TEcwZOho2)c2ksas*oPdVbq`U9 zV-(OC{7Y0-RKREmtC#t*yrsZ0HkB9+CQX)=g2pJ&LI^Mb%q)O-IA)m}nI-XLMs}!>^X{_=sb~(MWa`- z%fq>L<4-UwqX(%u$!6i<0Ep406lXE|ZXFvVW61RM^pgZWNaDjd0A%nFDpL2rpj;8nMT&3OZx&`M^50;JQ`p#!PaAB4!PBW_8raMbt?mYGNQu8w z+Wz_++{9U)boM{I*+U0k0Y?TPFxzmAo6FEI=yD9mAHGCtKlJ-C0TVK4tFAHiDHR&Y z8P{d>6TIY&f11@7VY0s2`j&K3_Qb2)K<<*x*5Ri0{NSA%+cM3}CSl3z?@k4qKed&s zfVm14Xx$dS87&H05xUGJ1@8SqJL?fd@zdR!-SRt5_N$z$#`ns>^d4DD@}-YKsNkxF z2+-#`wD$vQ&xFPr&w`;Ja(7=&f!U_Fajr4r$9G3u%g{fbCfWK*iBMP`0gczj1~9G~ z0@@B}zCuiiw;B;-qNDjATM+T}p~N=eTzD!$r@xF&2b99Apw${Gi-o0vvBw;7rn#UA z5F9PW9B*v7v>u=mw#2hE$C%(1m0&zeglZ?i{+N?F?Q?aoAN^T?XbN<~NH5E2XmFEx zf8WxY6+?^xG11h@(y|2Po+{)kBfy%R#H)NAlFb!C9A}+2-p+<3M1Dv@w0`7 zXNTIw+al*@9-S|K9W#j3->iZtUF~DW+;nu0-@IVm97z#4?64Ae49zJi!HL;hG}Sy< zjrDi(AJm4=u-77lP`~xHocMxP=M&BZjK2Vxk1m6yprJMs+&s5J%ZO_cU5PQ1xH3kRlZuCnW`6&9eZay@MW%mk8uks!SL zyn_)d5v~*4_3hZwl@`Q`)YLHc!2lAdthv67gF);65h#E^DBuUbrZ~>!$H85C&-`alUB$*) zmzYQ_mh!Tai4%ESVaoXUc;X%y{|hCl;JmT?J#SoK!rDR#?Al~Bgxb%3qxO?r(iu72 z4b>^($|)~)X2rC?cxj#$U0EuwI?WM=9?J?K~=k77kloO(D%x+FTrXN zgPi3X>1u<^kI*}=aa#{sJMHoDk*c;XBdjvVHe90>?W_y$Ge5CYj(0)UY@d7tvDl~> zv-nW7?(GGy)Kz^Z8$nXUa}-cHxZ|{x9b}!74g_X)*;db)*LC1-)>&{sL z{nJY@BQFV+=pFWO=VUaY=pgk3N%2-obhVoF3$l0w<jgX5O!PPyv%GJ3d)=k67$Y4MMvEN(G8cLw$X>Q)BI;sz64@ z;D)tZ-tz6+x2Xv3Wy_9rV5=Bar;i{91TSh*4*KxlbbDH8MJU7KRDb^pC$ioH_6DRxYia1RR99Emga5`M9<6%DqpxJhC;}c` zrwS~f6>ag=T*jbSv3gdl@ItBaRm*;ZJJ8?n1&TzbuXCwCW>yy!JpgINWdKJ>ZzZI& z0W^_-d&(FeBi)H}GZl;*Q{S5@{XlQbJov)E>6NbT-HLJ`&~`^%!Nv6%Ia1Lzhhl}N zuC{2qjgs+M;qokIm*3fdZcYyrL>wpv+4U((w6b?UB>LEm8DpKj>qG(G=G1B7KI`{j zUE|nwbv)H@DaLf#_5x;U)7g6NORc+xk1Z*@B~ks~u-m9_yu@UZt-Jk@(1xUO51R{r z6F=y~BTf4|4pg@cG4SpZP&*jik8HXi;x_jk{8Cym%XTS;WtQ-zSAz~J zsV>VqIn9cLim}S@*y1ku-r-)3Tg}N)NruB}&NdsC(A3POjSHEvPFB3ElbkBnD6tG#t|_duOBvfI%cX7OwPoL zh8^S;K=LSI5lsLLk#8rRJP?nJi~C4<;6eF8tKqHlwwD)rWK>ke8s>Zy!H~=3kzP4S zHeKzS5UfX)HFqut&^&s5GX65v2Yi@+zi{@#7f|UW!9Rw6>8w8g8vpeLv?$pNCn0dr zA8hH}Ed$i1s9ubsX2zQ@d!Q zIox>R;x?(P+|aKR^}2xSrP!*{GHvLgFL;Z2W0~FbJ$el`!JIYE4GIbY0}BD&s^tju zU6t7_Ew$x6b+s>LUr`kNNWMJ#BD1k8y>PTD)c|!XSSk=FoM*w39cW{-dXrTHqFUd#U(|Z~vm^4gvr3X}UT+>ZEfrtIp4Rv}U$ptE zk&iDq36z2hztUCmp&10&p`qmLH&Lvt}H+zLimi9t;cZYoVvon-cqTV28{H zqQl(8SM%!BZwc#W1^N4v<#WcfZv5ITv$+%68l^V6!p0{3h$N%ET`5bWx=Z~yr5kUx z@B4?_AaN-+8rGgqotz%{IK!rQHF_6*yc6ArCqhFLvam?Nk}57vwhZs97)(}@++n_o zt!6gx;&O?O%J!j8WXbQHA(TLV$=u3{53gZCgnsUG^n^aVCt`-D+bQtZ9FE-TC%12C z$i?K=L{0sPGGKM1tEJXa&p|h@bS7l0J8qP=yP83@bXAh;VBg(N40cfa(5|O+7Dhn3 z@y&gVsrIP)>*qj3!|cUFzgb7GZj!NKwm^J(H;4e0uwZIY7V<-uviUC#E)YoQ~; zC+?+gHl(}3#YzbqUGw|x7jtj$yxI8bzFTzszSfafz9&a zP72|*qspIMct0M2oeGYLT*!r^N;=7Cm-DZoWAh;T0-Sc&CsAK1J~&&f4-LC;I1AiB z&^k3WMPRm_4wD3myUo(Fsb+S2ZjRI|7wsz<8sHFH^fURSDh0liWDd7(dll4e@hf#w zNnqV1B8*8bS6W*;abV{Ksh~t+rZg`GrdYP7y<3hf?v=LsdJmhml@*O_&D48C)(V~T zbVeh>&@rM#LBrOT6l~Zx0#U{3JgUGHoYXj7NLU~LYzxmA$Y#pPJ$e%>;Gz~{-1XSV zbu8a#c(;5&zB!;V!(uPqwMV`*WMS2UUM(Q<;-9D>M5Tf1zGv)yhlq$si8UfC;DGHvbGDt^-{X5)#iXExQig$KwwpGzKi;PdTdpSl55v#o3aIL!mzAO;51`ir{|L%b#zQ z_p}B}*OUjRSB47{shxlQ`W490p3_~tdx5n22anXVH1`G$7fXvu=NGbvvIgf<^@*iraB+Fw_=3fVEK#8M zj&r%`*AyiHBDCM12ra~PiArHe3Qkbtkd0mgE0-iGbq)*&=r4ldFBquSZWtD($h+TA zTVK`vpr)NQpv_$AXKXQVTtC*^`;5FYzz=?t{{hB+Rx{|@Ncv$JYtOuq`?E(s05nh= zfS;UKEsk-XukE^|cq_tMvn{#jX}JpTRflvP{oRQ6g4!x(uK?WUYy4^_Ir8nWz>c9$ zw}DYZH$U*g)X>mp{Dh~)0r5$BHLNaveu-~<^fK*^{QRaNP%223=t0Jr!W9r>VDpOu z8Zri(WzUjBM2Z7zrz^Ggh_EbfL12$OUu3B3j?H|A_V#;>0qV8z{w==RZN9{Kbe=y8 zB*c!jalwq89%Hn*P5hBKVpn}O&D<_;|I7XY(ZTw@f7`O4Ta)Z$bvfMzT))~^c zpk@=&yYAX_x9rRe5!9T{`k8HcY%^K3f_+sY!{lj7gW6=En39?rdz!h6NQmLwPl5AqODs zYcwgf=ie7U$Jn#?5d#RouI4QTjq`wEQy!DX+S=060>z5~AJfEzbZW=qtbySjX_d^| z`ad{$a$r8{L%AOpKo0>YV@lc^KiHLAm}J{`CPi5y<=QVQj6QLUq9$M^pXJRaM0Lw| z6nTM{{w{@zb+se}_I6#@%)=dY@%yqm?~qYY$Ni;2}g9y%BoDzRR}>vlzW zv&?Q&HzlU^JF`$`WlO#?ZqBC-QmtL?Er_S#f5_~kBd7HBYe>gz&d2`w?CoBwr#8x4 zOiW7PwNHHQa;xoNa9P8`o_lwxHqF&K3YL|Z;k3aYG^lTFsz?=OspUS)*$q2se5Cz* zdr)2Q5J5e3)zjMwiD&^=+1^@r>UNpQwsK=K`MW`s0UtF}eudF9oa<^Jn?e$I>5CPw zg+6L%_iBj5gsaLBjc<}g^FBSrlYnWN+eUwW_sa^bBB_K85#`gz-;UVTpOQ#FKdhNN z@pXN6l)yp+z*sva{88~Gl#`!pHuGJrhuibnG(GDNx;i?zQQvh19jFE7y3R6f9nT9+ zl6ZlnZ@w8oJ)c{3m&MrshdrX|tzg5?$!Xs>;+kQXc*>QQYWk(GK&!O0jNWV4ZaCM# zZ+TgL^x(4vHaxv99{YkC(aCmit}k6iS|US?36XLiCoIs=D%bGax7$BPnY84B0yMKr zeozMXDtlpB0I0cKLiQK)wInZiG^q6iZB(1FY*>}gUQ>J}!2kZ;J5wz|EEV(h=_WrA zHP*o$s8_s%o~o-y*sXA~E}89o&#y4%rvUwr0rty9A_*J#5im`8;s*9e|Nbbu3X|NA z%InALZH8ZT=(&wwSmmblb9ynU$JqC0Y0lIAP*kQ0GNVwb<_~=(S886EZ>Y4>XU}N{ zFX}+~6CS8uK12-?M3fm8YTh6x=hwrm!v2F(eN=T9vwp@=%oen4PaffI9cT%Rc@XN- zUvfRHF>c4f=>6=U{UhNVcsd1E|7@5GXj)Fl_M$+4$ggW9bp8Ue#wN)X}7(lrQDr6cXBcZfuYqz!ToK{k6+M? zaxjvx_Q%{{u~~C9(K=NUvqB4EEkBi$?J5C?3p}_(leoru-hbcvdGI@XM|G4QT5wvp z`z^*UBO;S+-?GEy==_2*bxYz zfW2LQFd>c?P|v@-IW#n6QlygC;Bp0 z82DNa7g>`2pnR`P(Be3juSD=hW%+=qCiA(V$9E{95E8|rQn(&0>c!Hbg>xKjSl~!VAENd$*^&?SXj005z)=w>Db>ib;)roKV8!^e<8EHX?Asio<)68~SWjXTk z{L6KbNOZnE=tN$Fob=uHtMx7YQ+wq#c+e`-x0gDsRXO)itxhJ7W-dFDm3*4NkXSFD+#Wee^G^I35fzpo!Jc^bn{27ghkmN`s6 zCwT55yyp?}#-+I)d)CW6%k>~z>rCxY>rtc~X z8=GHeR86k?$@1s#Y^}4_1Noz6+zocP6ufE>UjT!4>-F%WZz(vo)DzRm+zCw-eye8& zSE>D?C0D&II5_S`|2WO~^GglZt676u5w(s#esHB5b@B@Os67=_-jVk@trFM=nULN+ zvF(wo$|^s_1mBx}`10-@84DpG1v1N6giDb!6uv}vLM3uLd5KwOAf){M4h^5){Ogyv z#t)_}8@qmT@Y&n^M@L6yT7vl*Lleo;5sgN&@dzpN>sMPqc!A;%tfnLR47v99_Kv9l z3I3dn`gYNFM6+x(Yq1v{1~D9WUW$kwM2*eTn?WNxMV*|Yd=^9}9=b%E!2Q^j^$1Z= zb`Nl{r(keUakV6+9G80KppG5ED*3`e{R*R6Zhx*oY1TmZE5rIV%_@*VHw z!|{OCiJAx%snzhnjX&j^n5UNU{{*@R=%k4 zbb6SHX0~3l&N9RA!=ES&KrhXZ(eTxP*3`0r1p1iirK<7M6b&$16$om*216?n8(16Nos}&`Hxuq;)e*8S7Ox zz?LfI_(zj6EPS^QA8)Jv5Fp-Ez9*<$)V*7d>JEde){Ch>QOstjOH1@i>6WjRxH`(e zw5mt!K&;c(ORV((out~ppRuRqA1M6pit1XQekij@fP}!8BM>omWJhc^m(JMLR$raC z;KNLargv|E!F_LF^KqjoP67F3lH+TRg5Kb|bIvVG2iCVYxaVmoR*`VR#(eE^mdwM- z{UxjkcyMl;VAd+<3(=n|7#AljFfGKR7iUFN_|zn}F(I*qcQmA+EcPkMj05<{B| zI`3hvPp^fvegXGdc+Y(YF=8n~r9IsN;gF)FB*6Vrj+j^_liD-cm*Ygv$*i4#Staw$ zyA->(mze}4#=bWP-y{ojRF5d*d>YotyL-grJ31PouNxlHoH4l!v8l^%V^MThu`?y35w}=R-o&!5?cz89%(wNJG>Ief zdQ+INpW!>I@~xkw+mI}{!E_*NJJe*oL4%}A;#%vsVgPNF;vHsIvGK6>HVZ5HzNqJe z##*{V%ZU>ya%-x02TRC)haf;VI_c_2V!+@%it~Mt8gKzG?;Y2#+=Dvw!yP3Tzk6>Z zU@l^NpDQiHDPfC|kFR7P_fCj%aKib|cz{^Ig`Z_Rf`*!nPfym7JQJbE#oArNK$BhO zPLy}JhUy_a+2V+T7WFT{mFtx3@$>L7Y;SJ^qwwHHHKp5*;^Cgv>0ZU^?{KtSk~x?_ zzAsnxEHFdp)=}lI5!-G6B>E^S(e(xbVfJT-?m4n4Qi0ucwLsMWpFghuZ1*gtM3Z&C z*Mj5au)d{iU@R#uDY*k4XU%4S)m!1(FHsoc9Q^*`nhP4^g%m;Ky6%%S616jTar%pl zb@(Zib47QhmtMq_ZS#l9@Fu<~wiVD(6i(F@D@=;wD1LY|R

;1A`2u*08ClzR_eFq=fl9v23sgIZ|z(_I!jPJdSza-amUWBu-hY9jF1f!RcyF9DN_N0p@{=FQun=Q6PJ*i1=WMg zd-0uqpwLfTnlBfz$fE0ddO~9O9OSy<1+7+Tf*-eZbokReF*(|=7zhA2=`aJWmE&3O z`%l+MNMb1+YJMa^yFn(A9wO2KUFLdL5aH3;_5g%u*7JAnmwg-T1*SzCtA7X>DXoHZ zt!S~x{YZbCj1m!+WxBxXYW{NTSzi!GwDtAz05YQNmq{Anj($U!QBl@y-~q zB4hZ?)sN^kkEFuC0de3m9-cPP`}`25JiA?|TU~)yxwvB4YYV8oeEXMA!oxFR)dRfh z3}Y(jT>TB51@WSpc~6;xU-ngyn}w09u89iwWlVM}vR)&%vrE^gR-?6Rj(TqK>(|}z z&T7VaT4ENCu+Gr(nA_GolDK$$oVxkWtJ%F~SN?g5b3{`(a-GIfLFX~MR~)e7uMwMq zZUz!H+mnI}n23^?uUz=l*u)+Y6CZ;G-P+3xL-G}xRN2m{jI(Ac#W_wnW98MlI9rn! z2U9CJ-oF9zL_=;H5-i6{zz5RO*B6%dAz}48IeB<_IZx!LPu!eU(9}vbHMNWy#CdLY zI&>1vW)5;Iwohr7t`^jehNa8WQJ}7@zcZEs2otA+e+daD3H@k8lNNRuWrBafr7i#; z5aGm;D~uZ{XEOdW9R~5}=+F>8NHU_MqX7$6T*W5!G_5%g4tV`sn>JJmj*q3A(0AWA z>_{}359|P0H_1uvqmJQed?pdA8iAzsJv z6#8trG$LpfD)Hj8n6rr*@b?DJHhxoi_J z!}}v{-V70Sa8NZHIFu|p^r%02^s|DOlH7%4l7nPU0M24*A&Hn4Q#AXi8~DqXp#`&RGs56(*EJ$pLG(X*I}7?gRfnnhq*lcW`m> zMPzJjxas6>OiWDJ;h___$*uC%%UqZ7<(XfUr)9-KK$Owc(Xpj?XZr1ony@Mo0%}rv zqcBP!1F#S-G8<12P-Z;n|9Qjzd91V5VrXnE0d~ad z+S)r|5Nfo||54FYCL6mwV6C@UVoQO0^FBAXNwHDvQpAI(c}D@Zrd5mihZ?%sFNy<} zLK~GCn!iE222xlZIhi(rrJrMBB1Jz`<_-q}lhJQGZdXh2P1^j;?2-4u|86P3A*Yrh3ar{#xR=5?s2 zcNuuyZGQ>~2v~ym^pTVwTRq3yWlgtiDlBt&8eZ|GJ1!hVxsV%_rCNnKKdvVZbw z4I@J3r4ma^pBl9V{Zk|OCugQ|MFSl3Ay4E{!@J`RcfH{8H?@>sFLh&i-^NC(nUA)) z&t(_=g)pD~Ez{}&MbpKs;7`tIm;U5Uavb12%ilS{x*B)ccnuYWHm|7Y_Iy`t==OZK zw#NdVSNB;e1AjKm<$T;He-d`Ql#9qHjt1T53KZaOankCh{=w2;6FwwzDrnfllNKXI zDM>e>FmSR17{o3Q73$x>_UBc>$s(l#NO3z>&d+yyF|ySVNL|5cLlYAdaR4YrCnxc| zggzrqg99FM=qEATX02%ky+>S#h`)FeBBI48G&DZW0va@cKzbG!0#{dggk7BH*z~fX z#Wic(wMz5lSU#T7)CU0~wx&Po`5963dK0#{*JxBNAb4UL4{F5&%#{MY8K08k(m&q< z1wo|r1!`|V`VZ9P_qbPmG`^k#f1Z4{lxcNiLqnemzdK}irrLoKim$rBw6wmW5hFLZ z>b2^mvDfH`r+dd(S537UBE+PUzv|y(4Ubu-nf1*DQhieZE`zyw3u3E4nKOHF(Avmc zCZxJLB*#G29~84Q#wN`;uH>?%fg1wf`ZxdTBB8DxSl%Y`v>w&gxk_x&w}qHa>^F@z zln=V??0lR5{&ZM>#h|Hdd_nlRzE5}*Y@M8QLw{?B9a*13>fb9(B@@5B0DqSOVjY+o z+VLmZu9C2&Ahp>+LAUbVdi?rys*Rq}`&*d%{;f|OsCRxLWn0{nA{wDTKmBqEJRZmU z${=|=6i7)bsYS)iy)+=*rkzpVVOutJR&xDA@ z%LZ0JEG&Cd*vUB_1KNV|2))n$Wc+nq4-|9%*(B$m*7*>o(JG`hxMt`kq+*x$7r@@A z;bIWa6>9dTCc0)Y+!GLBWu%zbDl{KJPu8Da;&8k%0Pc!tkPIZWBSTOx}5k+4LjNYf>| zwHvd`ORly$YPjLTKNZ@O6+X}m#_>{)QxI5Z(XB1?vq?JH$^ct0^A;yD`TF&1mvCn>XZ!$qaUC8@%uNpf5pRDG;P3xPlK}f>nE*QnHlM(}e!4^Jv`;rM(__g{M*4#t zjTz0dlXkY&b<(@aHOmRF5fh~XnZ$TU3djGGFLd4p;y(KewRIfi7LsE_Iboed3UU;P z3k9>E{@{rjV;Y;=qV!fGN%ca70Hy23Bc~}3%qZtv`!T*vRP0PBTak@zvz-eCa*coo zM?Meu`mLn;Kd<)Brz|uI(g_M)hhxfKqdK1jqIcOZoSfc7?xWeeSXY;Z%%A1VK|(_} z5E@L1+{@_{4Pw9T~>z6|QHvsyXWl8t@c}ryrO4WB{pt68w z>hm-5xB3`t8~cu>Oa6;s_M&|wZG*F|gG2lG?;k!5%=L0&UDa!+#yJ+bR)dCJSxH{J z+A}c$6|kI3N_q=l&PoA)#4-Jc^%wD8Zyd8bN%|(U8FP&9-Ac{svWIc4 z-f@zu?@Bd#Q?b`F%5nsH)&A3ZZ~^r_nk~@*@SoKl&&VUbtgNkp$s}v*ZIIPx3&Z?i7=+=gS3fqnwoM>b8h`hUm39nE>vux=DLXxU+4Dr#y~+glzXubLF5%0e z7NcQ*#eby%KGrqJUk0g1&sLItBC1yaMTX{d9H`)P&zhE`p?Vp2^YW5KZoUE$<7U7u z-&Gm8;!mrBhhJ{1B;-9Z8VUR_X(oI@LXeU2XsB6kO0Kz5j5C_}WGn22g@A>p&`*wU z%o`_c8!iQ!4D;L5-gs(RdeP5IbBYRod0!uC#IZnq4~}O@ixDk2+T`6vO}o*vV3yc? zyQiMnHu-vP1|m}_J&Xq1v`|m~WFn`S9vK(t1q-tTs#$?mDYGm=_Q`kRTMv~YI;9?l z6H27z8SIWQSK2Mj|62_87s|#o+8Kn^Y=!5xfN78;8pcKERwJP)6~ZZ$fl%2atCN1> z!(zc&=6Dy=bwjI;aVmxPbq){dm;`r11P&u6V?u2U4)NwFxloXtqB$0~>IyR7_zpue zKdS7?4YmyIH3Uu%yY;J^fgPS6 zT!SgpyFLu*S&yHdk;X;kPgkNv0a)DeFi#-eb0B>!N`%CuI)+@2 zEu^M^LY7;#?zWDzy08;j27>-QfZG<|*~&kP12E7$AfR7aT_j)#zhWoJI8e^n9$qp1 z?Ol>hqA_B+2^f67NQmDa?)^3N7HCnsFUkScM_@$_j<`NL`T6bFE6F>d=Yf`#6sp&^ zbps|*oTI-hz5~1k(2+g{W%ne9v-o;w8Vf(;hoWymA(}u^WvJo!pjnVL=;7Amp@xc^ zL5M^%kOObG=j0S076qaU;JB`f?iJRpFRp|E^}O&vB@?G}3sKXrJ~qyOe0NIoSpDer zhrjx{ltfbnY`1(CR~K!4-4FR5Q+i*_ixhV{yTJ?;EWM;7z7$n58674}_wo+v+j*?9 zP6wb@7X&2tcD+w?N2K`unJGZJoS5(mmlbu5)zFKyI?5u^J-ZSBpxBK2uV47jT7M|J+gpP|a( z=f@WxX#m~M@qRDrISk-=806FU_V(WA<74VabEl)Ddk%x?fr*ExnBXdl{g(CPu66GH zAC&uG?5Azbv(c3^mBPQ_w!*@%8Co1JZ9p;QDD>by&4<>fj88 zVM63Qowg8E0N zHLxm;aKp~Pf#4PZO;JMPlDlUD-VB}Kjgq{aZ=tP*GaZraz!NDynpbD+u^!t3yLP}I z=?{qRmzT3`1lpbR^Feo<=KLGkZ$NdT*k%_Omo8V%Y~W1LCt8kG{G^D#I|P8Cp00CD>z03(Fc)g?0OKhJrZ9I*fLzl4F@v zYpOQ}#ax&pZRLpTGoKfC>BKmbWKMXkfXN4iW&2vtd(_b#TV`V#1tuvvjCIU-Q%YYl z_s>%?jE0cYEjqE0hj2jn$+%Do zXDl4>gB&~!S(7$|B@tB*`uWC?1sd7t9UsH%e>X-L;lXb(7v_VD?+}e~E=1@^)1Z32 zXFdjO->^{K3uAfFJk9$lzZ%whiWB z+p{FE^!KX{PfT3PEk1k$|C*h$l?wwI@bx8z%IKwa_^ozT`%;GLXaNbgF$G(P@bS#U z<3^dkwx5?#mxgMlK$BRq3L|+v#J(RR;JK$-A+0knzBTf?HagHtI2F!N6^-m9xe5BDVJs z=F;19xEMWWtK$Wte|F@}mGzQ$d=8K!3k0yY!QdaCc3|K8*Fq{a^+SR(TprxT6~HOD zX&)&g4jOQ1QULto0{=!2U~9K<1mUzGj;5tO0bWj;lBn)(N|CLO8$QPOl{%yBc!R)g zaWRMHz>6+_88)JJM5VceNZ0 z0EvVdQd7Jb&pGywYBhxcoomkvq^>-uUbt@(Z-DF(Od_5cE4KlYe4LmlPY_GU8U>L* zH$xhm>rb3nw$m-PIo)*bsBpZ=k};L|9H zsqWL`?pgNuLz!(}b8GoUTP-jcr13n~-*iR8#?w6b!Pc7G3smOthGc2T+dZ&7)ssw9 zQdP@F7$hyzZMc`bD*D zz|pA)1CG;lRd>bFFe&QfkT4wd)U1JO`>dbJu+1*H#f5c2gZ>DJ)&UNI6ck1Ov@Z1N zE9rvjiKfb6gHQ9X5Scq?5cSlf8+DQ|_=I_*^4BZlr{%R(E6l?E;HS4K;#paV#>cxN zZ{ExRS!#ci`~5}N$1eq`Oh5;iCMPFnP9dkhf_oXq^y6XCXYFM$CdnM=sI>QIb)Qa z9?+~lY_29_w*7*1M>>ZV1S*khupMb@a&o1yiI1NB{WJq}Oq67gnZrXI8D~u*OwO1f z@KsKelLrRa**;D*(5;1!ZGjRxsH8)*9Obx`N7w$$sh-g9ynNiKz{~xirz;Soa5}bb ze0=IBK>Go9t0djT=f!KqTD|jkSC>Kp>+7ND^Pd(|f&DA!DAx{{H>!z#bfea4ivlsZ zWx@*wYM9uUjN|zg6S=twvX}!N;8vS*)!5a_WqbToah4M(WyW1G;#;2|p~*LHkdwX?fh++DZq{{@l`MFOLQK7Z>*tfe0kJ>2vFttQhg zxs^Z#%I-!1t=E5k_dlPGaeL6kISR+QZncuG(w}RpQ8-KDvwBN^`HZ%}R4UJ z0sl^JrVj9U{+ympOgl&buavCRdMNzGtg}FKzRO?y(~D^FNQS|LKzi(}AEjx7tu=L+ z!jIGJj^VTYQp4>mALXPI3)$&ocK-UJqU5FqVXK2P&F;s|xy$qBfi#36fw&+PtE@Byxc4!hw(M?gbBbS-$-V8Nmg*z)#SE9-I0R-@yfP5@GX z|8d=froLTzxZ9_^wbLs<=_rA+n{c`xDwX^@UTYBoZNc!TL_!{4@CT5S0UHuor9SAe z$Yvt(BkVS!4epd`u6vEtO~E16pnlc=O_?G1tUAk_o0ZivHD<_7_K!jXUgZczXP7e$1l!Wy+qqh$IU>W*Nr=W>bBsuq~{X1$DIVtp%pHogUUbb zx&CdK;B2No^H}#K%pk1xT~sa9N=5YoBMR={zi&`%q+6nb4c2&TtH9jc+#4)1y6VnA z8NmB!J4XN1v71j*EN(te(edP^W>83LTVj;bpyw8T8`x9fWD!<9Mp#|l^U4>d%a`UP zQu~{(#xQ#fR?Ts2`N+FYS41OzP@V)=?G@+p4?ig*{T)d^--gm|89$;v!IMt9S!ELY z22!eEvB0`fy=05jn z7Bjf#$R00A)*zT?!U`6W(?wZB=>0_W3LiGZz@b@1)Fa+zGqJRa~ zwaJgby2E9vcQzZ-8UDMIyMzEZImyT!Kun#Lcb{p4V8Y9;5C8k)(>tO(X&I zWn;@5!H_zgwC$yZ{YB7~0Z91X#v|hP&!_V|g5Y+A)B_1)sIw<}DGfTvq~rVXcg4F9 zmW~At;Dhy}+sNJMp+*D>fBiS65WjaP6pXoVzlOCIN4|!bwLYpBH7NU+gFYYj2vF!! zu5U=V0%6nILak%=Ac@Ll#Xh!6zUU{Q(JD0pM442seg7(9P+(isn9AG$Y2SOp!eIb! z3kF5VgdJFGq$$bX8hC)|ZH(ZtPZx7ec69{;i)3b~%on+PRc84i&FOvL05;8v_8vU2>76}1rhe@~6-rAh{ z$-^!OUc7CSf4a7SX{T(y&Vj#*KFHOMZ|o7F?rp3z?w`^U_k+FrFP|F$t$RPb{%z(W zFfnxJ%8Ty3hh_>a1{;Pcm>`&bjjR+6{nx8Q{u+V50eJAXdt8aTd=k&nFR^h*6eR>E zzrI(%*HPuvnVAEb3LTBmL5EcA`ms(9zxlgoRE@6U-}R5v2Nf1E62!WgaNVHfK&Ob-roI1waUu@C2bY_4 z?(x9><@W+|+ON;c$50s)8k<|*&S_nFHCF5QGW9e?902-QaV(cMIOwmVqPnkauA`Z2;BidUxHu6Ai zDz?dhen%P@cMNT8l)+7Y|b05!vk5=jhog4xTbw#*xDA$gyns4J1>tA^Ts;Nk((D$< z@gvb~Y6suM)_s2m|LK>rpaTSq1yA;KEqT^@*w?_?T4`>6J~=mc{Xr7%{XM9Dc!vut z1MjsxheMlc0^nwvz^Z&F#^)~7n_x1hV_vfGME_dGP`Fx&p9_Mi$=bSC0tIDINcFZ47 z5Wal-ntu7QUv;E94-BM;&}2zO3+ntDGp{y-Ea=?$kW$~&MD^_1GteZ&;GO`vY}!A% zA~{+@fn1i~0zomtBo972WMT+7L-fdzsQa5pNEn{X7HgRgC*3(Wa#6@XUQl~{3>Mn) zf>xH697mgAe<$Jv52~HY%+JpUC+I#sfjGg;%t6|hc1hKs!5q3Wg$_VnT?JwOnR{9Q z6&a(~R~uMgU%!)#zA$JeV|Ta9%!^xA4d!9Y4p!Z^&0S5-|EpE4JZ(t*_{)fnA=3J- z(HXjMd7n{d?NUW=_8VrZh4AD~)D85MUeq&*NmbTUNc%i&-5 zghzD{_`0h5;OjojJfH`PEWVuRnoWzC*^V<@eyYq$BTbBy#Q_L)hIWLiJ_AZ zoKPG@D~p6_Dw!tt>YFhg=`&5!8L|p5yu>V$yI0_!XH9W=u>JPBv{939tAv)Kk#FGA znZ}c!F&!N(?t$jnRQhuegda8tFP(EPY#8Xj;Mc!oFHPkW!wPXZBERi9Y*BTHz|tw} zE;)7W*z_AEvr7LN~H3X|V` z3KALk@P5a0laBie4)PZrLPfP`J8|CZ`YLtj@MCeX1`-105=IRa+k+Bw+`s>bXkZtP z7|7woP)wYL(F{nngo5AsFLqGpW)tIV;-l*i5T4bd4VRXe1#?+~1DA*!PYQ10zs|iI zCjw^MOXLs2d|0(?cmPlqiFVVGrl6mrDwmHp!omwLW@9Z~6n<6xleM<@%$9KF8ESf??JX*YrxaNKd_nH zwcCu8Yp|{BvEKq)MBSCSnWtM(=+plQre*X?_p>}iBK-}f^51(z{(1=jm>|ZR_SC?@ z46as~%7tiqld@zs@lOqnkB+7)rZc4GpTpVoh9L+tH*W$#%%GA-3GSm0(a*%^M4IY9 z56sf_4KzJI_9Du$@)Pi2?Omr@h`3r1ztp3zM#t7=qrG!TFK7WdQUu*7H6qzbc~VNB z<$XMV=1e^3u+Z}oD1Ahrb}oAET%>$2F%IS>KT!mm0lt{OSpt(167T%vA8f{AGHjq_ z8a#{G#1?3z2p0~u!+)VMfBsbQ=)7tEq@l4-{rWWSPIUSmSE6YMCx3*Aj&3Em=Ec@o zPglIA^6YviL1%YR&!g>%+N7%G?P5AdwcG1=Bzvotxs_C}zCCflna+-C^>wYX|1xUL z`$`fqKe1Zf0pO|Yo{y65gTLL|FO`J3St2!|oHWC=;n^-9c)K~7nEJ6!e^F2Uh#MO- zn_3KELyU%u4c8YOky9VH5slf{8$24X%bYPkbQXfN1U~ ziVzDB&1XYHrAGpQZxG=LCx%OEZf-_|kIIltaGYYe`@8#YWMtoI)rq6OmuO=M24rNr zIX*a)KZWxjqxnaeQVuy0lEECc-^7o^nF59b#ud1#JEibHUrVS4(E&XJ!#8M!%t0rn z%5lFXrcpU1Jxa>HrE7T;a;Y2@ovf-x(bLwhA)BM&C;sh3`x` z+0A`(dR4pSAU1@>_L|yB&cou{w(Yp}$kXucK9`O1Z7Z3uHU<}lEFYW~&=vTSdD-c& znd4Rb-@#VFFR*phg*5MNYmX+1&D_WPNf1Av;r9=Yy(0j=_>*Yt-(Jv;72RM(Kvtx_ zwo_?xGK0|L$2vN3NNiUF!j;OW5{5v~C@Dea($>-8!yicoXQWbKU4QbrIf7KPva`XR z{T|sVQL|Bm_a3By6cO$OhK1%XN*MqBPVhd%G@4H=mk$rS`pUgX_K$$R$<2&Rx50Y^ zbmpx4;!eFbu%1dS#? zOEK$hrV)F-WTlDZRrVwCT3vY&eTxk#L(%@NFq+0jd|^S@_K|=$D8N|5eQ-DP@0bW~ zVj83?pG+gnmORwFssZG&StX~{LVE}=gg@vm;Z6U?woPEEaX;R#ZA{92XFpXDP7m&p z->W7VwL1OCaCqTmo-;Z2Nu0jT6jEl6-TG(xpRCuD zk8n|JJc zw32-FAs2}iVK{VvuU=%iex3Ys4agQJpjAI5JPQ^QrWF)iL8?%%!*5l{>T?afHS6kf z?R)P0ltMJFxq!9G<8-7Tcl*#C6V6k`OrK}&p0B<9B9`3kzy(8kX?el(KTd5j2~x6T zyG`^}d>vCxzr`F=|0)EKgsbN{SZAcJpreArC`_t``Sa4UO%BUv;BUd`!+p z`-fICi#4K6G%Yjf6xQG6B)3;JtD6FjFw@ed*pQ13wHB>?>)P?Hrp~mDSVlt^a-yeL zyiUb-z3g)mhdwzRC}(uSMLDVzZBx*x2awKmC}nia|Dm5TFSM|8$4**Eb5S7k=uSPU zRQylgH6AyAuYHoVj4SJY#TR6BR8rWpPTtc1Kn|B>o+*SLgkvuk_LKy;@Z~Hak~6#2 zT(pN>z!s1wrWEc&uDYG7u(0qtE9-s03#Vw!E!06ieyXMA7EFYYO?qXj0LhZl4r643 z81h}V+k1ArXz`Q-x?pnlyZ$Xaat#YQZ}-feU|(|++aX~C;_N~U$w@2q?N`G{RAqqo*xJmPex=_Ra zJb8DchWd#%LFGIX53gZ|LWK1ELjqTG!9S`wsx_3iU7%zMv#Y8Dhor`l?z3UVYG(=~ z1&tHAW?~)I!;(q41J(2N%bgV2MWYgqKcs$@ckyllIb)#KmsbdE~UXk}R%DOhL z)5gwf)8#<6_4KOPTnQ=9g&CERq6=8ZEU5-YM^S`9k@jPy9tA_Uq(o0z@YB#h0uU50 zLbiLa{s{9kc!}%}G|ahi;7|Sgzr?|P7*V>#N#l_SrefrAA_1WxX*Ea!0wAjO_w@T z^f|Z3>S>Myo*_+v;+M1#=XijCY(yQCRKfh0P_fH*N4RUYI%_Le7&rK(?>PSj&A(_r zsP_2?CkICeQ*gCnlEScSV{dN+Oc-(lDru+h$Y`fE^3Rtj9`nxrF&RQA-Ahy@wz525 ztiWJoEXE#gB`_9l8dh+^1f%FPrp(rRIMQFa}#BDtKt8<4?mbwy~BnPAR<_s@l%_+($K}=@X#@ z`?&+ly^%k0S8voF|EM)~WF7Ei`9pPgYSa_HDkRI^Bt$DTai`8C^<3>G3Rh_N3qbYq zmsmxvTarDlu;rjL)$b%Z^1aELwCaNIL^vuh2jttYAW1<_A(K(je>KVQ2* z7s$WQf+Ld-)D4T|xftBSNJN&9Kv;e$xOqXJJuW(Q zQ4A-@^Y!sIHB}<|1dEiWAfD_Jt%4|ULDizkDyV9X}ZySNU@DD}q5UJ{9IsEI#%A4)`y!mwms40td= zSV-secr5g^BrE5ci=eBHysjQG&DP(q#rB(Anw;i5dMh)J_R2Z%Tvk>|q=yYi@t_&L zoRgEPzU@-wmSb$EOscKnTITu2`kJHm)k4ri53TfW{!L?xH$MkyR>!By!w^Gyo@&=2 zDPHT(4WkK~4Y8!H88r$$)6=AZx2BZmYAzXSuahZE9*VJ&Tqs=1G;IjAI{er7ga_}* z)fq}V18k1{Yy(jFO{4fwv)3mLv%&y~ujNWm39xF1(ZmZRI`;peWUmoRd#HHB>Oi+s za))zCSr5|{-WB@7$kR16MOhRnt5#AjM_@{k!Dydm&zD%cxf1zr zgT+n>k$XMf;+4fbX1GmK`q2aeK(qGeEhC598Cb-~Fd#o%V`d=LIC%w*>_R&c_%mUY zhEuy^@OJWsF^s79YEga9)=-+ZAN-Myc-~x65)yw9Qv7;Vxw)5+=6Xb8xA&@YIfMOv z>Gj_sI$L5kwwMgGCJ>PgWUZXP-+^&F@LNe-T(!mV%w|lBjC4m4n99RU0|N#t zrsNW#XP!9#s>U3L4^tvHIIk1v47V!r0Bez~DjNcLkeGd9Dbg?CR(ssCGjnC;h{572hx9XNkp^basak zCM!c)C|UKIWLErkCliPT?<8wwGJq`*uLOCLnGFvm+@E4LUhI23>>s|W5sX`yV(Yh1 zIK*;O;?$p6y$Qw}qhxDgZ;adx_q1ICc^OCD&yc=a2pTD^8CA}{WBhtSsQo&5w zVpf;C<@=u~`c;v;jaIxnFTHKv!GpoGN})X%&O>+Z<$-5r7An`j9lWqf*BHZJ`lVJaum59=!@<_`w$ldgTmm6oImdi z2?C%6WVTp~RLA6`p@NFaDMUZ|r8ZI{o`uBhduY5blo-x?<;a4b0|)N|OBFPr34Q&T zlR1cW=7UA~$dw{_Ay-OJ`gJ!^#ee#gaNps=vuBc_`e++oqYA?126dok>&DmzUoMLv zp;M>C&8;{~pXotIy!(DkuoO7X$#MSSt;O-5p)@SR-r7?+W?w_^41qbj1y2_#8QGMb zdm3~ZsR;iCi-v}V)|9H&LU#@%=o@dwrlu_KRs&avOo>`-U=6RWYHs%bQ;3sTrDW~77;S21N}@oUSighg)7%D#Ed`juvG2U=P@8nHgMOhR#Px5%(j9V zNahfKxMp^+LVS zU~>MH_u}Fr#V0P9nLYQ6cjZ|U?wdWQfQR)Qk`GoFmSead_17R8?Dbooc)rsfNWz z76r1iS2}%I`8F6)StTu)I@~OVcXT^&FQr7;(EFM3Lddjx{J8hZlmw!3;T7U;vb36L zXGk9VdEkS5uwGMgRHoc##SRRMjCr7#`=8zQv^v$Na>^#ywqPhJ2tQBmj7oXk8{Q?@=E$tpJfQ>7_Bpg+pIXF!G@_Te_tPo6Jz6PULjci_F?30`G2qe)E zFPr;g{OERd%Rp7a`fE&yggasnHiwM^!psW>`x*TNWqIe{5>5tT(0iubI{@F1@YM|d zrGHKyv0naOLMs#m{dHjA8KIJ^MDCcUzQj)iY<7M50d-4_5S>#eAS$>};5J180PS48 z$nn?D+6fa4LI~!(%=1<VL z`=C5nT#HbzP8+)0XW~ZXgP(xJ7iQ9+V(14fM@RC2xJKP>bC0)R`eNPeF@^@;Zf(O6O)s> zA)_v}@vJBNP-}Ns9KSiVBg1!?Un7z;(yl?Kqf&6ix>r8>p{rD-rviwZaVGS$X(UuhW^Fo*Nv zi>yt4NNrL>An`m+qnH^6@g%ACW+7Hl8;n z15*v6xsr!>+Rz<^mB{$fS*r41lfC1TPFi2R0ZinD%8?`Oy zhN>b_X-fH<-H>k$RZ2uCCfX*1~g&1^9VW9c-Gi8>_NNl!D zvbcF+GEpsRm3DaE13~vtnNpaK&7+PfECk(JgT2lc0Lk;gSHF!hrGR~98%~XcTp#w# znym>{gdBw?Zz|`ODWjvwe@c6yIV9A~z~DO?INmL1BywjjeGbaD-FX|thwi;W0zOQp zYdq)4t|g>w5V7TuSUXtXQRb;$B9pzu>`k{q}gY!_( zCG%gxw$fX@f!bkS9md5fphU8#q}_CWj|_w~U7Bc{!4TUOqa48Myo!L$V-Mv?9ypY3 zv}kU*Z#iB|OFjAHg9=u`gR4|(Mbqq&XC#Mf9R!zfun2>&VV-iGxYWVFQOz3YDPQ?h zd15^4ZMBMaAmF~v#6$r_X4jsEeympr#EjHLLrgtxq=Fy*%=RRZ9G-ZKzw;M+E(>B7 zOmCKHU>qGAGsVDIJb(6Vnj*|8mT*{N3>1I4&ovO?U;^Dt@TaJfTF5Qb$^h&#LKtbq zJ~gdm(uP5}wI?aWsgs_%u*z`o_RlwDB|$2SnJ^MT$FK7emxJ7eqa|4xO&J9bF|sfZ zfb@G!IU%>MNWc1`m67hb>CSkTfC3xIaBjNLT3i3{1*F;J1}4(Ohbf}kQ zqFvqO7Q!WIZ{kXR&zRJBxjIFq{mkOADspa{vH}EQj~)B zZnTDrW5dY<%JsyY6`soAr(!lJ8GOcBL7AI1$i*p7Hg?;RDTI zZq$ER#89E0U?wOfhED9B7w@!(&L=XY>^o`YHBuLqw4T10<7TBGabUMJ ztD}c(Q8o%lErK&Lm$>y5BxaiJaSs)m?QV>PYc{n!P_Ad35GrLh+?u%=;+G z1st{B>FTAbY1H)VnIlms?lf}m4HvJ{EOwnpKCA@BLI*0!mLdsOrN&XDPIWKNrwA%veAD(DaeOno;M~ zdImcS)yAUgqFddoRY4Imk*;UMYK!il-sXNRfq^%|bpW5xvODBjpFUCVf$gB1`Mu`V zBsCM|7K^x!uSxz`yh)Fv#HLM#+h^Ct)iG}u7V%Nux4h)ZJ8Ek2j4IVoVvTs;yGJqO z32|h3xR^CL+ZPojrNo8pAN2BP)J|vWUO2b2KnaVi4*Ouz7G|szYOP^zOs=?nqlw(k zu2M`@U6oIi+9$N6{Pama^b)!Vr#~1!uC3d`N%PX!Iy253udV$?Q#>3wbA+5+JLbbe z;bOe-D=N`vy_n6k)rU21qQ8qw+39}Dw2PgUGJ4r%gc3$`ON*w7i41fkgk&>A1lHHr z2b|tQpWP|5{An$@cvSuWaLD+C-2o9FWxWI#XaYxdMTSg?zl|z}X6c5@a~aL~ji|PE z8=cPdw33{jw@fVlx5MV@oqwXX{X~tM)5jWBOj!YQpnFiN&3TQjZ`qgBulSsdzx(ck zUA%dU!RMoke&1Hv7dw}1#!_NhG;i%Ld&c0n8F8Vwci|TA!Y$bOXG&Vp(X(Y~)6vo0 zj4l4^O*sNbYZfK9aJ(gEqsPMb?YqR-v^C zM@?1N_U)(ZiZm_ZfPV3k{-9a-dZ%;8#@6(<<7#gBdahBC#njHqFsCp)#OxcsA5Gi} z897x{qM^o3M!KD>LrL4ooAmXo=cdgH?a6Zo!1fLJPoLO(_B%FO;&2dVn5DrC9LVM* zL+@VJ#3yE;r+1^fc<9|E!2@`REaUm;kNsmj|7Y#Z^pjxY;x8=0U+mrTuV1y(@ojl8 z*;&Bp-i!< z)+giN`T3m~(5L6~b?@|eZR;a8hR$h~lD>GcQEj5|1+~FQe$Aiu_)}u}Xy$XTF!%Pf z?K%b32M!J@Fn3VX*tpg$di#D3kYX_Bv{#Vt$)XC%r(`V|?JP>xj8@F*3@{R>SqY+k zt-by25S^BA-W0zp><)d*G8gcsc%TTw+4*UCx`N1SPcib~Lg{9X6bTKkP$CJPxO{3d z1H#N|PVF>Hqjmemma*sCj;AROVm)W%ds;d({N&{GD8`)F+M!UkPQ!T?^t?uCmM+&zuNN-{MNv7o6cGc7aI`I~xI? zU!F;}DikZ4ac2AqYP{O7AoYpU_}RC+FYvL$!Ge;pT5n?cP4;>^dneRJw#z`vR`w|3 z6HyNG{*~dBHy>_3nmMRXSroPtXny^hBP5Yg)*z-zOiUEcRJ2%wG1kb;R+yO9M||=? zv(=^q#{c~Mke3rGQc$est)bx!&54ZqDtZRr&)Z$|uY7U$vTRe~-8{LhKIb*j!Hp1G zqjj#umLKD37r`tXF@&W@gxq*k=;09Mq^A9hJdW6t%#4%HRJpq1!@$1o7W7jrh^xfP zOtdq7^zPxp8Vg5wmt_niGwJTT`u&w~h(CezkvP5Q;@lZ4D}{){i4hMcw(2duy+Hgg zFN_cKx3EkWfAiQ|Un}!@$(I;Whz=O z9)EcbLsKsxE01dWJS*38*G{LVahsYgDsquoZ$uSswenceY%G@nR3Nhl-~#vXitNz= zl9^j!Pq0+OkmoZkCY@8v7=_YRN_W%Gs0tFeN|YZUewD~)VCJKUY@9+F$i3ei2<59* z*-Ea@ciV)tyzE6W9>d;yNO8nhYsD;-G8Hj#_P#VjUjkk$4o(e?$ySEdILWtvq8yA? z4xRLhohBS~o+kgB@i_?tOgrbM`v3`@e6=_rQ&Lb>^#`?S?nDpq@bIvvg~h$wLPB=U zm&Vv?Aa0)dzrc~XfX;y8fteZps86>mk4WH`74f9g2;Dw=J4G#ezRmFA#&QYENxq1A zFu7J6vo9}F6e1c(5Vj9ym9U$4Q zULODQ`W$q!nd&aIKYb;Ia>|g6f2&5h7?mK|F=3<6>N-Sx$08+EDVju1zn&qObm08_ z#D|9I=hGO@6cp4VkyC+fZE))!5m$kJKV8%%j5jPgUYYXlJf{pb#M}et_ug@#8rx;w z?eEa65?k~?qo%)qzulRHS?&U zc-A{V6<6Cs#$YQ05xellc;`H(lcZe<>DjaR60&jYpao`XHxysyJ|H0+XLmgiEMIDH zrjGIw7Yv`2rMIo1wu!tK>o(2YZ*FTk$8X*|XsV8nujgI<=!Y*0hi9gmdloGvZDaW} zXdR`bS=hf7>XVVwG*|q@W%*w(rK&WO4ouiEG!AvJEDx5I9i4B~Il(fXLmijlb4Tla zY^d9nOx#}p-|*nZ(>vMKw9C)XH^#S)iI@!go;%(iL!I?o-e9a3mR`|DIZ%6UO%Rs} zxwT>e=2RyreY^v3uw!$kiDA?N8dFFEm#`CM6>jDzB0RkvW*c#^}{<=CK|({x|`YIbYQ$};D$e*)AF*jCPUu< zthD&_>1Mi=q$Edl*Am|im4$~A;&FDc7osfAK|MA$3VRf%qoYPATlZi=PMF!F0e=P;&CGQ>uYCk&)2m?(c@s_u*~+3j>d~fjtI(yX0^>X8=R0(=a;azwjFzA87v|_ z@>W|eG^{o(`?i@5KH*{O661PiGsV59M#g-=@zW({TZUIVhuwn4_wfUJnduQ#ZtCf+ z=HchOcqdmU(zWN(mc9Q8;LG>CE@!@q1s@xsjq&nx)D=d?s&C(3-c7hS>`=Q86P8|y z#rDtwM$mc#XbVYw;g)8GBigX!dkm=sICm_xkOE@j^mi7k{gujOc!o}rRcicCOPf*Q zdxkJIy}s2)<>D&AbFORMs8i?k&Leu<1u(wANT#O6& ziMw+Olf+1#FofVWz4q@LH(X(xxWWkz8nakF5|UL@LHWz z@sUMPFxJ1Vwe@j#)>hbCY6Okow`}t+df$ufqC>9Y)&Fu8-c^GF$gy&Aj>EBLEOxMQ zY@QKcw&v=-`ZtdcD=I~_Y!$sT<0_K#Abtv8(OQz{a=a*NsC-r;e@VZd$a#Zm?a1t9 zzn80J)~e=By20GW)kAd~k=$MS!_9FOO^O*+)F)#!!c;8QLa&+)SNo_W2aEZHNc#|; zz!lT7%zDV&gUt$WuQv$Xq;+*fNb)=e$K-+H;^H1?+;yIRH}or1op z%8A7s6`={mrqwr#!(HKM z39`S=&SRvmXW!YVo8g)H-DNin?cF=_Ti96+A;^JZ9~#sfpAWFw+DtiyA|s#cVUrs0 z<3%yyYqcODj&LAYN#mT0?7 z0rHD^!5fsvqq6RKdd7hG(77X9f-6Cgo8iKFWt{~7s+t1A8s$^BZsChjPE7_?PcO+78(b}43Y^FOVoHom8{2o0BprQC+c7T?2@6r^3_{`ZSB|A0_T!R)FJ zb`H0DmR{|W@#gzk1UnROe|IZbBNmaC=RH$Ua@H+{=GmW<@I2K&r&Hb2Fn%I2Ir-bj zh)#>0`S@|<;5X3Ax zpJ(WlfQlh%y|q;yzTx_8&fS`tcOkXG^52GI^aS&h?M=x6+qqWi(T}AjF!)yrLpF%N zx#@lW9Mj-k_!^)Zv?=nkvwLw`O})6FV$og^maPSMCIesk5y}~*`Px=FQ7}Idccr_a zeSY$T`{#bGq5_+_Z%gOHY6ri*c$-`Eh%&G$FzrGnk5^ToWmnrTOKPW)j=wwL6Tb1z zzJbl*&N{<4zuo_n>?3l|6#aWaF?Q?I^NkMUm6ympZ%?Lp46;n4sQ<-234eFP4=(#% zcRL#3?@vkOZb8HE*?9`QFm=$sc;VlD1z79ksgaBgs-WVF!PP;#zHLeG_Of2kvY?ej zcPp))5z+7Gq`fG(>dLu3czjOA`Fw}2CoUb$g z%@Zu_5vR}xnu0!1dP4EOoAmk_o|9=qjHx@mP2cgXUD(;`NNUAWb5m_CMG6+zx+r$6YXLCD-#pKG0D}Gv>8K9 zh$9X@vX-|RAI>x_Pda0f+@GhN{yq_R?!6@HYx>ylZn%!bNju!(J_%~WWOX5ymp**; zyY|4T6l*~{hVS?O!vj$9l=xb_wFaEsMpvxofD+GRI(y$2ufdB`uwFcNWG~}G+xy6M zCm0R^lj(h7HN|jf_4n^zVF(_~5wd6tT^^eJ2u9xh-x@jWR-r+RJT>*&o{?)_KU2>g zP{s19okk&EcyK^K)M`pNmuNA^de|dAyQ(Rj$;-Nv^3toOD3bg!uqynjN0iAeUkAn8 zJQTy>fAXggWK%paF(D33@H=iANw56Und%F7j8t&=t2^-+^UX+>l%}92vAz<1qyofUu7E)4@tgqT*?TI2QwkCW0*$RFh zA7R`zfh~CUK?e&e}}?*LBc!~ zQKk?Dkl=|o8>TwF@Xd1W(>&$#pkm5{ZnV+sY7`VOUi|)1 zNL`vrLwM&9NKNYgnKBvo+-wfy94{?Z252HA4mE!7&x5VmY_Hn2g?$cjkhB-NTIWkJ zspRAL<&G^}lu5s*|9@N-9NybDc<%GZBi9dX z2F5t%PdGs- zwQ3dZ^{6*HoWs|6y-w@zJp4r*0Ye8i0UUN>@lH01~5zww!Gi zhdQn;Q>VUTF@8NHjrey9Hf*dU+S!#twYbHx3zc??EYl&xdwy6afUnW<)9Av&T@qMF zWwSOKJ590D7|TPIV%wC^E?8!<>*h;hkvRXXZ!gYo9AQX zg#es)2kK7|?)~_DWbFq-{fj9R{Gpqq%HytKyS?pQlB%8fgq+dhA&o02#&IDCzL-h&0@R%4;OY|!k>^7CDM zl@?>8J}El)%0qImuBecdesu2D|AgZD0|}1SE4iTo<&OnO>tJM3i+5#{P<~P(_Uu%* zUqdh(qkvqf>_}PolmnX)^DF8~l_yEEV##u(ET7aJLVL=etlD=|>M!^-%B*9wEp)OnmYOWi~Kl zq5FI_FJ+x$-oU^ZmwVyOMqBu1pu$}=Jr0-eG=io*a`y}J0Yw_3-79m=n=)Z!Rx9B= zD6*beI!f9^wtmcJvL5o?nqOVlK^f(qNh6b~6L41}49G-j=mNYJ1(z=39={4g*F`gOKv?pYK(~aEqsG=E}vQQ;pW@d8@1X z#sW(JfmIedRgWp2-}=fyFEjBl1=&+mjuh8bH8G1ED&SV2AUa`T7ibZI89Etu&9ZVdEsd zntiD0iK{NIwsRMFd}JVD-g@doJ?}V3r#5PTX$;xy#I@9_@zBdeW%m!op>dfS_Fm-X zSqiQ7LCs}HdqvEYq0$&rwp%A>WXM?$0$tzw{Ob9gZ{sI?F}7y(f>QY`;#EsrOJLLh z(kB2LfYO)u36l`d^!k_vG-Lnlzh>;;6jQd(o2f*fBOxKG7BlVt@)|(x$dpwBrBz;d zcY)2tys?Flpd+`Ii_Wo~g(&_3A#WFpIeGi7VdJI1a7{3zves>AwR~fcw_Yi= zX9+61UlTfgpaCQpwu*2W+}xX;1voQLdd~GcGhn4Vbm$PWrSqyDsl$uL;?~4)6Ty52 zOLIcEBlZ$ga#x5XN_oMMEG;buK$}#c6EMlj9dLF9u`^bQcX8NwlV}pN07y(S5IRIt zxW&jK^9)tphgvVgy!eI+O4{?M-!zs#cS9mb%Qq0~WQOj8E>X;$)NVhxUhuhX=sCX;=|HnX|4@B8S#Jock9W+l}sM zHXr`8t9x*@U~#~eA?T{EsbPJkN`6b?GO1_9=Tnm$5~jq?7|wp8pEu4ub}(=1%CiuI zLeyt>%QmB`;rXFW30|#sny>GNyW89G_g&Og;Vpchh^s^d@zv?Kxmi)tC3G!OB3IbC zt^~Fz5KN}YX=h`mMlk**CF1I}>hx97MQ9P~w(gY`Yv4tgZ$9J*^@qv;WOjWO6<*dQ zDN;+r7xKNgpkFgcz(qBq%CZ9xeOxdp(M)>gXV)|2_T1Q*l}@S}p{LTrgO4fM>RxVD2X_>PFrn?MFK? zbm0w}BPQUPl-4|&I>aafH8oqk zZ{_dq)ijPxWRVdq2*Tq@Rssubq5rnZAqmBFkKi=A<2QUNh1Jx;ZLEz6pDl^#c^Bqe z4K55-9|flK5L;lP#W4iiATOpzLt;n{iFNBCp`mVht1L&acau3xvg@+)-Xxi!i;V^9 znQ8ukketdJo;902>j8A4bJV_{%`w`3&L_OU_zeiq=Gt3|Slgdzo9|xH6Z925+Pk9W z?Y6{?P2v;iqRleuvE0^YV@TlsBFoxORbTz)49&j7{)4FPDG)KVTw5;ZOOZlAi~qkk z!#|Undzys{%}ua2M?9@?C%4xr@_2MzcP=C+N`=PN)$6NTl#QrpjCbWj0CZiD%j?YD zWNg>Wp9B}xSnV0Ogq?<2nfdE}tZ7pp{TN_KjZUZmZ*<;wVc?ycWm`ge$5Z(_1m))# zK^0#$n|65o22}AmjlLx_Kd7cou3R$BRW2K$8W^9R4gjtM@r)LhL~chmfIj>RHq;1b z4Fhi^ct!;C4YGAv%`E0M-b2`$weH-Qd4yoiR3tAwY*Ui*OPmrX$lc7%!fJVO?2zLX zAFoFYG_&GE06(bo4BKn=*aIx2WQFFHYz9uwiyIiPF_rj8@mzxTp8BE#w_VYP&liB! zfnpEsB^(6Cw!*6OPYn=7!1DG_mDQdW;s3}VlQZtf=oDZG-#f)5jtWj_d{0P7xX!_G zc6I&XlcbY~APgz-AUd=E|AH{YxY%L)vZlR#0g}VPAP7sH>s*lEA?^sSPUJ94k1*I4 z_@i&Lv#Hkg3>}6+>jGUHZ*{}Tf_oml4EkD!(6Xl~Q6hsY^3XUg!g_kw6%8?hq}&@4 z(`yg?GHZC6(JT?(d*JiS8i(7xV6YM|enZ{dO`WKGP`8l~of9-{03x{{Nkl}sKDmm} z9sFTWTieO%)2d)eYkVoiSH_UL8@-jY3m&z2Z?y3$x6L;hoOs67E9WjK0yR86^*%sI z0A%BRZQiu#1S~r7hJn5cm9#@s?Zg_s1x%i$RL{zB)#beP!)vV?o|P56TeBlQe^3aZ zgGYorQi|>wnA_6|#G_1ZsV+*Rko&^js8_LRNcp?`anH^K6d%B-L}Z+;hDI5?;|)jtuccgt4r9iI)72>b!)Wn0J*%%cjfDAXuWV3 zQTfwfIbJq)j_QpM_Y=Gds*%~-Jab;2A)d$p5ZDm1{B>MydrjCQ;O343q>c`ez!|@{ z*^}W+Z_l~dcZoAQUh4~BT^h{aZum#|IPwnk1c5ndJ%QP8dV(6e@O|~tIQu!H6-Okd zInaUX<3APL>Nuf9yHulW7P}iG;rZ1Qk)cE~hKKWR$BJMwR(bxUas8s{;2m^yU`mC( z&}JT~{TJ$x9NVpQOTCdOt_U)F2j{r4R6pP{c0XKuAgzGn=9hEtjNhzcLr^od)tmEr zJMiqjc@ySnbhtL61S=&ZyZP|#nldN;L9SjQ^|OjRFRZzYyeDHCMiH!fXacssK}S1# zbXT()gHYdr?WM^M)5nj=7VGc9BuVidCoRGBG+_sORB5GOhY|1lHPMG}j@Z{@yt;ME zJ1pq>wL1`V^_j472(aD89jNh%zbVEVUSp)jzezi?UrPg;lm=#}ZefNCk=O`?IV>sI zAY<`iO;QBcFnjH29{W$19r!lFd;r25o5p@Ud^R|o5v6_kZ1L&YNZ#%Mwym?+IOD~q zpwza7qIre3S6HZvW&UH$=gp7B`7;obdlkc?200HXnZjkAz{QoA!bd`##P+7b zj>lBG9>|PM@f!?Z75lIwCDrxtMMMXQhKkzwgnCoKpKkiZmNV$9I4I#AMN<&J<=Y^B ztdMB)JCe9v7lpli7IB*!z{J7MT#MWJh7pRd%MWcqQ^F$@N^LAUL6QJ>jPaE%;<-sO z&^WRRW*kB@`p#Vz1yv(=8GoM&4Pe`j)+@xG`KfWuZPek5I&oFzv~Od(@^%Dqxyvsj zj6G`J&Uj<9dwMfWWMOlyrMReJ^bGF~kD)?=&a(G)r?vEjd?XH%)CjT0xLv$H=b}dS zPtrH@_BA8Qy3-iQ<;DDtBRLz1g_uo#!k+QfO$JsBF7)LQ|Ie2fTRFYZBhHfh0EEua z=M1zO?1>AhH$vjgSGBbCbPCx0gU}Q~8PYzu=wn0lPIwDww2&tx}I$Z_2?DTt{77rLHu;TUBMff;B z0p8fHt=H$+;BRgy=vb4sv7H}shV(4B@rdBQ;ZF`#2S@b`uF0##VjqqE7;jQItvLjC zKX9NY*mEuSakW(4D%N$a-(>0k55e0o@61R0MQaY^GK6@7188{GV*aTy0?xQ>ad-@T z7T?|9yCQA{?K?pCZ*R^B{l8_d|Ezf1%b(q0;|NkG>V=ZcdmCnuA;A3iZy?~5@H7$fB1=GBJuSUBPGysIHaE)3;Yx+Q2k+2)PKf9LEe+y9g7LL1c#} zSR0cRFT3evRK@&os2D7}RbTkAa1u0HK``ULQd~;xdVr5PeLJYVD}Kk3y;4uq9?uO6 zFz#m4Im&3U29}2(gp<$OAl4*t@?U`CKXcRrjBZOC$}J-c+E*pA0>r7I zR1uAh!&ns)xoD}BCF>^ew3KXB3}F8*+LzcquDIg@J7DA$jGBM>D~+YS89C$w3gXsW z(E?@aVT+)Mx|)mqUun$3jHyX*`EMeWQExlKI8VL{`PhJAT{4|}IFgI?zAK7Ve*+Y0c0PQh7 zf-pS2_9(?_(zVNhBqx@{$dk@4GH!hev!6CL$R1m`V5h43V6rt{qxTmKfM1nlVjCD4 zeS_IDu&?1pZ6L%Iq_K-?{s-2%g8|r3Qku?(zN7!TO(BzSRZM^!NV0wZkzHI&>UK%G z{~Vjq+edE@UP0;2fg_^QwB(guCr9&5`uPhI8NS{?N9*U8fZ4sem}^N1N9|e8ETD%6 zIjgJerAwq2M3C~kdDA_2K_7Ez9~|n#M|PTPSezbm`CW(6Vu9r@XA5of3x4@gnGzC^ zKf1YLLrN#Pe)pxI&m-y0i8ShS%oahub?!llAEn=bP(?&9uAZ}5r{zooP)`!#$}a+% z1V6pL_7@?w{-o<68{ni#@Gy6*+ zx`gXNXBh&y)7JZclga(*0ug}*fH}KD&YyZW=K_v>QAY;Ir$a*^R6Fh_0IUWD3JBub zeJ6EV^cDI{ykM)gh0J(0X?#QB{UVETf1SBcYa<`rOV7v6PD~`CUB`!}55h6zV^)!{ zYAtH%(%`&o&G4Xsew8naZN=G1jzuxF5N-*%F&v{;2OuY&q2PPU&nvnxss|}?zO8MF zxfya}plu{e$;qb+x+)b-(xI6m>C^w&TO_>#QIt-aDa`RFwP3iYKD|Xhjn3|79qR8{ zQTgg`NwWs~fnP=6SE~r-xX+31faf3Ydh5kgLU$B!RRxr9Kz!`8AQMgP1TB5R&FG52 zUrq)qLkRI+?E7kKHr};A8AKP@HQSW&CW=e3~uk4g$1pIs|hqw zC^}Xx1-drzF#Oj+#<%ojJSXQSJF*9Rd-(uOG2ob8-65mU^(h&)>O16vbIxhax=Kwi zgV?_KNqzB?yM3JCcVH}b;<%vG&QmTzI#}G@B)gC{Ahz16rYZWbha8hrYAMU;x(e+s zs@^AlBmgGq^K~W->YvLiP{>67)~}}(o3c|h&z|{KUIzVB_RA?<{%ULen}3h~dmqqF@e$^e z9X)z9Ej9IL!S70@Ol@i97&>%^%j^G|ErJf0qu#}jXajwB)M-!`RLQ)1ef9d;LCRTE zKkH5P%6FsFFD((O=sXFDDCDw&4^P1AOidWHkCruzy|)o#hD)pQfO+TrPRA`$t{|)-*VZ%IxHhQQA|fC@pDEG-#*2)82c}-}O4DalAj{@%jG#J95VTy7u+F z#vR_IcyRwGvV(NWAN(-JO@|K?PEUWyzL3dw0HnCk3jm|_3$?NF`@!*#4Cno>+;3l4 z{UEgpuWaSzEkQ1#PeNbx9h`3W_71!kQg(avnP^TUPrXsH_xaUt_s?WR#ZO3FXj*%3 z#b^A*s|{&Mox75snPapz2H3CpC+J_IrJD;(>sT}ETRKy|`YnC~FVTpudkb!b0J!O3 zk@g}z6+}b67pI9_0^8uj!-x8AHz9!5ipj${6BktR40Ib8MXsK z{0LZ$$(&!M!xUMhpzu9+mKz)1?n1X#NIL)^As4FDYZ1S?CxShCj93vYmv+FM2^)+33-Y;Cshg1?G!MMyaC^2G-gmRrzGlmapDP|Dp#K0)XmxB&rgS!wC*_6|<8VpmB@-NfWi z-k-$;7e>a@VIO(Fg)-Xrk^0=ArG>V7BzTXtLPy>n;S+jkUm9DCp|ucJ3ROx#`}=mJ zg1&J&Rt#~W7BJB2Bq_L4vVZ)T6=kxyKNh+X%2zuVg_d2zmk2bethR@LyV29DW30~I z_m<X`Rsg8DJ#u`n_9;LJCi1}L-7dofkw_q#Woo0~4f{j0Xz5PA{+r_vINvY*C>Qpm61CMk!WhMX1rpM-3mTW5%+v9(32l{N zqD!%B7yaZhcr~lBM03B__ly_YF}p}CzSS%%kJHps>UWUT@bd6nx_Pi*ay36mKIXYO zW|Y204h_*}JNH{x`%F3-RA~;9EvRfHY5Gxb7MTzx6@KDZ*1B}s=V^xcxhsDL)2sW= zPcCcITc$r8%PnlZtRn4>@u8&zRT?b>BcxAWUzJ1k+tCVY}T=M9!V&5_R zS4e85x-|g~V7y7@hI0~(RBAG;Y(dBCfZN(^p0a$oZA>@w^PiwY~81 z9XGMszNfw_9Hk1%q+a+$tGgz8TTocUAuxCqwy-1j=!8HyZ}c z>-;4CYbdz^gAtQ%?aQ$)D;{Ww+&{Si^klA5CDE2UXWy$OG+?q;ZmB7U(9 z;}`$`Soi1snNNP@bkh+f67OjufqA2InT}>!fY*Kh-ZPPi>08w`lz^EOK)1c`Lzk7J zX~uE5W5mB4!J@{$=8mbrUVrG&MJH@eg`3b`K>E5)l0(b2q$p1&%`ed!%so~GsjPQm7C0juWb zye4ad;r#c|O1Sx!dhHwa4Ylz2?Wu|GRwPWN?&lT|W(;2odx>WDnzC5fs*lT=(qgx2 z3Fchd*a;d`hRdvCSzErn0LeM$(#eUkHkU;t9sdW*x6^Tf0IZ7itSD5Zk=>;$rs@xo z(H}_0MgNOQ#sob(Rq0kpgTF8E*#5qYbN&LfxI$om>-?uiOaobEnjEZ~ak%G@1BFhF zBS%=fUYs7CwR$IU{uAsR0Itdf5IPmG2TBGl3giJ0n-2IDN32^{2y0Na=xoMP+2Hrp zk8(?`mmnye0)*shxUAKv2I}e4eYNXQIyoHGl;m(elU+tjU;ltQdPw=7imHAuIeXk2e_9ruZ{ z73fK_baTjC&PeZf3O6s9>g>5?xq?qf+j~}Zb0NN;_i61Cp_d!=vbW=$uv+T%RN^as~c zvyLto3Ok$Jf3fjp(NRt)$B8U(TGW6BQoraHEv8+zz^lRr?43~bH==LTp=%^X+9~ns z&t%SkG|a10-$-MD1U(~CZxtdM>g4&oQnDXc0hxknJC%hT--X5EwrZ1(%l$A`_aG+rJj_=Gd~{QpY%t)hL6To> zl6bKkM-6f>@qw;S4Lx&>%-~`?D!$6xCdJHe!rA~XW;9&XaRCIuB;@V{!<#~AEf~tr z$!UY1#R(0mI?eC~MtK(jg95PW>zFMg2AAa^gIzw#I_oJ-^qo!guq>a&%_g{uO~2r} z>ygp6Cm|Vkos6md)qh>C$8`%*W8)NZrb?^gPh`z7tk`W37m48CkE~fNL#`J8bccnm z6Y*;Ov*DY_ebCl}9+rw2LVs08;H#(N!_ldl>uMSri*H$PHf&A}w-n?22+Uk-*_=)m zVD)z|QV;Ws^LAL3zfJox#!@J9uHgLJrSItR=$*7o1U6`3CF}sKF6tY#fLTD%VFUCRq>vy6I!o2f)(u>8A^Ix%80)_^_zw z=D~!2xQuyM$~xay69S7TFe2+Cj7L2vbd07i+ec zhX>S?V;&T!F87IEOv{6LBnE%OTKoshz6WXBi1=A?FD?;h<>C{=7*iQ@xHuQJ6HCCK8ECT(t8?7=O=@Z?4?iq}DgDUUbrx>0DE7W6nPq>y<4c zDe62LUiC9W@bZbk_Zt}ne11*2n}I*w=?(Y&!A>ByIk<-08MISI>2TrF-dST+-*t2N zdEq_;YoUfo_f3VlhvDG2##8eX8B_8d)V)Lgo)O!!hN#h_JOTp8Ix;dcD6c1V&8zvQ ziYi05t*ljeNC*sqfbYnFRKgA%RvTir{2+j{)9i}Ic>CD!x{wQi;?EmjscMVM2lL3D zRu8e951*khIt8SJa!IpCYMJ1E5*~hj(hklac2PqR+gb40eh{JaIoiRQlL?*Kpwf4% zs*2h;Ez|u*qjZ}S8&~dv%T%}+DTf9FVoqt*;X2K`uH=4j($E%$4zarQ&+&XMEE+AI zVbOGV>p!?@JP~7^jwBzy?=E++i+66W;=_+nTsFJ2-Q4*7I3hP zzrr_$!#i!m;6`cEnIO1b8dX*Oa%#g^LH7nDV|lT?%P&kG;e@&sLW^c9a5gmz<_0sPGnK~7Zw&i9>Q!Q2=-ey0VHjD0j>sS z&?HNfA{{EOGa%K0IzS#DGhPc!@f683)WYmWMc7B*yrFpli%y04yKcx>hM{vYl( zed;EERZiXAY3R8xBCKmq>X6@yCU0w#YtY!^P+qta3by=pO}HVehc16G7;gb)HN@NZ$&ad@9$>NxB!R&Iw; zHV9-R8G_+rD8j{5 zbSW2j=3F^&N{I$0fhM5o3)u|hy@=Q8>*A@9od$o6d2ca`h6nGLfpoVOrP*Ey-; ze0Y6AaQgSXW!L4TcP(%4lqZqT;j9Q!I=0DMC?pa3L{q{?6AE(~vtK#N1|wr0v3g9zZse=7X;ir)b`6<)N!EKj`jSPK z#b0dk>iZf{`>WKK_UUJdoPA5&0hg0v!X+q2^L7zFcj#C7eC*)a{xACVkC@4AZKE9> zA8JRl80IO)_S2~DCYpUUHTKG*BbZ30;m2%Bd6=)>M>!G#*YhMKGO>_NQkCW^DVj6{ z@FLyi=o&&zIZoaTp)~YFXe^g0mH{`ZJGnckH>O_pyV8dZ^(XCJ#4G_V+(!U?0%rMM z&V#dk(*>b~8{de9>Fp8l&V|?r&9l7}ORLwiiY)`3zI(e9!@xPu^IeFr%rlF%635-e_ zv4vHJ!RV0FslMEV5u;U()H;FlL8-KCJo*-X`d__9%M(tr430r8}r(#H7^wpi}h2)yug9ml|M=R%e$k-j2s0tJlE8kz2*No?975{U9V&C>t zLuQ^gM<~5LbTN>m+|mYN>&z|9Bcor22W&8bp+1sc9ME%`{hw_H0OW~ksrBI8*|k> z=1X5Cim)b3UbiJXL4m}61z1_SkDjjXb>!Ai6O$uCxL1*lvrEUo;DHJP+%a7 zR%t6QEsas*6(UP$`dF%w$H4DR-g@)!_!lJXpDTJ+gm<7y=ljJrV-@P>l?&#|EiY2; zNLV%Dx}W51(at5SG*{n_A9og32!V)o2jNPacD@5MYN0&WTDH21N(`_cI&;(0{YIAu zB^^>+bVEr?>S2Z6k7T`ar$dfPH*_32a`BqVezzNXn%lzgWo=r`|hL|_=9r0PypGuGp(-Lk7S~>&t zCP=moA6C{KVTlX9Q$rdTjv?{-U^~URytx0#P)U8i>Jjv;+7q4M{qzeA^2TggrRC+Y zf`zjy=`@4JcQxmy9LK)s^-~zW6TPbK`mCtVKpqzPu(3A!ZbuK>9VJ{qrW%p%heo#~ zmUH!)nj)#l4d-ptiGMl#hm16rrj5+!P z{$sRZTzI2|!hX!?K$7zlVxgNr-I{%BUx2#mYd`(_qQcAZGJ8A6$_PK_@lLjLVm`U; zoUsG8pSz7WL!G$iMwJ0aN)nm}JJI1OlMSwZ+{5$$49K!ox1Y!UmMwQ6GKdfR#%k5O zIE{|wIfq*6xzEMntl$k=HT6A(eG~had#zSZd$*c+A2AWPUn}yPfC>eKtpEV<&8~?o`xhFLDf5{ zutwi8@ReQ+@h4Zx(PPOX#WG36Ll1Xr$l4kT7RFm$&Tzj>s8gzYz7fzdZ>9cAzJoQt z&@dS!F5LOBlXRg(z|tT4M7w8uT=?{0NN4tW^cF{2KbVCZCGAte2x24~tkJQ^Mx;k_ zX7K##toNQr1bd$z+Um|{CIw?xuFuN`4?CrW0|Utn)YNVz5=f(@`Wwau`cn@B9503X z6?IdplJD8>1&AmzVjJLDfPIgso&Ms#$fZUH*#yx-$5NGlU!Njb_@WlQL2v9$+2-qG zjB0T{pw@mw0_O@==cbQOWG@QA4v#$U!FJ>jJ5MDlgFTIrxxGsHCKH)k=iy6daSg$O z3N6MqVHYiPNk|{UR6qxI#H~c{X z+5dq)yiC#9{K6H=&0Y~QXZz8;6S)~eh-PwjwIhHI9Kr+Ap#D{)v+>zEtSzml3U|h2 z)p+*x#lfyWaPoT^&#NnUIhkFnGJ{F6j?N`I*f>o&TcM6A2X(_T19fOZLziBcHk)(E zFZ?Q-k!?2BG)$}zIc%Gp`HAqYa3sUt-hcp6nbFG^xk}H4R^W25bu$mUXAIp`!MQ2A z@@!ww@pIx)nIQy~lhL(LAL;L{to|r>yY}tJ3c30eV&VV>-4|I3woL_b0VNZGL1FoK z?lvVpGE7j;$zI4PfPQq_A5Uxz3#11n6ciyfu$ zr|Dg|f}cx7Mai9{_L^ZUE1jNx^;DRD%5v~`^jnp*i)Ez~cjF^R3(aQ+NhkG4pQgaC z!}q~45*WJ)r4xcx`Ol&M=|M8JXLK#P?iOFK@W$L{o^zo=oA<55t~~wDx3AiAg;uCA z+p^0}V0C4+7j-&nw%ut}ZDMcZ!mqSYAYi%grhJa{W$&SHh*-hi^nj z8nt}6K+R8dirzU4K%c<>K){#N)9b5@>OXJcdHT47tvPcMdQ5hx8c6e)hpcUMTlR0h zk~wXw)-OCkPfhP4H0{jAcH-9dd1|t8^1JJ^v(nhn=dHQ0mngaM`U*w-?YFCSsoMQL zRjrarvC6>2A1A9sf1N;|3C&Vm{I5wO=56UGx>D)sJfrZ|1(w?%zT?ZziHb^PFDnHq z@t-@gF;-X|>P9Z!ROTx;-kfLgz=}yjjaSimQVeZY5$*2QMb&YV zg&gi0>h)3#B9%TxUm(1smPNW8=_4Iy#VFiv-qZe2`;s3<%4tL*toJ*9`($ltq=$am z2syZ)Bq4Opty+ytb2+N+T*KWQUL!%D1JBdQ62={GIy|0_X=)r)2^(nM9N;c6UyN8t7vM8ImpxhM`hrSjT&>WG+g_5}SwtA8G524i%qX@XIirw8BXY1xqfAYUQ=zYG{ zse+BI!@Nf=Px}%p^1cdbk*D8V>?uHdQ7;JGC%dSlQ&KLRwqkOj>aQ*>(e7D)_~fF{ zf|C1q=js05rHKyT#V9-{F18ql;@3)6Wel}x+G0#XW&%rtu9m5;-;}mFglarKwLiA8 zG9mORTE?#vfM}%ub}{QLYc~7!DJ}Hti&O(yrE3*@lD;4ClnQ*iNLiPg{hIGGHC%~I zi=EqJWHi_`f!623hsbk9Jz#?K>LNp#I$ByZ(3}``CvIaiNl;Lbjf3OZu+^gl!ptWk zq#!AcTm&OIY4Z;=TIy}v&h?JujK9^(p+$=g`%&4hP*-m@G|RSR9t>9PX?|_TuKW}H z73MQpEf;*Ri$`$?QT6M*^XlzAisxd}Jy;ce>s*@Q-FIFc@d{#1sNTM6`p#;)13C!h zBb;$g9&4;_tblI~@E7S9&b<{)zqv1W?Rou-i#s)sf>8JzDB-w6H|oM>^|fWCjqsN< ztLtbot`0@%{4$6YYvL$%Wy2;Q7875R!c|D)lODpnn*o{Aob)g(Gd>tL>3;H9m11&! z6Mg^Q#!Wjj)7&MOb*8Z9B;G%26L7C{l~^Sw_G1QwiU@^!b#`|C?6b?r-;kGhw+lMXXBMwL^Y@XrU<_C*&s_4#vyKLvUIY5u|<{gUyYy)#;}~!6)j{9PK|y^PHihCJ!~cYQ>^SMOr$O zHRHgF$cz4wy@wA5pCgI{NfG&hyRb2)$F{Q6%LeAkc_u4mye<5pq+JOGBD*gIr9+IG z4={=T^E@;)zY+bDdBiGYE4EgCKDMN1VqoO<$1C@oX4K=AeSm_?RF8&B(~B0Ided5M z+A4eZHm2XoI!wByC?IMzTBx^g-wutAx?O!QYuztNNBZN(kGGY&l&1pw@#{525YqHh zG}w;zwvMF6O{PD35#An-rGVR(Vf$>CG*Q!e4pAI@C(({DIIV15qRev3ur$eu7lUPa zJ?u$3sJrF6WQBncqk2ZVKoySY!p`tbf$JHjUQT$P{nwxMS054bE4A{cJQilt>f><` zT*ZmHcfrSx?MMoW?pS88C%-nRM_Flqxj*;4XIIan8Aiz14Hr2tCkZ(jI4;k}7QZeI zomai@$8IFcb1V4(k?8`S(&W-~V&;(iaN)RWvJuuEE_@^OZkRJvEPoKkE22 zy(aHpZ~LC2D)GvnL+{D-mDq&~SB4#IizbQI&z8*5g)DZ!wR85QLED0We(IM{K3pCe zANNpWQM^mMaUrDbhkL zDSo{SSpJokpg<5?DTYhINBWSc!%doxAqIjoqq53_uXhAm#0S6KvRZH&Do1owj#J+l zj;@Dq&bstK6<*m#l;IHC>erk?G|+{r%qzE`fB$gizytasM9?(Nf{t@sP?Oy_$I|Ie zlsv)U*CUuAOhUa??p+6iwNcY3ma>LY}rl@WBg{P+VTaU<gDtjZFb@5l=l|%PX2oS z@}S-02K6f#nUVxImrV{NyCe)sc>(PQl&>EMsjXewvY8FbcKfc*P_o7;R|t>DsRrhbu3Xu4IFP?EZoPItt0-^3dv5XR@%=FrUL|@Cuip-pERP7MKsA=I|2tv6w z6nMsmCNg`zTjfqK5A*!_nE2uV1aQ}~^zxKa;SSN+QnzXUb6zDYlP2NwRBC4T;X_m{ zKk+fL$pW@%+}mK4RwRN7I=_S-IXIm2FTWcqw5^DW>#JWM72TJ{Av^;CyPfrXM@caK z1F`vz5BCk7%^{$#u5Q?(8H6LM48aQ+-&QLz%Ja1tedw{e)AUv6#YH~A zXZ4N2ysPpT*oZ^0bq%)+_6;tM$6HaeQqvcNFFovO&ayL)UbRcp$(pq*?J4%P>|fNt zPyms$G6>8$)}3UXFD0n3fA?}(>z#hVo%>B{%N2?~!&4%k;JRUbeXVIz?}6If*zxHc zJ!aDzsaFplBxmVjSGIpot+n0{?P?jef+xA1bBK$l14SQJs3H1C&e?=coluR(K%pvg zTaWL>nI}I%LE)O8pMT-l!Mo(;_b;;v2%Ktpn7;8%2~~&#lEtEiQ*TRNm9MO*&}H0M zQP0IP-_iOg$OCOQXq82rxPP^f^6zjOUU)MmZ@v}Re%O?Ffr`g-vh_Hg3zvDK(elg< zhzcZySor=t8&b;`p!4kCes(Y_Cy#N7Q;CA$LxB2@-_vWdOLO?DMr(mf+3M43YbKRD z!$O_*-AdySfgWyq{Cvlb1gR8~hs4NKryIBR1XerhzVq_YEca*iqF(F9GjBDB<+OI* z51fEwoje2X@%n}8haP(2$rqdlKle}P$Y@d(I1PMJO8ac2OJ1e@?!fcZLY2qw38(jr zcGli*x9K9CX6@>r(rnLVU+`isENOdqlPEb|`Ma?{`tc>fNR2Lln=2dx&|Uk`p+iO8 z-CA&sTpao0++0yjjqHcI;T9TQGR$qA3Uu1sA0#ciVw;`c}uLrIR* z$8d*@p7_IESpZp5NA>7<6(cd2kmqElVE=lN9;r^YAG2G%d8ydomC|3~GP$PeY)A$y z@&yuXNrik-$nsG3gzl3al+m@dTi(@kVq!?`3oT;vgKf3wwjDFEKEYm1Gd_!xrg`&? z;I#zrcN1zZeQ(Y**SW(kF!TBPmbe^+z{+yq%ek{v{PyN+D-5@KdFh!w2Sajc!)(jE zd30|M=HfI)m}xj#7i7v2C2tI+teZE<*c7WTPI|JMI-j+fpiWxtWSU|GZOx|KQYM1E z^XiK@z!+VYH`RlTE?qw5{c##Vyo(-$tE;Q)9n}@e9uN0IDm@?>xng2D5Zx&U?m#t@ zcL02+r@*TxXdZApMF@BQ%AvmqCyc5srB0e-3B*Jf7L(q9PkfrY#0v?Y+Um_#Hu&8j zdGL(`6>cBlK(gE@NT;JXxX7Yid;1pggc!YCQ&L_fCJw26y}*~99oZY9vphSqJd;(1 z31_!RsLPaWGv3;qeDBAZ-lV*RR=dTVF2P>=LzqM);R1-<{Ri{)z8$_Vo)3oR(q0yi z?J*FKja*Y+u67l-78hUs)nuRn!f%^DI=^Ssuhh-FX+5VWUbyA7?S`GAK&Iu_tTQSC zd?0mpPmI0LPmEzo5TU!fyC__AaqQspI7_|DfhVY_JRfqY&ZbG-h-d+eA1ruHzE{3W zN+DmDGGS%nVX-%_h7)(7Jt-$V`;PwXyigI-vMTjF(Urkk0_J>SKZ)ui9BWQF2hKCZZt5Fe>_gq! zNHOzj!NnrWu-TA4)89wXCn`L(9}JdwCSC659QK}f$2)vuo{KY&xIb{?(=o6WXOglm z`Cac*`aLXVY0$+bmmrG#wz8HGQL;%FKb3H6wy^VD^Tv|-vR(H&5p@fB+oH!lle36_ zk-Pj1%c6_O6CQIbK5^p2D|ECgaaU)2c-Zy*`}g3=rSizX9sTGJ!j*TQQaEYFq_@&2 z59BaGEZ9;AWD+Ac{|t2@zhJ@9$*~pxq~wkWQZHWU=)XWZ2IRuvq8^K+66(t~k5Q0N z?@$NxqQ)D?w!>O6x9>bF?|swiM~$i4bum(MCJIAnNCUT34no!O0BZ*m1(e0LU#xi> zUw^zWzoR7aD=B4^IvC{$&{>3KZHuyjbhCN4(mXhu5OnU(0Jinv zYYu#j$w4b1`aAT+QR+E1#aV-4l&a|u1HY`hvF7s#%?4JBLdehmrI{Uh*VnAacuH++ z2a=t@39*1hc;Tb^X7@0tXRt~DTL_~jc7%|i#rG0Hy&@!~`T4r^@W9`IFB1g+AmUb7 zr*s3P0ZA7;2+B(tCd(@imWNA)FbXp&n~2zTpE!NmSNFM(mrS1KPbuYKA2*qC3c>{_doNO2ne9c}*OFn3YEzh>B!Izc%4Au80n zM{=m#7$@zlCP*{Ak-zDlH*2`%<+ZpzVV;^@qJ=qb1Sb-PvM1SyJuYm=omqCATUfZx z;vdg<<)UvK2g}&xvf~h))E2b@%cU4W`o4ZTdICf3Owh(3znE`e#j)uB z0Cc8*KX7RISR2jOAGY429|D1AW#uDSKsfE2mU1=zfiV;REf+Iwr4LGnAfH%a=1jC#h7y35f(^DY_$oAY2FZH~&J5opb<=j0I z_(3ogpA#TpgP?aMadqn;0mQOh)~vgdvn$53Mi4L>F&hf~&DEL`Boxf(o9xKpF0*kX zXANt^O~fSn%(ThYi%O>!Gd44x+uUaaW3I1(@_g02+*JL#->qyi7h}{>Hh?4=FY*^| z5D;G=b7Q-7i5S{CCZ1fNXU4|(@?2X50M@VxN$7P{_+S6r8_sr3h zgNO*=PzBpOakp=au@C-i9gu(zQG@z z2>c4K0?5YlF4vlXSf3kgk4<^zItBtBhR=7L`8UoNzg`7m5f!%}ED!(plK|v6LGIAI zyt!0oU-?HHp&ZYb!u3hV>mg|ut@}`zP;Kio5&NO#3p*U;AKNi0%ont7;f^5LHML?Y z9IG45qxvH5-@mpiooIH?9|I63EPDVz7(~4>;)VTUfYz*PezDZaYa+ZZjRlcX)H%Ws zml5x!1=VVS8Ig0?AE|kQm78^_Z)?Aor>7^`vE=hO^Pv9;Nl7ihGYGG#{&AMSe?6iT zL1k5&*Z%(V?lB8L?-!c$Fs^lJW7TsCB}C4lrHe!TsNZ!umQ{^2_1kqcbiGZu=eV#4 zATlY5_2BcX4eC35ZM&M2ejLXGF-)H`8bIHKfv*eYS*Njp#eboV{#Ot_53&p@Y)WAh z!b}t;SbRIgX56bMr9(&jlo6V^71G_A57eh;3+!|u%&C~T8ig&qZ?v$Wfau$wMxP^4 zvvav${1sxqL_GEl*MgI zC+0^BWTSm>>oYDs?M=uWZ?=#y{wLxfGSqiaUpAn<-8JNIspAM0NyAH*h`wD{%s_(? zM;Zzohu6_C5-C1Cl$dfKmq`NtPAk&A)UTe>-%;%z&2X5T{C2d+P0z)1j7wyp4{Ujx65ytK1q#C-k(05f#w<$JbV12#|JoC0g^} z=h79&5VkNEEXSafJ`Q9pl7H1E-z#A7*=2rzy7Evn6>*3atSt=aakC00-L`uH@Ht+B zE#Inwft{y-ZwqE))W)3QFUG%lh@3xExb|RjR1y@aP;^@9e2;!RY&+8lFv6ymfNi9309XiMe=ftaJ8 zjKGE$KqImf8E!%v&)=WWCDDrUK9ATQ37O&n1}bP3YXeoqL=~DQGU%UO@EUc zBR6KrU_kyQ+KbSIz~Byh*)_VAGR1rnR+r^+9Y;q)y_opK?2DbShq%G?9y|K$P;^H# zCngf6t5}79)7Ii6p7R%J=EQOOk~Yn+7wu7Q0T{i~4?yCokIbTvMMD39W5n-73d4M2 z_f&9jG)8pL|@*IcXu*J9AFyzECwu*N{bji5y~(BT`|mwK^iH(F z3F#XA{@W>OZvc<~gEpc+CsNduG3MH}JX9U|QT%-y3aI?@xKheT_Wb1m_MUc9a(QfH zBvMYW>qx9kw*x_8K0ZDblT9vBnqOcFZdQdgLx}Ybju-VI0lV2^$LwyuDEtEnoR#S= z&9>RQ^%qxCrOnXs>6hWom(0xx5w-9jR{Gs>)OKTfc=~&tKbJPI5%z~8-eV`8*@2QU z?*H;!a3vQ9i`!9}OO~J-fvOZYAB(I48PheZ#A3wWgLD<2~HM=VxCEY$QMg(ts z6cHCMJ@Xc}Vm_O=-n{kZRKm4!MQS%xl0fU&z1K**c=)(dd&AMq)i083{(4NNL)m0o zKG_?bR`A-X*1aeN^4$c$JhQ-MWG5Uosp+*gi|Jw%(!ItFGz%VHtAx)G!0LCxK|;A7W3S1tblAE^kmm?Ra9xhtHn)CP5Uge;wYtds@UUQdIiXis2|AR)jQW^^u;L>xW}Y31u|1_r$BBlUs=X_QOFHentus>q}v!g4R!WO!7EL#5s6L!A7wKGJJgvDiVMHw-EkUPuTwD zjzXbMoI2$WsE@VIPG?27HJ1rJZmTSq&%-_@<+%6)=OOym-D0^R)Js$e#VoOvV4KF5 zl%cM+xbJV_rIBSvob8TrL-FFTwN)<`#S8m-iQ9Tq{xS52@1hCBkOqk`SHrc_Z8Jp><|CV zYWAxmb&%wQ3z%;%M0RIu|893)^x*%ORWG8aDpTW6AGTbesyjt0Y(yS+74DZEzdiwRKrlQaT%3x*SNz7oI^d&@zgqE~I9A|z>9gCL5{$x!j4!_OVt2UDyW}%H~ zuhLN4PF{LZK+B;G9ZQ^Jax z^tn;u)J_~Gxzic(DNpO4`H%9PNacD z_Z{6|?!6NCy10069b8|jX5DZXDV_&a^4mwwRtpQ8Wd3=vwYR7pL9+u9X?#vE3%wCD4y)b?05OIha^$Q1>887fm$)uCpr|I=^#-6NdCle<1 z?5~#{9UTRnp&zB#k6G2zZW-9!L%lgo-RpgeCD7dG`!YyOquumKd{Se?)T_YDhj-?tDl zz5%&rekZBALkInf2r?LFMN1ceNO$M7r)^QJ(EO(#C5~{DTxn~XQEx+%v$X)gvz}3& zd~qYqf({Esd5fKtP>&?nUSFAiX{@9aHVHS&-p^esW(|8==Xmm1r$sq4p#R(tP9Hv~ zS7d@znMTgO=@L5W@3cZb-4H&OSiVMwB-PCOHD=}>OuIIPoeYf1G2%_g+BPnCL9RPa zz#~fl5b)tPH(XJD*S})Ne9N6tez^w_ddT-_<-S8-!C7#M*SDPFNk}l-ZWtS3BS>4C zuTKPNblbUVo12dduYgO>KEM5gkPC`K$$F%>wJdd_HI1=zeZsaB5$(7(fz7qqUiHaG zin%ksN$%0N{HtDTmwKL_uHIa$p3ZPt%}6nBOTU(wH}##6QR*mi$10V1Cx}LS^1W-( zSv`IJ2cC=5aw8|OCeLKZ5bWG2ei@Z)n>%5ojQlb6I1gj!>jIZz*II{(*DV@`0$WO< zRRv78jIlhk7l-}WZlH)D#TpHDb+B^t+FR*ZJ)Zb`z}hGB>PD9+?+17cd#SU_4Z)CfC+2W_b zq+Kb0&*a9$r1o@A@vMqYwj1Y#&y)$SU|cVmqDpN%inCcaE;qW^uI3J226znD@JzCW zx!zj;BH-ZW&^g@mz#Uhdg8JCs13oa8FW%6bM z%)&dB-UcEWc=;z}SZ%k?p``-qWAU`&dUs;Z#OpMprjlz!f*k!{jx#D0t#)m0*ukxA z$2vMXQUhm_b;@0S%{FG_bLNAdNK;tO{Gu;S$ml#tu4Z;cs__$->w)LiQJjd+H>5Kg zgkrNy!IatBRP8!vaGfY(Qawb(Mb#EY#Y@vzh1sNeB=o+wG*jHd2zI?RJgbeL?pYD7 zIFV&?d{|&5_Fnd*gby0*;Q7+R#0LbdP!VymZM+Y)x`Q4;|{a2 zjV5$Af-DgDoTUX-W(A6@dnD}GQh0$H#-+0Fd%NT#yzB#pA>Zt0GLd8VJ}@V_QM#1` z^khp-F6&L1V_(XTEPLDmM`7L%TVoX!olbt!mmLb<55G!#O)K}<^ry*(UXVkPERRS1 z!dH0*g8fWn;oW(2oylKs1+8!18Y`v4VjIn+GvG3!)Skj+qDb6)JSH}l9+s+~4V8Wh z$yQ+q2+N@4;8oxq*aUtV5&wUO=ZHk?M}i&{Z7b6DfPd#Wl;1qAJQh(!@;^U=41-;o z;0E1@P^rteu=Ce=WU%%_cWz%6YaLBgZV5T1Bk7)qBABhsB%*uvs2_N_dLE(LVFJ9+ zXU2l!OqjNNro>-vG8iWGwH-$7hqfVg5yxAk`iL9gx|KPOjVWwdKET&cg6-=-e|1e9 z*uHc_-Ep@)C<7#>eTrosM6~|k0?fWPO}%M;h=@prpeMw#3aP%^Rau6~XLQ4jT9abX z;IKCJQaI#G2@EH;WG?l%jebeoeNL8SnCWnwf{<=Y?sCkvnY|wO@cXpZE~Ui^_t4U9WZ_Hv{2X&=o@nl-GkzVmppoWcp|_ zwAX|BXGv8ke{2OOROS>l>>pTJTUR=G{E$jEYD%oc33@ zQC4qkj7T;a=0NoK@R z(X7Rc8q=?r61r9rc!odtM5bD<9AHB-9ZsXhqVbF_p$D#T#Y4$RLwu~GLc*Cpl0A=F z$~DOPjl2XGngb7UBhx6jCz)iO-^{OgwDr75GW953^yId)E9^_!%(1F#Kw&)X~42jm8MmJ- zaMb>6zwbB&pcX7VQ#B}C>kymkbhF5w?%oqdMlhCFJvu{JplAsV-mcA3&mIyn=6WgxYDZUF5(%wr#BTIy`p!~i$ z%n>o+p2$AxS}K5tGW@B!jdE z)B9-&FU_i~&?z?NK$jAr?;`6;3~6(!p3G-h+mGb43_mnhe*2dctd$oaNo?2G$H;V0 z=io#~PIznipwMDg=Gsho>{vqkhv{)sm(_RGeEj^qLs4FyGqrArMtd`uZKi8G_NhM4 zWLUHlNOxcg3`P*6R1zK&WyRbx4wBJqf0W~Ir)D>9HJw0{6(F!&s@IFIMcD7hs_oLi zLPBsl;#|STol>vhsv{gccq4@AU&BGgSNq#N(GoAhh!{c(n{iB+CPuq;5iM?Er$u*c z1jK{zTl!ie-3tgsYKKX;<7!ZS1Pmpmk0rRuujW&LrOgJUqRN8LS~xBU*u6MR$yEdi zP^_cle1l>sOdZxuC_-BXy6t;iCwi&EWy0O7L;h9LMxUaT(I9txJfPlWD9;&FK0za8 zHRmgQEHDnkG;-0UXFD&ukq-|sW2ujaJHm|4b;q|XzW?YB@;iT|bPayxqZ_DN%mpJoaL?=s+|)B-}GqAzH<`fNM7<26g#`)kyIC<~B4ar9SC@7tT>}9gG;4 zN(qU+3AnX^DzBkkjm8Q?-rPqjo*{y0FHmTOBx}N8e{LZO+~sku?TJ^g068;}e7NpyOThHw6FS# zshh@JKI zQZk#FUIGlX+%O4xj0KBbm~24hYBy(+y_Dm|Ynp(6iB6rSY4{O$3zvlw&LiLK> zAH`0i4e(@Ef6O!SVs8^Ef>A~j$lG?vAEb^|zjz;W`;$cP_l=E>p`ocw*~&1P>7z8V z)bN-qnL)mV50N~RQFq5?AeyuDMfml%k-i|qAhh`lx(WZ#EqkE^;u!!6cQ`72x3LyJ zhV=7IDRTd4P}DpPsm}x^m%SvWb?Q|5PA@>0KEnh|fi1N!+Lj~;Hy>?Va3?)065)wc z$~?+f^Uh3cPQcQhY2>$TlxKSkR>Kd?6|9n%Vf{|2gHB)ZDgv=M!E=m`8rk6BfyKPq_+yc?@OMekAl$8r1(e%GP@bOGC?7#TIPQe# z&v{}PXq=8y%)3bSXy`pdT>)f3UdWxhmMRw2VS>nHSLSpH<)GDipIc*+Cbd!H=TCgq zjR~rZgC+&*3k-wYTR^bQ__n!quZ=M497Y2HDjHf31$rjJ$30bDlG%l3!})v2`LC-w zS99HnIEoXSIOe&zV8qDiLEtj#{-Cy@<<;GU?RxKx7od)pXJ{pG<}sq3$c~xO3Ec%1 zt7R*Y$y-q%3>}}-6H{&AJ)N{?4TeUj{m`bTK6MO#CxL?Za*QUJqO7tpn0WQU9*Gpp>8j1VCjwh-BSk2I9M$|hyc?9J~y5YOK4*Zci> ze{Z+ne^2Y-dR*7J&UHWcb3f-gZ`yh8PP^mlg?kDkkw_rm_TWhSd}G=2I$(@pc-hTg z?@K4MOxI2*kh*D$-?+Pp)^uNx3)TIs%{~^!oNNlaQkkv(<#a7k({AeXCf-0F z0WGwPHKZ1ek08roXzG?ZbEONYpH%K8#lu-SP4Ek~<0|z7)?WMrlm8Skxr-s;j5j|o;(Mm|h`CDx#B?e{-GEumD^fKB+ z37tQ$Y}YvR+Bof1R{UJOFppW`V5q>_L^gGn5}aR^CflzIvLgsD+6(SHbev=@{sr|G z4BBi^rJ}ge3?!1sporSmmnubT+ zdOqE7bgG$J9s{P&SiDE`o~nSdQP7Xa61QOxNU8x6Eda4FX1Fwwju)>_zuwX8qkH`AJ@ES0k89@kiru!qo+`$! zzjaxC`~N@~I8HnC`L>lU=FYsK-!QBeLFnIK=9@$2vB}BCT5}BpxII!Gz;aK9cs`~SF})Z5a5iXU>T3Ii z$GZgQ_SoH6$oHei>+DKfM7aBT@=FgHIbrf)3qzbRB!(}n(sxZV^dmYjMRRZqB+GN+ zTXV}l@Wch~jE$$4i~Vn*BhYACn8gn!eez2uO)Xlk@n3s2TuI2|7iL~2ST8bHYgZ>8 z4E%QZZkvNfIn01bs^oL*>&njZ>%%-tpO&ERMcm%R#ZPHku~F{aqPl_G*_I@Mt$SB~ zfjS_Grp6_dXDo5TPMC>{*$i_?buM_Q57t|p}Z8aow6Yvv=1)w2ISmYnKffz{3L6i0jM#$tkeDS6> zD`4E5XxL1dA{H}J7+KuWSYD)P=U4YxqwAsHTB#|W+0dTyofa+OasK_KY*{Vl*eV5G zM}v0~=3pL$L7d`DcrZoP9Pv4(k{|c3Fqh_#lA|pMFcc^>e67i~ulbbL+perg%!fBD z_2@Eo290lZeh)fzw|_=gOd#p5(pMaaYXbQxoXX7dv5+D93?IKAYqdt(s3b2vDuA#j zL&)52iz-^hG@Wlx<3Lmrz!`d~~(ZBg9G zoxp|YL0Zj+2Q{OFm@G(&Uv}t z_7`>EG41uAl*EMtSoF)Nl3%pb-cwUkujKfXEda*()a)=8&>Lw(Z}79n+OH~jclrFu zfGBdGnU?CP*@jQ;XWu#Wm$;7GS`;?@I6itaJWz|wAVE=nQ!SS*(qbak_WO*l?)8;Z z%G(V$w&Sf(L~NL3-FY<(J-dH&554CVKA%L`Pl$U#zELU;l@wvZfq(eWA$CY+s0g;9 zn-^q+VYtxvXnVfH;fwPITGBEg9fkdnayDahk7Vye*ssp>3s#-Wnh$%(fz*DAh&-wn zIFwVo%U6@jeIO=MEwP;m9}?@9fz-IKaatkE=H~R)<}=1|xH$*N-kYV?8YEXTg3X7QsTZV6C++PRY=Gl^+K-L6PU z=uV(H#sVw|zd9+Y;Y(1!q_jrDwYf-7nkyLly8915v$o@~oCI(jzvkZUE5%_%>TnSE_gnt=T! z6`Jh0v&wLF!#TR}?#CIk&N3?Tr*u|Ic3i=>p&5bwOc7#!r9fjJFYG{}l^0k_Yr~=) z#gwAR8X=X=Q~lX*%DQSFrnUc>=&xX?P^yYlFaOzkC}X5(P<OUYUS|`sOUpR#tOLdZ#huh(mBak*rDh{Yw1oUZ)rZZyv{f z!jKEzOT7-$Ic;sp5;}GpzT8EI)t#(Sj+TxC%=AxG%4S2FIywZ$oeFoy@>1*ewBf0| zX{Rim{>9RABQ4d<6v))$`G@ch&N)=+K}fymFGq7l(YaSCT&?QECr#SSf@0=SYk3-B z4)GV_+CgL9Q}zD$uy~@hG7ILC?z#^qxL@R1`PMKv^oWCkcG+$;c(~fwZhxC4dVnQy zk=04u*7;MAQq4DGl)hJMM#+Lo-aePy)Igi?hmqT{Sv=tJdI%8H+A-mSB%l!9&XR&U z{Nd#TE;E!aM+O^8w|@Z@1l#ti<>E&}&z3Go3Dq6?$dmeOgriE`bf;_7{tNx1iYBS=skFdU! zcdwi+GXn+pw*El);Z*p8)ZM&Xz_9=fbQ9dOMMT@bU$o0ExleEU>Z1$&(Fe5W6QVJY z^X5RuQRLAX4DiK{@J`hb*jh>bhR#lTX7f(HSCDV8uvBpr_j>69{c+AIR?>Lao*fEc;1Rv{{AgLeMKKHwOj2 zY3&CJeCJIm|9J}b9})co_MFMjfrH!mg`txGnoE{%U3Ep>K(?jP&_^`-86}MWJZu&2 zChGHnD4=lGpy;!6OW`fseh-V8Pn1>TT1!O&8}luon9=+0H)pCKkolv*Wpl3DJqD>J zM_T)MO^kwsEKTIr*dDvFKa}lBW+B|y-IKHY!T$|Ohvl{_hS7Y={(}B_#LLo(i(<2Z zwsT>9B7>Dkvg3aXbzUk*tN+TsktF>T%ABAh(R4X<6mx&k2f`>lNE~F|sR>0=?21ElK&Y5JHG!)^mjD9`&2i5U_MyR zn#Y=%qLuS`t$8Tyn9snAg`CCI+Yk2#u`?O~*5KP2(7&xJfS7)LZ#D8w1#S3Zn}x-G zeG}Xk%Qe4@z4tiE1N}0e$j1=5c<@83F(!rQi@6dF_h2h68e)pGz)VOK)rKfGm?2#rqL~5>G@jgklw^0xT^q0O1 z0x~Y1p%xRQpj&I1cI++Ib-^W1$Da&KGv%=F8s&8`~%>&m!nk@E!vLY-ix-? zISu}3?RJ*!uYbP#i0BLOzrG)AK8O6TMkF_PUh^_GD0G>T6YOyo-~ag*+Yb^5|69Fo zqq-Lm;i_xfjgvRJXyC6Vo`Nmc*4N&hzVN( zuiszD=Qs{7A3J6GQID;;$gLCkFWya!jh!rYY?xc_E{qv#6^v5s(X=UQNZ)Ph&QOT$ zV&$M3K( z^qJb6Y(seW%4KN^hUn9Q7X$K`U0sbaFbOnUGMUkPL+?J}ua?vM-+RocpsLCyC@6U2 z#tmJyD;F+!l97?g-o1PG*hL)`^iJHUy$eVF<&$?_1;DI0DX+s?UiaG4B1Za7?X+?} zk#zvWNz8{Ue^0#Lq1t>SFD?b z6O+_kA#iJMzVWrDCOAp)txwMqtD2fxP*fDp=;-LBCIca)!}H&Nd60p`31jHt8}r&D zy9=@V2GApmK#+g?dqGOBu9f<lLSj(pr7~;n~Qt zNNc#R^cWHJ{%jVm!3B-5Z}QH_TeF zvB)S9`i!0kt$+icSw-6^SLKaHuF=WWhr3<#pKzj3I!pm{rtqF^nAl`R33?a*3=D*;?^>=BH1ef+8ZgWMpJQpI*Ik z{509lLLXIwiIb)i?SyNH(qP@JuT}Y@o8yiL|7)(1QRxeublB?)u=CnK z(4FyU(B2|G*}uN{-yVbZpH2i;7(_X-Jf-5B9lP$1OC-G|x3j?+0%2HJ2b$hY!sMyD zx=OZLPr$;W{VCeC|NC&6Zv4G7<1g(krqJF0=^@Ybk&V~k+DyA`T$YFjJ`Rg|5*gQ5 zowqIfPvIp=yqOf#cYT6l;8V094d%bC1DgBr_CZ-#Wa=9jT)BQd@Xp4)d-qrhPV@7t zq?Cz2x%?(^=MJvF9{~SydBg1TVc`hmBIZBOauYZLdruDc(cK+;xdkig9ejfk^WX^p z#2!yhU(PwQ_uC9d5Z}w>Pi2b!h`k^0Jl0JCn}Cq;BrEI1%a<>6KCJoj<=LZ0m|tsa z55=qJ?cC#t_WzMTt_V3$-e>dav)uk%z$cV6wm*#^)56%dxp&DRuK54SmmynRpPY@0 zE2N}EeD%JLnOT~ulXj3F%RD~%$aY7|AchSK?C6J; ziteu5TFGF6`Kltcki#_z@o4ORXPm(KizBvp3hhNXvtax)Vpt^Xvj02iihEDVp ztB9?RFbi6bD6BA2oik(r9x4>-Llru6?lA-Gu-sf3z5;|W^S47|{{%mFU(yYml8u`? zbYjBf6gzv?#3gn0*ZACUwPwiW5cZR{=J&+@i`DEqvw|bbpl^-8@RUlc7<3n!|Etgb z7+>*(lvN*g-5K*P2PrPkXm4rpi}xR&kiucd zqfhxjR?KY+@+P4U)1@lFY?sc~_du>acDN2yv|R;Lzkvsf2TDuJ^y>4xDn8DqQvc!v zcE1#NtE`m#`t@sIP|(pU5q^G$PO-6_CYFfZf3yGc31vj6ntD;hY1P{3O``*1Zf=h$ z!TS5Xo*iazb)|;&?McieMw`GH*55!W)>MaZ+^KwwAN$_8KU8~bwe5`U@7u?Dbsx7T zDYABtvFp14Jbf&xbNDh!r}7Xv(LZ{z18xK);8ysE*AcJM&PDd6x;kim-SLvVe7Y-z zr7XJj|3`cF=0~=LskGttAW#O&@9=JxC)C`V*vmGSZQl zSHDpAzwMm{IOaajjWq6DMVSv@6@}=DgMT!H7b3M^HWb;LbrcQZMUFr71&1G2A# zADXqEL$-@A1y>LVNn|FEH6^}utu#zzk&l40vq81_uZxlP=gvDErK1xwFfbr{>C%%s z@%sQjP?(L6@t=Gc38S0phBLopT|Cua%fT>;UBGjth%sJj`)!#dQH*afFb~GX!Nxx& zIAKk-xA7TpF*9GvZmkSQ-GOa=#;BF8hVEX*?Y1<{c!&d=OYE!!=W5R)`);snY!eE= zQwAvlInc%7+6M|^V|WBwT>Y*Nc;I_ry!KzyjatSO zGJxv2C|Nk>P5R0-#Wt7q&Kkrn1>G4;$*w;$$0fAYoOS0)Q(-Vzz@=QHZRlo@N+5wA2S2!xZ>E{~nl$xC+Q*6CR0Da&ZQLE1 zdR`N~rClZFwq*t*4l=(!KaH31r5KVp4Kl7!Xu|U{Hvo(AhjesK&du4`S^crrB+J$L zdo`L_+rdiITpK=H*S}d8c-))bC{E{c4My5u(SN_(BdDYJe0;V=UfeEs5oj?NnLXHc z9f3!!fp2;smW7*8JalF<&+Zw}0n9wi%w@M=ZtKbBcwgd5-OAb+;lQsJG zZqW9*b^lDz$t(KM=XDmei_4Szw<`|3;??t1`4aQ1n&Y+>%9#OV<8 zHxeFLc!$rWyD1EvNIk53hdybpZz(sv-^X5LV^TU%W>`@rUCXqWzm>Dqvdc98>oZ2F zTCBV8RMP3jrtUfvx21$t&zH)$lP#1^_;92>tF66C`tkC!Sn9fuU2k_#|22VhrAvQ; zv{SSfUfVKX@kMhWe~z41QtwaG4;AG@=>GN*^*C?|ci$IKoDb)^i>)jE@9WdF3F~u= zPS7&0He9eJnTSeG0M3)q&fMg2nMdYjD+%+^UfVh|&7i4>kbodgugV{vcQz@{TZ9q! zq(2p`3k?zOwZBhEb_J9>e!f``yS&&+9HQ3I_m(|j0l>;8Kji7p9L|JITC>h7TWvuPUn^(DCQ%jEMk_<0gg>U5_$?$S`WmDQB- zM$;j-)&tGOQ$@)o)NrteibG#9AgV%cYjSKobkZz^!oM@d-#N<#Z&roanj}hikg{BT z2BG$2k+;-eU7PgLfJ##vn?K`=>3!Kr)Tl zw7FqcvJ|!Wx8ov*U|mNh;6T+jmjdZB438#-csXnmZwKV0aP~H2*xMJVM+DMqcyh|V ztszF$&rhAv{pg)R>64~as%}3&!dMkRzeMF0Bjr^Mr&_%I!kC5lnWQXlktsYTIciyy zPWa(Nb&`J_%DQX{w{CHQ9|-;gmm3Wxu4Z`Q(K{-DZ#%hKE_JFOm(1w*PipfLM!nB| zfx)cu)zD8M?ou#|L>Ov&$}78@#W!b&?Uwt!uKiYx^Nn1x-}JDbG8T>{S4d39F>UiZ zezv+ec`iCa$i}jDqvfqU63yU`ca=F6zi|pTi<6TVnR#q?|0xW^Jx-l%wMHT) zS*TkjP&6tS<-|Pr9FE&BkbU2uTwMYvLbxFGaBAY)vT~~W*V)0E`0H;j=sc`8(=i5x z$eoU?JI&D&?im3`CJAon9I?wG3NCcUBnY;%9{oFtB~e95y*zKyep~YM{AS~&ru*Uz zE6*V1q}6LTOv_qa-Se=IEBkI;P?62JP^T6@0c&(4zb(?C0-H=IbgP^w*v{-!Yn$uz zipxYhZ%6S%a|I>-;WA~aRM$$L^7R|=XDm?N&1*_Lm^%aLChP$bz1S^Ck%mP(nK#9H z!1BL!EQYg4(7ya@T^*l<2b>!O_J?{HLNPq-Me-$l{DnST;3aQt@Bt7?T69loJNk%; z3|1yA((6<`{Pz_8qdmjK;WOW#DjcqEdULU~(_#6yPE)z!4rZ6Vi9iCI0YiScn(ic+ zx2ofWAKFBF;Mcz7{lMwDzzIDUf(;_=E*O1JIUe|+6nc>ag@g>Mw1KF8u+upG=@;Hu zwM%Dsm;w?s-nCvs>Adqhw}HlLfiaihoO_uX9bHkIYV{pA8C>TJ->EusDfnsL}fkh zp<@g}^h$3oJbf-0A7o>8NgK<)>@bahfz6O5qe39=z;9-LjofYhpB8HW2Qy5K(8r;?Z^#KcV(iD;i3o);_NB=TxtSgK zfsEz$<9mPzU@z%1v{5z{GOCAf;&#=v{kwkcHW$5BPiv_rE-240U^S z?e)1pi(j9=A_A}*#|AU?ss=zb5?p9Ctgh~Vj8`(!_9uRlR%y|j_uk5=m}x2P1oC0b#Y|AEp&j^*q}7Z3C{vkODoO4k@OAQl#_hz2idGhK)-xvK~HT z4()JMva-sBKZD*#=CRWuRQku+zSw{>j@2_J|1oA5-N82W$9Z8|Eo@Z084pC`DerVC)P|tMh>o z!Vgz1488ku?zIdQji(V(9i;d&`!>os2ui8tKKs*-Q{Md^ErA6aE#wxo4Z2Q!7?Xhc zVwj)Rl~t+{KmsKofpS(5wVyv7a|iw;jYFDf7OF-f;aK=Q-QD)P;pCN2SwLL0-)Nt@ zN)l1F-o9Y!MPb^4z-iOY9Q^hK{$5+DqKDJmUc~f^U1qI`*AvRsUxdZ#xCXOaJF~Sy z@phUrkbo`6sQmjz^A(=2zXHU$g$#?2rTB%WX6^&woJ?&VEmW5?oHy({05O(;I48l$Ai^dz z$E2f~Q=x1$ASxt8_I!T$|+8G?^$0wxzrvIy`Z z1T10)1_ukrf|Z<DY}sRn^2 z+Qh3=-!p3=a)u-J67adVXuTr-S_L=(+T%?&XCkx-h6f(53~14ux|PGc#{44VKB{%<_mU$cO?UxrgiV!%fyj&$2sKq)Yp zdLc@Qy~4}^$jqneaX05jzvz? z1%?-Xh(@51hemGgO^joJ*lHqDZ*AxTiqP|~PU}3vXU`E*aaU$wDzdc)%e~vQ0yy%A zK4o?t9B|A5BD3E39EbS*Bq*O43qT@XvcvCggo(&8(+JUDKh^g#m&`C$6 zqg`-c=i`Um3CXH+AFp8j4-m4{Aj-e8eH7 zkcAE7PRB}x;jxM$o-|f#I?!}VF+mn!6KB_44Nv(?yYIYupO-fr;)ZWeJ|bC&VWy&L z%hbE5k*$hNHuj!)$6xXiJIrt~U+{az8fnWkQCJquic9^N6LNn>bSbgJSv^anRLx;K zd0ob~hQsK?-@~CF-yk@aI>0I6+Fw6MiQwO|Zjy;L9ux81T-;B8{GW03cAPfRZ0`WTz4YvL{w^Vtm+U=oAtq+^Ie%`1(dX?zE#a%IH&+7ad$C3`AjgI4n*CBKs9s zJt-;{B9E3&EmW$BgJ{m5`UW^0L93yc&&m`e32G{7FGAP=)g%N-ll`jpQ){q$eYtPa zi#ZnjQV?y}$epA*pA?!zrI6@JoJ}+9ik)5$`~G< z?m(VgPS+|O0EeVS3$c4-3DlrlLQ5drX*P|ISCRDGJl|Vl;VgDIiHEwY>*}6X4_~hp zs&@Og4UYt8zmIq?PCvx>m(2P>&iinN+oiTOr!GzPaO`KO*#Tb1`qNBaIN+86-r|sN zK*YXRAju&2{zM!O^e`73!WoC+TYd{}09Y%!1XNrc5FEVJskVpNXkdG}20GoBj#C0MVhux(C#w<3GOyGHVeI=F%1&TZi6~ zsir;9oGMCxeR)P}OU&Y@boQ5?YnktO+A-~jz_;e5w)KbSl$v;vvdXR@Q~55I1`f6z4qf=_dvxb!_UL$KRtA|W76hbSi|^ZR9qnJArt|A z3AB8$1ioNppd|2&q5hS{2byga<%qvL#y3d6ng zLXG)|>~`oqWgzzh;<$UgC50)fnUdCYb?-i&iB_P$6|1)j3gi_&nPIQ_jWl5V0~2Dz zC^0=eJXlWLY~Vv(`f}LFe^%&hDocAo&7%CaUdp=nqNAjZzvycN5GfkYm>Q8NevIk8`}`-Bi~r zE=hg1j+yQ*w8COCJlKQ8H~GEx$p}J-?e|cg^nD)J^@Fnbm&Ui@6Ml6@jC0Ufhq=gb zX?fFGd7kJl-_0u;gukRsb8)RT-`E$bua-NQFiI@5e@`fPs@H9Zq- zh;_3=6c_88OXZZB*rn!uVjVg6f*m1Pa`sAuU8IIu1zPk=g&S7s>YHCZWlj$FI&+db zrr{e|cWNjg3G?iZqQlY@SGGYzC>Slygs&(2c3fGzI6v2&1Sbf@l6NF|Tbi4t8=Bw> zomZdwKt7z4qTN+&*u(^S9?eEYg)S1dXPFbX%KJU3Pl$^4G^yItwSKiDAN|B{M)C16 zDV&yP9!#i0^D6_+(i$ydA-drxm$Hr_I1|pLKMCPb>5ROnm~b`l2=fJ!8^`83?;?o( z#}<;|+I;n6&lG<<$P#V4^FY8h(Rg&WC@sesnRNDrGPP+dB${b4Bgi6{twO2OQizmcdbIh3C{0)x9@Hk(v0T=@$j#FB6dhMq#PblPx95&`4gskO-i$2BIXx{)UGYb@ZDBkB> zla3omEIHa3g{{Z_{dScj0>+FIA)XOVi_&X%=ROk{QB&0UG& zZ-wch$a#uS%JTBZ#lBd=pOoCURO#Lswvo^V0G059hlQt0uF4V|;Tgk5hMMS4S-*@= zYeVY8R6JNTT7mLpL%5(KLOqIO5goJzwk=*hN-R$&M0{gDSTKM^E}YHYY^^Nv+(}e#i}*|?oL;9T%|a**Sf4t{S8-|jPV&v9 zqu++0&xp$1ss2ZV+$5#bCf`%kDheNGeHPg0<Kr-5CS1$ZL}G4M)c_&b~F}>XO4^ zU5GghC5HZxQ_w&#^IW;8Z@p8}61mDPouRUMH(FqJ?k0L2Wd&4q8pnz4!|KBL9RPHL zrcHPUMs5K{Hm#N7o!2?6l&nltNEF!qoj8|dDjz1>5hOKy}j%?IR{B{-?Xv`kD$;WyWa+KB;}Z^ z4qO}8LJaJnH%i@f0o%^gPP_v$@`;dT4mAdLB_fI96nlW?v>CXufyAS3l39RdwE0G- zd7>NKUbd?GmO1q0{h%H_VvSMS^7#TYn8afJYrZRhfgW-DY=Ys|R{jEKWY4E2H3M$22<9|INrLM7=~WTu?ggo>Piah*Z#gTfKmf!Ub{(XZ zog>1B?Ki;!vWM1lHEwDt$CN2s2j)lI$-*Nux-a#DTXS8KosUz3GF030>q&pDP_M(Z zL5MH=jnfd@|B~tka%1bog^VLecwG%H!Bcz{oNrO7+#L+#)0lT& zgw7*;{T4Io;m&69lBk&ado&P!)lwVYFYE2|x?xQxJIr^EGaanR{~-~~fN8T`P!*lS zRj8k#$dMyTpb@pt>9!k=z!-LWq&%SOE`2E2S05g8aa6>!UhR45=5Dqk^flZW=ljYy zLc}hstz6jYiQPMlx8xUvq7|eL zo_m%KE=vMyvbxiD;LDNGw)7}%1`UX9?<`JqjU^$01D`(zXOKpA|kuU{mj zXkF|933=A-_n3l9-B#{FM(zDr#3BqlWy`T`c~q{6F>Vn5%_U1wc7xAq;rzM8X+~q? zV)_A~-v%b1JC2yqu}J?{1_iNU=V2vUv1uWD(V3q9l|~_P`p8(C-l!U!eoOfJp0$Rm+IZF!!g5F0g;%esWfIF{WASA-dNSZPmaYfr!pK}yX|S~)R*Y(S~*>|R$m)3_CZ6$ zw_b6~!5H*{Ir2xww(?Q;?j_;3wk}pAv$`7vqf8*B>X*vDlOOShVjqu8iy`nlBnGgj{#DmhN!IwApGd1krWrgd zVe)y+9MStfP^4E?-pbKd*$8T)rgJJ~VgCyB09h9YSVJ!Cb{+>O=W}k&B3mwzbhJ1} z{reqCg~XWqyP!nS=mQjC-=kYGyKP&aWfBOw!zGHN+s3&@qRwjgW%mURy>_@x!o85rBMcEMO@G z;M)sN%ctd8C%ncemDjl4eixRY%@oU-M4w@+X(1gHt-6= z$uvt>RI8xcw7rf64oBfSCRJM6k_gCMwXQX~3Oc!@LrPGFk88vt!rAPk6)L7TpZNHh zk34MLY+9~Fl6LKGO0vBL7QY5m%2z}DsQ9XF&RT03)`ts%B6YOr@LORzxafMScv`I7 zjy8g_2~P`1*{*v^ygl52N}y#>AhhFrkuTopE@b`29gnzLbg7$}-to7aN|gH~r6NOs zyOK1jAF@2Uk~1vwkrUr0ZHdcL5_x^TTlo6=)=8Ns;Dn84#2CLnnb5op=Mr@>Sp+qB?s7OIPo{nV*IL|7yt;nsE+vVWAC(I!e0m;_RqjVAex1;D^$nRJ}F*BI@lC}+2^&~}o8 zqsDj*_1@8bYD7|{fu!g@P0itTXh|Vk?z~ab*#rVrwL;7ITX2kMxwN4$HLsTIRUJ+* zH#Qs~;_v=ZFnx!*6Niok7R~e0VS2Idn#)y6RgA#A>r3_YL;Q9I!vikRRbSbpnvYHo z^rsardKZk4k8U>+jpTZu|KKB4bPrOot7&rf(#|mq#QzmA{L|W5X4tiCZA!RlD_Lfk zXZ}5Qq?H7ugw8Ix0D~5(@&cB4bm@SX&q3jADla7G2iM$7kUlb3g7$j`pOvLHMW&6# zR|ZHsvJC=8%c;~upncMp=J+e|aAIM|V^ zP6AP&L11ODcHjunsarrwoHOedc=tA@r~77!m-Pkq=tg!~`6?}XY+aR!UjBKv)2q({ zY&pmmUVm%53lzn=01_!TwH-TIgek=H4x?l>(p(8B-&z_?&PNJwx)hCeSO>u6nc?z& zw~~LoO^{yHbLMxhXGT!@F0}v4ir~nm0{4>eS)k<#oO29twK?0>+#_$x4>CZ1LAC+u z{U8&?z=tgtF2INg*n!voz}eVaWMeE#+t!!~IptS4QwR<$NN>TJm!l^6>RJh&=F1}- z!Z={C<%p+qBb2^`;pWu6It!DN-_C{E(9rM#NW(S@YPm>}Q;@AYDq3dHB-6B-PsL{> zkXB<9XcK+A>}xP<%}ZXrk}=}8>l|xve8j`4mt{39mtK@=k|IgIP{Xd7lD3pqxIwPGajc7)MLYW{<`ux=qkC{9X$03{!? zp~z3(Cbvv5z4Z71SNUb5NO`eWEs76NKaE=lFpX zUouYfoRkk2XcFm;2FH^J*Ij|~2>t*GJ3D|r?|YzLF6PaokSXj^z1UP34KYoP_Yvke zxdkH+5({Cp)Iz;Q}q&*Tc~miBPUER4UcSk?JEB zexd69q|~J#KfkG+vqpiNq-N!#zP>!-W`bw@;^hC?G+gk?xJ z{M6TCR6zBs8AO}}?*6E$BBVL6BClvW&UhT6K7Um??bc{+M1Af()kx1LGRf^8U$``j zTCvqW8$Y>;(gFEJH?-@D9ez&1O5F2O+@;J;*4NzPY!oG7pARI`2+RGJtT-t2j;88C z-H)$l&X}F)t~#K;uqa~7U%4xZayuw@vnBB!bkr4@=WjNZMeKmt>AV8{A0I)og*mgi z*0W3*=kb?mplmUrR@!Ui14{G?oC^B|RsS8_Rwy$xIsdqM;|3F;m0%A-%GZsRkdD?^ zye745-9L{+oLvv|2}dT`{ow3zb}mEG326Db$+Z#P-IXL3Zge0{$=Qz>8B73Zw zf57MiImY9d1aOTEGo)D;vzpgbA_5A4JEWx!HC=`?&)ajIs=S`Mkf?CknsvN&X`oRVdB`n$Ps~XyNH9}*znfcDJ_+jXd9QV4tJbd*l6^Zz{y`ar(77iuIULvX zwqXS6Am^KiKZ}SOz&<*;R2(=i_%Hg)8AiZYd(w386aW-~3vnP8m4&t?1f=@WhAe+< z1ChF(7$Xk1p4o(Sf)T|uk5);0a}PhN{vk86hPZ-&ln}u+q@6!6tXP8vrVy~RKIoLR zY}&t3U-FgZ3O{%<`Zoju3D9J3;(lwNf=(($*u&9g846BS2FQ_~|JK2kr3HsJ1$n)ovYN$FITD25`^@*8x3x^obegLEy?+sjx)d{a!4FJ4jcj~m1Hazh zz*B*nR}Z%`@kh1wFFo7I7FUvItd-bUPE$^0GG~@|33^Bqv#o=K@C4KZA&Bi$qKm_P z(?!rPurto7<0R#p^{7J?A6 zBA9k!m1K(MRj{5{_O%n31gX7P)`t*3<9aQ-Xwi50b_=pc?E`MK-=}9kT5lKZw+1Db zua`a|b%XUi8X+kuoM$OtKvzgV?A;0iH*frpmSViRPyOHc9PJ2L=@AeLG~DIyAT(fh zlit`c^RpEI75t84-ag$)Y+%ve$kk$On*=)wm^lK}@H2qRGcYa6>&F@~cY<03JPnY^ z&eIEk#H*UK8B(DcZL#-gxikezA55w@k(^2X`2eG|=M{-OY&Xb*_rze6X}N4He9nUd zj4@(8P+j22<${Qi4MgJE^r>4#(?&^lGQYFkD6`O9L3&@FGp+OqK}pa>BdzOpu5H&P zS(!M>zJlXz3ZE6+lv7k0AMD3sXxZz)I>%j-kC&sbswTM*{DK%kSvtBVeKiYz1H0(xVyw;xs z*~5y3dnlvTs@S#Hj4g;O`n2i+w+N{?3c1He%_v7R=O@F0;j~nc#U$vmx4;!jpQt<- zJHN;(CrKA;V=^WKm3^d*;~@wu3ns1)&N)`+Jm5PHVpTH;4?qEZ6JRgvs#emL3mj9- z1o8bBfrCGXQAVXcVdqFRUkaI-c<`;3kSLY~H9&3VnAgFC1`|4h!EKK7*o1 zdY2K+JW-iA_503OgQI*^X{aNp5VOh9$bOL(TU0 z4~aquN^3=58ob(3N(d%DPBp=q-@M_HlD=ezINlGBBRWN;5328Mq@$O9fL{KtnBuVQ z!q!w@d0(xmk>Gerc5V0T`s}e>&1B`&TYbUOMq*84!j~uAo$->b+^MoQp;g7p#c{sP zJ1;a@p!kBQc!OLt!E7BfSFO&PTQk*KZVf3Hc*xNuKRm|+-mUPb4~H~X)q;osh}fkP zysK6;C#9pU$|t&$w9CtK%=>!RAMRGMNKJ_g@418|wr7XR`>uVmc+-IHC!UF5!o@qG zpdz=kG3>AO_@5L7Qs_sKYbDGz;Za({&D~A4O*flE)A&$n#T* zali~Yds!EcbfryVlWn>jXC-6}_j4)0@F+SaN%>_A^Vxl0uvX2-$%G4S>Dtpa0+L^kr32kS>Zl-JXjGBdZjsAR(@CX3pUd`fU zyRo-c2#7ua?(~{$td=~&T^Lgm3AFw|y&Z&9LKeiGr0g4@Q4GO5E7YUi6*pP9wYe4# zPEGEiukU0xr?-tm1l5Sp1mufC;hg>o2k?5OT1xah4Gjp{Z$Z11w8}Fza5dHZC}YH0 zCxz%VAIT*wVP@Lay1<#qWt14`Ag-X(&r< zB^^^poV!Esyc}cFne(+a{$6yBfrYLvpLt9h4&=aWXjj*u2jJ@l-G*2RYS2TUm1qv+ zUOiz+Bsj-K72XUdhb%p}?t)r}@bT;{T-c60EGNuo2fhxP63kbSH+YVVf%tc3>`JNq zf=19ma-!+St5rX<~BvSY!=o=2&+W8Y_2>z1VI}Ml!Klr?~~1$tD3o; z`L`+rEmTF_I0&j4vJbMX+h>|15}ZDV-Txd(HJ$W|klPo=pU9{HQi=z*{t+T}PL9As zesl4e>b1#ThmM`D;G_YF=oyuOL>?bveaS!qOP5~p;?5Fep_Zr8tAUCKqOW&HU<1EzWqD3?1Yu# z9wuX_piu6z`x+@@HkMj4x--|*!fcMzKgK7mb%{Kwx~$8_7_6SI1QilxMY(sN2nWT` ziCLto^nuD6qYqRynzY=|k^xCz)d15yY_tUzoqYkcB-0lx#YM#u6T?bnc1#YX0~Mxz z)ckVubLYB2B~O}tOjVqoUFjW}nGJ78U!6e~5UR!F8NyQqAFxTsTw+4EmIJmBaf@WV z=+dpK;;}~tMEGsSC-aBP=xXaSY59YQfQWJvAkDBRCO$b(`%V~}Y_$PLsWrp+{xqVS z1RZW5bPI}_h3x+|>#3{sV=+44-F$-QDvZo7Nxg@D_gZb5c|~+j?k-34tWcRuy|=+* z&e4M%j-=f2xtmDLxnhoK&}xi(w>qjm_O4ETlJ8n+E1u6ZN&j_qo=$#R$rt)iBwvGy zh^0y@$0)WX)4=%{Zp6j94IF92bA}s^njOUx+N#Wa>J1PXF2Y__5&wke>2@smJ8N%M zU=hE>EA~*g_O}Hhycw82g@>sblRe9J=g%LVTfGBau4BY1WXkiQQ;?BDuiqVqx4$Teu6vq-UdC;GDA3JZ3%L@h|ND{+H2AV1p?KZTz>jyCkLvvuw1uoFY-rZD ztI9f0+$eHb?NR-0+AgLP4*;dSui@i!XC$eQ zmG4^|V^Su&o3a#FD zu`Exvfqtavzz<+|WK!x4l?R5-BDlXnY%K-9@q6^u{K4-U<{_Xj^6tdrfZ`I%fiD^U z!*+f^pN6^Cf&?B{_}mthr5`+z<67261nRV8;(oCaH`huZe707S;6F;oIR>1KTf`KS z$3_Nhs1-)$?dzu6;ljJK#P00{7H^WrD-^V!DH97f37xyGCdKJ8Gh%f)n^zRk4t$%2fF&rmi>sY_3^Jh_jH zQ@gCY_WI+w->=S8NQX59v{xu1ximEAx6j?7BoQ}A@EBgQjd^gaiOZQtSbRE`0 zq8yvC)|uq=XQ^OyM$f@o?;3M`&->{N^GtqR6Fp&y(ouN-rFuYHEzgGX8MJ7o3+$o=Jx; zb($@3Kw*tStnazVoq7vauKEMt08g*RGOy;GcPttV)ws&wOEvar90Vs{VNFdc55cK8 zm{|BNSHEMi$W|%c)TZ0%N{8Ls;f<3oZruxAqvKrhJ3bO)lC? zLl3;deGU>H2|eW~jrUU$Jwe?h5$RGOJ55wRbu^ggk}Q zk8utA*w+EnP@A`J&K}{L1;~!yL}-zL2>O8d=OebxWT$$Wgz@Uh{U{3EwhkJE0Al!r zJ>RKSuH8Dllv0h5QJ|c3a;*mT()@hWxEc|QHZb**;R#x8puuMvp;3tgGq;6O`n(bM z8OVSU)sXaV|iIdFQydF+1zOb^nHa!Tu+qoqhWtpmCfVD$Z&2#n_ zhrcgPbm$GWEo`>G6Ckq7F>>G>ewaIncbMk1j?Z-IQlz%Zd`VLu%`I5V*z(cuNNWds z^VlUHqub<0?I7cu({un~yy8U9o}}@e%0Kbt`C?ltp%j`=PqxML6Zm7ZW^x8$lX(;2lP~0zJlT5 zD$0babWZcvo3@bi{f0)0l z7=TJhHz?Ab(q~?%kNCcO@3YVM{bO$*ajpBlt{G#FF(#bxkdtkD;mwmA!Wo#Yh^9@6 zmc9a^DZj_s&ABU=3T&@`G=X;Qn)-%XMJIH&_p39vfBL-+Vl@9*#8-UM*? z$8W$1)exv30OLTra&HPcd4z6;*D*;vu^Q`utLD>H9{B#!#?~Pv!J>fCtJ^Fy;ty{l8y?j-ukxAF<%oNSs z^E@TiY^~>f>(+3irOthFp_^f1;a6PqW?B75Ko$^l4}w`4Kb%I|CR9 zT~1`WD(qqwYvPVNjN`j%^-jePTSG>mS-jHcOZj~IhK?UYim?QY7N3JaxoWE8H2u=WqLZU0C>%?2V3JLpW!G1Zmp*CwI+BPY zU{6J{Q5_4l%aE3O%Fb|~yW!nsMOUbhM1frLIY?)&crkI$tADtd&N%PVlRYeBNN%!N zLd4YxQAN;$ECmLR9@I4Ev_Zz#+q^hGEniT*$*V=j~K0=LO3qo%gB1}CHfwUFXO0sj~ z_?tQF45jqd1?ophN_gBLGbtFqu2lu$TUmC=#fEjbGxe5fioI4tpTfQkZ*UKv3z1>e z=ssg;X!vaU2T<#19^#U>4pB9?{!(N%QO?Lxr{aEGOsk7*z^)nBAbH>w$)7cVXk)SO zpkVZ{^A7iCcLJ!1!e2B_6+3J!C&ow3nnl+h8;vk1rWf{b>}4Mu7~K#s$(2| zd0=qxc}SFgFl$7{h>bwX0OZr9j*}V*Vcjxj!of#>Gxtu>^WLQ6khWDL3(LI+D7vNq z_Lzp(ouL`~M@-1c;yJ~58uLJ?H3?{cY@f7Rpx}#tNw#3JVTqUAxxS96 zE+d?XoZ(qW7dW_9WJwhMxa4~qcp6BOr^*#MC%s{b(80cZ^O~q_Zbt z&e?0;hp0Z*2Z{(&TzZU$Uj|T%9b+I#9GZhfIFx%qS2t?dXArX757p}qYgq4oHH!v2 zy}D>%=-yn<)+HXMK95H9Ib>U(^w1+OkDd|N@qy~lk`4>{ko~E(yOs7nPtmo5Ug{&S zN?{7!`grrS5P4LiXjt#spzv(6-g?a~07X<8YAF_Crx4BTng;@Nt|(r>6&kaEsXq=%1bkykf($=AhFj^6}zzyO&1?=lj>pLCraIA@oR zv%D@>DeT~(l1}Tnx!Surp|=})3(9)7wT|w@esSLTbanD1`HL5w)Y)8r3dn)hTLkM^(#E;U7Kzvo(JSnq;6$=Qr4FSr33E%)>(OJzaWi=i*=ibD}{57qz)Jjznr@kwL0I+_a-3 z1pYCp%b*Gx{1*xZ9>ChI59V#9hqJJ13`1@8$@n-}38Lu%3G3zUre<^?hW8F7dT2lL z<=s(@_>_GNH~CGz95QLkh#AXlD31cjs0PH?YojE2ufGNE_u!Mh#lSRl1?JU;6%=)% zLriBT#9ZbRhVj3GRsX8Rlwpjw4mKv76_jF&XCdLs?Yw^SLWVy_HOQR|gcxGu^(dB~ z(CfK15Rk)sw7uck=xGMG#5Zn$JTG)|)K~mKShL**l5nglgz>*4m{3X8Y`(;Z&)i$> zya1?`W&jl-8ST7z#B-QBZfD;RZ$wH%wFIEsM^LYR&n@A3 z*|gM*mTJ8`+*ZP2#_sK7GJoP4=v?D^qvX;Hpx<3=6k887c3MWekAwOk*&-{acn^vcoD@U6Zl2~uSWo^B)_$~zSJw+x|3lN1>lbm zU3d{~1*D2H$adngjyWQj9eU`cqhF*;Htf4khTPn#(Fq?DnM_!y_J#P&utS9ESuNZ_ zAYCdU=xZ4=V2v=@Vm)bhJ%BhviT3wCTG({b<$q{gx99l|Oqoz-amSmgFDR$$%cqQW zh}(3^3Ui&ZqIJziDz)Z2N>g4t8=wC*miC}jxV5+%pyTx&I4_2iJAt-mUZ%{}g1YP> z2FYbGmOc;7afPUkvTbixT@1M0%{^}_SkQ12dUZ(Xr|nq+l{eBFg2aAeK_XdKd{{OI zsA1Z<=h8neh@Y>UYWHzJLz}pK$|9p%TNhWWp_4qixPlcbX$fAk{;IS-&a#)vm_@R! zTHhfu?acxJrqt`TjcFp6O*`zXQO zK<{tqjkh5kQt^bg-z)ujS%1g+2dK&3bGvEF)04^y;XIu|^(^4_06VVyj~fiK{!T1A z@Q-j(P3#w0Uz@8NBpze9eO0wqY>XRmn&%-j*|6eTUMyJl6t{CP_5e*puelH_v(rqV z>5vk#LD>cDCo5`;^bLu&iXk*O&Y^9#u&0To&><7)d6C8Nng~l>I{N+ZAcOJLd4%jvSeWcXPRpXtYfezn1{2YvvCVfjLk5VD`MuBg z&yC5f10nwTriJP@=P5#F=OV(Y!fU8w05`BFcsqJMH%myZ8hP1jI%3=ru)jrdlu8Tr zXYj_y(Nu?p#%(uyXtw7b%RU2~5yc*F;@y9M*?9R@01IX2%A;KtH1=QwW)2L53! zdwD^=Y;X;E!opA!AFOEK)tag`lwTI5C8oHAJDG%07@ocTKIj`CiE-RDL@O+0^aJy>v9 zgctJ>;yG{O&EBNp4XfgrfRRn8f{a9ofEmO;bsRyDte5ejDJX?ZD+lIvFJ`&A1Mb}1 znotNte*+hhBb(W!^rp|oV1dN z?5yn5WYuK4*?K<)mqfNlU~V)ob}uU3<(Rl}J$?)6y;I}!u@UVEqLg86Rq#ZD`NCJy zh78fVJ+%)0jY4gNQlq|y<|TU-h8=O&h@bn`FXsU&aYDbbn}}wmN2<%dQQ`3XxAE*c z$MdSCk=?7OGn~UiDCeh`?RDn?MM9E2&o8Ud>blNyX~nbWKPFw3eC zyNk*>-?BO*y7t_tuQiF2d_coX%B>h&iode{RC2y%DaO8^$g@+TNjBX|@ML|GA45jG zgNA!+vS+?aeNbq_#oT2Lj>9qL)YZiGes=rmM%U7smzX-N+=K2$WoO>Bmf@^55B?3n z9`Z$2S>d}B=kZWm@Xs(H=t()~ByY5YIMeAk#r)hYVOFgQ^B#lmT-$D$%Dr31H_mi`nNxEDa$snCq(exjvT zKjMkFPtH~y9K0Z0S6-%1)?Im}7%_y9nP0C=2EQ+VqW?VC4BP|YEnZEmW>vfWHa@AH^_SyxPcFD3)-EJ z{gr_qH^&dvA6DuF79ug&={yEK-AxO+;4MPG;>KcrS$lx8`1(nEv;B$d2Xb+3)f$@2 zN85%RGCVX1*+&-qqo{$9L;)&x3tTI6VTkGnh4eRWOXMvS8{i&Jb(R({QmUbT9r$6c z4x*0&kNaJd!^WH_fnvU)D;uUAt!BjJPu)O(_!4^jTCUl)nn~%MifMQ$gHI|*FEovv zD{1M1Nrt*qMM;{qPwdhnbZ(EqkTw0Y!=#LF142AFnnilvTTUV)^Ban>u)Gs*%ob)L zj9dx*0xK(a)a413MfGFcy`snB-L3B|Op#BpP{a<&ym({n#Z0gzAyd4fle?mKr&pFE{ITi9#PIIys^uEiYjsWtu+gdx_au~HFu$cnc!25 z3ohzqQ_WMF3#+cZV|E-%jB>ko&T+}4)!qeYDjpZ$Yd0Vm#b;glGuxnJ@%DUKYlCs$sn z+`mCJnr41~tCg;|?t(+;Y7svr&fu3qwp4KhiqcS^74#(E1}D$wV_bDtX&(*`Xdcq& zOp)w72-aKCsw-Dg$-D$ZOn|?T=e606jm1F zet{bwECKBuh2#zzf9Bz=t42uC!$mage*)eC0Rk7}hHyRNOH;kQWR>T{BSr{&&sUy= z6=OVQJK?9n8bhqM*Tf2q0S_#>d|Dt>dpEI^-F? z8l`7L)UZ?Tb;=dn&J!g8U&i?BU|IVKpg20Z-yU%KVALeU$71o;8#cgo&A{gyGP2u! zY~7%gXS81KH6WxmszX2kXBQT3C3x+pDX^xn`eI^QN1*SJ$Olul?0^kaxgTya2Jt4F z;5pB=^cXp01vJ{6EZ!mfFj)R88%{|)H8@+?s>i%yxk9vcyS&NPaHOaKmX^!!^$J+^ z(?q%jJBlp{E1t&{8(ZDS7Rl1mbrMSvi5yKCr|atL(1`UoC1;c5@K*=&aJRLY4|1}K z-A~|GJQo95L6_Pa4|F}Tc*%8T#t%nw?+4UJfgj}ez|6w9`Z4cc#$O|aqQUgMPe5eo zJTY|`Hw|TB7E*&}T@Nl^U+jz}v-9<*A~Ln-j1Ei_-|x-b%^h?XIIW>_>2C@XTB|{Y zcHa#aG=C2#N?HQ{pC>?*4z!7Q{qhtgFDS5s7WJlL97<0Qsh#v4Szvu5X$SK*cL0IEfGVs#!@&1N-QHe`55V5X zXr`OlmrBd_pZtj0RGAK>E(>|jp`;WQS_e$JimX5iW4?^W*txwc83ZM2bKGJ}f(8`? ziJG}djY-;8OHi@}*WMa-NU&-kmPXz*27z8E2&gg(dP`>7ftKsHUhIH&HfYDW#V`0jzo9#V# zQ+8jge$PL0>_gFB&9Y*#?H|EHvKn*~Xox?>_u%>kK}5!rNuK4?=5QGJiZH*cO#Eli=f&Wq=Now>=z9VWt`4~*ysL~%06{{Yj5TRdMW zoo3t>0~OVIrGDi5rb``_-iX_Qnp_e;&S^Ni&-wNzTZd95+ZHc_$X%XQ z5g3s*OJSfuU0$2!R_hd%tSS@EAyaNKqviPCmU->4{<-w*2<>a4iOTslt6^VrHqKfM zA#@ZMZ_KUl&`8H@RN`S{+imc@3+O%6cV2GFeHD|seC?bBx|iI90!(R;`j8r?ta5bq zWzSF-JShX>dnVsq%3i(s0IxPHBARqDY-})F=Dryu7SG;7hh((qOuFFOZb!O=-7e9R zAR8=Gm@ieGdK_7+%q(jAmf-t{hZ?e0%0dj_9n`>jR!Zp1H*G$T$l0DhD);+5r=va2 zF4Xj!3qXsUPuFUTfMEZ8n#TRv>699f#eXgiYF@YoHVq1AV>$z=hKpq&g@0ctLRZHO z)@(98btD}Mf@&w(3zG%s8i1z}xyfOwhpr^XW;e;{jId?Bp`P23HH z6y15g^G|op3U21>-m3tP8q-Nl^_J-&dQkR{wiEJ-^u&6O?bneTc`(t=QOb6~w@}BM z?zT$LJJWd?R^n`#nRn*btxcDvkTYns0%gbqY$JQ`j~rxH(r6LYF@&MJ5V*QEZ(f_y z?XyT)+_=A~{k7@{G3`e4gGNHpvwJQbNwinxVS7qb;20`$;1=<@GwP%x)vsi@8dM)l zvOm_6OQh~*61Ay$@%8LxtCM71M{4e3gxTkh9j@uQOGd@IB~f#2cK41Cy57lMe10u` zCUOz1%!b-IA7R&xy9;w8oqC)hjtKTL_I>N;FQ`7$3C8WM1yIRo6*;C--$~5a=`ZoN zE)y!^D^NK`WV~op6hD@8J&82T(lXL*wz`(c;q#P6-=ogKi44ZuG%7y;`RPPci}d2z z+tiwSPXv8_g@Rz%#Xu(8vlXvs9Iyr{Cpu_yY`>q6-^3iPU-j=4skz)5li>rbbE7qP z9}J?l-NZ}B-SttiC1bH)W!8QKI9hiRNTC@eTI70qEykcqp7!Oa}FJK@;Tw#k>FikRYdy|Q=*y_E86se-QwpT8UbvR+HR>N*CmJ_C}S_{4{&kaES? zNWgQ;x~BhVRmKUaxzl5E^g8yJN_R*Q#&iQATG7ieY4y^A9_6e0N`fyEO44J>i50z< z328<(zxZpuU$KSt-vvbYMC3a2-=0qHO*H_HWh<$-eRy=?VTshWhZlG*rjku()K7x!X z)@xSpF1J=_%tJ`?{-n>z9d#d1Q-+2E!6w`B4NS^T1V#VbOG%RlaIF6^Mc1uL(jSRi z3^*H!@JS3K;Sa6|AF?O;rzgQZBYEvks;yW0YZVWQ=Rc^co_NU+zB!`AUP_~iH7K;E z5x(NTY#bU_;iPzS<`l=BLePiQ#=R5nb!()NWYqiO&%Vr9;v>cNDWEMw`eHjwAVcpG z>PsxPT~pM*Uby3M{_aak-+>!o2#N3554;zI2;2EcFdyQxvA)^~JlK=2alK*Pg$~(p z%v+r^WVxp$mk9{*k#TU?V-6=aI`cl3JZADHaqO9R7K~sz^KgL=Y+uK z+7le;l;1=^JCXrI&kitO8qcqO^ua`)R$J_P&7((C`}iBpMl&iYKGMHWU_Il%c}y$s z)A2@G<>DH!Gy0k{v`|XzscTSr zj={wMzOl>^{4d>U(o5tRyjI!ic|BkoM+ByDCP2R~{2AkgIh;+wz#$R$PnxCSD|CPi#1l_A5GWH9tJiX1> z&lc;&EAqXZwL$I|TvTO>XHgFUCudI2ZQqF-ckVKYX4S7u=H1Ez+{6)pWN~R>gJ7)^ zO!K9TyISY+!<55!JEZw|(7cUh4FjtNzUv93c>aTa0*KO1qdlOCxw>-<7+&~hQl9tXzGMffoYE!EHt0Dl09+~6p~k`d}G8iyX+znidA zH3*1wCOmjlKLZ$si}0Rb7Ww5!spI+q#kGVo?-RY41Xyy9HM|HkVz!KKr8$+j#j2W~*~W>X=t?$$F53oNLG_KCM%)Dk;vj5U}w zN(QZU8%!I`O44Dz9lye^FuM ze>S02orcpBe)ok;^sj$^3oXPQf&;4Y`%Im`2jPg_D5c;sjF6BW=?IEGzt8d;l4-(t zADdTdzs?Tl6@1HZ7UErd`}`u-`YAm*Y3`t680JbTetGFl$QaC&1$(;Ws~Wb`?f0 z9f);|s*EG2&V_3#6Ow!ezh|(Zpy*H>Bm-qM6j!po4Bp_jmpp2I36KUQ4jbnVEv9JNv~w zu_PrM{@kd&*DYQjBBbH6zPJ=YM#o(xT#K1#m3Z8{3btG>_2obfy$XTlV{&7itlq;9 zlAWzi3mFGehe|Vq8B8>z`*3B9|0r(<(BP#&%yu3;i4LHO^Va>)ZGUf?1{S)?Q~WwWSk^)> zExvPbGR(p8!m(bOSk9{a3^_>ZbI}k;#`fwfKo=FCU4Wv@ttn(P1m^L;W3G(A;(k2j z!odaIZ(m{l@FVD&Q$g0HR%ky`?rt-8H|vd%HSvLnkVNu#20|H0ofx-5@Me_Ndjk?s zP`rAO4w<=uY{IhBb8}s`b<8n#uoGqg*APov0@~hXiiS0{vj!l!do}9*>_QfE|hhMoal*Qh@^sxjqHM-F{Gy&s_J^L9M^LtnQ_c=b9v|DN>M1y|xG z=$bT}U;Y7Od|~%Ao=*=G7v9=09ZyV4grmg+sSjZAuWoO83Ii`cbADFum|yfiAPBGFCEG$F@f;@?M;N~aJ0*?d%a1D*PzdYDkB1kAS_ zfjAprsSmXLxOlz|Fd5+|v;^UH-Dpdy84}7zY%=NrHRT2bt3*(<3-tHWo_&G4$0s+z zr84bLuX|Rc`zUT2=zN&~j)}iHHzUV*l2%)z!1jB2w^Bw8fQylvUoSi&&+``F-R~!F z!AVi%tvYcZc*!c*VD+F+%uZbfkk@bH9~@zltK&G=;7FO=?tw#rwVGy z25y(5O&M;rsI#1amV&Z*0+>c^AhIz+m6x)j=sPZz1gfMc@Gv)3VRJ#0Kna+-<=yzq zz@c)i^z}Lm_i#owU$nT#+RPcFlTogdqQIw%Ow}zB;|+;{7hOBW=TAOrcL%=*tmvNB zX_pbF7mg;m>DflYD4806BJaslE*xJAHpS|`)W+))DBGM3{72ORQci)wu+}XB+NOj$ z@|eRHgF@%qtsy-tw)t0-uM^3<7k(8|0%*6`8$W}J(_hChdz5}ameqs?djd|EP15w- zG7rI}Qe~PwSy$g-IIwmg=v$VN`H~x>&*C1XZk#)4^{m_`_d#{5IgA%mU_xCxHr#gY zelEyJI}HMvZ$KPWa1veHqKBhgWlal@X@5jr=Sne?9?hvVhm4H=4=Za)fn`8rE(gAe zC7M1lI}bdlT}hJ+EOKZ0+~jig(L&=x8l{`ye9{4a2-NQ-bk4dJK7An5oa=nN+ug!e z!tz>l@L19FB}{v(#3|deF)dDD=Jx1b$e(JS{j}HA)RSj1gEHG98J6_|%n3ey@s82j zI-q|}Ye+J5*Ms1HZ}mIO{WF(C6S~`FUg{yeU|?kd{^M$p36w|xfw!x`J`|oQ@TUOr zXL{UXP7Hr3Xw4fz0d5YSP`kQ_(-%wdF|-K@*h<)3C=_#S-*6cpqqC|J$h{)?9{<>L z-Pb}th=jw?R5mku2V;I-te>n0Xd+u+mZnwa;U49MZweYWXS0S_IiK4?HlEn z$Mi7hC9^>=(FpF`bf#UsZgUFaVDGhOuynsKiR`;X_;%P@%&^ldnRS|HDR9e6mk+b; zy+|L|7wk(Z*+zOw8TV91kM_3oGxb^uac1Fc6r^FEV2LueJH+T(qX)3rtOH)_oEy!o zJA5edbZV#Li+yIsglhmVCyL7e>-r_g`T^bZLnO|1VxYb|dmY?&Z zRqAL@6JL4&!|B#c!^EK1HdiWc_QO@IM{fw%6-zju<(Nr@bY&iY7x?Az2|G zd>M=^2K*o~iXNA@-}5j3-ku{k>vH(W;X+jJpMo5z1ExSJAW-(!L07wIWi&aGJY(h+ z_|ggiA0DRWYNcY`_=LI6YT+Az#?U5EVtDs~H#y{$W4+G0c>airkX>M1{g9n>2t)yg zdk1yPBCmjj0kz9BrZ2F+#X~xuJOxt>9PxX(7M(4;1$JG~{zodIZ9^Opt#JyB@udR_ ziO6jm^@#m#oc%!LD~kRCt!+U)s*~Z4wl-wD7}PHD!IB`oMI~d23iZ z(E{WIxA&HmxLeFLhxBM{;DF}2u~=o^Kl6%mHgYsD?)(5{&QU=y#Jpu}d~ zy3n^H)$BcSeDWSCvv9eB(lMdImCg#}EKN{Cd`z=$zlb$1`w5dCkdL{lXSU^vUsda` z`jzkqZfY4E9oSNo!aPxx~y}&F#2k+{vTg1PyL?h(x%bihrV~tq`?ez00 z7UQ`j8Isk7v8TMxtiab-(ONr<|N3lmJ}7w`2diPIduWZ@c>m?x^xJT6Jz>^slsyTU zY*f8R8+qhdYkEFXM1ax2gDK4y<{1kTRQp8ussh?H>@35jD=qa^BQd#dpsJVm4)eJE zeiO2iwU8hOv8;LJikq2T z>VJ@R{ty(fI3m2;a0B+{#m-<25p9@LT0nhF`r)X)V!tnNZ|73ZFs_M#;~TGrVmMxI z!z=*s3hjX^6CV`kF`R3Vdlm3*gFUgC3}c7+DiC3`;4OdA^&IyiXB29Kwsp*0!~Q4? z)$`%IHswf*gkOjgqB+xE&b8nD${^4Zg9VWLNFg=Vuuvf6-Rm7GVxZ~w>sJzq?SSx< zIb|^0Q7i$ZRJ1?^mUNC#;(Gr)FCJvCeD-eC=1SPJ$ z-!;~p{M6_vys5L;{;9VhrnYHG?Y_;z^OBg5oj%nU{_W=0n`2!_7b^stC5_gbQjPDf zL9wV+cKj3R~ z%y9}Sm4TF71soJQeVU)43NZ#}H(`rsK=&{zy*8f>F)6-_roeS+3p+aU=~w}*6n;yp zq@tuh^5xas`bnMDOzL8M%z~XoA*^@1PzpXlZ<8gBL%+gA!vbPSRF?j5?T76?Ey(s3xuI$jm+4gjB$XmL3Vfp6ablUgby(d4XtDw6ptWl zzyJA#u#=dVE+lt-I>1qds0bWa6wIz$$_;_d=SM{mt=W|)_oe`5Kz*WPpEt3~f$OFc z54NZ5ryXrK zA#ZkoueQ17lFBml8WW(Xbf{P_mRW|aNBZEjF28S@PPq-HJPXi`L*qSwX$03jBm)By z&5b7=`lkO@zRZic>esdDbECHTGhfWLOwd|-Z>zk3OM z^s~{W1nP6d+(U8zhKx|9$d?L^6A0f_18(T}KDVb)Tcwa!=|J8+$rWRxdnT6eo({~k zJ}UuJq^NJ{Hp&^-fdv|2GtHG)aoj->?&R#C4ltlo<-_Ir*q z6sKQxu3u2QxI+vn68`652vNodPEx`w?n7Av9Rp^ds zC7m_1rIeTtX@(*2t21#e)TEqik)jCD6;wzyLVmW66{H+(Y=KN)Rgwb7(G0xyd7;k_ zA*-GUzBtX*E@)US05K!oOmpbi!baDE;W{%n_R`E#9nL4_92v?iNM9Ijt2Bl{@I9sb zXoNB)&gQ7}M(G1(tG)JgziW>m&hjOvgZQ@p!)W*t9EKpT2&98%4S5U!_y)+vj}TCC zqOF`{n$K4L6u{?`cIa7iB_6DE_=S37r76xpFJu`uPtyg%>kt7NS+|y`lPjvL;hKxIp!kmy}fys zwSRAY_*E*hUSZWaYoK&IHM|X&_2+uvJK+@d3p$JCqzo{ty0@1u`KidBZ7j{Cn#MRJ<6eB1@;0lj0u-t-BvyW z=Q>5kALBjN4x8}+(dF06h>pHZBcGt)3)4(cFc-2%JmkSC5uYJ90U~f7(sj0gH}p}x z(&FqRv(SND91irM28?cC$oBU5Gt}ZTDv5Y*xUGKMzd0;&Oe2Fe#P_{Eplx7=CVo_> zFlWl{#iyblrv)|z-(!jnMbryR5&tVutRc1!T7x{W2@xB+ra6VtqB$EcMj^Fkt*2`E zGd>nH!VswnH4MMuxOAKIlAL)@dgCwdM^XA1*n81@qSwngogA3?=%{*aE;+b1#A@`a zp6!R-Fke$XoC#Yktisz#Bw`Ra8}sL?4$$|l7Jb6}RZrlI3FoI{LF_0ILyf@wD%rcY z7i)|WlMahJ1it$k56?uKoxu^HAAN|UFZ`)!IF;~9`&4knDqFV&vID!eK9Ir};ZTaP znbn<~yJK~CCxu8kR2_W;^UOAHcbC@LiSxAtBAzig(>%=Mv7k|5{R#kKq=Iip(B8v1 zCGsOLZJ;Y$1drlQ;OaHzrS2SUO}7FCf|JY$1qc?4?Q~s6*u}xgXV2(sU~5hQ1eOD> z{c2JXCq)fh6|kYhFd)LDLbPOeYrtq5(`#Tzjf1R$;vJpiWOAm)Y6q1z^!G{=c6}WLxbqha$0AndLM}P3CJr}Yt1zAik^e6?23h6&z6mey(dt`F%DiF~+ z!T)%_@rKb?!3&5)xfNTnJfzd>-P#PtR{kU<_>*@iI(-#M(x%?}+<5+<;4N`JfGG8( z%3vt=&*geTJ{s_w3jl=*uLvzZzryd|eTyE!6y|`2);7{0nH6-$B~TL|whDcL-%f*d zf&lz1s;~|EuRDR0NBAb8Q?-%7JmuA!%qer8yJ2JwexL(6=?cjme6Ce&fdhB1Jo2j0 zV21`a64_5Fc7nT12J|l+=^nYM3K4~tgj6hcpN^{mLgi+616utS@CDE+v^VLgqZvq; zt{mvAFn?|x)}h2Ch~x9%Hhc}+B(yTG%9Vffa}p`o1MW!RiZOn| zs6Y zKGGgkL)~I>SPDQ3@d*1Z5Dxl!5>7)dz=LkV$T8y- zg*g3|Q{4a4bN#>k$JqDqZ+zOzPP_F&4Icn#az$XTJ*=+5T!iaiksZhWR{;N)Pw>C` zj(^_GZRA(|&PJu+@Yb)!$As+!Yr+En4RAlGtd;vGk(1E)U=uIDn$4XBb{ehBM}fZq z?LV33Km3HPriLuUZuP0)>h|wZ#p4Y%tp=dJ)MBbdjuwr-m!DRtLgi)WFfa_uPfwv8 z4}11={P{qveMidaBc3t+j-3Awhn^;CM{n=3lV+NK@9^y+fT5-#aCOfAxCAJH!ap0F z|1(nJ6%W5%8l~7ru>7(=316E=}Z{psI8bLNMtR_5; z#yvLMe_IT}4*2v_l*y)3%zQ*1voA=wa02FL3gY_`9HKfk<68l6Jx`eFWm z^9#_eK18wxqt+ZqkB8Q@-~`G4muF`OzCULMER@$Oamg(K;}OK&>IAeHH9F1`sG=1# zZ>vYc8&ruzI(C@EN$I|pAkU{NQc(W=EdZiMW^=HkO~e(j-QYC_+)hET@Fa7Vo0uiv9?#EKuX5^H3FEoz)>%Smj zZ*53aeClWJc>w+55InPkGd6!cGMbEXpdwl<&wht%CIEV=u!*jM6H37tu5oZ|LpUs# zTFTyGZHm+77y5t)lb@zj)B&CD9tvkzf|df-DdqovGab7koQple1KLRKpoC1rxOZ26 zk~;u?4iE-E{gq6BGeAf!2_sQQ*eBl(^}|6YWUej*CM{;oNsk}%=!n_6t0-j=^3y8) zfS}k8MItH@9AS;yBXgBBmcQ=wpY!xz{$z{b^9UA6F?6R``+zpZrVKKU^Dp6pvfH*5 z7;ihRS(<%d!V=hRT}hs2-CqH|(=aI(0)I{MKChkpy27+in7ri)Xe>MPK7$I+5VmY( z!qS*Fn8RG4U{(LC(ENR-wqLma{QtdE>_g*13@z6oZFP9L|9#lpN+|2&0D{PJ`+K<| z$4az`-W|XnlT@(gu>ctAlenU{72uKQU3FomInm=f1-C2dmBf>s5GI56D7{8RM%dST zmqkL%D(e2e69Eu#82-ae-4@M$AMD*Ipq#=g{^@VfJK|?8FS$ZQm57htBWp5B%}M>Z z6L4-cAYjlv5t#V%s~!p9(KYzqeO*BcJRMkBvXBi%)P+&nFnof58XgJDmK;AF|A5A* zv&{M6N&;r~zKAsxrxR>_iO_U})}<`GWzlT_PayG;m!ad(L*;`@bJzK&Jmehz;bA<2KCVnlhB)fu z_F;y1%AUR+?&JX9+O2PBh+GGDZMhB%M8WAR5WX>w+>3r5WL9AUjZ;ffiWm+R<4)Kj zqO+4Qw|y-x+%gM3sFpv5)=j+_D6mCf=Y`@-+R!eRlWnl-%Lq{ybvTF*uzEw^h9)i4 zIs0Ie0ha8)-wT{O>?I6PP&p7v$+31!4XM~0*Ds(^0Td3@$eQ&AM1iL zG@}gxWIEAkj*bA{TYzhzT8WFpChdD>#LEo_ z(G+ZBUz8XK-w>Mr);4b_C;6RT3}1bMEu6z#LWM4#QJ^XJR>u#{rir3x)=r;P}4VUTmOg zrkI0%-TM0KO859zzdlV_Xj2gU;b9C;WJvNrmT6#lw0O9U$Rd0DNWuAGQPbRLn-! zmw9>?!3KJHX`f5CWia6SWeD^4kLn6=oh$(DQG+g?Q^A@Czmzb`-8XxRtRK7mwQvgI z`x(eF)_kSGr&l}Bspm5wIUp*%c;iDFBBNwwxAHix{(0retoARXBa0#iEezF|6nX(EM&_ z>a&F-ovl-lCnHGDU=8|38vijo-}V)^zk2xYa97ZldqXMk0Izsw;u*aW`4gVU@*q}b z@Qc&YyRob&<31ow{Odf)V9<(dm-%6X3r~3z5~Rc54Z#vMcylCIvR6$I1;k;ptG&t6 ztzaAeW0WJoC0nk*+9@n@!gTwiSDJ;OeMe}pfCZm^V)R912^3n4V)hBhmLxY8R_JL# zN=b!r@>XmH+#f7WZJj@$`QQAjW{9e7U|MA{*;SC|zUtUo$iL2a$5>rg#As-xD+1O% zWP=R~xQ=RygvR^j!(h+}vO+BZJ1)aI!sO?=(SL&EUtjXq$-&)(hoN!u!{cOByq`yp zgWY+)z>(m52Kw>lbbYj+^}dOID5)Y9ra-!NQKp2L z$=XX|b8W5#in=>_XRedHCN*3oGCEDZ{ezrGF}&gZ$yV9ESRMDAZ2DuGhTz$f4;kyQ zic0nk1x9hl6lz5cute{@ix#xi7S;jQ8%6>>xaZdnZ!2Ux5E{I)4Ap?R|n5_8c8_)ram&w%)^%q8|w;C7=EV7txX0 zjWPbV4C%!J$a175#<0KiGqCF6PN=e$pi)u?oC-<9n{r3o!Qn;Glaqud2b*VQ%`-d} z@3HMMQdLQA-HUT+f#q^VE7L|(u!97y(sdxn$|sx}6976+7EHkclGdOs$zGlt5+6GW z3HoBf3%DwIAW#4hSvR3jG|`&y{3ruvs@h+?u1oXdVgb4xpLYMd*s%QtY`y>6@mQ!8 zEg+nc(&xhx);~?J9E1Wpo2FsdeR2w(1Rp;e?e?dMCGm!x&An*9LgRkAuOHzgn1cZW zSmqQ^<;F?LO@RB2L)_;{5JzN1T33+P?iYe8AacX->A}UxZnJ2QmBb@Irc?8K_$^p< zb4^uy9zd&`4R$~x7O-BS1MukSdW-c|&-JGW8FzL7c}{!W=PbA-pI3z!&P<>U6T0pT zs;Fb3et)wZkGKuMup#rwGN2izf+_)leUDn#0J3X`k>mtSUmZuAaud<+E>XR2@n&KJ zA+m0r?r^YLa?RAWvJB7lh{7lrMcl(pGe8sQ0NSi2qGTatvQFh&3sf}_0q;hFO|@g2 zLEsg@Ax?Jo0;RaoN~aR21m>Vg{6xl4-xhK6>n%n7S3bv&0&{Fc%zpM)7kf70gN1B% zbU@ep);eb<-`^*2>#-8hvhF&Xpz(DEmL<+1%Hc$WUG2G>L4GZ~s5k@X>T}Lz(P?u2 zG(DgOsAW*H4TIf{ChJF#~qf22Ha<+&P8J+6)}_RvT< zV3g0bP)J2v2ub@rb;&uqE-oC&;VT&KfX$zl;CTL-Y;GflZJM7js?C9kq&P2CL-J*RI%Me`K*4M}dd3#*A4Y;ZFhz^Z(^GgZkO{;ZL@RJ3 zJX@#}$iRl(U1u?8$yQ#sA3+aY`SE-PwrM4_T+xSbPj7kBT>6u zHSp_g+*U)gsZ^=dZI}`yH2|7IoVSvjQWt6vEf3Z#fWrYVTub-kHdNa(v^U`opHSg; zv|$d`&*j0I`$J5~mNOiZLo>{VGMo$|ajfM~=t8+)X%1f^CR`QUhi2Z$+gB%09or8) ztSJI^*yYs#<=#k9DWK9Fh)xQM+srn%ZfFy9KqI&a-V`foXNbWAxB>Y;z?0OhnM0DJ zL=9kDX2GD2$aEF917^c6zdCyfE|~pigZoECz^;7{r_O05a;f$8L%SC#`RJII(6qxa z0X}9YIWzJ0Z;pLJg5Cftyn#v7q8M4ovH8!)Q8LtfT8^2%(X#Qxo`%k50;alTIftp^ zENXA@pl9BYUSC4g6H0cz#j~Vca*2dA5ZpdMEA+Sc9{?OE(G=kr&W z90CCN0dqA@^=mi-X*3lW22zF3kl6|GaXz1On);FI6}4Y`ZxmtkcNT@e^92yuV`B}{nPL~$9=C2sKzU=zTe z+Ef7AOsK1FaYPxzEj{5sViFo8q*n0QEUn-@4Nt;mGP%Z#-1?XIEbcbCt*PW|;E$bT zCLwkMXuXGt)4$S<3xnf=vhjE5UG^v{dwn~{5ow4l1~zo?ZX=l_u%Fv=SI~t_QcE>` zs^fU2;`ppm^!z)|eTzCgwCB%_+URHmRdN}|)WDPi|8gg32nZy~ldFx+x>kN{~r zA`$u((z+l!RgSfAp~IXhq2w47JqD(~O7Y$3h!FwF(ON3qOU9qhG*WhKUl^yRY`rW$ z!VX|%I&PwDbHfn`7M-AMGz5iw-6`s(OJ4ZxEPK?Ip4PhBN>{I>3wI8&;Q4QS;IDZA zWVD8F+5}m8eW)m6zwo3DCZt2lOR?r)S&ad-D%i<|(#hUoAcF-|XS7r%xvcFMj&XwB}S$bJME}^r+(-2Lc+U zuBg$kZ2j26KS@>x;H)=&+M>Cgwa%% zI;78WW=5BQe=>eZCyE}fYh6OY!^22)lCxWaG1zCd&}nLtK{4U36!eu@Fs}{kd~HU( z1}ac}WHt@<3Ws~Y3tDtEq0QmRKnJY3aFK#jUQ)x`!*jK>HwEO$wQ;LIVkJ2rSwZ~? z9QEgZ(MN*Pp|W(w3&1d_8215>E}Zw}#c)N?5s(#)#D$wf+*n=-=Q&#ryMhWH0{N*I zvgfEDH5>+^{tp=UVi2fUz?Svp_pxvd^AKtT<}`NqPBvY1Rsnu!3=5%RDVn;9SF?yI zeRBtYUYC1;tq!jVX%4GD1dt$mX5q|Vf~WGbEz*706sNiDl6Yo@i+{c_5Xv-jj19oi zF_YPKJk!~Gm~eLhE!l*6eR0LA6cFx<`J5M!s2_|lPH+tCExoH=vhYZ6OPwk-ViL7A z)TJ%t*Z{mKQpr8_T!It=vpmuyhso|kg0`;Ms3dTuIfaecFC~$KrKBV2u<{o%J|;_f zB8XShX)kDr#ySFeXX>AO3w5SzWFDBJxtrcXm1Fs^s|S2$BBren>P?+x3sv8;MOOX& zMmHb>r$-Q9Huf-E`?epmJ6zeN&v!6C?VUwQRq8iOvl}ySL@;7EL9dMj2eo4N2W`gj zD4$o(2J2B{#Dzm!aq}*bke(aWQr6%@*RFnoqVDOm?u$ShvsJvFAvk;$8uJXTDG+*uc2t+b6{r--3`uu0*0R`Qz*z+xO)T)Xc&Hx!YvLz~+8m~}0i zL-+-pxIi0rscEidu`EHHHGolZtU}#Os2nYoB>w(&@AAkAJb#ZzYs}P!y3T|IqXsvD z*p>tQ#Z@zbx0lK`R+8t0ow~E%(o0d|$Qrb7N%?zK^eMA~gQzKF=u}{2e=bn}%|pSy zLEHwP>{mCocVyV|^m0URv#NVisu%N*Uso`i%6v^|Fak9mH-rg88LnSA%g=l6h0j|u z|1euxyufhmbTs6)_oN)!P}<5or5!*8q!{C~HFLghNDX)QOZC9!z>uj92*u~)(?ERf zVj73xd^7|-O61~^%a}y)p9?>7r##hoF2&~nt=a?Axnd!FB%&jo4d(4APQ8mMY_&f+ zf{zI#XS@NdP2qMIS__{i25xPqW;17>fvZF|o2cqchnFKvD#RGI0O+J17K2La$Bpv- zZ52Cf$npQf*n5C;y}$qC2=Oi>y(P&^A=%kmvRBC76j|AOj}Vy^vLz$3rR=0cW@a|Y z9@(4!{py_a`E)+l_kUf#>vGQZKAjTYujli=@5j3R;b+2+Ns7!jR_}ZqZlS_e`ShTl zOHSWGVspI6yBe52KViL16@OTL zoAXA~Uk8Dlp}}EQ5p8_b@ZNb2rlNA_M+d>BIx(!g(}l|ExrL8@o4|Tj8PiZF@S+fe zsgZG>oBQ2e!>01{3w=k`pK11}JlCc^bz~n-XL|ZUH{rCnJJ{q+mCehU$?YzpIG}Ar@6fWK@TvgXuXr>jJ5=ox%9Dn}C^nAG?^kSrr zq9SuOs_Dmw>T!`cf)4c3xz~P;RKgy7Nr&L* zYjBD;ruN>9afZnPy4bW;EgPRiLD#~TtNME|f*}FMN*9g2?i7E7Cba<8>iQQ3`ZYRD z{nwg=oJvlXbYj<0bLPzlFnIXqYpQs`*12T62Efp!Q>bswNgQbbN8=bpdhMMY0SKgq z@x27VXX6#3=??EYu`M_?I*z=$j&rGD>U7pS46+C&@DHcHVPiBP5I97@75Q4;iWE{q zD;_AQ;hZcELJFKf#v@d1IBCkui6W4jUil^T4d2#^FmC}_d!Fq442(n5R;!OFZ0-7$ z18_ASEx5giE9cpSaZAuq7L(EV@p#D^icx5->3j-CG!#FMdmAnOG{&>pnqnEBZ+)O{ zS=8}SD10}CV(`jdEm#(NQPi&#Sw^wlwoiFh?Vx%GT<*=y=c1 zo(jrMZ20i-K5XHl9A^Lad`i`IJ`QnrhKYV&nZ=jDi$MN*ZEKV8EY`UAB$ z4PS@!?s7n|c$DgjJSkiyN;yEO~PB2Z;^y-|q?%PP&K_AH0 z)>zruXza)bq=%F3qHrDA{(&VpqB33EUgB1!dmef3Lrgp8DDNFkX1G7?luQKL3~PM(If{DqkgS3cPhm&8suQG;)H+G4~zFr`cv>`(il^{(y{vG!6;c^ zyk+>|0!mf=-HWv1FAXV5jsg3Ks??l-&f3n*>%5L~Y>_5=l5RsQGX5iL?1dq<;Q^a+ zQ3`-++F-CrHvQP4Sm`J6hMZ}dO@Yt2;0KhsRH$Lzsg7Jdn8DDNRv-ilfWO~{_V~p< zczAR^xx^Y@$O3afEfqiks*I~vB_j71b`;jR4=Of22IU2A75&!kYE!^^DV^5qBQu#; zZc+R*313!EqXhd_zXGirRC|_L7Bje+dRzLy_gU-kH)YpBwv+EX;=kIOI_ zG%)kMhFiE}HW#rlrX6oGjQct=w=pY`n&<&z+qfjxUw&K6cryb}u&4%Ww&$o;P%aA8 za?9A?7bgSOUeOFkd>fy#V+Ckh>W=ZM&O=Y=;Zhoor@5~S=Su7p{Jr|=&tdvEsGbfW z7!JA)%$v}kmQ8uI=#e2$rx*uf%Sa$%j|a*63f#S%Tz~%2^kN(bFr7g#T6X&;swwN9)w#+uGYUrPpF#NHI1N2SL1DrbPVA6IkqGiV$@$fqX`Gy_UZ@AYfE?>xH%D zOE65iyipUkMqRb~Ui#{{_mcxvqiN_wv_wjiOUxU*9gKh48i3Pfz_<~&)d0iREuu4X zgC#G|v%e~?h9R~UL2?-id_jA)w_g-3VRK;>@RU}+*kW2cOBGB@ZnfOI0pY{TBX%MhkJt` z7I$C)HFj0>yFh2f(ym)nQv<aK$cSUR#{-4-kgQ|!X|~Z-l)C2 zbvcqi*S9o3ZTAMHqLeReDwN{SJL_L?&dzi9`LPJ37YbRyE!@A^^X7_i;B@JJCY?(p zVuYZ|nDOa9<#V*9Ia?>SL%4Q(hY-GOH~*T{5rb2fx@p?Zh7#g5x;Ql}HnufuQnTD> z&o5$cx6^$7r0@T1ii~kKkFuv%A0jK(lmwT{i|;y6{Z&YABMPmU^&UQ;&7T1*bo;7u z^&d_1Q$2q@6l2X+zi!HtA8S_?5)9TYd?8UzsX=_5TBjWIH7wRDjQhwEtxQWa)Rte2 zUw@k-2sX3^1hp><3@E=d@S+&HSt#}q{G(TIQeI0(!fLYFCQdVuI8Ye# zEPCRw>~lCJoglWdQFiL4K+`|^GqH3td_><3V_Buwjtfep3e*>GAS%z@UvZ5SL0e(z zaRWXnvinT9`*6hYLEsile5Rmh(XaDBN4vpRyFrLRSQ#QpDF#26C)o5Ab|hQGm3&Sm zL#>$-%sO~D>PiKJSK&au%6k9;$LFOT(&Mr6&~LN5NQ%Ic%;|D;=`@bwH8PysQAlc5 z`VtT@KJs|w>rY;nC9MIP0#N-Ag62#3sJ8!_dQKxo;8WkDMMI^~K?rDzcGamm&Cx^% zij-O|<(kH(k^KxKew=^@sj0vbf%;%8$gYaUdq;rhZvD+1v{#N8XJxuT@DCR4(k6Us zr=(%jlFFco!SD$yArq`vL%rNea> zuW)#`IkHcv>mXu(zCQ|LnT8+%Q+WKP6T!Z4&cJQ2ZqKC8*ZMBbxu!^9agRZeg)HBA zr)S*2zO&bDSny-FAPOF;joOo3@1xZI#k(1=TCR>(n}coB6d_*#yBGeR`1sj7?!vl|2`6bJpO+*H0d;r(;>Pf zDqm`T_ibP9AuOT$=A0k|9wIE^O-hD8!1id>1{>2{o_nfoGo5Lx*0zeQNB|)`2kcg% zHCVglVIU>7HC?LQKo-)Jk$Zqe89yfr_1baxGS!UyqA_m{gQ4!VQz*6IOCE_;HfYux zM1UA{I7R;HE&{y+*bGDFkEJnn)^2AQqlOTj!jNY_82SV;jbXU(vm!gfMpxnZ<&}c} zjn8-+D(Z&w9twj3nmFNur84|xXr1SkLi;m9s1~%9t7zDQZ_U>u>1a#g{nlv7LBQ3$ zCQ+zFsG`Z{#F~Q#);N_+Vl4dVJD6uc>X-&GX@l|O;MPs%#;v5`+j9mBsI$l+xOkN8 z68b5o85gV72?UEYR4|4xK-0x%VIfi>~Jx zqOVxJtFs~RQ=}^Mvhk|gGf*|z621LJ5s@o0FD$;HL+b>MrR>~&@gn1FPs87?_MNQh z^j0(Z_8(9}hVkPReA2oPG7U})Xk!dkiBLOg>=9{eQCreSD!M4{2(}mUIt=}b18;5s z0}G;%q+c(Yi)y~%N6YP`QVBkQ60YQC=^$fV)s+li*uK6eWNz!i&D4YY(g$eGj@8QP z0l!AttxJ$EFAgaXi=FZkVv`jtL=_v0ciXFdj_$qMXxn;wlyefqdB%|hGuXJ7@3j9C zncYFnGiIWg$0YReM_0}lhCs{b7%s}(TJvovNMb8)^@r2L+|CGbs^a(+xlMPCw0x&1 z3PbeeBBK?~d#zdd0clrMvaoE&*?yI6YhKW}s{>zxg{ZtXKgicv64l1ig>KSMhE7a98-e+)KwW;$Zx zJ_!Abm#nJN2kCh!zB?4TtE5!f$JxX5ZyNHDgd@1)Mg5MOv>dQ_mInrs;n_Y_jW>u*ON|Ql^dMQVmePO2V8?zZ_!8kCt%OK$GBnygX zoxU>$ld)2x-nT)f!*358vI5%BsF=$lNH~rbOx0e>fqw@+rLc43SV%E})~oJ(TW&)m zl1OHE7qWc{^_{wJ?*+^UV2^ydJ)iF0S}MT*PS6Hvco*wMqG5&8|`5Hpbd{SHH^o{8wUo96pJT>ZPN!sa4>8@ zdC*~Xvo6ash506UOW7*GN6tJcR4YYVMZP%%@bMKj_MEZ&Df!pj;+5{X13ii6sq;zd ztBa_cytE5Jn*Is*>yXixtD7VGd6Ey@yBMU;Nl8##r_*BI%fTG423ea`fZ zSLC7hTHq58pR(1G&L)5Ge@Mg#AcBs{PTlX@JF;vQjN%U8c}WuIVc%0X<;xCcoO26h zcfHoC#>2kpR(js_=0S#vQzuXOuM%0j}O;quTUILxBMnJY{g-;_Aogu2mTX>jRxMFu?AE^kVEY zaBHte5^c}~4YpLx_76T_g)6Z`le&gbHGK@Kyp{L<=GxJaO8R$TRM&B;UY+sWTnG#x zgG`?5N&JrY8)<<>3;{fladI3QBJK+)?R;=`(L}L3su8%s(}8cH?yW4cmsJ6oOBt*4 z{RU2UgMJc~&Pi~3hLMdAK)B(X?ZQL&ef)Tv*Zhf$Gvi+gzJ70G*7ZU4CN~f ze)fHapvWIJm9TwEXfhf>4jsFekE8~?`?bxlUQSy%;_Kr+1`q9L9;0c)W zL8g}4=&jSs6`FJ9D|w{R2&RyJ*Fgv?n03M>D$aKKO_!i-;NQ=kY5*mEE|={N?~A5OU)9Bo2MMN(dGi=dgTEIjiy5ag zHGlZ;IRS5oIA#&M_h>${ZpL4C9VMhtDXU}r0P^mdtk&QkP(Br2htB)e5J)J%Xeb&mrisJ|@px=nFkrLR zNp5YR8s*6h_<`&Pt+b(pp{lSLEqMcr7wco+f@8$U4A~Wyh-LM>9T~OXMW@V4i|$4w zS>Fnhb%uUP!?m>?5X5tBN7?Ekiuf*{0SGRZihPgqH|mK5mx_aqk%!YpaBd`GYuJWB z?t>R(&=mt@i1V`&pd`LUO#~3*+krvUr5~?WZm=Q^ly*ZPWlI^b_PR6o%3#n;aRJLUYbJx|rMZJ0}5fD%!7EPw;ZJ-A$kxZ;jyJ+?g5#j^qwnXxFVsszY za!-B(mBUJnFG3pz*zK!97*@BLAig4J(S8NEnU>n$fpaIUY zdZ!M1o;PFjz3*GwmfSMP?biK36?7B2K ziOm7d(lY$Jx3|>p*&6#VY=Db)DfeZh!U( zHG+jgR%<8m*=IguGZe8CMRy@4AO<^DsK{EfgB8?8J0$J?u)IcKL%7WuyY5#s1GYEO zdXK`L4y!G;Hi1lCx7QL@K%U)SgmuACO}aZ@g$R7MS-Fi*WS?L3aJ(+NcpM-=>=jdc zLdK=;tQSld+3U{g9}?+qZJl7dJodl>l^YH}wf_>@u6hT5qw?);evkRo;H>(Qp?96e zV9{eASBQkOcY*%s#meyJc#JgFJhSxxbNOJ@5~9vS%}27?rmbaS&s6o1^{b|l0jFq% z=vb0F5B3X+7B#!g`HooD;3w_MlRtkF<(=B;B$lyX;=HJlLsV3MT!mB_1f!`st)^+(U4@4KjHL#g3@uoWW342;!Z^rb1^!o0CkJ<+(9rLcE z)W<`2i+?~skp*HH-4ltiEnINUJQy^MN1JIlZb z@s6Lu#ZnZC^2{tAkHZ_{`8#MqMHM-GvXt(HkUaTcoU;@G?5!^m?Eh9@N8`iD$dw@j zR`X~_=wW6?qPva%_}vZ$4OVIne=EEYK`45T+p_F&BSDAIhQh4;@xF;CVqdA4e=B~A zBFw;R?Y4QKSTBqaC#Xk9Q{ID$3oSn`+%FS>2f|!*_Fu{}Ex2Oz0lmb}k;dm&y*)(i z({eSQZ}Ln@EHH@16i60fExby_=cS%Z%}grWL-=lyutQ14f~{A2`=C zxGlNvhQ{dTniQmYus~$jC-PgtkJMoq!^`*yo}J8^%6kHXuioLJ;bfHy54|irD)CCJ zSsQt`Y)(;ZWGdA>@bDfsdjHuJAGU*h!?G~Hb?z9LvmU5rGyK^0{YML+Py!mDhPMyL zS12UXMA2{aJ2mpKT)8Oe;hU(Tm!>j zuzqldWK#_1dy@oT?PoBH3yF?XOo*^BmecT&|C=?w;EE(}p^dy@DZ@%?8b>;JG~ zjFt#j>w#@SjT|hR9;ElzdAbiT8IayT%s4adkDdlC=>Ja$u-CxW-vb+J(i8>(k}PS}#9MpDwrr-#Ck%N33^j!<%krCm z$EA$~95wo^iL_qZ+OWM0! zG>me$c5X2g8rF|NDp9nG^y|8Jqdmd+S)mWRzG@Q*s)UL;;CmR(UyvApNkt7~>W(xe zX-ns#5BKQ4f8$3ax4G+Wn%K?ChBicO3-ltLzI0a{DJ$sbGGj~BiG+CgJ zs74AyMnFvr*27;xX%CdH7q1cd?%wJu#1R;?vAy|lYy^KqolmNZ#L4#af~#)e<0`6| z?YbD-F=_3C?+BDsYxE%ihv|DcYc7ArPNF&yC%z^1Pq#|@aDmgc{cC9JOqy?uKYfp9 zeV4{hjw_M1BHO^s2#7PoQH?~BKW!3}UhiBBPKdb3(dfSXiW#Ndy>FW>!N5h@M6&3b zy~tdM8Ju#7(xg`Tbt?NvrBMC%vBRklC$)4j1jzF*R%ODv%^Dr%C*i%ld7l0Gib>MV z2UFPDDNf&H3o|AX31h#$h5skgy}AecqOyrTEG|Vaat^@I4`PW2M zXsGqgfV%}r*@w%rw+kbNwVO2re$NOx2VAnD2&?+X*`w| zSAvei+46WO&Z{KIP~D63@KOY9{=CY?2m6lyauWxkf1wgoX>_BggeCedw?WXoXZYFDT4qq}*qgj2=7Pp8*Z;{v?6B}IY^78<0nhUFi~;dgW->MdYecM@d!;S# z)W}@pqiB%beoDLr{d#*okN#XB)&yEI*C_8**I+i?vgrjp(OOOBDm zEc`8G;((A&3DghyYco&N&e(a{+)ktrpS@oYWng>>HG`)+vabqeP!QNP7r?h#*e9ib z5R)^LJG8C)T0L(HJ7w<7H;6+n1w+ewT-?1kY;E>9Yjraf7s$-B6^8Z3OZX6QzjDFrHRbNsO?CBdNev0L!h$0(%i$~6RXAtPY6(Sq7ooB z%oIuPTIS9d`vS_#uIrkG$=`JReX4ePeD8#dm@XLHNy9(F?s-3|Ht%(G0E%8!m*!;y zy@5U^ED@u zOsM$29i7;L5Y;}!A7KA~`2*nL22cAOL`{NIS2W{bUpMLhb%@56z(dO0-qa4_oU(I) zx6KA_Ht17p5tGhO=xm&ME?WKY_Ue`zXo+Lc1-1Fc@pmh=dLBZ!y6C#dI^sNMNS`KH zszLr&*yB6AdCit*e&79@MciPd{BN7*-*$$PxDd>R+weSGQ%_}`VA59}S@Bg1&YYJI z4Bor?K|RA2=iKyFwn|UCqV(|eooBW?Wr%WMgFV;@^NjIl)!D2wv}$&KSU1oK#D)l& z*G$L%MY)-geF?F`;N54MJFT2meY~3nLa||dwu>TOZgo9qvvnX{Utk$eg%9Yc2-MRY z$vIogo?(3Y>2K4G-MyBkp{T~|+-!k>L%L=I{RZqAZ}oJn{8IES!j@i@oPr$o@qxoO zn_wRVTIpD9Vcz7r5s@}-=+X5gz-a2DN?>_TG0l^V;~uLq08;K zWvuyCba#0{jY#p`$nbOTd-9^+&zZgB^0)!L=Fcon>{2NjORm}7YZsU9i=*Tjp0V=$6DU$uko34`f zetLe3|IU%h#2ToCIZ)*h>7ulFoT|!py;U6oxktw^W04K{3sDN*DV@M z)VbT_-)^I6kI7q!Nxsnp(Q`^(Wo=Pl;Flojm^|4p@Z5Pov`D@)HoN5Q)xY?&uhzV% zB6ZcHXiMloyVGFtNCvw8Q|!SWdhUdNJ^@ST)_GUaZI`=qJHWM*e{iepK;Y@8)jik? z_>GYC%L+SD$mMcaqkFv*p4^{6U8q@+Mhr@55nyIL%j!Dux|L?u{^Bky%Bg(n1|u{5 zZb-?aSz$x3wP3``tqSo@)ReDtc_r_{*QTi3W`G4?Ipp z8n(!>9BbSfPY&TOeJ!**5g5y$Oyyh%=U@Jl5n8aneB#w}5croJ9Z892*r>P3V9|^H z|9nJ8;u#fD8BqO58S~!J-zt^6MnZ#$e1uA2q0v&NMI84@X#CUhRa7qCl+gH}&oU8< zalsj&^qWSQyAYXvZ=%6}sC|`{=+$H6k2n{SU;3PsJI$+BeE%f+1mcN}u6P0kbr1U5 zSY}Pufyp_ZOD{QZm^}nl$6Esw%DW`U@@27F40dLEde>H$x%l5-$X{O$jXw?E#rE4v zc&TlKi|Q)5Nl`f6H@;>n;RJtJ7Zb~4VJv6vE)F1#FjC%8J$)sHnrG>j8HUmrKAk_J zT)Ez+esDQfLWYT^_?L2(R^TMrW2xJ()4LS3EGtK)IvX2V7$v=;<3NRet3@_K@`}c? zUjw$o6W|;SXg=YYKc*L-1ax57(se^vc@`Oo~eH>~0Ixolwix zxs1y4hTLSs38wwj(keq^f;U&#Lc9htpB_%Bm!9&Wn|Ag1EgA|65yrwHMARDf{Hzeo zM?>V}8g!%c#{9~;KK-o3*>@20rIg}lH!4Fe)YW+_)? zKn=kjP3DF&D0ZGhE*rCb${pWS5pSKXJs3w$`OJdNQCJClHI(BI-N+hh&w9`x}I zwCo*7TK#-)UR-DRnOd$Xz2+gz5#E3(-};GEWWz!BRWN@&mc;A|GaQBE}7w?@qQy0&oI}`Y+onm&`j#~%s1Y(Kv+Dj@&U3A5`1;Xeq z!p_|iLVW7ULg5UNjD-u!th4M5Tk;4MoL+TyNn<9pC5Go z7&F~KM8|`1buY+N^Osrgqs?oK$vieMVimFDoE+_}k3)j!-t~J8}HMLmZQ;c!6XgcU53qnE^mn4yyz+h8&gAHEFRKcvJA`*`=eX zo`L2^`%{Dq$QuRcwihRszn4kNDuLg2abpb(Mo%Le1aUsH@ z2?~qpwwair#7!&?WeC{Fg1a~t7?h7zaXqVSp>D)v`@|BozQz$LV)^QMbO<3hL0*0b zwKeF-?85Pwtrj1(82>nab`ib(U?qt{`O9PK&cXR_#XmFB*{vM7O!3KyF0&5G2MF^V)Ui3{$EEzu#GZL zJumj>u9&FN*pw*SHoBYy{i0>jV`qg0vDRG8k`e|-aiY`enD9g$37y=RJ`+<@%F%~z zoVOZqsla@eo3}}_2cMn|j%Xa>jhfZcs2B9)JS|vQL-aa{VaibuOUYMdLJ)%O$=Qwk zI;DYFiUA+SgR9R|Bc!ab?4llf?g90z70G4Be#z>-)fpjDik3C@(~Vr=@UuHD!|)`a zapaR>=q`YY%p#zmuk5=ZQ@1A6VyK#%MCPeEHzTrrxVI7DD9b?R1!A*jW;a19^4$Iw z8oyh}K)}x`$F-3Q9l^}C_AW7I;jOuuw`iE@Zj}T%1`XFe>~io zkr-rr-xo`XL=RO`p`!Y=WsEH)MMtMkV}FfjBjW3a{)=|7Eq$>JbWyS)gp^Q?xkY{^ zv+nP(dQCOqS70{yzQpr!bBB)oEH8q0i<%2+prR5>hz_yQ^rM1VPsl3mH*Wwr_hjuur3A zxzM320QWG0j7Y6iqIf6X;3D^hQdOBdORZwb5@(v7S@`+2pSa2-E=AasnddP^m8gJ# z-anxn(iG&*BK7x{e`NPgyqb81>k>@baUe*?uZshFJ(F9vf{95c%ZNs2>Mh~zkx>1% zOR=fg!Q_(Dqi?VNe5+^Aq$uLbB&*Xt$}HRR;GmHKg|bOeYLmpea~q?POXkO~&N!NZ z&pV-%9H}b?%K#b^ANs^|m`V-bpi%GvJs5VqxQ8l8QlI&ejps`-X~pQ#ecRRHBSlGxn5>fi;5ZG zEvfYTc9?xW_3fby>(Qz?N3$jL6Z3;-p-^c?dMYIwUbGr(S>UzP_mg51XnJ^rI8uz0 zXet6R9)i_V#C=KTwevHH*HOFn$DRyjTR30L>6<^6QG~8J%(Oi?c#)BR#v7qYhYLT- z?BcDB0lG?$D5tANMw&CDiZ3+!3PEtS20lZ3Q_8Wp(byw%f`ps{hA(H%)pv8@y%e$A zFI6ZGJX-?xR1sP&{2ga8+Al#Wy@LG9^1~?|5&!ASh7PdnjFL|U{_A#!L!}yzks3Dj zJ=fI(8qHIw&$4aRg)aSlvfeR>iD!7a+>phhC;kMbC}OI^bnZW&5nh~A^b3&i9CbWC zbgwvf52$cV9N@`W5jXr$=sATdx3$cnP&m~5d$brHJ|C&V zci~OwmwK~pWr&4Z6cTdII|iw-oA(orCU-ocZ#uXrMfV2grM!6RU51>ER=agU@BMNR z$zPkeea58;Z4s~3@85oa?0SZaE;oBIh={n_3kW#}NLA1!tcJS8`2A6R0gYbL`jh=0 z8G&5SV=Qg_EIcTlCDw-Q2zz#ww&?|qJ__oPp!h8%d}CTO zSLCXW9VN#Rloh-w8s6^!4OTOzrN08yr_!^MS*azH&$Pn$D60#dS3h1tuRU04 zW2tY=OsRiQiK1ne7B~K)Qc6b>dJ#*&-Wk-zX29=ZWhCGIrr&7@5x^frnPn?oM?+7M z`~oJJ(O(~0vuB57s&mK|arOwK+YS#{7;lnvh-aRCq(mR(XGSkxMuJ|*T8bW++%Xy1 z5l~E^CZH5hZzrpXyIUQB9V{STb5{XmDAoJzmv+R)@ONwysFPk9H9zwm6~N3%+QVLH zhCN*ij>?4mJpE{+;|EV6g6InLlf*JNgzK#wmHRidcaLQo~3cDQ8jXyy^9u2&jjNXW7sl}>X%2=}rizJ`}*o%xcYH-~> zn@c@fbc_ip)AHJj$INHy2g3CHSA9U*#IlVlpDO)-@$J`vTi2gCXg!cz>6ph8Gw(!Tk{laI9!3Nz&8VDP687N&Ybk5ud|; z*U;j_3tO%AU+_LG;MLzdP7g55~mvrwpWG+CFC zt8&;@h3=fttqmo&F&^l8KE}rdEqsqkN~i5d^1T4WkpN2hg39gUMzOMlMtglh_w}rD zn_-QL7Qy+ZYk(%i!kRbiP$gPYf1#Hkbcu|)BrNZE-NN3%NQQw{5?Po26T(mk87*B5 zLOhoENvpLgxZuc)KX8smw;x3vZhh;s`sT2$9B`8DoSDx4#?!Bv!N2Q#mv^(k`>4LK zR|N(^7g{y2nPcUx#3$hm$$}m!z>`4P;Y#7gQ*IKf7x*Y=2cO$VTO~Lpkr&B06J`&;jvW}9|EY!rz{7C@ijFfxwSn~pQOz!Z)?I#)>3qjBF`c8+o zTj&X|R*N?>e-;qPc6XuF+Z)$dk=71;OzYBR@%Z0Ql@T0|^ z62ogbfyc(N|9leYtt2TiS?x;4?}6MS9+uHCe!#lmdXNKMLMw#jOrIRDo><#BN$)}B zX@TSi?C=feq|lh=mPT*u#?RRg^t}d)4?smE3tr-Sp&0&4$pV22C5#)bA;?2U%13iW~F(38^(0GX5!E1-e_0^5D zc$^>p9s++}e+piYyjdM2%u8+$@aY!ljd41Ig_vS-g56lTVb98P85=Vr@h1W*A+410 zv6j~zD#8aPk2{w(f`YL9r*S)RYtNf`1H$3R!p|X?-DCAQWqZDGqlzQ6%4rtkm?}bD z(v>h>DMx}x&}OUi)c0x7#+))RGIg;z3nR;Qml8{Jk8@~^I^mEA+iB0$_2X^q#3P#k z(g5|nm&^iTR_xQruNGym5-mF)KYUE!qBn06c$PT8 zWp!GTBsXqqIOZT&A~M%1Du$kT_6YRU>C065zOY|Uxg8U}L8}~jwe`6U%7`LB5#*6* zzoIldr>>KGE*{k3v)2HWTIW}1|1qQ%6BFJ0fcl;Ho?o})*_;jXHbvl%uEL5#CF39~ z;ssa{5ShekLjIqVa2`vq{_CXpANTWb!0CaqUqEB|>M5ze;3r}oI4F;vGgw`L*_;HK z&1I!I6#nx`kQXwu*u@+cV1TeJE4|bBXc=6EXL#6=UG>NWnN~ndPJithif5#5qeD=K zJUyoYc$GIibU!;%hA(J0g($KPDx}AuGh^Z0ye3$RzGl|?RJOR`vO^O%a}*HdPM9!K z6t2SxT7yc)j%s3@;5xip6?X>PvsaCv&UOdq+`A~4s>lR664enDysS4UMr{Z@?$iBK z$^OC?LS?W7)-bW0>H2@!UgR0Nl^eyMJ}_UVU97?JKDKcbD7tBagcRh@l7lnBF;H1JvO<5`aRq5Q>o znG0xKN1sLPE5w#Jbl)lnD|5-&rXIPa5*@=hDTntSVj8v#Lj`Gw9O9hp6ZEf)UjA4e zvGO9Ia}x`~ohn70UQ0Gd$@(!5IleGW<7mBay%-%f6(B?L0Lf|T`xc~TEbJ~~ zKe?}}&THCPFrM;Qzu>b9oB<^Z9lh2IZK>ZzzRC_{^(EJ?&;B%H#?D*k#|h30NIXsJ zuTJYn7ACF)z}CYp!Ayq9PsY2frOX#@l2p7D85y3qs{SsgNc;KI_lf^#0m`yT3PbjT z)jvF*9ziWd2(+}UK9_1-fG{rHHs+MGsFa3rsJEVBS|DicI}+&cu2Pg*FGYqw{zBII z6{3;Wo`{*tF1xsbg-$j-qbEQ3KfsnKdJr+yU%fwC`|^A8h}tB4wk)u{m%<8xG*dBj zm}iSoY+*f(aWW)nnSl%^-Ewr#`1$w^p0e@hB|caim)n$o2|7ZeS-^w)V%j-7B~>m- zDRC}=pM;1y3x9PACicAve+9ctEuVy*2%HzR=X*bs*q7rel)*II5;wfFfb?>9<}uUIi*L7-8|ngLtri83xI$P z_eb3sm#u}n-)lW9J3rIClq<%(%qba#*U|q$5<9jLI`@`n+FNI@2D>auh*)1tfC5Uw zI`mzO;`Qy-j3En?^6#H5OgV-M&L1S&;PU(+hjBsaHi^`7!#H5Z^n+dZpGO2y9N!yp zu;;{0jQ{uKL$i-}F)aHMk995&*R7yQdh)R1f@xi-Wp_8UeZx+`rH!4mvfSoa`=jZ; zW79Z5nts39v-G6as-GQE^4W>u9~~OrG`i;J#8q^23bB7ZfSd+XA3xlAte^|W-c#xZ z59l8>dDTljxrEsm0<5AqJ}cM#HVe-=^7bdRF62Dc31XLsDJZO}MP5cA0T7p>z@WI^ zVsfprf&bA(5HrN!vveFg@O2|;r>u#v-2rU96RQSUx`lHde)NIxjNQSvQY)!_Y) z=LMy6)jg$0b2zil4vQocvA+L&a{rTetMBg7>iTwe+`_}3XGZC5m}layn4dh~6I7C) zGSt+k{%RwRLXF0n@{@#K#)_T-Kv7w?f%fr6o$_aIhLvWTZ3j-*?yy%*EUen4StJ~Y ze@GQV^|l&sGBkJhkuR-!K44ABlmvd89hA2`k3|5YRYx`Y4oZ@lLi29kTA_SsVpw-gb_7W}gfBw{8FYstFWiUi{Cr(|(6mpoB!LzzhQG%V6fvnB; z;1J>AM^4j1b2PBT|MllHs`nk?F*y7C@qY z0}@fb`&G)mH4)8y`hOP=8D4CVH5E$AA8*V6FY%hcXjHLna5u`GlV|aOfqCzFj2(*N zHSI}5wi^R}(h)2>MbqGx$0m@6)z~emths?o5qqiumeeQ?)AMe~UGX8lKdD8G&nGK& zNHg4Uxf~#WrPn>JH8cbTP@->w*76mrkd-#%Pm<8^$=;Wc>)imGY+lLy=1-C_j$}T2 zi7>*WR~Dh<5uhV6xwsA%;%8k1bhlThofOE0Px)OwW&eyx?Q_nt3>n%)s20N8BB!aq z01yrRI<8*P(ieqMk%Ml09D5rHZw$7u6WN6vDCHVV!Xn*po+d#37Rk{5n>dw81a4+I~kCBJvOiyFVoz@;;GwS=52x9X%S_QtB$Mt;GNqf}wF zz>8`LG`i}?XH|M)CTynx17+)7CI4K)9Y&W~rPNYlyGh7wY6{DUON*8Rgkxa zv?J0#WB36D(`l`W@X`EOYXUeXW!Wz@A7CLQxkV5T`HB!4xk&%Tz+pxUm(E;@m$uWZ zAnO^c#}+J%V4ur6)Y$Ost$>Q`pD?ToY4R>M&TLT?9rtB<(@MJ)3(G)QCwqZaj}eI~ z5Tncx8TUEfGxxWkwkc1td-L(|bgB0jRqzhq0FcKeJl{)c#q{GJVmBW1!P5Q5bgn=LA-vDhUj;KIeiXbn2g=V3i zb{Kx~9?z{uC$`pSuNimmf(GFyLV^XKSDV%lrQ`p8SJB6K zMoRwt8*tTlUkYiTO)wV%B{T^cnfZ`i+dPu>{cf;RP9?MzqEp{UhLN%A{o=nc;|?+O z=d96{T&F`UN+21o;Z(pyHP>;XGL5qw1jOHSDm1ifO1ug-gEfARsW zZ+^78aG{+e?p}F9~nhab~N$ zk4Yv{rMOYI<;#Pf50EIwH=&GBPQhrTo&)s%3bb4C@Xvvl+0~;>vwqY~+qT_)`iB(}*AL`YOAvD<-gu3ma}KS6LCS^VN!EM#MCbPDx(Yb@e_ATxwZ~ z^)=ejBTE3*T}Uhi=WmnXZsZ!7`iHGtO{C@+BVGIb~KN1)fxS{vD3MIt#y|z zD!;yujg+l(fa$V|?ZZaH^`RH04pSrdnwy=}03GG+)p~?Y7vdL_PFznmMYZRDn##^A zYUu&x3hA0~z~0kt*JScASis0k&OXDxYg=~UXrH_Vu3RlOFIuPMr;g$Le+UK${DE`r!>mako@tL-Khh8wiv#k%Q zk!mbMe&#gfT*t6a;VHBN({lHh-=4Jl{2<)gdvtMa345n#Od+KylHUb4R6}m`*`zL4yW7scIEPx%ztV=^M9zp_H zHXZL33t}t@2frts8Vm(0^pIa(@YiRII-*C4wb`jIX)bL-S; z!n4E)85l$UWTz5Z=G!CF*W;u^jWu#yP1+n=|%ZQ<+KBT=sZo>rZ2~-LIMlX zEFlei@A)*M*);&su{#eVu)X<;XnA3#v1*_tJ^)={7O=Y6ohW-BR)ZR?l#t^e^RS#v z^IJWXB66M&b33e((HW}wLL|4!fmS};thpmGe@HfONFi%Qb;sq{6;9U8f zN6XkG=#$Fm8Ge{AhuIR#Bt_90o=^C-|H|werJsJCA>52~+~Kowd$4txE@kF~;kJ;Q z@`okPk_YxSwVH87UpekQ@9!$*1yWRlWUw^$TBL{&VO{M}_k)X9t;Fube@B zkY=Fpf^0!-Q+UxUOJIL;(k)Hhf~3@hjmRiiYIohk&2gwvIa~>MN6joid_oND5C3p? z`dxeoJ9-VxsM1g#se*?J6z&wp%;pu($kBJ(#wZc(f8iF}=k@7sa~bi!QL;afJg9hC zm zMAE~$J9PXHTk2iitLgZeW8!m^nlLlS6VPl1Lcyo8wWP4#7?~7TCkoNhiUUdhM$)1R zn;-Esy@GM)x@{J0DM0b;BKvS3(bYk6(^qins*hJI5^Dq6qJtnVZ?IxToAk34$SXrs zej+KbKt+X5+6TNI$!Fi6h7=`@buvNx&NZ4O{}ELNp_`Y65h<4O_{8@u7NE{Ba<(A*v%!x-k3ft$nW$(#NeRTS6jsE4;`--$X7|D$vRJj97ICt zXcaaufK&s|IHH4P6DKPkphr3WN;_g9-zNJf9c=j`o7k5u#c8K+BkYO?|6>OCLZWva zKR!Ku*KtcV!0Hmffa;)&MY!nl0EN{+Z~_+o=U4o{&=}EQ|LA>YMf#Ts*UME* z29^flD=YIHm3xp^eYQTZeW83~G-$p12IC>*{5~Tl*g=gkY1xzG1fO&{d7h9gz2l@9 zh7RLSsr#zu;6JeHPTw*9Mh3EGdzNYw(z{f5itd|ODhu<1j;Tju#5a(+P%vTRqOdN< zBDG+rkObTsU-xOqh_kJi-xK4oGz zi5pfO+}vYafwhm`uZ{e_TF*aZ2Y=xwbWcHiaNDAp{l@<>GRiPu(|p_o8EO{DQ6F)# z3n~U%p#DF`z5|}izk5HcjL0fvXYVL0duEeF_ADW?_Z}HZHkFWwh>UC^*_5K}JtI4N z{?Fa|R^RXQd;MR}>v^6J86WrkzR$VNIoEZaGt9T567(f#=SriA`$Jy~o#1QfND{mZ zd55Yk?ZSOYupWtZJ>JR2M)2eVivQi$E!S7oz81036#3)y^?}XNWSo}4hwDyf!tyVi zGIIuwz-7r=Tl%wz341K862G*r87;{aC-D>+!Z2Jv8$Bjnn2L`RKzk~v?49*#5%-sb zqKyp=ec7lcbue&gh9j=@mHg4IGEv9HLG4;z;+8yN%qHyORQ#E7=$Eqq>%_rJ-@)Fx z)&+IgggOjkEb^FS1xn}{v#{ZwYt8a@HrLypl8kA~>kX~tP|82meSPneuu46rbN_i4 zUH|rhcq68qC&C5XWa&;Bo}DG?qAjEox%hjT55%~b+QM(Cv}B4gT*w}X+1|bol;Qo% zHKkCMYmf^cZ-)t!kX9k^?4<;ni+i@4OFX*+=;cX{Z?6bn4}#KKP+e0F^_fDglE&?& zHIN>#_El0^6vouj$`6C22-^P&2uv90JS#*v3El3p7u2GjWvUK85SBxybR5ZMOPSbf zv86Rwp(GFPl4x^Xq3BUqonCVHh8UUrC7&b?@z|0VW$IZD-s-i`1w zZM2jCUyHM&{&US z=H>n{T&)ANQ8RGcp3AsbF*zx^0g!QS3JrKy1y1_;mI;S56$cGpsWG`kR^69)Dn!p# z$o7RY#I8T~IeLPM9VtFG-m4_D^o2h9+0Yx6Wq@fXzhy_!&|iQpN_zAz|FD`>T~!x2 z=CS>EtK!e>f=r8;VOkXSKEn9wUq24{F!CKHlI7w3Pbk!Vg03nVI50+U8(*$q5*(`c z0bj=Z2%}7`ZwT|nm!r+iY8PQVYP}vErDP1~Zxv`QnEf;7lMy2x9?Or+ENZE~Ur3W| z7*Ak`g=oGvxM|;Xh$rLUy8s#W6ZQv3^-!Y-EH{j~Kxwr7(_!c=qoBcOhPpKlD!IyR z!ZR^Il$QgK8U0750*F)fr@DSb%B7|f;u*!x9N3ItNXrlTrR^W_H14J15kk>MDkP&p zm2U|4iySN?;GvTalLi#0U`b#E}lxcG5m7TqkXLX~k;SLFg zy8NEQLtEwGvaA~)(iGAMe(=B)oWObZvLu1r{cys(F?=S(X5E@JnLz1oYJI?)GvOR0rFMe>O*)eNL~;y2e{!T4Cxc)F8OEwv2mMkh*A$)D-bOP9 zS;btWv6pjtC>BXxb4?Frf|ewAk2EVKH%0;t%l4?5DzDNxdpoDllay98~Y@ zPJt##4!VzZr^pwtEsNatXo0-Y22J!E?kQFbM^0$clPDOUp5`j!T1%EfO^@2c@gE0Q zAna+2VHQ$t(zy>qhKXKHbEKfGkpdGyF73k4L1km7X`b2DM0NX1=D#UJ60g_U@$CkX zHF(xfF{vhNY+49t31e~L)q18vJE){Wf)z=p1P*;%&M(DL_WZM79i9vK&%lEGEV`A*vg`&c{ zwS#y)luLnvOnEWTH^0*HA1Bln4IhF!d1_1_ti}8t{riOg^ToK{g#hh) zW6V|#D!Jg=#7F-DaO7$eA(q=Y{?%3Z{$ctll#q1T-oOFc;HMnrx-cEQ2FBK%Km;sz zU$^wtt9u3+=^a?%vW1fHg$NO#i2~c22i)6`*%h7N{kU{fU{5LBTn8Af>x|JaeB(V0 zki<0KbHj|?{p%Y>Cm+8s?d3=tuyZhwXQj2tr&BEoT&Xz@oq#x)O0@wm-!rT?Dy&cB zwvTA|6u=T}X-wxGWfzK@^TDzC@K8j9nDOuQ! zy-m6z6~7LjiAOnHImC)4wB;fHi)*`1WHdd#8klMFMOI<=2alp+hGzifwgs&2rkL^8 zXom+QzS2M!qNMG%lv;=tne;_uyK!`Dzo6KlfvyNHhEKSMoXmWL2WAS*rz52xY0A`7 zy<0EsY?g`8#ehZ1{5tiKvxf_Dqj9OtP&)KH)boubbiEQ!*QLr45H~h7%4M={XY^IO zF$ADIs;|jw4dha72B2@fa<28`m)E)(@_o9VqKh^2se86_Y1gVto6MsmoN%zD!(=Vi zUlpxx^e|0zw6(;q_q71ciBh3m3iX4;kr6ulaY^amB|26ALDEfp{Mo0nk`#$t)gUiW zn4h7#3rq19c8xpnBr8hCpIc((~zS0NGcth&In}%{b$sZJWY`dJ1^Y zEb9;8*?2WU?KVlk>r*{-YGjF-E%~)~^65?TD5$nZ#C57cGD~b$v0bQQ7Q!8{5(Q*7 z-7=>c%tdAJmAahUKB$?&c`j(x(}7G z{O}<7y+qD`|0W9E_kY3UfZ{=_EvEP{3L|pJYjKr*aguC4FPcyMPOtniC!$ft6sIZy zk>l&zGw=vvp?meNg)494%Y4eZBn3lquHL;QUMtO$bgklNj>_L=Du+|uDm4w94?b<+ ze;~9Oq?t%Ndj~w9?y)*tl5ny=>cyyz?R+mcius<@h+B%TfHx47KNh2;bpr5G z03o&B-3(hSY?1J@c(kl~1tviqPPj8@jg*0ks=_OQqg9v<<)ebbz!ZI&ClBaYe%4{h z0e>IQ&%)EQszk{A?E?mIWLnuiUU$0LX(9Y1=4m2A!jHTtBX&lkKFYPldq5o37;%Uk zHW7AzwKQ))tS&`L9G-P;u>}2oDaUIYhvQ%4Y8DvX@>FPBQs|2KI-Cf$x0=rJe0_L% zwda$S1SOYN_f_rQ$1m%z?_CTio9VgJx%nobBW@2$kP)CwV#JyXB#H9b~#E) zh0r2aO69N+AQ#VkOR#=%%LbT!BcH0xz!&zTS1(vBJU+-#guG=uLnYX2cMSt~!V7F~ zd0+~r77x#?xp~(S02?+_lDLve=*JFaX0;-VgnGEDE*)6;ldFf;C9x+y~2>I7D8>;~a z&(>zF;dtUbSEi7UhOl&FffOrF?fqpp=D98rpEtaiJu2<`iNB_>l8U}xT>k6H^d*M^ zzv6Kt&-Yvxr38I8xkbuG+5fAP{%_=FfL_OOndastp3sB+-ONsT9fQM)gLuhlQ=EcSL&I3y$ zwJ@*6p7cNPmnqt!-L@I7Dv!qTh$hE+l*w*p1*K9nuugXa&AS=t7GGoc-gRZyuh4)e z>nSBQe^@I1-C(`btMkwS%gA>HpQ1_F@}*wrHPq`&_aacv7R(b-&Zt)rqxdVeUmK#Y z$9=|U;OeMOFT9j z*$x{)Bxwyoe6OEHCzLBi_a`70y(*lr_RQ_!U=pup8xzZR1$*!I!*G^d2`cM@esa+}ODRg`liN`AEub1u*+d`M09b$7V zNa>E9J$GkBj`^D`f#rgbuqaU1d4!Q&?LEu)aIr&fLVJyl1ZmnwTUrNuv~@sv;yE)x8ZzasfGfe zr)etVZ4G{=C?Zt7?QTFGwgdjc-zji(d}HGk4S#jTzw?uR{_kwm5rgxeV5MuyQ?Q-q z9>vg@Em*kueXcCNLk}5zXI`u1znXHt!pGk)zLQw19%aV}m7SCc1cCv16ZQo117sF=t zd@ajBZEo=7t*br5fi;uGyiJq!9s4NUXnPH#@##%gnorsW?H0{CGH}_ z!tekN7d$;N(4xCwk`H4?iw|i*^Fx*V8F~>Ef@WZTiEsm~^dUhw)Tjb(<1g2Pz8wu3 z(*=YqT2;0P9Ktsx_s{;ti2t)9mnK4J1tUrI;^{g3Pa&q2-4gY{1uz(+y% zk8_k3CpyCy2v?NNtrp3CCa}ODj4+xQ*5H~u9b#(L_@dV5R167(E)#$jQ8c{hwqo+cX;h&i%wQO1eB{wTuZ7v6_f z(_jbKW`o3pkUXd6-Hw*$%D9YEiip#kM#?={rNt_6TZQm;ctyD?)L&BpCqyH`ds@5k z1g#-3n$6%4h`QO`JAt*0I1TGGLFzDr-tQ{-P#RTb zP{zpVV-E-Q@JZb-~UFb`@|GDFC>if^a7gIq7R#ZH20TIN~7&pp}H7SAGkaHZH+*kI#SOX{=zx{;R7 z`NM?*FFg&^(Ir=u#me zaaxkLp`^*NWSDO9#b!y=9<@6i=x{(N{PA7~%}`sU#OB?#k!Cs>HPZRALSegu1X@sH z$%d2CCR(`?O8Y^SiJ3|E;GDWedJM9546>6i_X17I{XoL?30KhPza(b=>~U}W8Rk?^ zu5PBZJD5A+Wg*&Dg{=GM%vIiyN-;3kql5?EkqINdG{cc+czs6f-6MD?Sj)3~JkAco zo?H5|qo1S6B_8*=MRb5NJw2IC-gIx3Oj?DbTxHPAcLvpveG4VgXkwy~hGarS~ z2kxJ2s=v>g_zi@icsk;sn4X>>TOU+${~)a%M`K2vd8_58gK}@@Q+HO z&c^y)mKbZzT#919Z-RP5ymxai%y4paDWOi1mCy93+Y5abu)@Bquy4+35LfbbLw>b* zc~8^d^sa@5QrOf79J0e(8>;pjFX(j_GE(24V!VFFFN-cU#=0+R&h2#AuBjZhllp~8 zKaE@%|G+Q1Na_rsiUA{F`FQkMegDK;;Fb6^x&VB$SZ0TSUxAwKoAq2el#r$H1)>Xr zC7r0NWGyHnWS3L{5oG{;nNtPb>=ka);i*T^K05=Zit1?`x5n;M#&1451h+wkXpv3zYR%ox#^4^)O-m17CB&A7o%SA#5;9QWFrw^u2h4c zfm8o zOp^@?;`lp9<&yty6Q=ng;~pt4ZrT6B@qRZL;n(aA2TYEF^d|}eQkydWP&%uB5^Bwo z)cHc_g`~9ZuFXD4XR))hTRhPkeMy4m>WZYs`Dh6cP7v9$GM^_}(698?kDuSszwWb} zqo<6Qdp`ftec{!cxdWbZHT&x<+qRpt`R{$^7ll`q*8QWE=}hZUPB0yCz}V#0ZZBX1 z*@kd>{441GCy5!M?FvdHj7>$^Xvs|R2j?x)0cbE zrpLfTndVZF0*JAXHV3ZmX+>1Q?5W15QUAC*zw+9vaX07ATD0VBB$FW0pSNd(rn5OsZ=-hEXb4wrAeB zVQjNbKGn3BjK%#HjG)3C_{#p1QMQO>yPx-6pP=Gzb8d)^BK^8j%|9+k7ky{rU9-3BPhR5=w zuHo0o7NUbAuIPUp0@w(oH%g51s22ZkF#atncJe}M9dhB4rU5(bSj3rIQ@z5`Lc7fN zG06Ad@o=4!7DK|<2UTAV8oy@7Q4O__Zt;?ygI9aev;nWIGv66TaT`*^A=P;Liom-n z=L~wEh<29seK$dEowt2#2{Cy=lcdQCt4LM;iGzJ}c*C$SkMpsYl$a=e(?QwIzfMP5 zLFAXmRC1++L_`6WK42RaE6wJzI9M{*2g_m|=RV5AFx~Qj&t!8H&&}Sm)>I*_=Ix2J zkYAkGk5z3Bdt8I1ZtA%@ynVpex00Ym4X8S9h+;ZnYiV{Eb+S>=8G)3U}NasH$)hap7aps4+!Hpu*VJs9w z=;zF9n%_U6F7Vvk2mB%fE*J_qeome99UW0Yrj$+f>qlqSp*_10Xq0$t!U+txEgx0K zedZ#Sc+BJr)!nl)u#7S7u|w#9!>$v!Zliw3ZZ5H5{yaiM`|LkmPx6o>WaaqlewAXqQ@l!?If3#eE_2ICXB@lo8ghWqjZj;%8#ps<1{t+0w(z>(B zrVqTy*^qq?wGD2b7S0<@GVbB z9GysaNoGxzvh<~}K6 zpJKmp$B-F4NC3Y+OQ6)iV}ZBJDgkU1Mqs9C@i8mPdOI^SLaoCpAorfXq^?xN{T~lC zO*&*7{&WFtvY)N@UpMX73*QhmwPs^+R8A~CFwLk|UWc6Qs2yO(U1hh9@K0`uynF~3 zSdrCpyYo&e{+$t+wY}h*LJT`^-#Up$E#Lh!wIL@E|1Bs_Ssr-YEBGZ0{5{i2qd{B= z_`)!B)Z_3p=##a{cr9bi;Ifnj6gYmw$JDIC=f%C2N{^p`K8TI|VX!yxI4A%_E%aGZ zc19{3cRF&QYscN^G_I-@sP?7OTCvr-{2&TPzrtO)zkP_!$3VsTN~X_aR6!m#6qEb@ zjU&ptKD&wrx*mEF$@>GJxLKGTgYE{&(Trn(ySf~#ik%|LB!8Cdf&q4N8eC$H4_aVk z26K18G=q?N`AC0b%9~J>Wk>`ZMT-BH1TI6h=eEe`qZLL+p9=O2StBOI+2g^dsB1!8 z!*y@V4p#lDngP*4)F}=iI;%z&4It`xm>yu%Q?SBQUJKoxHW*iBnDoJROYy{DbZ=x9 zfRKZLqLgM5cK7a;l-X|VN&;eclOy*)gx7#tAf8r=Ob_hRGP#z(s{-+B(8$qL2D=me z^=tW(5{Ch|(_X3FWjjZM{|T2uK#fr~nIh5}-Z`Rb*AiYhB}q=ZDNPNIz~AN?DPNDx zm;+Hi9b_VwgTUzRjY@n^bg>LQ=)iOxSbA|VGkNB)2}-`Xoe6n?U>M}9z?X*+vP*_Q zzf!X@OXHOqbd`?<84=j|iye$z8275K-@aQ04@B-em(o4Es-K7PqSU?nKglfg$YG=> z)yDci4kHPi4?zmKS_~BS?phN9%)z?2Fk+T7U>kY~$9*AV+70B}(X>yC1qYH$PdeE_NGU>)WhpTc?STlQ70#z8Z^u zCehbM-!$L94%3EH3e-#RhC}dCFG$eRXTm8VnU690OIr88{-O|1h`_Sm)ZBHLbVou5 z0L@-o9(~>4yd2;LU7;!1&!T?I_wD_2nH`N7v4#6fv>;T1+>7r9WO<8auvnrtsaK5ESDh?)U0x(r#+p^)={M>+G_=%S2ufFaJ%4+B zUcgzR(Z@|s<6(WD9-m(#9nK|G~IIhX=7*n;eMJc-ed~YRO zY1p;SDdBa@?qs!F#$}j?^@*orZjXI@q1sZhZ>~?>R6_%PT~aM!ax`g}pSyV_dxt>f z6cQHm`uk-_=YnJQ^u>Xh)qjG(fBY)#+!^b^vP%`t3#^A=pNBeU0D7E{u)|L#mp%GV3ioek?T_2?@6XrX6{i7K z%-JG36yZacLZu-`_3j69M+|S-2P4$_Ser6${q%j0UHY-QlUn+w7n94}N3`8`zr-Mt zOs%G~tU$t*vn%95Of0|_B?_EX+dz}8ZZdx74&74^QcUE5NY_OLra=@8ja$J{ExEJt zDDLTIaJ=RM3my5=h3jwrx*q@iA&m$D@X|%r83zcRQ6rC)-0V|#hQ*2w&p`pz3K5B( zkB={l6!vd&LhE=_8}l|c)MUt*2e3m~>YVx5G9sw2^3)dk|9VLOxp1|2FVax>OP*-> z{Sh*9p{S&p=%$LPuZi|tjctB-})L(1n| z3_5PyHor1}DV#og$4Fl^R8Un#GAcjVip_!?#(p(l9DF>cQyIOw!7CPNts?|CQ8I{9 zj1YAbps$YZbzBo)6u$rVJr1kiP0&K9P|0}EZ63hBCHJ-SzES^I)TqT(pwZ}X0bL6# z(qH77IPWeB3*5Yr8mhjjX(aV!-%Td;Iu>SWimhuCD}|+U3E3F*icd+cZy?o^`Kg5j zMXeVZo(HX_G8vX1l2{I@Yi>KbABrI|52m*<;Jat$_{2oL;JtFceNUcYLPn)eg5U^a z^HjP|#L{OPJ|1weK_e`R6elJW_+ejx>yx9V6@<||Y z*-B$@#(-i=0gN)zL7foMdgfd*7Qp3qnG};DU^nBUM}mV+_`aI>IuvY{UU)zIXn`>x zoEl4StLUOcsp2m2IXnULM_?Qh}hoFTo53|f`;0&mf4>g?ncpZ=!G##5vZ$ zh?ijmC7VuHv&0Vx;&u9FuJFQl4Os6Fx0=!mgxFEeIy?`r(W65s(KsU*C`trAi{}k1>D`fH1%?p ziYgAdC!Ht#($nd;q1W~4=w}E93SeKQx(obI5r((de&GVl6MkyKBe<$&&%b@sKQV@8 z5PkWk4YVqFOzJWHnmH3=kpTi+y$ski8x0_C;L0Vn8{~00;lOgP^4u;&hS3V~>?}Y6 zxBujQ>ichyLrS}+lpa)q*jS@?y`a3=4BdmLnji${(V*T#-hG&3b$;g-7OC&I7K9%N$P zRnTki(JY2eSKZ`=%jdDNVJ99Z!bw9WeXBsPYx^-!$|K9C`4+*&`w^j%b=lXfOA6vl z%r}8W&<>Pq@J&4#t%*1=ai9yiE~i##H$9wyzA_gKCSyPe6D*dd>HU)nFiHBHUKkPe zp3v%6_>Fpjua8t`6+3r6{9lpFH`sSzrV?&M94x+V0q!LFojXp?y!UsIp*6@(nQRGd zm1v4Ff-uj5>1St+Gi`Z|9Nx*LcN0FXqbF-HWnB*_?q4&gRF9^8Bc|Nvw5RIk-M|$w za2qvidPH@QcFLw&K5hgO#`b2#M6@laQg;SVrTwJlGudt}!y=))M@Dy_Xs4x~UEPf) zK;Er*Izc`gGX{`k!IC&5M>RbF0R;f#Rm zveBDvtt>SO2o+$dLXr{#zr%Sc8jDx0Qo~WI7bO-|APW063g0R&Hjd+Jq;@y_pVx@)9Auc|xyh z6)a}b!)ars$d@&h!s$NVJ)|a@*gfhlWXFBtH)tVlhChm$+5GvKtOV+7!rmhDd+vUy z)7`*1K2dTZ{y%g*-^&_QRGZ`_Dj{bjWH2h^JpaA~pKCg>Y`M=o<)r@pCEp-g*&}{0 z@rm6Z=xEBms?u$4!Ow_}l3D8$}S(VVwfO8>6AT^6PcVRzF$z8v2M6&%M3XHJ_J>n) z%pYcu7MGU|;;L+EirRP?nfu%Shl4V{FiKVWkq(rzH8t+**@(m%89szf23%}A{ZDfF zH913|!w|vMYWL!outxe_vPh1#E>H?TxuXc1nw;@7!c2$fNNiEK?_A67f+9;)DifuE zm%KZ>D83RAT^c(^5WxrBo*3X|>cFUH>@tfUk!=d1VEgdedwYsxwkz}AH>)K*u!1rJ z4Zc0Z;rrbeX&=!IMx48>_L-Cn=Q}(O41vd*7PZCF<~ZtAwV`qc^W2-cPG7otU@e&< zi(Z*rkd9g+EbKz!`vXsCo4t1KO*Ej7USf zOlD=&FrR?*4|sS44wMuj*i1@^G!P_sUw#O_48yIG^Vazft4%~oP_sx;WPmaMiLHUJVxjIsa z^fm`3;3F0tQTJJT396W3Y;ZvEwH76C}8Nn_3y4 z-=s2CB|^O#i(yr!(tnJFLNtIl4|IXIP0DQ1sT3r}Ai967N2`SX6R@)8bDbQpjp z0==nfb0)NxNW1M&us&w(e4db*sNQ&B!*=@PBK7m7A~JrQgo zUL&616JIypBYPzMW0@2Gf6|Guze49J4;bv+sumX;ZD$chQ@Etd+-S_p@aT>W1qx_CWd z?U^;iAqNPe#oS()21C$6n85@D>?n}Irk@wnAe4BH<;R8kMXZX*lLlZ$6@lGF>4z-L z;UP9g_{d*oD+QTr`S!ds--WBFSXfxA$oiR(&GxyVL|pX}#O*5d0BkVn3knKe-;P!n z)$o@*^u^yqZ2EqfGwgVJF7)# z2YnwslG&g@r}0I~^4PNkCer$0*ClBCMWO$Dgqx-xhTxaU1ntvf!FEN%2Fs!zCf6)5 zrw2J<0u-E8wq7EM7rl3k-*1 zXCq)!_&ec!lg1*&e#ae>E}4nGkPsStEdRRa_f5<`Tz0`Z_#zKtDY>T2L%NopwHX=d zS_gb|j37KC?(fvOUqAPMzep!Qd8C^Tvk6o~X;94gM_2-BytBr~C&e8p6JaiF{obhv z)ddOx$ELd(--E9|qOn*$uKfnu6JP?$@#?nHTV+=D^bqm>4M<*Dhp=;eL_^ZtDclwl zyTH}6GhH5WIOuxwLbp^UbO8t?8E=D2V56u6)+Ucxv(eRrs4W7|vt$+E$XR^tiMAT% zb+F8cg!8oZG8gC+3OkYfw+wRfRJZrQ0b%vyWmOjK$1eWo@ndR%w(+3*WOyn*IX*s& zCcMxhQ2ZJ|&5s_fkQ3+*`xwv74p&!F2-?>(?ZOPt3|jrPCp~l;-gNwq(~^kZ4}B%K zzUpKkqzLCc{U}ORWGdu>RiM}(qrh`eY47@#t#*?)1h4|`Zl?0B@h~^N|tGuUkaeSVl&YEUN`=$Icto_ z9E}^=T7Q45-`c8!XEastm~cUT7KF^L7ppf7URU6|iX!7f&>uOf<9@vziQtdGmhA7h zi~<7fdjihuj3|bvFoB8(GG8my-5C6iuhU`Gj+*>IvgS5-d(i&yjJm$KpSQ{oUJ#(D)R(9qe$Bp{<}j_2^1hpI!WN9aWq9Sp-u7v#JpT6 zvt)PV!xZGl$yd9JMfxP?eveP-q9~lU3SQH~XRrbTYN6`NfF>SN*`~~KUmSsTWs~jq z&rt;b?;K_!C%);)FDhz)K+U_^wqtd3n_f@WDJ*)4sV+Pskh797*1H*wWXQ?Z(+?## z1~cf9X#qWhp(_7N7G@=W{T8^m|3Xy|9(`drwRv>jk?(s$?0XCtz0Gjsm=s`i45|~V zt<$dR~TmS1dva5@U+ z*qM7|giW9_k_Ws>X}5Vx47&!{46SWxijN7u&A>pOfZ-M3vo!*2rPUR8UzS0t6T-{B z;+|NVK&<1lPKjD-d>(n(dAYULybv-wa8d8f4_6CaQ}PuXm#soLSH!Fu&G93RmomA* z3=A$sDl(gq5K4ZF$AN;mgCIVH=nvaVS+iP^`UCOyf918S0Q3Rto|BdmV=!+*4E;)O zRXkNq$s6BLjl`9F5RCD~xf6)-pOn7>;`Azfs4SXxMGZ7->;Oe$pwQWRdD6!NR=Uyy z{&0@mok#_3=r*Q)m1_=zRtI4$YSgYQJR9RjnLi2i`e(UM6r(Oi$w`L3uTS9l8ug zopOCR^J@h*e_y@haefJRqJr;)e6XjCv?sf1M~``0!jAsU71E$*DAV60ANzcCy9WI|ZJeyXc>NR({kGkVL zDmo@Ujkxa-l%FsBb_kiL8)Dk7C-J?^Br!ZyNuB;Gz5RL`2BT1FzSjVM!==iq45NOn zz44Z^jRP^2^D;6`L~2(r89XBTsoyb1N30SQgwwCtpO0fp=_ z{1UJ(WHPK1&ZXKyGk)a>^?p`5|KJRRV`g~@?32}gZ(P8^l`HZ{NVDIC;~4SNhnp9v z+~^fg)j)TnkbE2#I)5nuR~lkPOb$Pk78x zIpd3%K2e*FLZK?PXD4qq!OyFyk?D)8@~NCN`^;G?zqP0NCp<^dqC``22i5TpB#u#@ zPquj^_3Hxve4&nJX|;D{iY zSGC$IC&*=5tb@a>G=jU^z~xQQMCqaj^oJY8-qxbqEvk(&B>J)BzS_@x>tbK8!WR^z ze=+W(hgIJD0xR`IMYate{7-7()VIJHzJZT|5`Ggk$%j&3NN zWT26VPaxT$G28s*fhKQ4Rb(Sj;?H#kKf~o8%)jdY>4FUpDmJy}@@s)nU$k*xXsxgI zHxb#Fuhyryj)x{fFMAM{KDYVAdNb|p?2LOYd5l;J3f}+uu%+6g@(Emdr`dtdC`E%Y z3;q@74BTfk{KxovjRIW3I2)r`wK0t}=I7V{y1BG|S1^d5@;7X5Y{akCwPD9)4;&>* zgj-u(`rwq|&hnua%|S28ZX|gF3++#naU7la9D?YZ=0zPG1U+dqU~-@lxL%W$0DvydN4JH9B>4Yj@;O zbaxYaA{P+ivA?OhY;#!2Ezb$^2`j^0ovH=vTjZB?ur>E)ReeqyZq<=!R&J~jcE9jm z&!MyA3=S5Bxb+?r6&0J@IPPtMCA#|Z5YSYjgH9eyGKsOU=AXqV)Q5{{_g>=I-Rx+G4c|;Zig;iEb3IKVM$B<>T?ZdgJf_w@Obqhg_|!@A^X-?B}{w zt}Cn28*n0!lMLHrIkofkv9Im*b$Fj5G4!-Uo>b{s5J0BMx|naX`0Pzk7mZdDUr*#w*StBqwKo242)g11u=T@Ph z*P=tp9aw+MquZsii5%AX{&IM$;}5Lz$O^n+o2d!~7+Dr{>sZBoiS9XpL^D}&apO1a z#i5!{#1;HreaWjt;!~?64(zAd9^~nIy9Sdj>Ls@h57|eukeu#dW$2biR{7Sg1b)2B zbkkONCfrFx!&H3C>P7V_@Ta~R-+K@&wnqyG(@O1-n9my&Zizya&`J4I6{mX{&cncJ(Qt2+^|YtwRY zBPsmE6jdMD(E66D+CutBtb%bw>USqVwz$OP3i$I$}#-$Q2QX`Z-FZ;phGIeEX{&Gn`l-Ubk;7e|3Eh#M?yPH> zYK>s5Cf4bavbs@8{{k5D7hnVQQ-4tvNEULmfmV6t*KJ0M3DveBhVrU_%Ks)OTM6-E z!Ul?UVGCo9-YNuSD8U=JWOZ^*4uI^44&&hNNk{Fu^?Z}Vn%$wL@pCEL?ICtuZC5U1 zP61TEm@6_>=y|w1at}h)<58D0Q_1Peb;O^aAs18J0>XykSNrpRVVLRUhlhI|hwV1Y z(A-EAl2u4mk+6L-G^k}NZmdAB${#^)Q)c|ZW&(?xqC?R1oZ63u~zD|FoStJD%X#fE?%Q%hSk$Ub{nIAqAcbsqoGX6AxJ{> zAky4hA1}t|k+;?(W7^dRl9S zL2NL*@~+pj|8P-t`-{~Z?k{r}CsetPD>GhfXgsBMm0O4MU$E>Czz5;y2}aAwb4J)U ziV*GhCYdJasJG=1Y&PNUckP*<;}Z;Go07ik1u!m`qYX1HhW3g;jvJtUI=q1&$-VW} zF)wA0MMrqEH5eFzI|Js*{uMH;DzAl)PQlQ{q;rfO-4_bOvUS-a{YY9CKM`xb_4IWAWyb6KA|T$$Mw{Ec3Msj+rayW2HE#}I(3`698y2<>Ge#vU(wG&jUiCmdi9n+EUBdGA->=-?}S=g8w|G|N7a;KV4yoEM(>UfqStf`xH1f0J7fjoK-YzMMdqo zYI-+Km5Vph=A~~Pbhw06gjedX!V={sie1ooy^E+^uEW8JQ1rXQ63B_spPRiu#pM(F z?%lhx@i4Y{>Wb`}5jt4Ra5Ts-lBRz_5G)&uFVEC4h1c4L`?G~5tWO;Ep7p#beD&4d zs?)Vc&jHBPOj>$NFR58`zX0?zuq8|P5n6jMrR8L?_3g?%r=dxGKP8^pir#rwr2jNI^wJ1v)x@V4NSrfvgBYe?X$oGvkR!0zA(;nik;(@pTuW>;ELzU6-T zlGk$zfwH%J1NED)Hmi{)_Tn}~)iRhVm4V5_MASv9P%w6)>D+wX$$RPfLSaiLd#od6 z`eA&r$*Lmq^sReASMfS+7+VQQD;glsDh@ZTA=yoIe^#{#qFHfOB?v|ZIA3jz%Spta zM*bFJCYscI0j7(*Wwl7Tv-SFfHyAPlO;grx~nQ7IM*HYWT000Zq7-hZ|!p|2K(Q7vPt>}VCBR;L#tYQ$y z@Av2i|F{o+6(r5Ob(R%NpZ*AhzaA_jdkg^UX-}Te4LIuE{OVbHm8I#5x)C6KkLjBy z$yKa%M016FzRn2bEN#XmO{Z@HdhARPNBR3U``O|2)(F{LU?TjLZnJd#z@~{7JZFh5 zYUElK<+X`3UdF20K@++OR(F=S+Lo!K&B7i81>AdW&>l4Dzvn@^?~QZ1ax{jc&;c|BaUECAiBKTD1N~q=H#@)=H%G~ z86edFO5N@@g$Ea9zCl5xU^#`r%^ zSncX7-?|#8n?LmRk!k7K$@n=ipkhO9{w@)ay)b}-@`Mk0m5Zk<7fN)ipm6R$Jvy@0 z$lv(I@Nnl6S9vHNbGxFjYC|q-Z~kD-xt(7RUKSM!c~Z7Hhlb>@>;BsdKl(_6`ulu? z&Mq!ZH~azs68DKF#y#|v>`~*zRFV}Rv+%G!Rav!w+m`7wx#>+=v%f@=BikzN4|v&1H>xP2 zLR;5JJCYQglw(xxv!xuYWlqe99d6qPfZXpJjJ;uF!|AT9B@7+HAnoL~u!Y;?HX--C z=1nK&I^>Z;RvHS~yJd6JdWE&OyuUu2$6cMh?$Ln6#Osg!;%=~wq-SuW6HkvQ%`g}< zy(qA$ZPF=Pxh*p7g(+vTJUi_MIGloe5^AiHrwIHANul)K8nXl6j>e}CZFD-P)?vSP zW2Wf(GsKoYJ_Qz|4m1?^7(B$F`1Y{e*;b2o$)$pNjT@YXueuC5DQ1tn{&l#Exr_oX zFT8k6_6v;u_Ciez6%7sT!|<@ENk>~D86Pk2wbwzjG7(nK3mQX0ahRW{SUrw6=OIH0 zx@F+AAmEVlcEXxGk35F^!0dT~Z(WNTKjR<45q@;4FzUuqeA6YaU+)0@v<%I{F*o>w z#!Cil+EzJjs@J<+;SqAktm#q_YPh1Me^S@F_*0f}#?j8HYYwpwMxyzzKO>{d=oU%N ze+6+d9ZGxZ1(BHLff`$xruwx>iU;QSUSvW_BZZ8Lz|P@>UsDh z6K}1rNw5KAFcHXV+?hh(K*t$L@yBhx;smHj6+q$KYuxv?D{P@CW&h^hgxG`@jUGkf8?@~>xSf@Im# zWzYHDZ@H0X{GQLADKZXwCpeSjf41Tp9|Da$p#Y-fMSB8;fjZjGYIoL0?zRsXtI>&H zK`f54cT~q8qdr&;-um>y`((}b+gpWdbrAE++YLh@`7{>sx%Eghc=KOQR@BncVyNh5 zf}=2}4z-#-XO4WFE=`6{=EJGDTRhOor4kVlS%EZ@|6D5KRGABO)0*IBCJA*T&l|hO z()7byoLk%3lIyGf_=?IHs%WCHK*J6cYmG2(OSo49!pZB8UA`fEQ3Tm9B(0&`fN_D$ zz3TbeDzk;PqAS|*r`5KKUwCn-2u{WN@2I=)@%HEu>E0}mX>rOVf?9zT!J-1CiR62Z zb*^aGU#mKo8c+Cay>W#mk5HaldQ2w?y?WZV&*7#|6SOB!A!$24`>>?6+Pd9w-|oa` z>7Q*Eze3F3QH@iwkAB_I-(MIFe(MiVB|sxj&ck383PatJnT+ysx9xi(Bb5B*L~QJk zf9q-wyQ+QbLhbml|MdtFq9!kCN?u9%?GaKP1vvJOhf3YKF^pqMSPvd^?P)0kHr_}T z@v)i)K#=O0l`tJ>f-2DPth9%RM?1h5zWy6e3UT+_3=eqlARoEz ztu*26DBUUGO=X4VtaE>tQqcZc}k~y~e?JZ8^=qgK`#z z1EgM`H3muj7lX};=ogtVeicN(Z5aY+-*HSI^?6CYVRHPk@967e)D&U>W^fvn z4V?uRUUr$hF|JpYRjOr#`@lXV)e?M(L;q zhFN8)?FFc73b^S+Y69FSK`a52O=1Ujo{-@0!Pq6vvkz$J98Xmqowg9`EYwu;mVeeG zHK!|X+|o9I4o6EV#MieD%a~721hWb*f?YdzQ+;X8{sKXl{KJx(olh^W^K0e|bPB-S zC_zx0o0Pm`8MJRGxnMEy1OuwO0I_~t4|v}QB0;*t9oGOklsz>25U(m-}WAd$(gr-d>M@etmr0Apnh-80WusLm->a9XRX`REt->Tw; z;2YM~x#mY5a-`tg5fx>jVly@NG5>Su|LaDQzNke!01tOw9G>1Baxny`;}Z(OOt{Tm zV7V5pX<#bk>+Rs+2|zq|K%Mkz)ZFx%YV2t_Cg`J}1a%01RdRH4YG6pvQ|~M;c!MWY ze@t}QZyw_r0!x!1x?yN@HcTc!%PJieQ0Pk~JVtTf+CZ%T$Jv($LfLlzmnch%?4_cJ zlu+4|rV=F~gd{{ok|k00rqX6>MOhj2nU_EEm*V!F~GRMwq`S51}Kb@*?xcq06x^(5+pYv=2^%I(I*_ ztJur!)71{WSNz1?`@JG_vU4gHFl*m#h6X##OssAVEredlxi;zQtjE5qt%2*+kG`71s4$OdHfX zeYt#5q+q_*8?Jk{s~FhNr?1WU1>y#|whNox=E;-JOUBMc6t|Y*R$+Gy@65%sa^**9 zjtdtqsQe9bF*N16HqZ2$XCR(8kLbfrwOetJR3WYEn%;76J$~`L%^ktP za4mKF&#iy5IIac`KZ*;Czx!KMOR)etILNdGgX2ukp(`EU2Q>mW*fkXFif!K_Sdm$v z3DmD?Z2YTRfJQ4jkA6qK>E)pv1I62f1;hIacx}AzYb2W<1qMiQ=#I>|x>u!0QoJJMyy{Ly6~sMRnG=45CnQrEu3tHCWOQJc&(6OUei5mu4r*7jmbqPD?Wk{P z`-Y)Eqb}RJ*#7REl?Q$h+JD{_x6 z#aQ!8^YDe7JgZg>M*S%~Mi)s77dePYNJ!kyexdL)=mXMj4Xr=6Z{P0Ka$U3Y#8bU1 zfTRhZssI(|{qoRU2aF;c5=Qtelid5Ax3@~wLI$VbaeG@9WX437D)bTb5!4*Uw85K4 zL*KY1;_FEl*Us&&h3Axi8?-nF+ZC*6U^%E~E%H*JqiPj+vjXnJ6@KoLa2re7#WI%` zcAJaucyDDG6>RI&TChobtyA5wg}vx=+eJjM?+8Rb{+@?~eFRbJVsD0B&X;Sv${~&! zb{aCLzUStSW#)Qu7^b~h$Sc~~74o)ea=de=N(PhKaVwGYn1B)tI^-6X2jd@96xqPZRen=eWiZ~xo%=z$eG0&Aqsf;X-LKEp zA5UMv%m;YW2>eWO-3{^hQJI{6pOHLa#VrvnoL(MK{Ll{R5cgn*+9Q!hJBxgc5C8n0 zmZjAH)fTz^b-zD4ZrU?d=P{x8WLct!m3_x6{v^oFvDvcy%2;kKs1K6A!yu6&zHj__V?b+4gx55`*asZ;&6OTbPRo$5?pfi(SsozsLhHS#3g4#dLub=F{O#bv z+V|k+AH6#P?Duvc0*T5-8_xGUT>{LJ)vue*9oRJ`V&8GV_^%dZiRJg!{e?SL^Pzl! zF94vr-}YLLA0W8lg{$UxQp5V=4z{EoyV+L~A>4~YI_CpSCxeyI8`5ttRs)D-c8$X~ zvT=Fzlkf~!9vPRaiRZf;R_+Lw_!3qA;rx|{+|~i^q1Lt+z=GAEuR6G`2hu5dNCwvN z+d}8evb*^^hn{J0#uYx_J^5RaK5E{z^8;BRZoc}hM2}?0P<0>x<-a=a?w+r`M8s{p z#Kzs;QH{CZ1rn3%YR-Wx;vKW2q~9UY&!v_rP&Klp$lj+oz|n;aC;ilB9vsHU0jtv(}GQ*m#UJ?i*@`@%95x z?rSX(n>GW!RO+f+gTLeh8WWCdF%KB5UF|auU$7kI!Y6M(P_&QsSJZgQz3r=;uhlBf zZR89v>1+2jOe`!mxGZq~yZx_4nQ`N%PZ814(ND~`hW7f~_zdb8ffnr;S`{xdx1z_b zr&nl=zxrH}5_jl?5kOD!Aispe_Ok+c2nX^*-}rsx)Vv5DhSDdQ6w_ZHQK~Bq`XmH&`g6G4K^I9O zH}d3K?;?XJI_7}_gXX>xFqm73z?PiwROi%qm}vXg1$-m8tbveTQGbFR$cx2An9dRp zrg=PxIA*N_LD_n0&TDLpojRj}lFvsDO#aFBc<|-wch~uz%f++$b_YWiI5t&i`_B|@ zLx-BfM>LI?D~`U1@4m9@*?iAuy~xi{9BU{OTXt4IshgI ziGFyyw!kuS{o_Q}(&vg2aT}i`Rm(jkyd#ndCja&XI6rSEubU8b#){sLlM%#kWE*+M zH^~ZxZ^ep{iS?C5^W5PFx`(1vX%qX5)lYHGa!Sq>C!Lv&8=bMV{CJrKDfdq{$)*Jl>_U^A^OSO_RLq!x12|1?pDmu0UY6R%@Qgi=QY> za^&SHpAS4Ddbr~)2EmS>PT!d<8v7xu+YF5_Ojg+v=J$g+cOE_7X!Y`-D}-J}IXk5d zHVrxNn|KnCf>LrN=UjEIpbXaCwcpEFG=2EZn>Vt6K))P+zws}eNbcxx+1}Lj}gr^B0X5Hx6j?Sm7uOfZrH~K;!6m{nEyLX^qjk^#?LH zt5Kq{!m((nn#gCA5Oov?=9bpKdFidBW5$Y|-vZ6nHGlAh(qie&h=lSUVy`toNiI?B ziu!z=^Qh_@4IzM>hGX_T;T?utm=F=zl^o1s*FAYBhxLx7Dqm7TqkqY!nwKrkJwC5_ zJIN_QwIm4r*%w>O(jDGVUQw|e8VUWp@p1Bd*rdo(xv#f3#_1zWiF8fo2T~&ZnP?@8-~l z20Y+8ZMOz}eVOhx@GjDzYfU?p3wRVBw=R-*2DAmWbU}zc=imh7kEJL3`KGoMz5H+n z>HQ8TZ_aW(UxXI?&C&Uk`O@?N-dSYa2DG7J2gALsI}*UF66HP7p<8(A?Y2@`Go`-P z=eOM8lE-$i=p_l)A2dGytxSZkr%=?^-|y$4P$`uY7u6%*5pI+gE}tqLo( z7Oa{QlX5b^eVKYL#^S3cQwULW-NwY02MZ=Enb^UDD{z4vbu#Y&B#R`+TJuxRhdk+7 z@3}qh;)39S-8o?EPRcX`gpeP2EP9zY7z8ISFy6+lO)pa~<6My-A3 zs)wdN>gBM(JwHw_qUC(aA)+e1mF@Gpo|+5s`#JW730LvCRq|FrYTfTPbTiv$1zFX5 z`XP_WT7k6pslpPHlK0QD0fzvAftPFEiw;O%gRfJ!{7g+t z%NoD{PF9TF($f8K0TR8k-7%5p2JCe?H3PZ71{xJ-fCuS)`hKztIoS7Y1iNVlcb~q1 zG*x1y?CL&XiJi>lgI={_vXfvHy&z1B`>GHYvCx4Pq_|rLAf^zpxYGwik=+S&YnX&Q zr4Pz-0{Yr|LgqR!5$k_PR4y3-XP*xlQ!~Jey*bvrMu`@Ptaki*%fR9L)DD4~kn?OH_vm&h$sq(>Zz7jS*X^5dRSf={BwRTPG* zwGoPa!v1LTiT$s*is)FYt~aG{Ar^xvpui<;qk5ez?Cq25&#fb!T-*^5rHw3+>WtM{ zgxv9>+&n~VHeK_5oAF-(ooC{wVw_lMg8_O*Z*3D36aGq}FDY;25~Tp(4SEL_LvQS% zS7&Csp)Y|Y2vTe?NJNv@*0eKK7eLs}wdD1gF z>n`&8z%{q|3V+;=q%5HB9GvL_a4RIgIo$v24oU7v03C|fA9=C9J<;vwnLEj*%40?b z8KEAdMaBhSO@dBN#?=o4n1Yg~rjU?&)?#e+05o(2iOKA>7w1AwZfY%e%=ckKVf*j zVlO&Tesao@;Bj3=zS7d|Ka!TO0Sc}cN(tU=fILX4D5FGn;2`wNFD`W=<`)JYmbnV~ zdvb}5y$5ojFPG87T18LYHQ;RDNw~?mXVRbHFmwkUvTHaQz*+t1b>C@?Ds&Y{f-vA9xeiA1`M^yer*?UQ2j2Yq%(({qGk=oBTt5HiGe5XO{6uN5b6sW| z(2Lw0KcORCgW&%6ml;@;g9E+=O}c?3ZGN8^N@S2}BAaiSe$apRo)GdFpU9kw+8MJ0 z@OXv=3E9J+(4nh`!zcWdbvBk~sfJwPjEszR*WZc&L$jCKV)#nf zet&D}&~5Y_zA7bhw04NMo7EX*Jq6Bh#iSQuwCKTWK6oRB@?>H2kfR~pC#GIg`dzgPTLay*7YkrEx zX_TF%6W6oe-kW9rq{jrTGs9y~ksmgIf=8C_bPEvmT;2j2#cX9Wz#4Z7^wYBeKROcr z8B)S3SC{zT3jO8`cWo&Hl)Gz#*|P~*#9Hy$2tf29y_T$5LQBu6qyHJAI4`8R@-`sX zbn@bZx6D!`FM>RI{1oRt8+8p{)2g7n0RwGqZ7#$5hKAEsaV*itiLs~FKgoA6ok;Od zWO{(*kjbxPon=-WxJ4l6_k%VA5M4lquD_0~Z1`SWmJxB1f3pMSn;6hmRgMW83ygdHx_!?S~# z?vnw(D*++5)b1Lr%tj$wKyOcY6dy?sv3<+b5b%ADb<1TM92(lIqN4IdCccxB=g~bu zzfu+H1ySIS%#|IW=pZC`8`lC>ES?AaR@ML`=hIC9daPge0u0>=yd%P;tyx6 zd3c#=89@DUwSe-j)0?V3PT~Tv3>C#XAh+yqF!bk(nGg!&c=T|RH$EyXD(T&ePtX!- zHS(8EWpC^}IU(4~G0zDCz&vH%vpUsHtCi3kM&TeITQSG#G3uy&hFg$tA@`xbv32s5 ziT9w&bLc9y-G$`=HD?pPZE*ts`0?Ye?(UC9-)^@|hSYr`Mzq3@f`WJ-er)0eZvoa4 z*nt1AmLj)@LlppKtY~fJLl(%aB4TepB=r%CSX<)9Sj(TBde?!Hm%T$j;B52*(`6g* z6yxn4)ZUoySrm>K0ivwv?s7jU*Lx3DLtzsZP;yPgnZbwRM%lAnUF}LBqwN1n!~#>SVxF^+%sOoT=3$B!SyEviO^-$t$1I}ByZ!JDQxnTi`$$|Ai8TUjBKzx>;JH8`k1`VHMUG5`_Np_!+*Pm^aI;kw z?Xr7uUu4UJXTfJNZ1c-5#GY7te;4(kL_-Ys1?^W`Vfu(By%%T&L<%7n25*gUX9gq4 zj@5%?m;pNv%+4z4=I8JOB)#Tn(nsof!U}M*0X%;zAt9mb*Dv2MU%oUQnh|vu->rvA z2AXf)l~#X7=QY*isXv}KwP{H5eZYpK=A%Y@joA*CH_hdOY4!`oxDtUm*A8ngAggoo z2Pi9H)zmlcIW0|nL`}39tZX0YxL;w9amFJt2Lsa{HZ>Y%2F$&~#))*ZY)JyFkC4#z8$V2Lc({5X?T28GsRXLqlj zrRiaeNp~+@+8sboUbz4MVWS|#bsWcz9jj<=Uj6RfyY$GE)YQEi8XAyh(Tibjp7Z^) zrEBIV8`Qyhw^R51uViw{w{iPt=pJi;M3df$qJcM_At51KfF5`EucO3&A3BXdLVOAA z9V#SnuH_+x4Hg!dr8m4z;Wb=y-0C#P_EJk7We)T3-AFKx^*mnrZ{M=ipYie{GBdYB zYS7-ivbL6M#?O1V?Xdj+W!AVI%rNQqhoyx7?d3v-GdeL6F~5I2efm^1E$QXUZBNx6 zZv8T};`Xc~iJ9oEaS@(_N=Z=tP{za>a{!OZ8D2n1<>hqb?rw4WJD3rixStF(u?B9y zXNgxYUA9c4y02gs5Qw&l@Py=oEMB_wTWxt|C0llOcEzVp@~5SIrII=`52uUXnp3n0 z8_|JSe`f)WOb~~>;SdPrO_Qalk?-0dqaB@7KUoib+Gv*ZUSdQeO=CMB!!-_8l^7fkLg#f!SBA9hLACmU=12NMd*@UqCI}N0W2P(u<*a?&3|6)& z0(gJnLTK;uwu;;(jXiuJX#VGK_G)j%Z{*x({9`9@e@y`chHM|IxY2u{`&^XfnNY9? z?CE54&gSOkEeZ<3+b3SVdesG7>u=w_J^Iq``udc=>SM~=G4tC1utOcg9Cq~*E**ts zM|C`4W3R&c&6+0e3NwHs;|Erq`>?hjV2YD;XW~cPcqz@KXueGnfRCGO5iJzVA&dOMu^W}SXNVIEuSM(l>DIG=dB7V2;1U5i zr0)iTBAsn_Nx4@f!{llz#mYUG?o=N%T0d4Ttm(80u zS2Q;EtY^tLktY(}s9!+ZK+3>zApoJqy=0JD4Re!BgH>FZJ5MbP7YW+Us``P94b_xm z81N{%vU|%U?7d;A-ptPjVx=Umr{T&}e@66qX2|jxnVDu6FUnpxHC-LW4p}b;NqcRw zoMVhDWmKebhU>#2%~zcUQ7w0YHrX6^cO?_+hD;b}@;%z+xPOq}t->SVnBz@UP9*Rb zb+9E~hD{A8kCH#X1;Tvb)|1R?G}DU@Tj zrH?qI>KR_{Y`Y<_ZX$C!EU`QYV~vjwbcV5dp|MJIUnNay`e6{-{K=hivwB$~jVkvz zy^-EVm4jvgHpbM~%LCnn`Wu2+vw53rHg!$rJT|k|RWgl$NJO$02Ze+?vzI=GlGFSnU}j{*|K|J0SIaIsAeu~;)l6P@YpjAw~Yu~ zu^aj>NV{ZUqc9?n(iub%fY|PYQ|`Y;txH57?4DDHYw~{{O<=iW9FWSGn2+auP}qWS120p;a`v zvy8w4L*%x>KYu#8nyRbsGjKaPIx4pelz$35>HYu`YQMXmgYG zlWE|pK1+g-vH??nF=zYf!G+l0;_%?PauYq*>U$ur)1}1W7BG#y+Hw@718$?V?1Q`x z6FT}^9BI&l$_#?gm3D*I6$c-u!C~|Ik$;@L52O`xoe9fPJu}amHKjXZD8}4+#g5ka z#we*RG_xa$ezzf{DCLb!s>s}PP%NkDD<>naER~N5Z&|QRL89@(6QZMoH(&=}@XV&C zMs)Na*MUfgddpLEjKprjLSb(3Jsz;hLOU&#V-U#51-zskbXa_K(qCGm-%aJMJ*60e z9aC|_YsxP4fZ3m25DJ^E%!b54x6u$Q4yW*lJ=gInZ6z+On>P8pkV;71 zaj53smJi-?p~+6g6+kC3o(lk~`~3R|X?7oJ;~~UzuBfRYId?~GfayFqIH+WAZ(mcU zf~S(u+25b}iB1^oY4=ffPIRCNBcLjMTT35;Z->&GD~%MQ$aB+4B|x_(uzE619{)W#pp3EduJ6!rd8MJ` zdh$fE;>Ex24}*e{#IH)M5EAlO;CNoN7eMYkt^=&ME^11^sPh8WY@^YtC~_27opMP$ zsdn(U$gCn&_~J3f#Y>j#1<(CFD%&w8Se-fJ6&e}}1uqF+asg(rR{nbq@y)r7fhfQc zZh=-{7&Y{PJq?KZZM~DfdYRp!3TOM?zZa$}DytvCzzmLV8Ditt6EHmW5PL?!AHGhmNZp}nFm-h~v9`@@QwLUEF*EZMO_$8jBFpMg? z(7BEdgZ`q!NCa?B$SosQ(o_ec!*EohW6pSvEd5}K4q@Fd@WB7XkRz^BzH^NqD{M0l zqVlta4gr8J*v9(^Sf^kiWSxeUu|C9{E8oF}Mf{SHTbH2VocA;wvH&uT4wp$`M{(g+mz)95I^BIcGZlSa=z~N?)to4ETNFN2xkt)%&Qg?4N zP>flB{`}d{Ii6KZ(y;}gorN+D+vn6{)USi}Dd&fg@Sb>l5K+;VAm42l*C$B`oL~vN zZc(PFxdLFUae%S(PDy0LKukFBkmwRYtgf`LcMmZ8$^w-FH5_WAO$}AY%$&><;sPJe zP!6V){JX-9;23MSS- zK~QJ+=xy{#h5)Kn0a5xDP*2ZPeU$*912-g3y`e6Rt2z@}E##r<1ypQsuz1fM8sQ_f zVh?W$cLc>pGUJT~Wzl}se%hm;EYGy<5;_7t^M0dw@33nRnVe7{;0FS45C0xm^Q->N z1678E%GU_+xee$6;PFKOltM%>3L^V~%!~Ll$2Lbjze07y7+HDtV1Uj)F=U|gcc{kO z%|v-(9{LC@+H{m;8%edh_N6ch!KX5 zLn)8G?Y@Mo02%jsdXArB>+T{B&&?vxUyxu&SxqX@qWNtYC5l$+(JUvZ2)@z zn0R90a()SLD|Xi{q<)0ODm;8;6VN)8)kbaz_}&0=Uy2<+ZMsq?9c#CvrguLm+Z#8R zTRBU6fpwN*dcyw}nwQxq?T6i{(Rpe>96s(4+H=!$5TXqskfKGm+Ld}57r z?SVt0@K@264sS_Z0L=7axMv);GPf7FM`k2C$O@;R3qAR76fl2DtHLn9ib1LA)ZA;Q zh|0|aypAqa&_`>LEB-Y(NRn!m0N|RbGg$T57DV(tG^FM=d_PDnkl-=Tuq{xkV`&Q| z)zP27;tq{2`%gn#k4(Vw;x9;1X{N$9$2y+oP`-p)4+GQH(rYCvFdxy+Uz`LIbJE#k zmf!&>+hh$L$uFBC77D`p1a^p*W2TED+02hHR#v=3w$Q{aLR~*unZw(AKr-Cak4eNX|vYG;t;^~l|%L>XV zlYl53P=$dqrE%57QbSRF{@|of-0E)Ri4s>r2Afp0w=z7lFN|OoZX31c6uWho zX2LUqhB6U*`Q@lkQg*>UNaAk^h4yckD=Pm4l96aUcI`=cvaHxCk6%v5wBm%K&ftz zX-=v%Q`v=SWONR}z~=&z)&ufLI$xj)hEOInG*y!r)5L|s0@n=KO9M)Y_*Kf>>J8)t zJB+IFYsBwBmVAT{ZyxF-1U)edXpL#$JR0z9^$Rk1=0vw~t5PT|TLRJAV8=lm?SGI4 zSh>!ON1U+iE79>6NXU?5=Vt{54n28n^cxX`deU%6l`=Kb0)*>gQ(@8NB!v!GX}&?o zh0V1;N3Ew-%B%sg^0TIw)v34~XBDT?GBF6u`S`b+h_4DkBKu3;5#F2gpc(?4)xBPi z|3{4>N`Yc?`9O@H$>rB}j2|Rg3W{*dr5DkuD_}#le{E1)xiN4MItdDpk^KEgN5=ab zfI}Jt<4iu(jm#uvt>$<(2R59BBb=a4#zVI4`0rmOkFVO30F2}{aBs)OH-?h~JEyk& zoAi?(K=pxe4ii2bJ|H_~kbT}wW~k5JY^t9)2!IS*`&TN#jL8KTxbQ7V8Gp+NlKLNu zqN9!pzAjMm7quTbAJ4_=m}`aAkjmPBSq9l14AzI+jEVJ(6GF^1~PG~lCu6NLf5 zqWwR=Pg+w|u0ZI}qX0ZYhR)4Lk_G>5YdDChl&c>sjQ1(e<@n%!Vtpm`r{riktMhuQ zxaQ7n;2yizR;tY*ajX`i0K@Q}$u7aLVe#EuL`o45Mj7_D0Jr|mOM6<^1tM3&lyN9*$i&<9`W2l ztk-!G*-fim_lYe~+qnQyAa>l*EP|h(aT=;7_ny@(LCrP?x?`Zct!DWM@M%|}W|Ml+ zES(SrVq_Gbv7Ck&_XVF$dAQSHy=5ZG=H)C&W3CF*7jkUT83O zHPve9HBRu4W89D@eF9>5&Cu~4+0F*eLtL(T4PY?U(vUlen#zb}bVUHeD6p@82@M|o zoJ5dAWRTZ!uJ;J)S&sBWY036AQk|reK_#0ezkwVQGA^Y_83c^R zp9yt+@&e}K>v>5|O^g z%iK1=rAMWg(^2cPe>+0Nr*>=cdu}Ecb0P+K-Z*NTJJQsts24CGEkbPtl9EYj2WVC% zrcQR2p%!>Pjp$Ggicn;ZduM{)7wJ zn~W}g@ua%}6tPinWNiRet8IoQ`cG&98Q6{q-;|CHVv4^7n%Ds&GW%SmXR5Uu_Ct#Bt<+TjDw zDZD{Em*?)akDzW?dfP)ao!FWWr(V7*4ws=`M64#Dnrty*QO5-a6IC1&E30PUB}ll= zr<>r074y(0V&@c-jz7+k_WR=|)Nr9nIv#@ZbU&qX5 zZNY$|y-`{>&&wb5raX&k7_*MZx(Geo7gXY$=v)&!Li{ye366kT^*Is$d3bmD_#@zn z9rcbA`dC)k7jLnHY_?TZ7vKgfd!gX|Iv|)- zf1&RU*J|8Jt{|GvS;L`z51K@%S~$DX2&%>?5UH`0k`L23H$6&0G|FlJI|q%DHwsVr zb}?($5dN!U=0Q{}bCb(LI*8c62Q|T1;TC6nelv1dfdR0Q@I{l z(74nWH7u{_$@M7GirG)285QUa;&tz3|4VVBp;v|>2D^r}sLCrM~s&_Gk04&y%o$!3{jMUyDYx7^NiUA7$-; zK}&+N2`Fd~B_H5O;m`AN)oIh2A#@4gFp_c@1FQoMV@;`z&D z)7OFua>P4luMu}DJb+T~VHMq=(8s&RtvfzGc&8q|lWH71ba>rZ&L}OBj~(Yy8h?ha z?l_koqqFgjdi3>hv(3fIgvno4_k(A*eMi>q4Lb<(MQTc+34NT^r4^9@~vS0>&cYV2q~A@ zTY{!b&uG=0A!Rfe!JQ8!VO+7g@wX6OS1Q}?t)G7GB;9j8a>e8HbdquLx?Z~G;jJX(G-7ig9!9>>Il}+fd)hVBZBNPGS+nXmvRtD4G z=b4WO@L0eXYDkgU=0**#8PCV<#jF}5>%L@MY|SmLXB-{p2!VJ7m8E^eEV>z>)RU|6yum1yj5` zyuw0BG0e|7yJg^q&c>Q0VxnALTj0WHujL_g9&oG_kd9F{z5K4LP_;AaZF~%>2T}F+ z{OQQyCdA}{F&$c9LMGs2z4-oi^1J8$KnQ21oMit%@=31dss?v;uD8=J7Cw*h{AEV* z^sjeU3^8v|EB-A)EI4sTje{HUp#Q<+mAzY(aGJC;`1Pzjyu6L4{2ep1>9++@DcPBa z<69Y14B|IDP(36TVCFJrpKd6&@qy}-s?)ag8Fa%Jni**aF|96;aydcmeDkQoCcR5f z$N=|%umRbX{KIwI=F_bWFF!~*EGBmJ3ZGMC6O?J0MzG`(NAb#$%ST-myN8_14ro2o zkx5I^7ad;wB8FkEA_K-Ki|E96MZ4Jvlf#$o~QMEXMiWC9>M~3>)9ilPj^7P9P4?#ykscG{Xlhn<@do#Zi@mX#`@NSSh6ye zj5We<9kj86YGO-|S|Or}zMYZbsI#(s;&$RWz}*FuQRsAPwdy5YD|{UGsl-vF;uQD` zh2Toel3JC)zt@SqO#{7pcPf zwTscCqK*iyXG-!Rp+)7TbDRdsnV~#n9^MHKR0{>OrnM`dD7$2a;x zr44FFgY7C-mT}{7dYWT#jrA! zY@=SMa~mIYFXVR2Ihk2E+2m_(yAUr7YBd9u_Rbc@+iIYX3#k*R62Y*^*X@rvApGSJ zvPQRJ69ChMCbL15%^w&0ep{xwvyntB1JNBnM+iN}SDb-L!5Q!c3S8MV+rP1Ax7jW7uZ zv;Ld7ZUZCn^%^{v@QMyvYg|M<+C6@}cwfEgA_P*QK~l+Up;vI$UA#$~PVS}}^gKLE- zc{rr{5_SnmgJjib1HnD;)`|@~hyNQiGM`RE%oeW4KuxDJOZF36Z=zd$`l*Z=fsuvm zT17Tn|GEEx6czg=-LL&e?J9x7hea38>JSy8J>r|mh?}$XO9-N)c4BQRjpbz%L|xq8 z!zG>VaDi0dp&{+CztxD6ZU+2YHBA{+vgSYw0@w=004#kt6AdE+@K-9H25|Y$>NUKK zE=2sX8+1wCX;xjA{mY?QJlf%(b*mhsA@C=f%Wt;s1nZ1iTm`?|r%pZ0+Q@Q%T|igs2O@*eitr{O26t%#ktq3MSpR>Fya6mF_{InMHuE z89k!{)Wz-oLmS~G8PdUhgt)k4nbPP2suk-|*B!gDpReDeUGLafl0|g_lISs5*vlvk z7Pda-!<j_oL4s1wPSNkVq=UC9tl%XF=6ZM;E1xIw6?g zDREo4AEDro%Icv;7Wt_O*RjUdHK^B^x1V2`JHI&<@PUheU)Q#4Nt-uRFsNMi32fHF+G36XXZiX4RFc$*>)PrDb+5FwmD z3W3L67`n>21}SnK94yruTLZci;37*%(s>NXc(B9mJm#iwtGN#}xY;=QRb%pmg>w}n zR@E^Ub6BJr9NPFG!cgq}a89`Z2@3V`11zn+^%$4qJ*qj9j+Dt87&Et;1(j_cJcvL? zsCjcPxajdW+GAx;U1D$Js+rK>04l^6xnBY>9RYmdRcY%FkxL*5w~>L}$EC#!G5t*f zBsJPV`THPDq2T@dfD`KXs1}Y;iSYu}LrF@&`Dfy0xBOG94uiUk%=rD6oZ}&DQhpr& zcNqA+oXg!9YLrPJ3YvRrr#WB`}6Rv%YnY)NO?+FkH+Ju1%^Rm)HoU~E39$qEn%rQul~-p-lL_qp^Nwm7Jb(JJ0)k-ouW}uY z#mN8SED%+^@j3?d8o2n9o}-lQ<7sf<1K{Uh3^ba_i?Z1F(xTvnbKdNbyGb=l z>wZy(#p}i$EM%lp-1zY+p}y^~9?eTJZzVsJb>IJY%uHqU$j|m#JB?H!*GMWEhO{|D_zl3vpA`p!@i6dLzPnwn9gW2>wue(?=U#6ZS$d@YE`2X~2i+Ch}3ZQZbhlBXrTpY$B` zWaI^tj-pCt^RMrBNYU0BC-HgzV@*g8;!#G3M%r_f@E}+qL<1TSOTnYT2>*UPkLt9} zp4i}CiW_C0g+ZWd1LS7a+syv@%ulWWz1z00R%tI9v&2pq(15)?kXD!w4%Ww@qe!KI zW<6#h4s(DWk@n=rJe}CNnNzbKz{skvhCn&g;0@gb=_MEcg6O({lLb%!(a91-1*ud3 z|9%dHJ3am3m>H6%JDoG8UUQR7$~mfUnbaeD$Ab9(58wjy4PfUXhsvS-8#^jFS`@wS z0dXq$BcS4g8SZKWfS`zW6AK{mAY6M%fqcwtV9cbS9s^$Nr+^nlT!~u+*!2U!0(p+6 zlj|3$WUy#GXrJ`2C0K72f0hA838uN#+Zc>aG#VX_4VF-dm{ccRhL2)_7MksN9cfJQdxA&%?l{<#-#!XnpeA}4jsL+UO4-u{x8csWT8-V z+1}1p4|~*)?~o{$3b%46W~S!eYYM)j+y!0l#h0=GS?AQ~iAJYvYfa z>%4?37F0SfWd6$NnWyuN%9w!DhDE^4*~tjl3F&8+|LP6s>kvhLWMsr!&JkLOcV+#W z-the>^YVm9j&Xx`k_vts{p>+)0kO6-ZJ=*#DhVx-T#j&6}j~_eYMp-ES+dA`mtL|o;>qhz+-wLVqE_d+L1;Gyaeoa0@wrnO$^j5** z^OHqDhMn-JF)R|$`s9;Ei@gw$8&QHF%O_w%Ph|tQvr+k9PR>LN-{w~9D>3120n4_u zM9Y$j;GSm$)Ww_u=UTcc>Cd(OsE4Mb~$!ijAL`oLdfw zfp+Ni>w{YKZc{*Fp9b|5?Q&&KOR3u{b*-h~;or%CNQVmG2OyC%_yMgb;MXpP=?Jns zTY{O+HDwfLLOo$=SM)&fr$1+pK!7^_IgxNvafpE6e5LS(Z_flLw>n@i>a&+AMXOxx~Nb@3$Fh{Nh zK8q_**iT7r3b&Q4H41=P@}NEw?@}H)uf>_vg3>iV*g=c5n_Oa0ob2~|pV=~$AdA!h z+_-eJR3=W2>QyFBDdHe}kQ7saFZ*sTo+{b5^3 zbXOh;qNVQW8{&hkGeqF{zfQZyL)BY@iIjXC_*s?hOY+{Wc5l}qQ()#E17%WF=_!IM zobFP+QU`iQK~yso5unjeDVb z4rux9XB6BI6sYO=b&f?Eu>l+NYa!-PGA{N9#rDQvSq=Jg!Z=cRWhIAU+fZ-nx7?be z+iE12s>rGA%YaX@m1yT6XJ1q)xj7Z-IMpV>eu9`X}iA`Fgu1L!H>WrbN+sVUx2exqF zl^~aMaPHFldHCf>7r*sNbij;hp;kCh2O+3h1D##Vaka4{ZdMo2v%rY zl?&x4!M%Y0?m}S$+Kz7DzU_I!qR!88`qW}u{aA!7;RX??U-*+D~4$=sE~tl8m;(A zr*ZHv&S-0cCF5n7&em~*F2=Ed$2 zd;G5@CagT@!qO{g8!eH<;?q%zJvMNFiIs;Le!l00_altYM^r4|HURe42DQLmkW`Z~ z8cq%<>9>r=T04_b>U2NXT@nCgl(V}8gH4k*X>Nrju(_`?AV(5zbhRmw81b7L@uT>` z5U2;FW$$qELe{#$x^sNw7iPSlN>!f}Cmb_tP9QR={P zK{a5B!3$~2N*C&zv={jFcX~{AzHp!TyW%i3QGa0vjog>ocBo?i7^g&`zmb!{T-d|XM`KItbD2(^f%kDnxB^x_knv3%Q|6{nW$^yJ2Co1f@Oxw=P%FY`E0k24(xU9zJ0}e4)ez5Ey$Id*~ar2YM{oGIAYa zA&+~3$ma!d3#R*m5^NZNYL4=mjW^KMc&I_(ZLAq2Br}n7!e%affkmX0u=vpsAt8xk zkd5n34nuP}K?DiJbof?N!;2C0{T!ghjqFRLwyAP!2If7`0m2=<@=?&67KypPn7mO% z;hkg7K4jG-nhd!Ou4x*RemMB+3akK~`XG`>!9IvteEEPY@&bZ;aodFfO$AF9e*o_ zO&4-l19F2d!YY8K>6W?I^aoO;z-CDST~UnxXG1(~wpE!$zXj6n%v2Jd&E| z+Oerw;oj@)pJ!Q0nVqVFS~!4Q(&6pAlgJ?-8eJ14W8;hN`f66YSK4_Q0Ih_2^Dwt> z+k<j0O|@jwFvzU?8suI30%99X*XhdJ(er+7c4-J>%+ zZo-T_TH^a7yu@zZi;p|Kn8XE8mp{$gRZT11tX(3u?QktI+|Q6x(z{f?D|`gl>fCS| zg|J~KK8FPe#J^tSWPrhFHjVwus($@UWtA*~7rV z8}~F6u?N6i0TlM83 zGQ3q)RV?aCVcL6Uw`MHf6Fv}cO{83J0{bL}yERUW3M5kU%yii$a3rG+S+3M3eTDzNhEbbJ19LVa-e-S1m)S7(jE5PI zSkZ7f^0L7nCx^Ogh<8(#!tEFrzikT}eKO>Ra>={(D8I>+gGEKTbs`H1xym>+#zs zp{S#FAfhR6eYMj;^eg1P8S&M??zqfk;YXi{h1e3<)ny;UA<7=?Pp9Xw zZc2N>t9B7}QU+_?_r%`ghZ&z2oZ5yAiU9B&fmEi?kIFN_^YB+$6yO@&7tmbm>V?Oy zSdVG{G%(-oKHspDH^gN%8JOR-@z`d1WvImZ^+7DV0PA~_w8_3SlztNr|Bd-24-Zi| zw}Fsc1VrxDQSFTnZXw^lA9`Sl!&PIsp`9V;EBD*M6;;I}&3n0zafH;ltcL6Drdmoi z!UY~V15daTol4HM!L8XVc*H%CGAGy~)DaOn@sBz5Ut1rGGtPCtp6yWi9$Em55bxAF zdzsmsU2_EW+Cgn?e=RyC&sW)6QSmU5-K3sr-+78n;5m$zrTb$KIcrG@v-(;w=S4JR zk>vI%zE?!2SI{3!hab$g!%hYYaOXHNTpPh5etbCrLwdPH@L)k*Bz;l)&+UQ@r=vsK zVDrz)#Ps=1cKMwO-r0ERE!>2czP)a!&N%nosJ!s`&_b6AFC)oV`;cia0~f?aw#3Jp z6f!_5wCTBX=V}L#&))yqW9)Tv_*=LGDbuC-9s`HtWhU|L!AjK7=@h5hNYHM$8r08$ zy%58gS3UxvKxEcmXY446!KM=Mh#edW6BL<{3ejojjX%N+k;(e|RhT2e zFTp~T0O%Sh?X@jTHfkXB2O!D5h-qX5x4AkRHy*-$fsDpVP}Gy7VN*`E1FP)vmN$0} ztRg<&WB7+Jew2^kJOrW1dM>{q^I*BPmOos$}**fN?_1hd}W{uh-X5pSM^ z%dvTQrL6+1>e<=Zw@kYm_8FzLnLe7hnr9&ZnKf=E$%bNx57MATVjMX07}U;j*~gq4 z&$#$80o}riu5SC*)BqR1tU$MB{*g;RAeMfjU;we&}cyPeJ~YdC9D ziK_Jb!R)%0N~KQ=U@@X}yLK3=K$Ru)`L|5l*Ln`f>BbvyZqiHeHlFC#+a?A^uelvu zg3z+t6;Af3M!l@ZfSYpUv8s+VS^h$dRG)n^!|?mzel&$DOF_H0RFhr&obatAhOKAAzoj8weD4X((!gOA@~YC(fXM`UpPq>`I5C-IJVw z!NK0oo;^Dm|9IPIUY?SghQ@nH<|Wrf{14uYXcVQoturNL(dJlGsL>E5T4eZN$4xo) z5UjM&g~8p_=q|$dS&6-!o^W4!9V8rv?Dv80M=t$p@NS#d-T`hqj}CsQr|vxnpMQ3i zACRK^e_hCRY3R`;Eh}Uku}!bYxHXLAO-v~DW`XK`<&Hmz0BZY zH`%+}7en|K|MQ~7KzsjZ0l)k`L401<*G!b;?rfPDxDpgL(y*hmZYN*!C*P8ze15|2 zuRJCOZtk?P9O~?SzNuiHZsIDhkP9olYMycCPRb4Eo!O+9C-&?`y;~>ei}&3jrhXxM zd5`+x|1}!pP9@!(FFM7x=Ud0><~=G6^O(piO`UE(DscmoXze&jQj?2bxJrS!7DUd} znmPA)PG`y$kFK%`9SH8s^Mgx-Pl^n~Ev~-M*SLh6LEI~cSWOLZs|yHb9?Q%I-~F?N zvcX^NgDBm{p^^{)c1=CyV=#iQL<01vak7#1ZD|-^k4C7;x;VX`9L&2(37^dxEwS<4R;IA+R=%mnLMga=YR~#4r!(7s zxm-Nc^z!%_$u*V^R~S7in<^(7Mmx=yy^65+HS4E$G)|4+)g1{Uye%!;Sr01^q@M2{ z;xTN<>GCt9s*Tg+1o8}cu!B%r(epu*M`;S2{1^5-vFRUTpwP{#rJ#6sd%2{tGf%MCAQ#zUk50f#}d zk&uv(sk5^@qW4;VpYZ||}&V@HM z;=)0n{G9KHU$lniF5Nsnlsok$G`4NoA2m2m3Lmyw{<-Q7&SKJz8F*g4m&tiGnT~V= z<+30tMwQFLtOOue6U|h}6+B9b4w=UG#L4bNe~VYbPe;c)ck)3sOw--c(_4w2T3;rL zOqW5Z?nyW()68)l`c~W>I`_qsyFes5IQhiDLrT3EP75k}?oT7=^bky-UY?-dexTs> zl;KEL=MM24P+0{ZA8hKB4u1*h01Lcv>L3#-{z?JE00S#?IFC;8%22JTI#!&eG{rh(wK0f2YbTu0L1_H)G>>H^#@@AQ} zb}5N0wJ1sriaR70;Y*G-_FqwF{F3;N(c|J&WPjLB4@vh*tG&I95ps)vRX^tJQeyuF z$L0;pf3oYD20fSKWtOn9ln}Ea)i<7dGW%A7w)%=;TDfSDsW*y!(&tQ8&XvrXORYe4 z#|Q+VTpq*b93UBhD~bPg~mKJ7hC26Ws2;ly<%bztf5 zr@MFW-WDmTREzZ*YHE3dgXf{*Lj2RJg|ikIoIhx6xVp^km%Z7*w~}qDP0%i@9fay8 z^iFipJfO#ozq8!pwZO0O#Lag0?RU~frxYqDMkickRC@aFtS#@l#1!{Qq>Vwtc(r(; z_xl5(axD{1pYxsrg(7M910v#%X&2Ke&bh;vl$Ms9^X`ke=FSgIU7&NM8ww@7J`Ln^ z8?VYYwfldhy>~p-@BcskN;G(tWK{}fZEaz|&%Ec07vG|*V*Ss&nzXS@L>52ht!RE(M`TmYh z?yoKXhU8ZZOVXUH&3hDG!ZbFtG$HF4GbU@?@>xvT@JC>S@=uB*IKl}U?U zgrcV#pq36j*X9Vy?;sP;Oxf3-V_+qG*ZlggC#LM*s_BilIe!DGJ~FIR{j1K1fH4t) z!}NSk^>&xT5|3OM5)g9ZTBcPXTfwZr!2Dj$m7%<>nrN**C~nq$vdeq1lgAtmTj}%r z^qK4u!7wU629xs!XG(3{ktcpXKdX3 z&l8(02?d^hoXb4WiS*Io+G7_oZsadS;w_IrMfmXNJ^_GP{bH=KGc=kn{h83Yw9v%V z8rGg=VD*lEq%i*;Bh1&(gtQVgQ ziy+soZ?M3_WO~qqSgvI}IMQ&EWw-vuOz`yT8J{*$z&V`dn*-(<0Po)QrXN9&a!7F5 zG>WuLob7>wwe*Xst~)Tx*>7oaUh+#HmZ8hizuP|F#AH!jsM&k)k^Gi_6Y>v-CLLl8 z6Qo~i$jvIEsBYt_m$d#eDini;Jh+oEz57e>SUQEZ4v};90e=HK;5!2l%bL<%#Le!(3K1>}aj#YvzkN}cUS!?hy7?fn*XEH+|w zjc;7&kzy78^V02=6%i9E7@eTiXKN8Fm+|x!2&n*BIyIc7R z*llz|MMpR9qXH<_$Yb3>IQ(NsuXHTdK!Oa`na5`SF~_rHY4O{=8UJSClIfAwy(Y(? zr6jG#ePDZ&gY{mE{P?jqy@NDMR$k_$Pv4E%-Q~vmT7cP!lJ`^WUbO3MpgA}8NsfcC(axzJdKk@u| zg?nWW0K&XX47N)IOJ|zCUuU*ll{Y=UYV!@G*G%GhiJ;W*k`YpaGip~P(6frnhhp@l z)=cZeI*u??z1LsMQf?6B;?uW0&c?9K8N3;jogZP4+^d^ma(w>h`}z+-|1iF#BFc&^ z>3q8owuNgx`Hqd7L7W^<@w*;$pXZa_$W<3z9-~*kw1OM_k&<`#tvko#f3Ufb2n=yW zyEgwUN4wIOUBpjl^6KYF zyF&#DvlX8*W*ha-ljKg&&Q|IEASeZ{d}=Ao3EIkFaDxNf%Mq8EnBn-hJow=;7WPV)x7w4%JWjDxv0u*AO7pcjHmy<{{gr|$su@BY{tZCKRnEz8Z zhAVZQV57CDJZvI`cl8YkUg*t`IL~9aIMXDlRGmgZag0{;UY`EGox6@ej` zqkDglVlLv`@y6$V;3CeiMIcJIapj*u$BA+1HoZR7_g86r`RuJ24Z(7`Wy2@3+mLKG zsK_@4mx`{y=_jo5Uz1=eHHlQqWnmH`G?|KwdsUk)(TNn*?Il`o|L&=FKx$dyZ`Qg) zH{1F+gjXL%h29({VqzclHi-9V$kX#=7hmES``N1M5q`@LGBFwg%$MJ)vn~9b1l$4y z8?|1tu<`@}iV+M_^r$&mac$9;OSJ?-Txz&ss)OULmOFcDNYhB3#RSy1{m$!IS{{O` z{8jD3wQ6Q;g2nIDT=)+Mt{*$G&P)cA#~*XQJWgoMIC#Xx29l<1BB)S#95GLu$vR#; z)(;*OMoOAvs^Agv;(@kDbc^c2d6LPmW`ya14M2QF@Ercz3e{M#P_<2<6G*@E(NA5~ zd@!-J1SB*?2PQ(6Pm>=2f4`k|3-W{-Ed}bXc7YlDw60z*Za#I+~ZLxjB5}~YObJUCHX=~DrEIz|#SB(7mAEqB!JFoO@%4{7^ z)k-B}AJQbw&U(wPMa1GIuw{#v=6)}=j3cStbJ9%mBUY^R;`E+8ANROd1x$!mzwF&x zEjqEZmCuTF{xc{Q04EePjM`xscAVpXxuDB<($d|vcwfE0Eop{04GDyxzO>a zKACN}0Z)t`2Wp78W{N`BbSD2ifUiyb}zk zCeF>VcbRX{Of5ASZlR6{xbWk(;7!?MB*O9i4{B9;+#n?_DmnkLvqErR;?-HoqjzGC z_&7CxTX-TRMRBZ=KE_+QplV9()2DhWuLa-yg3p8B{waLJSQyPzDwqDP?X$vQqYFEI zy90abU;yt3L%Y~M&*1=%=;MkU4a`14-o#A`%ONAouC+R zuZX!`mA%eHU_+6+aGOZ)sv|<4m1D9}@fPMB37``qfv`Eqs%ju1PZH^Limr4lmVHGj z>CA1PEIiir!<+oN=S?#~41;-YOJe$8n=?~lI6WaV?m zf>R=2?meV7D@U}cf!ECQ%22@d1pQ*x>7KS|wqx6U!jQ!|nX}S2N$itqm86ym;x4XE z^)D|Cvh};D@%n1vpr>$6a+Ny89oVl1@k=H*c#TeXbiWV=Mrh(g9Lk)&7Yz;BL7@AR zjZLa4d6^5tzTLYYf*zT|)N4Z`;y@7PF}2F6Tn{V;^v6KT-^~6yE1jM`k=%tcGz&$! z-FsfFh1_MP5J?8n2nE$oq|(8FNjM?s8TY%RmMJi_z;OO zj*X3F9;YUCwKV?*a=>*CI>|M&Ie^corvmD5!G`my1P5rE!`yr+(~w6?^Yc5x9hiQle>jENfHmBW7H<}jCNi#n{q>g-zI@qB0xP} ztfugY=RjT}kECtG@D6zq|9Z;a<^$#L?^KIBNNYJyJsQuQ4yLyFT7HF1_*TpzmeCKx zeKT!^n>(|}8Q4dB!on2&4t*&+OC2h)^_!?JvF4L`uZUqowCStW}s>%5G?_;X0+p&>h29w=?KH(A6B6m<= zBe%4qbnDG8`llB44!6}lolQC-=b%}1?(_in<{eX^wbpid2QJvA8lmu~ z$2VV`f4*?g*>1^a=op#OiMax@3ndKMcE_{77o6Xww&6Iefe{ji1EAQ>G+S9VnR@KG z@^;?6ps|~p%6#a{-(PFp%MG1wZdV+QDpFx}s;EO{i7vpoEuMXP8BpC2cP+EwvQEGx zi^kCo$SxkEIW{S?D{E$O-F52cU+*uA8-DD9W+KN@NgC>eT7cZzR%N-N>nSJo$%lhI zrk%UTW*TQao&}h=hwf=ACXsNpo66808?;g_O0JTd(oTGH?)4cacTMY9=69oFVIXs=-?jO4zO=NaHnz-OMtt63?yuW1A ze)A-v!^sr3W}>^=+p?ENt8>$P$D%(BNClWsSX4^&d3=izE>Sl+a@y|`^tHdM=q;b0 zOOB4&@tEhz?3-z~Z{L1WQ*%6ze|bfJ+4P^=fzlF29-__L_dX!wO=m>FMk@_sFjsOke zg2adtxj05=^3A0{`;x<*Z>x+I5tO(TPxtmYS|P0ext;4KDrg^c(o zOz9=@@B>Ybca^$*BCMPFH%r$6TB6dzP;cOdC zBQH%8PIG>su6ZK+XIV_=7ytN&s=7%+LR$_RRVZG5szN57ZIroJ#jcCordW8m-M?Rh z?u=ITqp6vJhG0s*JVR@ydxwD$Z8xQ?^WpLQd<<0N>f^Fk@06>the4^)a>#ltiKbfo;?99fTJr-S(h^wf1zkd{@h-4Ytgl(EyBJzBmcWY!H91OGQ>20I`ck zM@PkFtn5QkSiLyF(+td!sYTenm&bWEEA_kHTp3FUP2qK(6CHTj*!t=EP~ZK0IkJ|y z8I}2BDTR{K65Aq=jfdG5a=CS8M#8cr@9El{r1HCxcoVqn_;vAy-d&xS@~zc3@z<|k z7nftI9Fbz4ts(Dg^1J|+)0`H0u zB8DOiLQ=bdmRaxX+dh0B+iaRm#s&?f#OT~#Cq)xUwdy=-XrEDT5lYO9o)EH1I_6OH z(OKU*du-f#3`L0hhAM+wwTM6Sc-{40Z1uD$UYZ%Mni+R;VA{%UQaqG&*uS)W;p0WE z^xT?9Lwz8?RY(Md^-t~X3^3VXtq3fIqUq+KIo`kEddO?t^{p;uy%&0kD987UwOI38 zgxk<@mq-da?&U}C%Bks3+92oxLZzuCUL-k6Bt<*Z?=<&f$>nrW{GG!*(c`Z|kIm2Z z#(Nqq%Gt*1X2h~M)KOtik{UNzu}hA%?z1RNOD(;V6-g(0gR?{Rv+4U=J72WzgV5Alr^r58mAP(Ea}*FkJcir;kBxNsjUNS+KPYqLJxX7;$mY0@!!y zzl+V#$s99)mc85I=rf1eMigzU0tK-cxPH_UJHLY>mBe0>5xjAH&qkUA{> z@Ie-|*v$!2vULvO?*|OOFnJhWG?!~sTt@~Dy~RV!&I;V5O&ZK;Uc%%qEsT@`K7SKu zDbeOAgD!WMIrEm8LT#R?QKf2aiV>%>K8wk7s%ctgdk#QTL9VL7VC3t*k=gOCvBJ{r zywY3UgnEs_a)lOu3HF}QZ+fAquLsFKBY`KiU5nv`qKg&PD1fGr;Eb%hhPB6lbC~&K z$axC)u)w{cJ^kxD9|gmR!PTpg^P!i+v@YSMo-UDi=NZ0f){Xw6@ugav*oGnaq03Za<=9Z=T<=)?Pu*Wn zYmao@;ge$0aeks#@H4@WG@0FNKI(OKONQ8DAuYYzW}Bx;jmKI8!}6|OnNJX=xl}nm z<<}?2L_E$+V5(_DtEL*nM)SL3#(!QtFVUiIp9lzz#z!bIhwF z376xwD4k)KULz`$&}aVnp%M=LI$@3-N|JSK8}6l)mKl|0K=-~)RdT-C=pro?Ou~yv zAU>xF2?;r4raPzMcFqv*pg*Kz#&0FrP+kZdlRl3ac>`SG^HD4!kaUQmLDJ>;{5N@_6TL~7qz;FC4sQeSrv=HB z@6}5`B6-H5(u_R=Xl57G{f)Kgbr&0Yivx^~#EQcOL?OFKH(OJq{4Y5uZ7gwudicVvhWl0HO`O?JV z+x2}9F6rIN<8|3rrPsH@*Qfxk8|iKM^mUz$N+F<${)F$#}#oL1C;GspIswjblC4;ycT7sZ%F1#X1L; zuAVdQ=XiHa>?UK)XF>-)$4y1r-BAE4p5tawh<~1O8(h4R`qY09W}#Dsoh1WlFPFn3 zt4B=MGkJEJM^7?teSRi7OYZ~tV z){O%$zCP}d9JSKUVjuEFWKAi(hicxS8SEMrY*keig5%XSH{{mW6)3Fu-e*w|&sI`a zbT6OY{4+!-8|GS^HyG=PuiwHZ4w2SOUVu2XaSPr24aYS5{VVF0ZF7!pFaH<8Zwz_*#u7{ z{}@DO+7Cjf*Bz$P>qEai;&2#OG(;f@x0c@YaBHINNL%K{WlQmuY6#V|V>t=ChB4Z@ zx-ai8OlR)Lgj0a6&^>?l@*utA==(0`*Gk^S(?iXw+u36!PcKR{+Q%g+d6=L@o4?B+ z@BVmc=xYi(Vtd5!;SVN|#PK~xWz0)_LTL(hv(Yzs=~DEKpY~LH>DrI=&wSJs6Z7^u z2DaL_!_KbZM)sH)g9l%`>XN*1-|O-3Z=jmHKX=wo@ffL+$H();fvjHhX``#DZ&T*P z)as=n5XXx^l^vJid`PHsH2m%f@i7@xOu>wdBve)hi}|InA!4>Ccb-)cy_zU;@G z!73LiXBlr9JcwT%#{2x)5YNCy-g6H^LP0XWie78{gcMt+czamdcHd$ zgxaiM+tz!;9J>9LH0j?on=g&JNYoErqmyhuCw!yCw*A*DHFZOynMN=My+y*))2_AB z6FD<7TQ+a-6XmV~NYA_^XwUlNsg)oPp0=Ann?Q{l3kiHN>IZy_Nj}9Hz#D-S~vrhmD~##?zA^JjO?>o8W; zW&Hh2MaZPfz!g7sR6sR|7Zr|o?(gUJjk`dUK{eCNF#O2K)RFzv;U?3i23~ba7u(7V zTwbMt0VomorcIkX=2)6mZ=hzP*6TQa^FK0?-$Hf#1?^60H$PU2a~*5P#7IjTp+Yf6 zYwqLS$%ah0U!I_25*=_S5plJD89KC;QNh_;W&CSbxsjO7;Dd#^Y5(eG^s#V>osYRt zT;fjmvMqN?(B_ZZ{vYgxoiq9EW^QRN7VHk5DJyw3a{O)ZtaN=V>4dGh3os3^9V+MNGu0~zvhn6i{! zJ`D%aX_)&y$(;AfGWdI7BYqL<-^4H|7LwV(#?S&jf>txll*i>kg5+r(`Nd#nKeoB= zr8sMsA%nq9R@C)JH;emUdH~_)i5K-Rx@e8Hk9Y<=zJCW!g5z+5+ZY#ZoT+cbr_I=w zEsnoDv@I&#$))|43|_yK=nOJzqRLYnEU(PG_7t;+7(mu9K&KKw)&qX$R!+gE`g*^6 z-e3O5@C#eF6-`=?0oJ%Kj;o9>x{^D(iZ^;MTf=|f0hpoEnEu6l!yKxO-N*pGr+l@V z2&^lH2mJw?kLcbJ#q&)F(=79!|1QmhyL7Y2tBPtR?R3S{jgCyTI(PV2KWNXn?$k3^ z^A9$gG`shsXQ|8l_0+s)7Y2tPh%GI+CSJ97(D6UhP8`UI54=&s@_4QX#%rs%|1t6g-6KTNZr6d`+`nB?)ke-bw5(Ib z060mvTvlZ)I~ZNX742_uwoFv6stL}*aw+g2AGU;qDpmT#c&)RYu9FsAi(#q{c>%SH zc|?5mz=7G1*L*0nVHUBpUJrpMtE7y{Y6aS|2nlK)(1$Mav?goEMRWKLYjID@On>0@=vG^B{$l0YyLIH2DOAV&$4AI>Zb8%91ti zDr_*BfKd48iQTLzyV*qVH$a@coBA17;r9>r8(ep5U%Wyo`vl4!R6HkXPF>T&=;Zqe6)UyNL45IhPh3Ec zT|K0tQ!chgBOR2*u>z#Pic^d)@$e+9mUtp@qd}OCS13lf#!0(wDmEpxLR6k|2hc!f;9LK4EDsuLsW z|7eN|>cwv$mxDL`tgFxkL@_caWKP72WG6_*XJ2TFYL|9}9eB;>HP15F_u%zljAu;s zzMaZHXz*PQjgwGA(zwbYhyqv@GSu%K3v9>+U&ne8(eZRKEQ!>|YX|iQQrn*Bn6Mpr zsJk%mu)-&{&)D<6^9Tp{iE(KfxU}OK-(IPH&aSiMdC=F9jj6D?*EwfbLbjdtJu&4Y z*q>WK9TJHWfBW|BS%{+Z%fUoVkOzMJ`0)uPC8e9Bs%Q}V^ce^v&fT3@zoyQe2&s#F z_CJxU6Rm1q7+A2+KLwOmp4D9q=6-E#24^FG=hg~eear8Tw$s<4YW(h0Bufn^T%?*; zSc2+g;19A6yx2j(MLrVa$(3+^O7VJ?0PK)qdVcN$cIgvW;G8*`YGCvWhgMh#xqTt3 z;_=P4hgjW<92*c2FykXN>ofCG>eow=8$V=k)*%!vnnCv^zf1FD+<)=9mE&w~PQb`_ zU9C*k#h%IDtGVRcaKY?H$yCwYpIydFW_4t|YP&&b*il~oRz4AlH~%0Wbs~0lwZo9p zyPqt$tr*}TRRu8IegaQIs7AiwHGCMJLFaGuU`q+oX_-h?3 zQsfFYpwzde)Pe|TEjS4N@^-DOsUd_-}U#Q(czYS?zo9_T> zqI6(+a`0I`W9mhb|H8ZkR+Vn)^Y;|dnPMJo%CeDJT5{x{;Mt~=A-VWd zwdw=%P&LMc_fqmClkeP036_{z*ukk{B_&whigjOL2DS?DhVyS_6hCuM&ZI0 z3LIN@Wa5+i&aN9u<5xWXQ#GU2%jZD|DhWnoSzgPq3A5miqGT!YaehWkQ z?+#_5iU$qoJ`0mK8MJl+2c}No9caR>f|ho!-4lVX5TBHQeYl$2PTo&EGhrAv-P0W_duc~C%rs<5!|pqQ9unm-_M zcHse2({Eq^;eWD-S7*X4Hn__D^X=gwh3kh;e}4U(75=h*R9nS&QOL<2TfS1#Ae4y25s@CwD0#%{MGq#?r|zbp@)^#=#S=GVitO*>LUhhKg&KA= zCu~hDBvVv{k2;1R>R7}#bu>J4r8-J~N3rNe))d@WgQI*Shb$d0DLzlV;G4l0>z%<0Kv+q!Dg+ zw95V=&3EMLa4!HD#-?4CrmZ*L@PAbktn~HK23NkDpUY?Z%}C!@%*Mqn(CoCUzwbiR zMb2Ot;6Y54T5{x-tz++i{#pR!tO?hB!+mf@H4S*I z{{ls!Gm;BWtg_+ez{G_L)OyLeM!lv3>4fo3;%I>D;p+oUJnLq zK!=^SXaq=!aNOXA08H+mXBA7tz`9tqrNHZ044CQe;36|I-3VTg6EJcDe*jRX3QGP1g2ZMip z#uv#Uz9mcEJOoJ^lp*sNThcGpN_r455%kH2pv0`C;>%cCf|RhI?G_HLB)ZBX;?rjtx3W1Fvk8@|x@3+pw?E1G zNKAFrtWqW0oWR`yu)})u;J|>}lP6Dp^!2IF+(j)2{Ja167kEb=CJYBt430*IrsXzD zwPPsoc@S0{O+s~bS*zzW^7n+@5B&PCQGPfM9(u85BCFFa&q60wy)87AMH#>?_eoMm z#HjdYV>WlrdG0m7MhOUqob13DLU>F=lrsC%J7k+TZ+;o4`0Q=a8d1T2|MJ&B`S;m9 z93@&4q67{}Im>|^1QM-^#XUkP8#lNrb_+q7KLDNZ*}sL;YxuF*phBdl)LOG!ICr=u zeK59r+r(iIlXIs?H@WDN?fm`uOyA|`gBv65sG!WGvo}Ie3tt8YhN`6DMxdEHDI@bT zEiLVv72O(3$$$UW#HY0ZD-hjm4--}PpcMP86vV=@5kLf*t}?zmL=~fdlJ;~HG?q{a zh~>60&+=9D_AZf$iAiaNq?lONUFrb5N`8Tkfz-{uzOkv^5SoyW9t)43 z`dOdZjLqJ%_gSdB)M9`AOto(Np2MQEs<0CKjI4=PgEh2cmxK!p3E<79OxSN20tWM) z5E6tEd&~cJ&{nL;>lnDIbLs4-R>KLAYhWyFiroNx+H&IVtz&7xioWb~2mGGNVf5v> zU$TOW3xqXAo>_UqvhJv9bCrW?+2fsM^MX*ZoCx42I~bfMo5tqJE<~CtQk`JrBhvjf z)@Cg%$-W04GyQR(D`}y>G~07^HQ6)_hVNa1)RQ5V zg@aJYIq(Fdfr?~TSPq@Nf2-gz_tQfl9sUsh*;DSjhnAl%mKDZ8&QT^Rf_gFR*&$Z1 z>01StGwN+qO2Zb*1I7lb2df(UIs7rH#8(ZN7UxsT>>8Guc4kFgO*-$mF@_pKAcf-* zC&N`ZijP}C86sq?mW}@ev?OV<#X_=R;?;dj)c<`v;@-> zlJ9ZXZx79=qpc~EDuMT3^RJBVsL*L~zHU{g4T`U3cm#Iw~$45a7V$b^d$w3B)+ z@79*Nh1rK?el}VHO}uF*lNh}MPKFJxix$^du!)I@yLRn*0Gv6QCe*8!+lA|ze7}Fz z%JE)X4E`sE2+PfrSG0#26aE|)Lsx!{ABvX1vr)6{(0_`hR&4c^Mn_MOnTK%pw{2rR z!g-?i?%g}9ZNx>z7Ct%?V^>zvJyt~*f)b-Dys}DZAv3#x`Bt#a9ipyKcqTp9QYL@LKQ&P_<~Z19FASM^dOCh*>V6@1 zKjAC?xoG?`?#aHSO;9}k1joQuQ4>9;YbNlb$p8J4=T#JXy2 z2OpPhJS=+tS(XVdmO9XikLh(6gD;qI5hStlwuj&RR$g1a6#jZpXwR{ku#DDSuoeF= zUTnqYXpZUuof2>EOy^9QlCx#q50sYS$dahJ?iTP6IoQiW=(c`z8W>qR|V3EBrC?^fFfLJ8~;man^% zzgCGUgld#fFcP?ahmfIuIy#jUvLF#$@RUdP>qoGVDeAKffO|kvS@Dy~e~gdX9qaft zkl<;XGoW2+j>z2H0e8Kj_5g%6;)0Fw9;v(1jZNlzl5cjFPh_1o*)eheTEGEK4-%G) zb?3W>e8!d*4OJEPl^Zh2tEA#?=b_ugV2%H7+g6Osv8t+mU(Bl4GdOCs(t!HESI3SDU_0F)@kn)-U1iYyOW zc5~7~2Qu7_0RdMt#b znc|gEv&FY6)Drmkb2vZ7imQ343Dz_P9l4(G?V6k0Y!tc^99joDLHAl^lh`TSGDt$qoB&71mtlTh zYoYGT*dw=wA{ZMg4yyP-yocF*+AkU)53tDgEH>!7I31Lz4aaVq03u6Q(|g>%HLKzn zP7@5lxcb$>5DZoUrQm(t=T?hFgyF6z+>FAdYf?2BGL(X2rR#_`5Gg{i+jzqG9K{D@ z>QD-q*a+nJQhYGK=!Ikk?DIDMTxQA{X9q-_y_U5ELc}!_ArLz%CzJ|UbOBko5e&T= z3;QSalTZnn9d*_}Zje169n%S-2g)=h`H1e$Yht51cWcq&$9#Qk)_d;RIL6AC! zdUNAm3Nd_(DUB;LE9(+LTR-Jr&y)Di^P__L5lU9URfb29&_h2R4X|DWScJ@E1}Y~5 zK&Ue|x$1h~Vo+1?t%9r986+BJ2S_c7?X|~(FRISN6d13Rfi#TTYG&T9Xc;G(lTSXl zShF}Y)w3{04wGZ3MNZ!)(K_xF6?YWSdzuuJDr5vc+m&Ortk{9RRro`Qbc86HRn{?i zi1owapl>S@pjtT+m|9d+OtQS^aeLH8mbr-v5I%)Il6wct-gWxh$R88C+cM_wYNo#6tp!qYjmKq>H zk05e6aI;#6LP=85P(M>OZuU!M#Yr?Q@mT7eR0)IRj&^%v8D7ocz)bYF6)eF2u|ZH|WJW!x^H!H}J?}Gxkt|S@ zzP(zMK92czJ2ofaAMho^SbNmo8-4dcZ8ybgyKaK>6Do(wKFP0t&`67KSS=8do_lxw z)NeBvSVx#6NMZqgf~cZ+?JN%>m_d-9{~;rVrd9dF__qy0L3-oCAOV%>@hMBSZ^_j| zk6bLlc6!vgI-{d(PdaG&kn&f0lOoW5PPd-p2w-$u?~^}0zSU~L~^DOnu z&nT&V2`&o*oUJSOJ^|(tvIoa{3mgjl@Q}=smu*qqvp5VlM85 z%Wv1dtUJcV#l>+dIm{j4&FLVl(P``4YkT&bW<42%sFT#<77P1^&TQvUC?MriaIROK zavcv-z40zZ2veTbd{~eY4f4#v#yB-cb>^BxSTgEc&gXlat@yBCVU{#h!yeMf%F3)g zY-lo~%pe90{okQkJzy!bOAkxRpg*(XdH?&1CJJN?y?b$Jq^5&sIpEJcR{G$!{!q&S z4OcQ$pJL3N&&hzMUkr4?&GUZYn|@(Z2Ky)(0chfI;36m9a9B3D#wC6Kg*VXtO{5i7 zr^GCbP?CYMAx<@Gr^g9p=Pjfy(fDiIsN0ZMVETg3lr-9R8^r3QKA?WrQ&T20gsLgktny>EL+31(E_2YgO6>C-vhOtb*miuZ zak#J1-aJxp!wqXx#WeMbAZ#pXo?H#!w95|xRR(O3AkiezC5d+Hpp``Ex8Rj=0VVY= z?kcNez~+v~nrM1HAiPo{C!Eg@FIJp&0+sT!VwMYsp$`gG?N1jkKYxl&C!pEBId}gB z<8q=n^9b*(-`zSU4E0BJ*lP{}z@+Ywd^E-Kf11NGwy~LHY*3~7+Ic&)O2n!%N$pD$ zeGKVP;-Mzn)Y7lrO7q5AtrSrS8Z=P}{SVw@{ELBa;-bBbro7r%Dcr$t)THQ|oc-QR7eN`1F2Z2E+7l>a%0(nNbO3MbimEV7+ zOkgWbap4UM3Vg^MtX$RM-F}Kk6~b9R&Chkh0t6$U^{Zq9H>=6dwucj;ue%Y-rdDr1 ztW19VW@6zi0G~4{yYgivU}6+T_zdH)Wq&1Hv4!b=b>J)-p^;IexTs}m zZwwR4uFux0!HzvcQd%u1;|+redm~5JC^foR1$QD-70`kT({>(A%srjs9;^a zUe%a!NWZ){^ULr@w>mG>pnm&$)c~OQ@*>{#{Z?Ql0iUefb^A!HsQq?&!4x8z-d@AuFAUdv9q{GWNpVQILbxDhjJghlmWEE_3%OO~w}3NNMxn<&4a;i@nO(EA&goJ~Y0DE|{t z+2%Rue)D-R%=U)qwy7=#JHAekEXApM6Pk>dH24H{EkPJd^}2#y>f{*LNfOv#$C?eg z?B}G@euIz+xQ)Sw$9Vrt_@>Kir8%b;f2-hYg?Im;ce#Znuw%j>deF#P%AbC!eb&JP zN-V_J!B{fcdRWY}ZxuMrO-YX@!)U{J?k~H6`nW`wrA67PvVpQh{9mdiUsVi-xz#gf zd627!fOy1#COq`}x9_5kIwHmA&jD~(9ZCSty1&S~3=u#Z+;~=VkUq1M2;li2*Fg9y zXs*G=D;zp?{jhw0cOY{Df*Run;PPMq?$xh!Z~e1PY`wyDZfd9- z2fghlz@7Jhi9y&M-py3?!$!IvH{7Se9jLYa#nPa3_qN*`79!%a(3R!?^3kWM^Y>cO z(96|Y5nHko=lZkp>nt&l$@~Sx*h%tlCKLkC#i_$uyrA}5ik6}iD#y~!ENTGNLQEWz ztu4wXK24=;*O8Bf@iZCH;J3d-?0IGlM|NYKFfI;Z)vPDQBIY+o$PD_4J z4O<56fFTjks;{yY??)Z>|UMA=qF$!C2!D#BOwqP_hHID=-!{q-u-=FZw;Lp~p zQ~z%UcV{#C$?;?u>qiFH5U&j!&(vj-_7bA0_%npYVchA2Pv$JVIUm#cvsTG-WxVsZ zuXOngq*FAiir^veAP7FE6whUt^Q`~pFU@PLUB4cwQvCL}|HbrPUFbLgh=-GMCRgQh zw0yn`uFUp)_lLPb6$aHq3Y>G_gVEtw86FhT0o3Y|XY+$i$x+J~8Yfd79~=% zr-8iu^v1c?>~WmyHNs!i(aKsXyqP%F-yNVbuIO!rfX%BjUUtx**Hs7z4fTLI9+@GF zagCu2d&T~X@Nd3j^nh`^J1ur7nAc;bN|{o6p4_#oZwda}zd+w+gc3(t(P9g+!w%%w>(v#PqQ1vFCfWL_|b( zO@?m1tc%$UTlygkiWak)RuIts>GUCn*aM+VYRQrQl@^tkDwYy1-S(yg7-pHzn9|Z& z_=zC3UHGZLNlQgeQ8u~Mhkre1%(MBu;&yN0IZK*%VD=ul!S3xee$&zz)%dY5N&NV9 zb+$9drYT8&x3#tPmzp7PmDgyX5G0V`@fDpSn3J+?v5?UM9ZU<*;8}ER^mH1$G)9*< zM&}+J8BwxRx$*4B=-trM1KbP$Mz^P(I|Q~ezKcUwLvTw|ytS|#R zIj+Dt%lh}40X;vit1Ktk(m>Ca@FjF@%|NTbynmCg)A&)B?sDHJgE6}D@wiX`eb{F- z9ehn^9~#%a1p!(v$mx~H>x_F}x|cm(&ea7nL|5zPmWobRg%u}4{}Kn(!1%%4eARx^ zFLH{27mkE^Dd)geM1b{E-Qb$vhgdN9zhUBp{`zl-x#CWdGZ=ku-LpaJ(1RPwVD&XZ z%7~XwGR_X0S?eHYcdAOx+XV2-Yxj=_4ogTs!ZxcMX6)NhV6XTCch*mY18vS;Sv9jT z#}6a@{hThNN^1*WF*_Jx{ixZtlVSFQj0Xqn)?xcnv9>232hORuq6wLM04x3A3RjU9 zw^d=_-nAmV*wxh>&!jPU(<)G5opVj_pkc>RoL~t6Sa}bBlViAm3F4k{xN1+m@#Nag z>g!}#1ygre4G6e~AKGt4h3SdRHXO{(+>+>{ACDVoCBhWu_;Z)p@$T-?0{qbU>XCuV zdOH?)14>x@wRVE8UH%yomOQ~h#P{sRo5{Y-P^?e(88r1YWG<{s-DR270+ z60tY=RN3a~^4#(FlJMY-*o0ri{PC&St6P}!>bmW#9oi0JC6!!$)pm)s&z@%1cTHu3 zK58aNPBGSK!GbC$t2&L#{Ey7d%^_kb)7dh!V~V$z0YGs)Zaiy@qhCu;O?si zZX#xZTlr;MRfr%mD7z2E?bD_wRR7GxD|d>(HKTR2FTw)E)%FQqf#?j;e?R6*$WBs^ zcy*J0gKM-7eK7@N&25w?o1dYp0m6qhI<%%tCW4u8y_1Mr@3)Qmk1ND>k)d3N-eukb zhis_P!=A$EqcD-0Rm!*PYtF5LO<1k|H*`Ay6}7K}6r86#l7GMwFM}m2ZmQ0|L4X{OQCt+0-nkqUEG|fHdjj)Z3^Y1! zqCP$C#7;CCNqEqfc09YggLT;`Ls}lhulO!VB4HZKJxd*(NXC6cAOe+zRFvBf7H9V< znms~fIeqMwTVtS9cXuX|6~pKcpJ0_SSZZ=}%{+$%SIv_a;D``%sX;immoK;ej|>K9 zwjbWXjUS*RS-cVuS@ONTRO-=~VP!D94|Wj~4(aN|ZOC?S+OzsP`urZ#B5_QDm2m}HrNOX2x=nmvXiflBM1TlQxt(Di<81pkZ~671h=AdgmeMX zEdMAU{w4WSs`ByGlEYnzR|enHb05Eb?z2#x@CEZSlC%E4oK@P>JB_-JJ&iraShMM7 zD|=1N&O5R#cklbkm>vJTBWavJZO74TD(qWR&Ys#*OqRrX>NZC_C7S^0_Rul4(EB|R z`ZpSu?hZ8_NuCtZ>`j~&4NLZ`Uo?_hTB;s#8FZSG)t!7zOGN5GJdy;0OGs)Q%d-%O zO^A&}5;GMQ6-E91*Y}st%-8`Wpyb1cEds~|>@tTCW3waCF2sxSmv>7+v~j5swH7PC z!#)tP=sXcDZtso5s=rfbBxA2gl0WM#S6}7F5zgP7Q0Z@b1XMc^CYFIJG3dC6&7hhv zU#|xGtX3FlYlQ5lz?GGiv~ zQzlOeNNc$kj<=%bx!KLypiPTba6W|;4@E)!CZ7g^3R8+Vp0=7=T8|;FP*YQ5-`fqi z2c~rM>(}3mjqKFHEh~RQ+iHF1Fo{@bL#=C#R@azEBVyH~5G?7mXEWLqSfm8shhAR+ z1Al?uz<0CbrvcW)0Ip&1&H)>%Ev23eBR$<(h(~rKi&w$siKDJf)0G38NQ?EBjTifM z>&%9zuf7WR(SL_;Btkr#T_Bcg1w^nVn}J@+ZgC>49UD_O@|1{lK=rT$D<-@RPRW3F z-q4h@v$G`961jFQdQ_!P@`|Y`Z%Ii>ssl$UvVIH$A{Le@7Ql}H{=URbr{EM}In&;+ zOuzm*-O-X^WdTK=Tsr>^;E}^giDt9CUx@oS#>-LviUFxE71Ya1=|3~u_KMDd5ADg1 z$CxQk5FE9inC^Qcy`UX(tj&JbaikCML#JbvFZpXH!!X%m(!-^98R!$^UOpZmig01T;Td z>E`-IV$C=jUk#cMhZH_z>AC~nIbPfh?sOVw7Q81XCl|g=1~fYJM_;`UqCfe2 z|MKtCgZ~Nk6zMCe5{I$Q;!{(KQ7GQvBbu%7p8UFS=t37f0w?&A%?5oiQU&Jm`vb)N zBp)@%6rqW_f7cxIHk-}3*NVw z>=kr(*Zm6N3=k?!9jA83Lbndu2eIc;%BQWbTwy^n7+0^de%5`$d(++1vvhFK7-oo{ z=6Nx#=eO5g-C87q#R6bjgg-(^dF3Sj;gV_)3_K^<_6ia4rgQL(ml~WbEDoa4Xnp{s%A9}M5L?Yi#f=7xkc$;ilD{pslG zO^InwD=JEV>YXtC`AHDH8+g9Zk@_s>o9h{Jeh0L5#L`oQE!Q!T5NHQBa;W!01D(j+>~ulE*J#gFLi6ufvAA6Fi$|Ds%dC&4t@gO;fl)2VxY;F zz8Sk1Pi(n@1)xLEN?8Uj%mFn)r)6X{`VqnBVW<{2B!iux8Fs>-FMlC+sJXrs2-*0@ zZey=*$jiTe8vh3aaKHqF;m>Qd;W@TW4%dAle2%(|d)6GLif}M4l;3m$p5q3Ncls-i zp77IaY+*;IwB@#~GsA$Xh6g#7O?-r44TPI_huf>*UfaU3Z7Im6|9`Z-c|4R2_Xk{B zR4Oe>Wvdj~-AWU(v`A8jkev#Zwd^~0NhP^uOO{b7$(nr~l9F{~%^nhC-tlx9a_rQ6K>&|iqA`;EzjP)Q=Tmc)DrmH@kzMGNpI+Sp` zckkZhvv2XG3NWWyaAmLbmHxxOwkWi4c$YVYRuCZ~RCm^mkw0Fl<#g;CA>_>yM#|e} z%SYPg39dd+!A`zIh2_S&6;$9w#lVJM!1L8P%9kx#=hho!>%@yTXSD9Baa=)@7Qe?* zIa0s0qAt9ciMX)vm24T*>e4z@HMLGPmoBxABA5Q6Yxedt(U)hx`pll6?QmC5 z&gP3q6ezu|2vWQXQuOdjK2^W?mJhZFFysQU4O|*H4$MBiBIk2g)V8H>YK8C2ji_5y z*Ef-sAF)Tqo#DiI0V75b8Qdy;F!e~m>7ZF2aT3D>bELnA?Nd`r-m#eE$&<|+_(Na3 zK#DQ^7Db;ri>Nod4U^08?$?9+fSoFTDt=X;kU9IaFtR>zC95Qjebcywy#at_~ z6JVgfP$)*w`H6kcTmNe|t{+Pr7)#l>b(RaP;dZQ+g~hZ?Vv|gmKbioVO1o)>@<_0MdA;y};v3xicm=DXHpe z5N33Md$UV-Mc4=EFq|)S%D$=HI4B*#LL<#)7gBG)=9WCA^i62Muhp_4&1O8Vse72U zKP{t=Qg##h6&Yf6>IM5;5&}j@u$}N)WJ1-hi$~X9-3T*JfQ!yR#jEz(&$Jg%tmCId zkvV0Gw-j&XBQ|xB%ZQT`wDm8tk5Pk3RcC7!Y3_178$ujWN52yRjF+oGgf4WF2kJ}q zF)sXx6z94ZYbuYI2p8YI@Hk0s)Sgad6IYp#-0_m5#c9@64VkoZ`yJ_T3?&@H_vZ$f zM?HD=Yjeb}DfQY>xHc3yr5AH;5|%I?L;Q`oy31LE{hz#OteNO2YI4RJxBlns%$Rp# z3Q0&h9?*-)Murl717~c$Wu>%uNr|m}QC)~~K)<0cY;b&M-!Lm-EYp_dymjcKnO8O( zozaqyx$@XhmiEZ zG1Lmob#z?22v`3K3Qp@Ad3t&>ee_UPR=zxLpN(g)IfMM}cOf7u>zLp$pcIlk zDSp^Owf_h{8m`wjcHal)Y)C@7e z;Q7z7zm=wc816l#Qc8O}wXpSG+~WrHDtB&JV2!<|GJeZjYWL~qEzMB|yDvO9H+p%$ zw6xSa11fd{4auBU0{fy2Fxn~nrc(zix4JuR(3gl!>~Rh>>sQ{OpH`Na z{-QqhwRww#=agRhXKe~CX^-t{$saXCyN*jBD$(PgPn>FFgU(5jK@>RQrp}${>9bM% zO|@g2j`VYCJ4Z-4S)6+Rw0>UxoHoW$`8-D%CzONtdCs{!EjY=IH$eWqTH6vBzDQ zMqNU2jKGtNO-jVZIuABy3TH}5?>#j$rO6VVOG{3TB4By@rO0J_ci#JgEjdQ0eYh_N zvp--HoJ#Xq-{Y zIA!S(5?5OqF5Ls@5C52>y7?nb)eU6>5PkKN0;aC0Ag=A{3a+i?YgB$p|2YZ1L9H0G*TT z8ynzO9BN7zKsd*jh6Z&|#QtQ^a%Xg|`REXOqSa`iM>8GUF8^CU`eQamo6pIW10LU zqB=@JH*j^$tVE24gkntX2&;)o*&L)#m*LCoig~4AytZn~`3qaHW)h>NHS$eK&Pr|? z_&4F8X=ta>;`6xTTiO`yb-mEsEqZyz^B~{Yz$@!p*^KTs8-CssuO__fAqM28S2=Pz zwncV13?)yvyo_fd4*V3!p%shnYepi#lBgyXLjx5Iau-E7-2Rs?S8UHw^vuQn#?+Wv zWmQAh)gA#{9#5gJ+mA%2%RS@fLQ4>ju*C#E`drk+LgRMHum888NIx0>AhlcZxdAsB zh&l$@#oo;`{T{r1k=!N=Ci;F{U9y+&AA|X0d3i}?5l$|Zqobo)4Tr%x10gQ#{a0Mb z_RX_sFHk<^0hxvI+V^h~5dHenF;c|lq*b{lw!R) zYa0*z(~{4a8&s7xOW?~jPnuGhoa1s(c;nnV4Jq06+VN#QlkxO?&DPOCWJM&+@E@+{ z!&5FpQyr5{<_QBo)-lwjS&70Z>m{Tj!B|iVMJ~6D9^s|wW~=Shynsetj2ul*)O)C%;iwKWPDh?5ivzs;|M7dZSe&^fF*Vhs@3j#U`k&R(3rLB?EI{=QDe2pyU(t5M0>mTK?673}@u_XJJXyvGm7+vCRibN6$`rgOHKQ^Ok6Y#XalSq?BbYJan2AWvrdX4 zAT5OO{!5qD7GV%;>(s=Za5n%biJ0JyPA)DoX2lfj-w7;~ovU|$h2`Ii9^Rw7tI~LN z^MmiZ2%Ag1q(aB_zE^d=}eH@L8N_r@NQEMhjtAX81_&x;%VT>CK`0D+vdKt^IzUFkutwA>|j zokuIb(Cu3%G|uI&Ps;J#(>B6A*_}uhp3IZp- z7>(@O$M3mVT3Y^f{CHi<)69J&_iz)L589pB|NGJ`#q``a0gA5q@>&m2ag%=}#kY3- zfrw!!7_a{Rc+t}C)=8Y;*6Wjir>yvx)n%Hsjl57lmPg3fySTg1A8F2mqY7|r)%WjB z)oOM88bLIhY=KD#f~hI**no+)izXqa{Rt6(#$lzyP&t&w9w#3hl8WF)3J&goN_x__ zP!vb1iI_*M&;e~jU`FM(fPjGKWUUXTp9QL116Hk=N= z#wqwlEQQv+lN-hzrP?vhgpSIWXO=uD6g%uJeiYpm-(?Z#0zSbm`aPiVcOEe9Bulqa z4#|7voa@P$MlDn*R|oJtKoLv?#Yr3!)?RGo9oF zuml@H--|!*CPhFp#I~BfO}vQ4a&gT9AJ`)}{`qQzl=8b300nO0$9uF)rA}g+L2Y%v zlul@~AvSvD;%X1)V;{DVuG&M9cOPkKo!x6ij__BMzz`0XzEXzM-5;Rpsn~712saF$ zUW6OM1|E_-6Yj^6A@*|@qZXR2OD)n(iV>-(52neHtRE5WxCio-PoF%AUGPQ#F%)+P zkAGe(`0y#fEn21A5sB>8Wq>93AfuA}_p-J6Xo$e@VpgL(thb5#qOQ8*YirGxx~w$z z_0k}gHy7xRF#D^UhyEsDNTU;w$dg3_?S}jac=rB_pMUqV!v`r|7UxOG`8|1BG5k<| zzx(Ztn@>yWmt6j8^qiq9*hDz{5c-}{+=bpt0f5!U$31W>;4YVU2F`kadoSg>?9fP+LV^SGslFdHyR%{~+iBM?|7cwHm1zx5Ul6 zzd-7}TkTdMtK1vmqlqhS3FM^^KG!0!4#BDsHVJ~*k0;9n@`s1YPg@7R#A1GqxJ>z) zzS#ba0q%&5v=lH9oA*q6;O;uKKoq;;yY&d-5f*@3ct?C3r<23+7&FGn0l(Xsd`MpR zkrhuB`?${<1!B=r0w~LSZ}-0C-^m@Ah#_&IS(}B7gygnT@&q|KIS)=&YME_3~T!_?)yyyz-vkEVgP8Zx=C-L4eji_z7 zr(oGjk_uT@5iZVzv%ii%^*1*La+eR>__J!T{1V!-W8>X3YIja6~ zT^x8DVs@Jn$7D8jL^{I|)3DNBh-07qk1yOkK20O-z8RXkyLw;7lYEx@90Rh~xu=bg z+s4#3Cf>Fa8K^l-%N3FM%-|arWC?(Rw@*G6TN1@zE!LC++F!qs#1?TH(h${md$N}I z=g9xcZkUKPU0oW#DB^?HwgE}Y(cgSN$2Ru~#F+$aSM13>4U$G$`JUX9GkXv-jX8V~ zHNh1*td4b1rRZgvgAQRLNJ?VXAa^qOsL?fOd{&JXc+eR)mN&_ViSCkl%KWIStIH0q zd)M)f^;Jn&J8e9&r2Ki8gam@S*5f2A!>jyg8FI0m?eUqr87XM&4t_L2GgU-w36y9TUM5TA6(W%o!7MUO&N35r zzXTEymR|g63Wp&0gt&)4k1`^dYoCTlf-^yGKOwVm;w}Kvg0fJDhZp-PNHl!qmlZk8 zReflo65647wdg$#Rv^m3@X8;RfO_U!IS7?5I$nH8FUuF(R>SCw7PZHZIarq)&^ql0pO9v=DT z<6>1VjRw%62xjQ^K;kr@W0YnA>wB6~Nl4qW5n6bO)@4gt^Y^GItGA*aX=q`8r8x*@ zQd8R7a|PGzehOBAY!$gp7vtIhvP`I|B&DBisOlPS1XGo_(B(oGN1K$T^d&w;9>E?e zB~^rflEZ*rmVTby$TisdCIy{0Ye&Yqv8ZQ-)k1A%t${nfqU|~!o!em#Rgt#B>I*us z;wXEvMMNMEszQp@Hc8vG>%6^&Ikknhz?AWxvFli@`Ki=PwD(Urpsr zRpky@nF@p(s2P|aYI0bg=uJm=q37yF}`cFTlX0yz5Xz;%IfM5lfj; zQMJI!D8&MR&_jZ5X$I~I=Sw~|^2*@C0ZHrLD-3)g^%I-*m>7YybjwN4<>h(6_Gui9 zzCsy4yfFHV5hSP11)`Q1y6;_+so0F|Qr zwePKRmj^G|pOQFcjw~)7h6JK1T;AF7Z>J;r4%i#3JsM~`$B88+CCcp{xS)ZGP?75C zH`!J@(dG!YG#aIVHe=4q$;mMc)(u*2C)BSml`Q2jX9-B;=YoO)gA;j#>^!D6VoO_# z<+0Ts;sWklK@s%eLA>a~=S@D*icXYntiU)57<$FFYX`#vojnxLrKGKr8xLj--c zF!hFrKOZU}R=^7xy81ILe{mz%JB#Gae*UJ_i+}sNiid{bpi5!8Q)&9K@UEY_o?BTS3pnHC5M*SWK^{m3X0$`W zex2O5Yr$YuIH|#l}@1f@-4~Zlhj;qzoLk{Z62XzB&$AmFv;bTy!;n)Vx9R!N*;`c zmJD@(yNp1*nlu_lUdHD?jR&cD=`7ZsYfflxUIyC!=ZD^~`6=?NQg-+28 zMlU3(fIOnu9Nf?hFJciI1Gc*+85OU3aQ6V`Z4*-OXrC&wg^{!N!`A>#K%1rJN;#k- z6D+$cgp~@$22$efn|#@2U~EDp$pF)m!$&8nrLAom$~o^mmL^IAcD{%#ze~!mtgJL> zk(+u(fuED}62iH;~z#8VWhH(N(@^Byl zNU36{W~>&Yg_Mz(J}Ex9=K*n0{l!JmQauBNsg>G4;4K#Ym-lW3@3Ce?b}2m`_%`XUZlJ@V=FBOCJUmMILlq-dVwDm2 z2X7}0xOD!*sOI6Tp6YW}*>@|f;tyHpdg&iM z-(Hgj&>>Z*+dPAS8YHXeseBo(2ySX)w%3mRg8A@u*q0Q(MgbmJ>V{rAzOAivCC_dE~2<#xa=-;Ux#a zP@FK|u}b0683Z(U-vmgRW_w2u89rRD1j{>vl`q_!9;E6(st_W1FD1PUJzBe4@oH;@ zFLbD60Qa%J{gSh9Lxy_hTFb5gwJ(4kb$($oHz5~NO~`lxjJo(jQ|gx~hhFYFr&avA zuoTcWz`Vc`e`E(FhrdWe*W2Db&8MIHLI1_EjnI0|Q-|2AR+NWVo9C`N`o%2gDYM89 z@OT=QTmWeOV~dQ9YVFul<5sYU9e&YOydSL}=TstetAbZu0MOLUe!m8C-+$;! zlaczRU@t>?ty0!dgQ`aDc1U{a77`#Q2pipAl;$J_-wB*l$h#Tv2c4iQ<&hoW@x%^_ zTgn2fG(=j5@}QRwPdHhqHP5EBT+;nCf{Jh1NqA7r+Lt%iq*n1|%ms(-6TX>yxYCH` z9(eD?s{3m3V%04XlhXbN=fC`q;x>Rn!~~4WKw4N=DhysP(%zBB`h)qz+8cBJ36I26@~eVh@A zIbr`EDlVr%PZx4_d=_xIgPcnGkeHZgnCw>2TOQOR#{MPzj@qrwiG3w zd(ind#*^vgeMehU=F=J99>NPqXq{or?a)kk93(!a$D5$_q-%_^vnJE)LrxNY!oa1a zzNuS{i6A^l#E*`b+)ky9w*BkKY7ZVBp1;J!S&kk(`XNi>q`+cbUbcB9hxl(7iTp$_ zf8Eccv0QB$KKVc2-WY!C}F8P+wzU)8us|<4XAz4 zMQW{ge9fA|o-sIEuiA-4UwtGgZX+?*-Pzqqrz_5c);_tDo<*GP6n zInQ~oPd+?*&-_GbROfYi^kW|<+?Ck`PPGeD)$UC@dv1iK*C$UhFYQkiEZW$lRwcre zuq3niEv;PkNA8$y|6mi-p8T#cQ=jke{c-B+ESIj+fAB3(_4%6h(=(r_y!xxDA!|0x zI3f4=BwTN7KyV2|nmWyV+8?76J1G(C_wVC=@dvE`-x(`M6{%rH1ELg>Y0>FP^ZCgr z3_zMKGfBoWg;QWXIqlL4UYhi_^8yMmv1FF3nX7@i*T?GAuQgl87WS}B%T9<@-2P>4 ze4{H9O-t<9@vMf?mrE@vOT%uKT9uqH?Y8}sET}p{{s0}a(Yt~>KBsx%ek#)%dcUv3#zl%ZB-tQ+qG=(2zN})S&7V*8-+gv z^{ENO--;U8IR2`lsc+<$i~v9Xm%_rcyu7>&gP|@`{G>JnjLcV4>vzHL2y|gX^j=|q zV3j)_ay8AiMx4lk$fV5Ig5$APQ0FKQKHP^iZuP5ja#6c%YHc=x8VLX@OLDf$Wrd_D zX8mwgxP_udluqv6Kd6!WL1^~f&>^Xm`^TMte{pu)QgCcESmWBK*|u*A?qn+W0S}dp zS^u8LcD3JYMKBMqLKmiWFVRxuKn;WF=n;Qe3ghF4T(0m~Qhz67TI5MdmC= zoU{1$f3OxJj+TbSK&k+k&oT2MS$FLeQyL-H66H-419{I5*sN%3rJwcM=2(C9J~P#l zrrLq?Y;L7$7p3&=#bg%noX2Zt-Tdsy%O&gOew6CY-EDRnEB6go5Y98p9!Q(+HkueZAW};hXT~j11P+?&m#g z{QKI>Crd_f+uNz2*B7?QQA#g04NlPvPR=ruc-EFHhPw{ZUgoi{r=lY7Vn8WI1N^Xx zt?^G~O2F3&gDxQH`R{q$sPd=s3-;OXSu(S-@K=H!H-djd#<-D%!ei;xS-(tu#3!%v z3JAymLhb`GhFs6}5r|!DEb`R8Pm?{qyBV@bLmfrFnUK%!b8-hVk7snUbFZf82r@YJ z1zfN>6^TMx3FL}ba=+Bor^S!=525#7j( zHkV@(lNR&ytHbKv*Wp`L;>XUtmYsh~$qyBXj_1eb7OgMt(~19n;BVWWn}D2ll?tF= z=4h)ty=Z?p#MxkmgDVdb#zO>*y=Dm?49|}a@U|W53i{ckGSYKJ^2VIig*v#6+4B~J zXm&eWl?#5woA3K*Gu64w)dJX)R~7I^2cotlPctvPr^P>Vqu!-5Y#S^)IhbA<4A8+nXMiWh% zZJJEIVHzvV=-jM1s{hga=nJFIUw4T$S{7^#la|u=)N8V8d{0}it>v9Tm}9>)#Kvq~ z{g8I-m`Z}>WtAl$gxB$<$lOQXSn|@_LOlC(n$QGr@YQeyi8El9%)uTz&0tu`vA1bX^&$byTW$j6aA^$;A5}c(L@D0$1N@ETc5onBRLtP2e=Bx!Xs=_ZWZtjfI~EG5 zpEg!Y!P>J%Mfjj%(2p$FDUY2aqx2H{x-n^u$_ZIIIsDcYPD}9bVj1#KppsPl|A2ol z%vfw6-Agh=f3;^A(sG6=Y0wLSwZeExkID|XxE9aiklBCtkI<7kvBx@o;s``Z0dzW_8Cso=wlW`ko_85*HmVSQa^^~eJ}i*mLi-!G4hgWi z5poN@EWgrZ!Z93@Hxn}QUM;sbsfBbWDoSDkS@zUO<`YlOo%t2g2~lL!FzP9Ax^cGR z>(Y;trT0M0sT9)pH3`nDTI%;K3XW|9ejT zauuh0-MzH_Kz7qOBj>eb&?N+3hog`)KeA0L~Ap)O9J%+ zuqZfO5{ol#wLu1g1=}+%yUFm@q-;HInPz73!KQB3!bCLe#ZR@ly23sTOHkM_!nEP^ z>nQpWjM@~2w2r^cfC-Xxr*D1n+5AweSAEx&Y7cCv>z_tyEJF-IG4r0IoxfWFYlnGz zl?r{lruhcR@gX+vwyP&F9@`raZ@iLPf%EjCEU?$nrLa*ahb~_v>t%!&R8=nH?_5?`E=eX?Q!G_;aU!N3=_^sZb|=rbp=QdDs_ z?G|$KQ)UN~ih5#T^69U;zsJKk3NN;wEZMTt8+WVj<@6bl@?PN|upQ z$@Z_urXc&VT7yol7NXl;)(CthEjer=cOyT*Te6-p#WgovBKG$7zJT5e_f@MTdWluG zO7)rKeAfLG10eACu3BG5<|^D>cAUosm}Pba+WqvEsoM+?f;K3sZJ~@L{#&4D$mw@x zxf7~zpQuziXK?6gVctx5pB!@o;S93du%2lmA|7rTTy&kSwft++%XK#+etxLW#Bqm8 zT*ZV-6yNs+H2iX=e}isJ-K581C= z4B@2SWOU1rK(Hh!NC+Y)18HAZq_VyN$#q#$TxPe+rb8zkCr#L&3j&s=ATe_zRMeUq zA%3I4wsD&}V=k~ct%|>Jc${)oq=yk1^c4s=Mw)NlbHknCh#j&rGxttfOowecpSHt5 zz%kgZVE13Po26$Pn9QFV>4-OX_||^cmeLpQP1F&1zgw&ReVtF6>!H?};_Gp&$1MnI zZz^yJ;ndFEr?ZO@dW{c|U#zLJIdwwzV5fpqU|F%VKY7 zZnLVsL{16=acl={`oC|NF8yWQ<@ocfD)`Q{S1K;LP!0bZf9%n9hx_y8Ba`WQxqNG! zU`J**5Dle+c!cxjhnSyCDM-Bj{Q7-zHiBQhddI1BxEhAi!$hcImJXr4I}f^ z1O|-tc{IAFcCCavO3iP8*dfYl|I>H6T9R1(r5-(7j4mX!&Cgxc(P^FBuM4;AKPSxN z695dkJo-t1%{yqed<`F~N?6M}q_H9`9(~%P#m2*BQn{$Zn@udKrFwi~BsYF+cGl@4 zw8g8clwMlm&uCIM1?sH@PpqgdRH$g_hX@U@lG6H4xa~uh4orziB-}{W@*B;)djsj| zYJTI+gR|Sqdmiw}Gtnb(t3bS}oCv&aZ6qkoB$I+cBEv5ML%#nO?{5%=qJTTpsgk>- zp2H%N`+e65HP_k?ACc3&k0qz?Ny3}?dw4KxyPP@k*LT#(nu5!(H~h7lwT`;_Bg&*9WH+aqfWQBFSeQ55?Zl*@j{Faj$s$R{ z5XPPV50bglVqGttl__b}qexL2=*acMX5-_)^1hO(uf7P+iHUT~EM`Rv|6O=KOb)yf zaT=zdAEpP+B(A3{Vs(C7+u+ds2oTwAI%0Ba{;7E*8=GV8B|hfMx!RyA2^=%56Wkf` zE!>im**>4K#Cpy~=SGZ(u0U^2M#dgsVuQYtV$buraxTgQxT5o!s|3Smdp7(#g#Cw~ z?2$q|gje`o+2bXG#oaGpK*mX@*f5i$%duL5U3BvhFDsqp0!y=3#WhK|mlbJQjW3rNcBilXET z#pk<5E=(re4#R&A(qfy(j>){QiwnfFMSS=r#B~Z~Y zu#k(gGo<$jlRN0h$_*oC{YsQERA2l1hZ>~bp2-?$$+rEp8DXJVvxT()J;}fp_v1qBi_tQMtW1lzP?Mw4l zE}9{seg9OzhU{U z8=GC{i6i*>JP9Q|{aPJ1e5GdxotiV;^W{cxFNGF!_^*4lRyI8i{&A!f*=`8p> zsD)L@VjsSjuCps4XQG!++oVXb(Db!hVXJnKr>{9~ElVrTNYa|Ob@~H43+95jLK~bE zSj;I;M^d91gR@R-RICnpP-hO2nyaz#jo-W^1^63J2ZW!ft^1m~?tC*3NebWMjLx9Q z#<>5}e{YPPk9p!BT3Mpas$i(MqRya{!O#vyXpU$cPxzDiA(PGmWK}d@$FGyKCvUDV zj}-#EEwi{oN_tqaHZg3-$tZL$;Q$oc@4ljR)5S33cq4OtY{k&%5ZlSU^HYTRq%p?3 z9wl^IxwbMnzbFl*tt{Wwqvu=(za=B7q)xPi%A2EMTNmbA5K1HF(M3ulmGcYP*>~U! z(pFxW#a@Dvf`P6y?g0j8o(ExS%h8LYnH-Qiqt%dU;29l}BqaTbyBW|1cY;X>zamn0 z*G7AU$0FT;*_!XkMhcN31gMP3$)e`l`=fmcc^Ka-tvP?n1%6Yw+ZzBjFT&22VGDr@ zQ2Ei;++V^8kBpq!n!s3J?whYiu|4$3xn@P@Xk!yJrmN{4GD!5Z>#wO7bv$5{5Rjir zu=$claA)eAG;dZ5cG1=HJ~6zL{&O&0OaM+4?l#Z9bdDiZFVUCx8hg5orP? zfGyp58*00V{A04>Nv%Uj0rW0gQP12&R3XtY$Ozhu|A%OZbldvBe*=`3wDH5Yuv`4+ zEaA6#C=D7P3H(j=v%M&nPE_3%-`;aRaD8!d+R=P20xVT8uic}*5KClJXe$U0Yw2=E zOR~-n-}AAVJXoP4=N@)udmf=AF7+mV6|@U zB?{5WOd|JjJjG2hK$FSXaB1#DjZG2ZP^{D?n>kRMFeHYzu7T=qA8#1F{~xvNJZcih z(~^&ZTCPFJBc%oM@IU*rg;*`+yq48z_+tiO2^~lzP!J89J5dtj+GzpE@woOob+KRMF z;x3q)!?ak_7>xYV)B*&1)myaZ^Lo&{?4#nN2TZXJb;$=?dW=Ac;>K?KR1x}!j*E1xp<_(H z6`W8CpV~3~y+~rWB>uJJK6=Sni+WGuuQv53>BM?J?L4ykGT!{#|CO%&s~OYD+$ ziy0~R_8t;_-G3>=A41@cT7nt4O7&m&Kl^3cf>UwTHOQTPHjC$tx4hDMS_tNjSh?J1A9040lMffosdJh{7|)G$@g84(um`wD9V)Dba;Lsv=lZc< zU_DRF(zjVXZz!D5vBn5pKhjr2q>&`j$9tjXomYEUCv@%~*M)BtlhXpX(icfGKR%_B zWU8>8p{KSem+A7dH%0RJx(F#~STyCC$ZV<5a5F8XP*stk6kO}gzB{m@H1W<)2ktk+ zg74|0k;wdNwlXY9fh60$MrDR!urT8W<&Ev27I5e$ZI^#eVU93{+wtRaKM`DoJyeiH z%7kOwP@Tk-XVS`2KB)!WGDqfr?K8eh$VWzUNL=*5x~ki0uM(v*|ATG*#%yb~PF-~M zRJr|pm#q$CwE%30qSg&`y2FmE%bn8o4DnQ2;8?=E$D(QVp6OYxufL}Tzo%IHkruC5 z^(lDkq18j~H`F=Qx9Mvb>H>JOz~@44`63Gfx7ZSp!y@IdCb-B7fc*V+@a(qzW6M zo_7*L4rCGu*J8+rD=ZV-bhZnE1zMe2} z(}Ukh9t5Fqd4404qkJ!ix}AQYI*FfZ07k65nf+j79Ng1hQ|EXw`nDHfg$4}k+#D<)dg~SZg5uBWX!zkr-3Vh>IdNvJyR#-*d4Wiw0yGk)jK~^E zdWjRrhS@}PKsX7Eg)K-p0O-QmI{W}th?Iq@k>)uQL!Z&k^#bV=p+vhRA@^%x7NGqb zYv)8kL3`_yYv;o$REm*#H#C-VblpcwCw!vIin9j9FxpzKBf%B6&piboOe%=H`u zbRvq`&q;^0erx_LZGokQyu@y0lroX|*sTCxb3p;Fr@+3&%ZAIy3HTN+m{A-&(QW<{ zimQFFJSEg7kD7wGc58Nr-X=~&m&Tnn(5bM;CyK>YM=`bEpRv&h!szPgnDJHz)gy58K8G@O@=xH7(k@MkWcDkeS<(=Acjg@KsgR4F0~ z5tD!0XR4>VjVwKiNyEuimTrHQX9mHMnPKKeAMej-`Tg%f%vS;Rqd};A$ch~e4r#aG zbC(%0kL_H(&SsrmRjPsG$}WD$>~>{5&X zxv-PgMwJn)-|%vk2+Q{6)WOEZF?QIsqy-HiDt?w=y9a-h;VSYs$3EVNO~vvdm;yB7 z>hO`AvtxfE=xhL-Q8>oWC{Z;C@K4fM)wU~M^%2D#CM%C38wlhIS_6k1tAty_(m#;V zh)!TxeCkRtpEr$-k59YX)95@uBEud&>D}eS=Ek1B<4E0>^`OLx@l(Puj-2NbLY%rm z9>ZZLdQ`uvUo71wXk#GMA~}zgCO98cy4QXyCO{E=pgT5U&FiQVyA9zPyew?xvvl)D zSmZ(yl;i82B=;g+xI4nc-?%F5TU|FdEbS@th#!{Hb4DyerVDz5Hc@9Gf^~-evb-<{ z{O)`w2@(*SfK0RVt1mnF8FJ#IyIG<85*zC%sU2l|PSYm_qKr(~`0@$ccMtNrCFf^I zd3H5=&MI?j?s(hTW+%tY>$E@LmD7CA`V8MwvuYyuDdKF*a_7Ti7sC{js2G)VQiCeF)It z*0aG|o=LSKOMHYK^{#G6G(SU%=D#dmbc|4=aELwaB+oAySYq_?l33V}N zv{{cArs|TjgTY6Smc6%@`17UOiwwihk4VlH*%AA6*?dlpo;MD|SD>U%4(*C#IjZLf z+#891*W1BTc#Lk&tNC!=#YxbudZcDLLW}|w1hs6D3+D2BeEz+Tp#Iw$$Q`(YSBn8D z54>+Yl4v5qXb=>NY#LpFO(Q9N3IP-!4EZ6`w5C@ZOtLUZ4fAFUzJU; z0*X0U1Iv!Kq2=9!C(`diw28af@UP<{7P9+^o#T$c%V&qoe8L!M)4uZW^k<*s85k3` z_Q2K*ZOX7PGmy^qGRDp1aZ-yWIgc>5#iD8M$G_6UrNuWTyQXFY81&ag>A$q!pg|Bi)+N}MXlD(jx6VYTdp61ez(3>I5T`(L!oCKj2=`_P;&H_~& z1d6dthh#`~CT_oySte$w+_mzdC$!Z2O z@q{M0qR|ig7geOpE6q`Rhafrz#e}R%a5%t8DYCDlNO^X?zlAQxpj4Z2y0?2^YGAM_ z-MkGmWrI4jjlpP@-|&vLU$(J!oYlK=W7{c3*)Kk8_d2cPShI$G5A%rhw(ab%zr4HI zvF5Lc>r#6*viZ90KXhP7t<6PJVG1`m9*lJ@>Wxnx6eZlLP&caAAY@CT$D$imQtRU< z;zT)9ZAJ6Om46V2TwNV%V{`&^=JE9jd))$_hF-vR&A&yXGNhAXfmph-)$kLD9Ch*g z%({-DVie|w4IUX;8<)!)mx~)p2SDqxMLUn~Oi2oyMycrjxrJ&Z|HX%~-P?N7gn71! za2V*wYKF^JpdMjD)rI)6ho(%+S;jlH`@2Q!Aryu6T~l4Vp^O8nq~ijwyFu=z#+6e8 zO+io$g-;H(&4(s6?4G}#x`)j`-Q3vA#mAFo($YB_bj{iL+Bu5T&s*0>!v`eMcxPop z3ORb&q2aXjT)teGHCHfq?$bmQvP{L4@JG$%Ze`D3_e)h=$54^dvN`-U#6*z}g_%0n zp7Gl8ovw3o)m^1Qnz|!pN3oG8%!oJ6L%^xsU+vfv^84MB9YI-`jU?y=<^r73}j_%D)mY3Vc#}wAfkGl9p)4NXV?PhI+U= z>p9$COa>q!w7j%OWWxrlrhVf848Dcj%6n^nImqErhwl~EKgwZtO_WOlx`7P-mNVE@ zhqU@*2AVK@K>0bO;oM-3FZO>L-ddKvuO=17Rc?#WIv<7g*TkIpc3Ne8CYwoEkDM;P zYWx8z=Ku@z|7lUz9+QQfueuSQEhaJ-x%5MQJnDzzYWY*yA$_>p)Ia;gh-iQ@^Z!W$ z*r2&-H9g#(VuTIv_BS=P?~fe$HZ-D`?-Fm0f*5Lksf@ze-jnX;3S6-hN6B>Mi48PB zbtH%ME~%*tpVDZWvdF$0TZ@lF8k4_#4mBZc^!SAAFeog#2!>Lr!(lKQ8m0OO6*& zt6LOGcT4TE$E(~Mput`7%V3-t+>z-PJZ$z=16`34^KghkGM`e353Bf#SG;|urvKG5 zRtDq)4&~5*#>?T#B`4Q#5#xiR#$P4idgB~iwc!wiZh=Q8gmv+&z2~Ibk77^ywZciB zw~Ux!5Ao+YT$Jo_Y}+t#@MKLc=gRMs{|BMPn<)!9n?-ub`PVjrM!uMM3J;nP$%j4E z9dcH;f_HC_(KABYudVnI{z=O)#FJK7{{^1Z5IpILko&s}_b1bwsIhG;}jw#~o= z@T}+WH!Tr3riOqME75|KO-0rb%32^;zSRY?8(Tn{x=K ziL`oMQ{$_)&X;aPtu`}&T-#neUw(;I@FJ*ZbsS9k;X6fQE8~AW_&uVuw&Ey*2>q@@@Qo4eFI{EdK1|RMmy?D2+uKAQ? z>t~mE%P;^)GWiXq-SSN!_8E-{Ic%k&X-V5EzICc8%~TF?vhHTEd2r!!;6z$l&y?K} zJ<0lXi1Bsl9EQtd{AOhsc(Ll^j<68reEka{f0#2CytO`cRy_Ea0&(egqrTIXKe)#+ zz)P@h{CB8qVX2vy2g5R6W>{onI!-&j(VVN&lxTcSGNCO#My(O6U7W?gV6qF6(n|#a z<803IxnI*IKA?Ytn(a-+ahTSeMtI#^8;oZhmGb=eu2^j^%W5d<tx(T@P9`B(I+~9ElvS;inX}x|1vL)jVdzhFle@l-2(SQjfw6jgkZb^e&zt1{uUX56~#II%)o2AO_-DyPTrHXP9 z0E^)2jD2Om$GT4Ze|UyE3_mco9E^!96TAgMd4}U#kmZHOB)Nl~k!7f8%c$||s*{$I zb;Z2E7YgsVa%(h#I62E5sx+N=QK;`yg+q%76l03X#zx1xsiM1glBWSSXLTStPDU~FT_Y*Q69EvkJ# zL!V8z8U1qbpK(nH?($?%ScEb|{ zZS%YW5=g`x-qDlhaOv&|eTkgzPtKZqX$j})%y~jgw@caKs@`1QrcX}QCN_S@_F0Z` zFGLUxnmKpBTuS#V_AuB>R_^6LzDRY5wv*Hlw4l!>h2YL&Z@p!d>~|iN1Q71TVA}*5Jvbi#vTL=s?fX z$1c#U?L{aRuo};c_knlsYuX12pCBud-^)(BDcNJNjhzyB~KQ#g!EpB+lT2x@yV)&WE4G3193wgscRo^ zJQ8Y_yW1WHs_|-hfq9%0a={e*<)3v!s_|Kyi`ZYyy5$45M*54;ik{o$%Na!?m>d$Fv<;BWq zp7fbq=`pF=Mg(&|(Xy}ZPx||tJyI)y-#_FZgn}m4GId>+xK_{x4(1^JXN2nlGL?G4 zYC>G~hr0bqg^=~2ze`z(%V3dcFhGW#fUh+ks0DB-*8I`htT-J%q5Fc9##;~ZmY&}L z$ws7yZjRj@s|=qcr`CS2RR!nS1SFdS^XSW0aI#eKL-PyXnDNy=`Wx!w|KS4!KS**R z_)S`9A4J0w6uOj89O<+l^ufagdsTx4ck0FL_w}f{jt*;pfp~gXte>du1xZ5#$*JpM zWzSZ*kAQ*>{L>3hT9sy_3LZ+^?7(mNTPL1Hd7PY-`^1RZ!dmumqw<3 zyKjRkX7G#n3l7!Z$>e}JZp{FFq=Fs0gjBF820>>fE(Dt^{n4fN3Kt)^55FSnUQuf~ z?nkD;=?$=6huXwp0=Yl)#f+pRp$h^&ebVAl!Kl880Z@al3u^G&JE(LeWsL2%P9Hyv zx9Cn!Tip|5hRArP*QhWM(U`d2@y@P?v@@Lc;INBU!e$0sBcy&2~8vWev0lEwY5|KN~U2CO9`d z!mYPG0oQgtGuC8UU0Uto+Q*m>33okZ)*sBaPX_|dFy;v! zK3Q`m+cZKvOyg0Dk1Y`l_BU4Q?xiXR#PX$>H zbu@Z`<1o|x<-G6uwV|%ut97N$nY$dV04>ND(<0u4f*X`08F239fG6++^!b=^ApFUpIK-4 zPu%qCh9JxmAaW?)YryJ9zPr`v&tfZ}$^E7fpPmYx?dDH_6v!B;V@(Zo8OH|jFV4^( zF=+~d3VPoFcDi`t$QbR&cUObl<{-@vGDhma)SY~D@4{Z{b6zN$FC7;mZ`JZ5^DsEo zIR)3j>o{TEyG~gjS)Mw4)OAsFDDn_v!Q7LZ(vEoRR)BUQc#pr4oOH+dnc-()fJ`)+ zjvVzr(g6T8EZ4t&B}cO7-T%Yfmxoiiwr}sIO~a;HN>s{_G0K#wt;i4>C3B`q#${$% zNo5x$nI#mZwhS3Fiz1d;nIkiqGA*oS_^$g|rd_?i_kDlg_s93X$I-F2N}l_A0Vif%To-)PFX$=jr=v%QEtOq1$KaHrS|1AHCW zw93+=P3R@U2pZMqqeXsN75ej4ATU>@J615nNuCYyDM*Jp8Vez|YTU4`=b4NJGzXv@W0Fz_ADC%{_JDXUb>%Q>oKzaHZ&212{2{xT_*v%FA=tkb93wh$S8|#n===~mt0e@O< z5D=HFD{$qkMfe(xt4kX5S8tBcRl~*PfyPuh*W0IMojzqaB3AisDHrh*s(RBMfXb}i zD*MbaldU)*w6QolwyUYrh5=R`rtvkBj6LsW1x#F4=bPxf&|j%uqaoeOeW_sK_OY#> zq*3;{?@4`BkAvXtMvA2p^fl7I=MXN7#XC_tzy{wYja81-O=L!(`YonO^o5%f#TRPyCx!+&=R9rYIKCU0nc;Ox5W#Oj$a#Wnt|jq*uudOJ68q zi-&tFy5(}r^}hsKB6)T_knSuFGx7`9yeP8&kwAGQQPj?602%IHpEnGENewEO zajKi>_&dFgJ`yyZ4xqs{L1>cfM3MXL!LB3)-5${MtJu}sZYaTG8zE7za+zEkHyPv{_ie#5!c+ka*;>cl!PrlG`8Yx0g~27vwCP+s)sY)I=8Hu4NwvF zoqabqeeOu=aF~=c{GNFxd(1h(h$$5yEKb+vZom#qx@EOOjI&c^^DWRTz!TCc`=U0Nu^K`2K4SQMl zh=k^v?fjM;>6YyU3#Z2Q;h4lt8rGNmE>?KW#w5H}X&SMQ4qS<;PHeI<`#`x7A)rrS zAbHJJWiCT(gZg4h2p@FyA?OrRb~j~7%rvArJB!)a*A|2ew|*wHOKB?;Msz~I+~P`m z|8R{<`6%f*fpR}8I{ky%+}PCEm$}(L&*Or-4Iphl+v2oXg)aZ@KOEisZzZoo_pt2N z4g{Uf`@h($22#F^Y=ACS8wL1^ax6K-H-enCkvwOk>ixs)lGzfq)udZrUoP0PM-_E0 zcB*{{xv+Kv$dTvGj_ggt^7>V{SQ@2Uty)=*N4`KPKQGY`j6CDX&`_ashv){pmGS*` zZ%(V%;56!MKZj&w4uUUjBz0bL5~7-$Y55(EKj@d;wS{mA`Jo5!{wxO0Hz(pW$i3zw zev;iK*#}ed)N)#7djH6AjJcNx)4$g?>&SDGhNNcsNaoz0pzN3u zRrK!VIHfD69btW686><-|w+nJ2BJ}Rveyg`PAk|tE%Qqv>D0f#@WqR`?a5g zOB?|ZPrS#dazpw)ylNB8ZMaJZ_#p&@)Mdd*nvU4FI6P(rs&m(W@4htR3>5SO!w z0eoJ?lfB>G0IYMmLU;AH0u+)z;NQF7fB_?~Wxf#X`Ql(@CLd^)2sFe&Qgpf?yTs|u z>i~)s7paH4k0;z>JCFWPF|!Ym=gcO0SR1QVX1&k$CMpkTNe!~)jJz7c8#^BWlTVrbs zYWmi3gq$w{ahz)q9xt~49aw$P^(oEPf~P$&=N*xM8sMMxfg)SA(ygz~2i{~q823B0 z?uAEq9;7WPDI$}*MJ)C_5wX1dLu5|Dr`3c$Ns@XzqZLa`s)eL6#@BMuAm%@~-iM;n zIktrXpEo;Zmpx)2=5VFEz(RQVGs{~?z9(dlp{Dm->7U@%j&X%5wS0e;f4;dbE>ugI zFk9MPrII}(d+AdMXbzt@JLU7s4I)dW&@$s+-Nij0MKnX@2Os-to#_g)PO}Db!pFZi z_@s#YgqR3$W<-_<_F9!`X*q!_FZFx^MTBXPSZT_1=Kl~ryvt@L+r6SrcJuaCZZAhM z#0cUCqJ{!6id(qB(1pEEHx7MdmKkbPW)`#NkeC^(j!1ajostk2Eo$fR1ztnS05O?# zy`Pu~;j1z9{>-I(8EL&gqIKzhIL6$4=z6uTR~eMoA<5IQ@pf;av3tSiJUcr!U1+Pq zl<|3$%b1jwJYg&hZxG#5Lm5B!l^*{sqIU@Yx#(}>xn`nWHJ{7(3y$T_GkR;jP)y3k zd4|*#q((!Q-baQjf*#XZuCnO)J;ol#4F? zaP6;9p193-cv58`JB`qHV7nfq)VB$FQLBZE}k7^UqyE>EhB#!{G7CtFP>s;XNi z%JyqJj<^W5Jtg0ZK5@SoH@McU*bU;raVG{Te8^eh;b`;oFr< z9klHyvBP6~kJ^30*!6y8_}Eu;lPR2;1`m$6+j~^8rua~huioZ*Hqr8qVn43luF}Vx zEBEX35FL{~j0)7n{qAK{PzDffiqaD!4HxbFnGZ~mJtl1KI(8#h7H~ha;E6N5ofe4V4GvKw0!;;+-RhXaKxV z0*{`=_csJZj&KX+$A{_qAG6Gs!BL6Z?W;19sJdZ3EM&*5X17RR*>H@r$(O3SjiLp-Y7vYW+e~NgN0)-Swq} zTb--c;LIKQdIEF~s7(l=A+s6+P~kxiJI5&#P(bMWslN4VXg1M;V_UN|)};OV*As>u zdJb>B99@_x0$v#|GmbEls(iX&Z}w|pO4}Zm-gX2u1@P%;pZ7OC^upgA{gcW4Cr0Og z4)R{~;xUjB#jX+=?8O&K(rnG{&CyoTnVpal@rr4cpxN_%L`CY6OV31D&-fGSgKL}1 z`%se!+$Ojtnsr&!X2#MZQhO9a+|YaZV@vv?Gta#VuWzfWh!+nF=Fr*awO#Wg&sfW!Pz$jc+e` z=k{izI3NFM)HS;wP>+Tli!fpWfWG;TOoRGLk{#XMrP(prL&?o5(jW?ZiS*3j$M-aY zISSkfGL!1$Rl>}dUQ-tJ!3j1&O4{1~rqHUmtPzLaHC{uZjZ8x10?b=jL}cXk{gki$ z+S|f;xb6j50zEr^H^@f9v-`&PKlar-LlPFm@S|+`vCrR;S;ybOUx65`gqkPyAO8{s ze0!_v+s_*OQ4d-`WOJ)3h8;%mvES(yh`O8V=l!Qkd%^ur7lay9$l0}yFvkS>YrO|J zjm2}vK8$H#_PwpwM7kB_HsmT6igb=}V12pPSI8<)&9xBAOYqEnPjWZT$*$$l95VYZ zHs6x_=QsNC=&(oD{fNDcGJS%af6?3iM+tEyTvv&_oJg8G z^rf!90k*et9s4fEL&g9r!kn1ndjF@l-ppA^>&-r7EQi)FWl!e!kxpW7O^gO-vB>*? zaU&h3jsSmu*|;2s(XPJ}u6rCx1{VQlVaOLuvpfR>r&D3>y7vy@h+1UairZo1GDD|w zzPoD7H0v7a+|KoKp7QDS%AT~?h6}RUOnsR(TDprX{r>&q#v^PO@FxARZa@PPA^9yD zr#%=l@7w_wZcq09PmR|z-?=|SXHdk-SE%Jlzgx)=*;_dAu)rM4`C< zkx{mM|IAM2|LI+K-NTNMJ)jeTq#GeXwDx0qyw{ot^Een_71 z@5U{OzS<)ZLyC4o6Hewqc&(LuF4t7Z_2yzer2eK0weQ(VjQ%1(dxLaseK7YACbGPd z`onL;HTUd7#PJH9(R;Am5FX^0PH-nKrSr`LK8P{$|y>&t{fd*aha-M3A-}%*Fih znAi#ALwk8JzP9$u;<(B6Y%D-M&WUEzIb`gYWEglnMLx(_VBr9$HQ?h7ZveqK4`s8=`A3vhWxBupmcgj;pqcWhRP6IdyRDqtL>kyl0u+ zYAz%1#>i5W=Nhuw)An{9*-5qXop!;ZRHQZ?x~&=$crUBWO>_Q6dLYjv!HX};S4y2PlG zn0G;g3=T+U*OlFT+PCO^663KLKy*3eKY!aG3fHfi&s{IAl-V$Mt=VK?#ckoiCP3g@ zLKLMY*9VxMTdA?{ds`GKk}z%)RW-coXiCS7&8bFv{fC6K*3~gbj?D5<$fPl+AwFh=nN-|e6DMNzX6VY1| z^m(uO)KqIez2LrQG5&=Wqc|hW?dYleXD-8)qVf%#hQy!eR?uIxyy7@g#D3Nnq&1vX z0o4qpL@>{tI2L&^?5Ld?%I^J%kfv_6^)}jV7^wv=y~US!Xf!@>0%(p^)hbdF2Z@em zTol{Erl1t_mQXA8n#XuS6{BJX?mYJ*)E*(7_0`jaF9Ov<5lxjefE7@&2A1a-U1bnqg80mnK94J0f!> z5uT)2W>Nb?Eiz4KV}*%5jX)~ykq$y$89--`?|K665`zuNCRhRzO5Cw!7IgYf!7ReA zHCrTMd?UIzfu{gQ0`npi6R=P*Y4LE#+QVzv0ky^wo>t#pH&f#&AO#3g+av z+G?q3zv$ljT`XU|9hh)gePQi%GXvAfmYLgLQ7T8%q7;HKe|E9+f*>q9gfmx7hH4{P zo7rbkkYah}nH!8yebJ?NQv#@d(B@5&fru^9m&ON-R7rWk#Qjoy6kg4@@du+KOSrER zkMxQW4K%^e3!@hx)o2#%G6uG8RiSV1KOSPfc_58h{jj&%X3+!QSI^Wu2L2b6Uu3)y zTB0I!7K$>XYv~u*SIS7~`nlp^e~9D8=7~>dRqoSG0@i0)aW9|p!GobXMkDpY=ZKho zk6GjrSJDOq1Ymu;Lu{TIj_;50RlW3Lk&>idg3HdUJ312}1xODb-RB(W3_5ADG!vTs z;Z__`7M?8BX?1gskyxZ=O1hf_4(z)XzrZtWhn@(+nN>>o?_T!_G2T2L%k1r832;}< z1|Or)A}F7X2x>THN0+jNN=h`GALAqV;$h&b!7mnCy^BneJ1)8Re#?srb8)nehjbE} zpE9Pa>9y0W45{uJa+a-nme4JAQ1yqCQQNh5Wq;eA9cw=W)wM||*1TylwkwmCh0S>F zO(urT_5Cm$^f}g=nrJNgK;VMStwBCk({Cqa08De$?q*Tuj_q<)gxT){v*v7e6(+8% zPAO4p&RvybJUM+=?mf)A#7sqD{J$73mFT|+(n~iRy&>sSm~(A)n|H29Fy{(w4I6N- zg6)yfHeTF5Mn*+O9l^&7!qyQ=nT6SKmtr z#+n8cmW0w5jBZSan)1DZm)Ygm9l_PR%Vj#hmu0#*dDfi6u0qi@K-`=_meZLzCzc); zs++e#6bn&KQznXA@*glsO zFdpllQ1bo?s^{2Xq>YjhJPN+C_y}ho{H0B>_S05guw{QNKxolgy$Fh1pup<((7YD; z)b(4Q$j5F+-{H)DugC!b`}o)0s~jCv&F^e~u>NrWHP-j87H5iWlv5s9qHuEi>oTwB znYHcdEohY-gbNK6i3fkO>hoY+Cz!2F=F<9URIY+Rt^1ynZsdu#4B?5lQ7@!r?o=n8 zBWt|AhC<8uqr&QF$+?RSDKTYN=`kcnY$6ya`OB<#@pyjuVRRej<7D4nfPp1}yUuql z0px1|wxQbX9m?wtd)C^H|26Fqa=wb2=;_k~MSfNP(6us|^6~}rZ$E@%4CYE$@-+)2 zQ)=XfJ&vDxHf{r!P|bGtsFUlxHok?)2Qy+P$b3~lR}83vK*H>c*_dn{XxDR8@Y3Bw zH}nDtbxX$f?pZRxj>-r*IXyQB^rhJs^Cy3+0jS`gr&qz^YRYq!74YYov=xH$+yk*K z$lYy;=38<5&`=I}G@vSV`hDV)nAG)KKCX1KGgF*vqnGm09+{pwA3@Es|4t1lqGs6# zQI_4A5V&O`7M{_RpTb{9quHN_uY>5 z+wsHVE)f48!Zx=m2+i|hm~K)_0y0m@>Zjj3ihO!OypeO+Nx}j-Aq9tWLv>K*t>eh# zFTUb+#8bD3Nr4wBJRCi#>Ud7n(W>OBMhf0&rXLpRq8!lLX>VU9;c9B*U^P zEjo4IV!qC7KlwFx(6qOd&|yvPuXc*g>7yn+Gbw_{s7cQgl=Nt9-tx;%RPH%QdY;@X z+Ot&E&_kQ#>^^sT+4>URt6jmzUF6FSauNk<_kR;jMRdeb&GIQWZ=EwW84b4HHg6!A zJMX|Y)dVkyX+f-S+tnQD92@!XIs@}YxL6_EbmKHGo8Fj9C%hkY8kQaI_ zE7$4IhLLNVpSX1Pk6bXP8A|oyU#%3aH3){gl5alh6dDg1~e1kS8YF&&HB$`=Fd>e zIEZS(oH#{b*#*yN%>-|dkYcw|v{IH=pMMv)Sta{`@f7DRi%}uh9!gN#ljA6}?38h4 zYG`L?`HE9d`Jl&jlcv2QRE8FprGDq}sv2_21Z0Wgut=4|nIGA#u*Wl?FeP~|aEUgY zg&JsQS9&_kT_Iy+#EdZ=fkjcx4ubf~zUCZ8#r~Zr0k4j85W|09XX(FRjG=-3r6j@A zR6D$n>`;B(uam-DC1i(piMmU6xPBXO=d`Lyj&S0Xf{~*f85!Y#1SQB9jn2fi9#V`| zG|03b-$6}ajOMt@MsP-z0;ocMjHr*mXMDwqVzZIx$8{Xj7s-yZ@rlhmsR;=qU!R+f zpEUELmlv(idM$jm1yIqn)C1|L*gU^-+Oa#cK5mQZq^h-l%R62vB{R~_4CeV)r>l(! zT$vqNeApLWV$t^0xa99!3nZoobH=mBSei4=&9MpOH`8X0Bm&iN#873-DEm+)6mk@z=q#CZc`4E%sR*#RYJUa=T=R; zOBXVKgi(-Aj05Vz^83hIj;4#!t!UJQB0)!jltr?qn+$n+cOn<7&gv8v&5lBnjLe>nYrW^F(G)(*5R;&c6Oc!aL><9{`A zdwt{z8uJ-FwJ&GkJdn@wMTOYZ0YkjMsNInZ(yiCj(KR^?$&)S@V(C6lnYa{yVOOOc z-P6uBfB3DU#YyX_`;VVA^~!hJe-A)b;Ir7F>61xa1jU)xz<1J?Zk55llfchu*lTKE z;67!i>W+!w4{=Te$I+vGlLY0ljB0aNT6X8*Y9~j#E!51_uCe0QPh|skhKrThvJb92 zFa!lR;U+E4Rz6XZxV@zH7B+^4G0ad;D3S1QAM`PFP29Gvb{HnrjsSVU;sEL&b1lHiiy7{YbnGpg z)J)vS%lYoVzPFL^ZF>IcDLEbXxgJOLOs>l&14V%$O`%40xy1R_#i!pH3^zt z1A7WlqBr9Wv*kMiAoz`p8tKee`PYgBpl%?WgYTQ2F?M6zvgf-d3Urts13K{qprBS1 zh8B-=;PyGh7P^Yl4F&LO?W8hzD_P?13rOzqh5D2y#{!&2EU=X>**;(B>d9=X17A{5B$^%7@0zO!`e@fXie<*4WrY zmY`^sO`rJt=%L1Bo+on;7$P|+J#H!wXt65zhC1G{+G~JR*ROzsx-xpM(c z*>c^zyk34_l8^l-<|7c2Zp+CuwF(xW!R4i^RbDoL)1geU8zZ%bOagsA+sY zny%-ssnlBTCl_KwygejSTA4@e3KtJ^7fkoTlO_Ti73OMm3?6hG^46&;;Vyzmm00ZhTWnk7|cc1Qd{l$yPB)x-X5^!O6+Ac@rUS@#dMCFI^ zk*+Y+MQEz4tDiR~eI6n5{^Umax2~AWy~@GWOTAaQU0|Kpg(WhLoycj>c$1vEE0*`A z*{;(}Y&5u~Vfv4+_uRHk_MdgCF3*`(vYD8;qm$*IJ*5+Rs@vQpDLu{f^DQcBO+^{= z5v9PQrs@ft$k;K9PYYatg+p1)uHrAE(|J8^o4Ry5R9?vc)eVEZo%!}AjK-;zW_17? zJ(h5?r81LjW+8s=0#e~$uwvWokFH15e>(S1L}6c_HEl+{1fFO|yHGhel@~g*J}hCO zBJmOTw8kbUGFi1QUTRWJJ-Gd;oR9qgQ8`l0xxo8xqiM`a+QPzOt|^ZO0=(()(n$ro zBo*eUo3JQq@J};w{jd!Vt1UL`=we{H7)I^gZByN`1c?P|SFTf|z$n9z z%h(_^s?hLHsin0a9i&f^!r}ZZsyHiK4MsCWa^ukCD?VApi>Ng3AFLj*Kh9WQOYXHu zt42~0`}hYzDGxIl%>sgD0yJb01%YjM4{;VU4w67Pe+%xlih8+hr9S~}MoS{h&2BYh z8iAxMPD!yGa)0|4`F9UsxMHH}e#_85`g>&>h&gwwAu5HQqRXc3ajQ;t-1`>|z^c*Eq zyoU89f{UKHAl6T#szkWgDvC+W`pWe?@-`zIUMgz4>l7YnJmFx18fN5YFbimL> zUMP5h2vE>fcnR`VC&uO-58AminiLZ?7iJ$+qv7Z;sTE{0gN~BsZq*%VLPdURl}fDK z6}@_f@%gbnO-R+@B6jvcQx^sXA|B>J13+Yh-IP7_*{WgDUUiWVJBD4PH6aZN7-78z zBi4uwzY0Iy4-OD47XfFU&pb&lrC^ZZYD+dgLV#`%RfvlAu%m>Ra8&chEo;D^fN1Pf zJETKE964SC(B(Z>=$rcbFEp5z3W{%@VyaL zYvoKpeK9!Z>b}LB`ENf+qmFynE(}UEu<6L=V8^=7WrArgGi2CW6T7Jk2${iYY+9z? zv<15Y^v(dJA+3jjKL)^|^*#+=5V|;bQ{)-hyiFgCDqZg9ZuJ8=hCE<_(CMYY^zVAo zdQ8_I@4eM|EW6*s4Xsv_eRm6k$3~J%O@t`vO^3a0ce_(yLdX*_I;|Mp>rl^yE)iOz zLO=?iR23w;hhTy`sDDM zEV%Gp^jWFxc9v6nX`R0eIUk<+GS_PW^DGMlq*x=#(NI{E>=1WjV)2GAPqluXg(152 zTd{D9hD>kBmX%BRIdQ0~(%|X4qxLiF&EW#WKwkvgUhMKyDn7#KDBT))qX2+KsgGh{ z@n=XtwzPvTU%iOvON^fZs+-?Z^HD=&0bB#q?S@MEsf1=5J1{ty-hv>zh**=H1ICLt z(xLm#m4#Yc@*RliZaei?QlCf@iOpMN=;a*DaB^)C-amQ#h< z-Mi7u8vTi{HaZRh_?h)AF>SWZBZJS!)(|N8^Ci#yKV2&Ycc zdIqu(G>v`vyopA!s0%YsVuMrl9j7I6jh;&1z~QNM-;$BdFjgMcggG35$t!s1_#l`h z4Crw5MDC^UAy}$29S8TSwT&aEAYC7;t=0sUlEZNQJW|>uGGT38^s063{M+0IIY!+> zU&=`%?||kGPzzATRNWkSk8YEDHrA#su%fgiVWF3Rm^HJ6qc!!wMP4Ejc(Pw<3gX|p z2T$Immn?N;s$#VfFYfVh6e)C-a6Q6OT$Q-YKd z($p9t+hyg^%(9%XV3o6?V}9-4iNKi^cr)od*^Q>v4x z`9bqq5K7dsd9(lIq|u*o4Thuzg(hQp1hZ-S>_BaCM87CXHmQ}ZKd_8wr6sXXMPC#1 z&QNh--Wi^^>qY@kw9q6M^e%4U$^_TehMcW}c?JB1uE)?~{3cAbZFOkk8nCiia}e<*Trl!0(0EW;N-Xbt0j$H=UyEETb$mu% z{g5F+)2?AUWQQH%ZnG(4_zC$*<-kW+mFPwK5+uk%!E^Pc@dSJ+d;b}{MZ60bF(@t+ z^8gJ791{OciM;)Es%hFOCv?QU!az@fk53BP8o*c1Qj62xeIJ|D@3ZP<-R9H~p0yzP z1j-ti)0N)pK1^$Wy$08#U(cWyQ2inW>O;;6Oi0CtZfri2pbN01A$PeF8v1rF(CaUr zLka6?wPrk)3Ct4@Km2uRspU?#woERvNiK{kwoj_clRhj1^|ye01p{KMX{U44b|S z#hjf$3ucCGn$VLBNF(_%=&2%p zwabz}!KAeyJo)S0GPFgR+BixH47HvsPo{YrAWQ-DihEq9Xytiy@AOgW5LJAFBMl^zc2L^|W27w>HI$G^G0X*&Z= z+`O;g(@H-q_DPs%q!FO8kIvWfp{L9>>N#&NMhagF2a&iLI1bq!-Dy~4g8AzUq)zJz zOU|J*KA6(+D@7gRS=+Zl^T>5L3nJA}m4@J}|D=SmpL79b!|v#^x&AueOEE~>x? zOD_u4meLC}G7GHOLP$Jj-hk92%9|67%O51*Fosw4kf)hks3hKT>mc-z3SC-?q19=a zDrji~iQ8+W$Uz>+wDO=jtqmlRq(YV00Mj&y2GqNQWQ7HFLQ%WWdUkrMvW|lYbZ_1O zNjh&d1+`|2EJA&G>356yhPse@QJI29?ynAbXF@vc<@Kl$1JJC}DQ|rzniy=oFSF!$ z{NPm{d@cWP+)57l4;BUU$o60oW2CS)E)PQlb2 zSUsq%;*@xfP2s0P7X`udQ0pe|U2^M43G;gzVw4qKa$tKZj;NVAR-MK36K24ehe2Y& zYN~CI99XjLCU3;ZfvFG(o*YB_i_xg8$&$DeVsn_gFDFHS3orkJqr~IFolOwsSbAG6 z?Y#tUb`*oxzS#~7=|H2ynN)AA=4Yq@x(}P&KTh6V<(!C;=66;|ABw|1r`2BZ*YXgM^M)^8%8l!yGc4Fy;C47A`y^xg!GTB0U0Yq8IFGfN7 z@U=Va)PgdI*s=jV$zmH1b!oO19JBS8qw^-xGzdh-Ieg@C>ts71S1#brTUc;xwj@X} zA|Ic)AsWJJ6h}ndhI*4b-#&~c41D_>9YHT#ulMLwz=X&^GOIPe3uxvZqUIV9lLf_^ zx)WXp;E%%ESR0G5vDPb(G!h97ls+rXW~n!7BQBgoqby?Dq9<9jG>p6-r2!+b)uN0? z2(AoL$?P+2VUusCR624>bsM8yB7)OC2>^pJ`Sq;@ko7+~+9NRU0ky3NvB8&-A9y8( zAQGTl9L|B0FhY}CtOSU3oPDDC`vWQi1VyZ}6-igQ*g@(+ololvFdUy?xCL|vI4Szf zo!X26?pyFaRu&44>O*g$hYh<*!S=n~1x@@_)kbYlk@t`BpqNuTq3QLoQ-Gzv-64?& z0qFM-uW6$~U}IY@i_MDL^!e*ynud|5^z2pLorJ2S@8vV7RB@QE4X3z;pnRLZ7!D_fU_H zt;FHLo77;_G!^OAG7vQ_98qe6K89YXsv%+DDR+o`^xy;=HXzX>=o!?oNx+`Jd#jw8 znvg&!>GZA5;OiP2AepGi*h)INo6o0^{rSUg87E$1v;0B!#^CzH4OhbEP4Ghh%Q zX0PDobQ^q{UL!fwPI{g?{ib>}?4-igsb+qDJLD84-8@R8he2`3sECw-M%}t=+`{w;H?Lov$k0;MP z;DWJZG^$>6@gsA0BYiPtj%*+~;0`U<<@n`mT)aN>A6_bfOdPaw zQw-=hoV$XIWslv4>bDfQx3ZJEbbCFs{Q62q0I+|>s1yht-fL5S&;gnC6Ty?M#hmSJ zj4FZzqX`ouqvJUqYQRbh*8nT|LQW^M!OMZcp+Q|1nn{tb0W?$2UkT)1ptX>ow+Y0v zC@3?#4Rg@Egvsuah%bw!tZpWZ5j2Jh>KYoZ*)?x_dyo*>7I@obMK7n;I~TDJs?3r5 z`e->a9Vsqi(}ZmNEQeny6u5@#FH?td!)YM)47}SHwC|VB^AgPVnP@B>P~a)*DcCA? z_|4OnyCL-BY>R+TESrXuQ4g^-tl2uKbMQc0_IRw zghVj6Y8fri7l14Y;@93=oJLZTZdR;MD?5r;D| z|3=(rlc~fU=KE(T{Xm*0L?oWjAs|YP?S1KRL#OYd&ZXqEBNX9ndQTWU=<%ZGGdHkZ z2h(}0Y``~9D&DRDY>7)RJ8cs&L)K-3pQG9mP7gb{X|J3CX>zv?rDU4@(V6tOW0ltZ zPR*yG!VQKBH~2z6)bNm;NlPJ-3@;l2#UG52YBGnB^qa~r&u*EBYymh#JaDsCuJh3J z`Ocs^$**!HB}Z2lst?^ha0g~jlI<=<-LN>k;&wY7$(u16z?*1$dn3;a|B_b*IlWQ(w19ca+xi1AguwI3DnlLnA^Ck!dH;677i5q4(7#}r z3y$iR^7gGt6qXEDo`w$9Kiysh7ecA8r710pH7sBS)U2Ey*@)n;o)cajRPZ{F`qD~7 z*&zlf?z;}sUql$9^(XEUHj9KLG3EDdLKLIM$}jgNExt;NS+*TV0H z23h`>0ZlbFY28G09{!Pi*3`DrTelemkLumBe8@+9DW}8K1BHuo|8tH}7z8B^pOy4V zM}N6gHBZo(`OY?5QeoNc1>d*|N%{Sr@X(BUpRAFlh1j_TuOT(r!lE$uon8`i8ts~A?%oTU? zQ82{?+f1mo|IWv|Xfsbnm_pi+!}=hImjWAKUkKdbuWfAD_qJl0UjbH|f&2XXG=Uf& zVrIVJQl?u~oDR>_v51Hwf4a~H`uoS=0aXlm6{?WmFQN&?;b&h;{ZPIOZNf`O*%HJc znKc5!1fM%SJX>jsJ+l`Krh@=Dp5G{C_m?j{@L%l8A@&b#5^&x5zvU$~`@Xuy1Kj4f?49}9 zH}dj1|6EIq&nug)OXL613+jHw;)(8pWtclxwvMIdwCT6xlv9Rd7451==RjqtOAJnE zqHJvT7f-wNoJ)5Ec@HE-f?_8hYtE9qW39jXqr_9i+4eB$Nxy0Xs5U^***Q1*E(Bmh z9#Ecr@DlV&TgmyAux2Dm^5OW*9*y6(`P0mX6g&}jl*}z0P5y?yt&q%G*xftFvW;zC zEq3wW9+SrMp8X4X&%yw3;N0;u3g%J-c6=3mX7s(ZPlwk+t2*Wmbqe~&bNMkmQe8nZ z7R=t(XhWt0Z|Niq3NhW@20F8%@%&!I+N(r9&c@4{EjX=_nQno`4y*1m_Z7Dc@#Udj zP&=Bo?gcB*Ed4de}kquy6pDG?cCtwlQw~+`F{kzu{6RP8aN0&^V+1+i>!M z%IMLQApyV%T$^1<7)g8(nM0y{qve<$Hx8&Cnv5O_l~OPigq*JaW+2^v_DtxFs=~+@ z3c#lC1;XRi&DZxlHk4V<;k-k4hZ%NPM=1JeSPFzkMOf^H#N5CH$7!}U1AuJ3M*}9e zr&gVbl~X4ng=6T}@FJ*|GBM;8%W(AzRfr;BoYCLu#nYeWo{Z8gnucH%w-{k|`eOu0 z`y`tCo0JRKf@bhlU{ha(zwnAGWE^GCfJvL~=s&B*3FMQ$TdAXBcpyshvA2 zLUi2q)0x3810)Ftv@*~;E7kd`A=Q0_oRc$l7t4dWSk#q)WC`}f0Qw=@8mssJ3v2!n zwn1fWF>=?iPzNyEx-M}aAT7mUx`Qw&0{LM_c5KQyaD-G+FyJ*t4)14#F&aq=ckL6K z>+_O7RBiM5rrny=B?sK?W2-&M;B3{gH}NT_qiEsMJ--5 z^g)^hO|z$7TQo_MofJ%*d3co*_HjPfAy*8HJ!5fJ*@k;{bu(aMm;S*07t=V`z~0`% z^23m`o7Gv{(QFOBmFT`(d;+J69R*+Vfj+%FjJ9T~1=&=6*1iWyq+EAH9vPvD`*t{M z2_Z~d_E`*3u8gxD0R|cSU92PmMEmv+9!gMXxViH&9xIQhlG8#H6`x7ewqxmfzg1WU zpjD4ycdZ4EN$a`HiqB!g|NNJNfrYRL*C_y(iykcL#PcKEf zbR}FHr?N3v5^^mxA#FZE(%6qe|CI#?KQwt$zc(Z2`!HtzHS|piVN)_gG5-%DoW%^_ z4Ju&#d53Cos(OQ7*^mQy3LLe<<_7B-rgnQ9C%_;$s`xz)Sq{W9V+ACPpl+VpohaTm z`xyGqw(_wE))fUzB4htxYKhoYB6ktc=(E8H;`q?O#&C}4HWUtt_(5n^F5>{)iinY7 z;$gqhZT+1IG%3jfnf#%J$4DRx9AlWsr@hP6a%Mr_HexCLqk*M{-W<` z9!*+Ufr`cH-}JDVXYSfi!|SW*3xK@UNOfY}WSH}m9gmRndO(%R5y%o}86;NZ3A8p1 zeI*lUjMdE-HLzq*0=Ar^gxru42()1}YIffNuPC)S`ob=hmzL)YT_A_Bz#2r&4ItQb zUB!p$^0Q!|*DTJTXt0pg{UiE*mxjKG&<}l)d4CjSYz2Vgyehadw#GrY@t%s5Sb{?F zqC`oe_##2oC~feyKB(s`3BVK~P0PUJPGw@K&TDz6UfT#-ShUxXf{W}}rmZ5RALzXM zVJy?t74?w(i6XDNA_t7>`#m~FP9F41fXw{_LCp)CQ`9ONx=7RsAuXr~f*_%t3gktX~p#wEaU!X?m)gvXhF+V;g z11GnH?Em9b!Kb11C!{6#joNR5N2MXg_@T}{HxJKqfjF3>moFQ}^zDo^hI{4YQVJtq zdxhYT^FgYWLj?c6GO?7PY60Gi=Ou?QISFXt`OY|my$}7X=`l!z88PA^^V{9o1OryW z6r=H;Ytu0CA;-vXT;|+A5d+Rf)Ak4rqV?v=B8Kp}ioT-^8y}?1S|l;41`+KpBw!aNG;L>Swr8kD5#t@Ps}1nM>5LGUrpQeegwDYb*MIr~VatGFUB!37%k zr++W{9IYk?k}F6HP&BFo^c*6x;gB`EUi;Kva3)tSc$LqwSGOj(5W8LH}yb%wtK z+rDszF=fpzA*t2Y$wm95%^?g&@Izk-z610te#(;2LAxTTB$@GtbDn9v;;kaUo4)JR!)N;a*3%4$FG;j?4F!31Qklg?ki@&2Ia<6h z8_R6@-LqqW>QpY5e^6<%1KhqiTRm2SSU0I^1uCScCoiKv>PEQ8Bx0dU<-m2mAJ!~5 z2G~M*d#fGxGrUfH^MeC`6<3gWg_zx5Fk+-O+Pf{x(qMLrJm<`N^X8K}q&esC|9uV7 zbW!9|85bucC=L4_Qajg=Fi;VaM$#FqdY+SVvRi2=Z(B=4HUc8>fRoMmJ{!MFn>Rl? zroYzV*vJZvI%bpW@2~CsUE{A!LZlmqc4m4?Y{+vf9o}&Bjq?uAV=4TXH^Kjp{=Wav zD*qLV0v8K5hi`AVbk1%-vBqEB4>ucUlVN@$r?}*!^KkS1iENSXCr&y|_M3#Wo!oF- zVpCVMG<}3-IB-gL0KuU&grp9<5lu9R0dONl8yb_wXS)110LVGv@Ovt0L&|PkAwqN# z!5<5Ab=&E0fon1aZc5?p1xAnQy30?~lahS*oj+PU;*^M50ietv=w^|Zz)Wd#>gVgz zI^|DW;W0LRu|kfqg;fuR(VB&9#Je<=8_jB9uj}uoty>LAeQnIFzTZK8@hb4h5nhTC zEL|OED+!vdgP{JPyU}AB6lSKG$1lEZ8Srs~3Q;mU+^f9EN2MlXheT0?YIQr-eIcUyS6kn+!seVG|+BbxY$;#*~U5ctxDo-k6y<$1b&5pw)cYcpE$P$t%UjrYjty!?#8lcf5Q zOfEr1P@~cG()8g?g}oQt8T+kH6+8QUvMq0*Edoc#%Zd36?l(>BJY2En?{X$v!O zr@rtCO5Ks@zL^9Q&-QVL3=N6xe{bU6vHmj$5&jy;qer63V57ms8Z2@_X>UjIbqRv!d26AFgfXnwcN(%Ehx^4|6I0U2Z> z=eD3--iDhs;GoQ_Ua;h^+&u&zx-w0@N<|N#Zk|EFd-hsfP@*z58_E%Gw352h8E8Os z_B6IQT&~;r#tw-qH6U^&SJ&j5^|W%kR74t*j(XwS9(=tC`(R)}Io(ZKA~_xp^DG_{ zPy*9If;iD>CCJ%d8>hoEogb$zH1R>INBN1^kx6$gb(R#q29r9V+B{Sab#@+R4%0+m zG5z_O9|L3h?IGb6w|C*JK6R{dne?NNjB|cBiyd9foE0bjgO|ja|Gk0UXJ<0q9%2$y zZA$h=6RLtmrY3ORO+7q3Ow%74>6wLyShhXb;G+i{!D=J7g}Ol|$}bC0o&I~nLfo(}5!8h^_vbEh3g9QTO-=S6C-hXg4tu+@U85%mq zEUvjpG~J&!KM)!yV-38gFLnDy-tfcPkqr;J&9tZ)At=mb$HaEWk*m?@$|U>=U((26!@r*&~`_ zzYeqPt20}UEDN(qa4=BMeDMs5jaakwfw4IJQdkcM*mD6o8L8Q2k}F-W(w9XaU@~oZ zBFDe2&}AK9<$L2g8JWtzq_3Mlr*NkgFsz&Ph6}qbuGwI%O?4k(pf1oSf{pL{Xq=0q z;}njhoXyJlTsUjuPsah3nbX_wuU>6|x_sv!cxqh^f<>SO*d7`1%agvc&OXOPdFeV{ zfdNNAv@#e4wd7z~ZMpqAFo48pILsJutY-Kp_|+?`nM2eRvD>11@WIShcsDZIL_v|z z44ZB9FIakFQ#m6K1`5SLQFAuTHozngqklpoetUD~(7(4R5mh^|SU5x

+C3`YaV@m5zf$9r$d~`)ir3P}RLsU|dl%YP zVieldFC;|b{4J8M+A+U7$3)>=z4d{6roXX;xLqHZ7WI$^*G#*fohXQ~L^_*t0@_7*7dCg!5n_nJ>9K}x2JQZmPHizyjs=I`WvvQXhHoi6;i zxm#8?4+SsN%x?g=8umDw6)4qK!chu_{x5C)2CQ*vuG@w^hqMlg71ul9my)8>J`9uN zISv9@9q(f?C-Y)L`()e<=l>Trz6i?dTA`3)#J6zN=Cd(Ay(;2pakOyG&}GL`6_;xx z9Dd`d6S(Tx(O(+#k~J&~D$?8F8w0R>XcPML(POkS!DLrKiKkrW1ANabdm6RQO2c$AO}W})x}R7JbMK5864^y&{u z*!)*2JDB?_e$jC;1Na@{P5;sh_&-q`LY+uIKfibEwGo+CoeGX)m2zm_Za1WKrf;Nb z+bQ3^eXA&k-54~2`-?@4EBFwaFfC0@ z2c6qk#E(i0RRT1tC1O&V)N%+eV75e4-Q$Ng=UlvU4Fso-9Fp`t0-FkPZ72Coq96V^~qZ_9m{h3&N~moskj~L~~a?__n1U-`3oUfXs;}1npA0m|NJE z%?XKYtuf^b$ytKPe2Q|V7ssw zEh~3lK7i|~<{Kq1-r3mYm{{_vdso&r$Vfxq-GAWTzL1nAub*5M)gX6r4*NoG2WsS$ zz#u&}$xEDTG>**A09wg(mySJb*R3u8DKj0D&ZT@|-tdU`*P79PfjPcdv*>YLZCB=1 z7HoO2U6jpqL>3k|0QD=)Gz*BO!q30-_q0@cA4#ap^cLYY1`$2uM~y-g?VWg7jgc zF02nIN%%IF4V$C`8KzFdr1_h0ubGwWhM0;Fl(fao|U(hpN~@4oNb%Y(%9s#AoM&SyM9%(Leg>9(OpnnH}s|Kh^5!z5_B# z(ZVe`O6jA}n`vJwM_h3`A9k5a#{-JDaLrF3Vxz&uSo!|PBp$2d`{MRnDFly$wks2C zKE(>1d;vu(TX{_`=>AFC_=E7!VxeQu(EXCaDjlA)61Q?4<*0pP6)c@?{03|xx>)81^6K7 zs`G6pgT&s>TR=&z3s|)QC-K1RHa>(E7$I}gALsu1XlfcF;nwc(d!y&w#dg9GWU;|= zaxGMgH9#p_iO#~|n#^^iUF{~NVJ`Ic+~Ag8V=3$B_p(2sB<9HdJK31Ggvkwi;PY5k z{VP5X)FsPU3#cv;0r~*eR^tavI%l6NfQ_0!YcmA{AwWPsjk!>XQUf%|M8#mx+Vw9B7nZ`|Ho1pVkCDCnS)K0&LuUl;nI@J9(-J8t8Fo_4|| zjgP=WVhO29M=4I|J04{osh_6K&}LT)eHq{eP*e2cRDw}(i_nzIaeD2MILYAV(P0xC z>le?oAw|E!Z@o|(E=v&qRdV)HR$8RNKCg0Lp#jqW(7tA?cY7(D%G@Y2lRK#MAd_7W^qm;Ol!c z1W+jFO&ZW@%O`2UdPoZ;-%UnvWXb2H_NLao`|#ldTCjHPtFCY7gq|t1;8~cqVAw(# zgU7_hBstXD!gt!OxNS#w*)zY$$BVDI-3PwciH!R{J(w;IVx4doY<8ud)i)_hNs(P! zwS;|*or{Qsvsqg3?58Tks`b3(^3Q0z==Xm00a&$|ckc**)_DGi(q-;_)%zRfeIgGP zJ9bKpd68CoLT8^BFh}M=9aHRFJA9B5!$bm)z^R7oq3?#p-AoJKBLH^?_?wDMtX`j7 zxo-4X)`o-J4AY`d#QzU_?;VbH`^JwyDJ_Z?DWjb-BV=o;5G^A+4=Q_alB5z+vR4U} z9obvR4rOl{x4p-0{m$#YQFQltKF{a-JC5(~kKZ59aomsY`~AMI^E%gcj@Nl+JtSCt z4_r+R&rhGCoiX6wu;aq!X~+k!-*2Df|FWPgKv1xT4hd=u?S2z9bmPTM5hC6SqrA+P5_XFQ zA@#)a@2~_>zAhqroF1A&t1KWy(J*UZovn7FV#4PyjN?nsL@YAmAmHrnHc^bztb7 z&U8m5roP!KB!}UYQ2_D8fTxIuqN1=+>xL_h7X#+$grf+^Ewiv9Y* zmKOONil^#sp@KYLnU4UBr!C8Z8*(#zqfw$7g>4yRSgH>#TIWZq?ms}r^9mmE%wX*J z?Ak3rRXZY8{S|sw?_9x2E>JAS)P>1mJ7-2$Jit<-(aJkjQRHu}nts7jEkMO*l?6!o zE&Aiti@FZ=y+ZYih{Z%76-csLJ@OIx3lRn5x|FiJ+oEB7T`@SO5Onn#9^U&K^%QZ` zd#f;6k%QU7BA6ay$II74LzntOVfbu7_t54mjq0|PO&RQIgS`P)bD3tRHZTWE&37NX zITjp$1$3X*xv_r}fIE$JUrsqB`k&1K%Ppn}D5lYrgxR!O$sFI_k7TZ=p;3brUqS7@ z7;7va^i_n!$AG(5MJFxTJS!li6C$Jpgs)E0p=28;BssE5Cgb6&~Jj z)y1lu0z?@a4u|J!R9u2D9supgk}e3C&vtAAmFc;+=lm@OF1b?Bs#*#{(GbqVhGHT+ zw~>u>$ONb7&wuo%oT!Z^J=CCiO4%1eQuFG%bpdN97yIr+6EdSesZS3+!K7Q5EdC8Q z&Rb=)ebhgOcdn<}zosUOhSms^*KgP?I5THxG(EhaymzndwU%)fUib8IRpBt9>39?W zOHnpmnB&-MvT)U};yi#oi}TZaC?V7~f1-l$kQUM%5V1;KRww%)Vs)x+DyO_~fi5TI z`+qCi@hP&_1!}RPz@s+nL+7}4Z6GQNg)Py0rPOI&gVMlemlvLR!kP``$7E+VL8CHc z<0&Sf^JNe8c?~kuUpQs-f$t_`6-2n30JtbtbHI^28^yP?apCUK(NVv~DD~)c_2}3v z!M1(J3&aKiIc>A?G z4E#rx4&xI`B4K-soZMMb{Zt+J!2{k1$V~<(*9Jue^+mIC1?GW&@{!vO+D+z5&thT+{$kagl}?(YO=xfXnjA?Rvh7tzym*z{fv$IF>>|;Bk%l;MA8Rf!1UJt=a;P8~kky=FQHfkujRAZ&Zc;83q?EqZnR``vbNqcsDOiBJC&&Jc_lswf zTb*#XL`ANx^qsB-h&4Qc=%a7UakbrH0dJXj=uyJJ5z{8IB%n)PPUZ~=m1=VNF7$TM zTw3U3X{sC$rlGSk$4Fu$6$O3LC%H;P9l5kkEIC4>&MWCzGtc?3qzGDdkW5rp1Ruo? zf25m|Hm??rl&p@r#d8QCkzBv7h5}rU%>Di$5MO?-;q4*|*AL(hS--Q^;t=pjfmNO4 z!guXNV=vXcu|xEa_hjDsTfkoQ73ewR9J7&@Gv-r+{0v$?8j~q{)K*4j9BDJH!DCMx zi!kk!?v+QGBQ2AkVfAmh%Aayp?w;LqVlsUMz%>NtNb)P}Hc;|pDAbdD=BuBHpu5ba z9T7i=DW--W(0Ff> z+WnG2`f1>P^~jcZ0=DrA=)V)nncW($nV=0dRID3O0p1CRzJae}i{;ZjNAEgr-He3( zcJe8=B^|Lu7uK6_k*O!^qT@~XL~-p;3(CY9rh^BoD;+bs$QZhxFq7`6IT27{G9TOy zTQGV161D-j2p27ki^n(j@>-9JVudpdlclU^P1GSIEZZ>t8?Am3zm@vRRsdHrUgKbv zTrPl?m{^|Fb_zBS2U@a5vUpepkNVX2GBSq*w}sX*{dQO!F0KR z_k4Wz1}r>l#55{e+%^#OZ;68PVRD+X52P4BqJzU)L@v6_}ii%acV>r5Nk{!r|{kAR{E-{+jD7PC9%; zAc+XFao4+SU`gAtih?QdqQoC)W+QT>t+i*f!vg&0D-0&I=hy9+0@R^RfeDF!H?Q5C zvE-*vnDF^HfFzaZnN;JgA_K%zwa=I75WI-6a)bRksy+w}9gF-j2UiEM#{ujnrcr-d zaTS$>DNM_9TPT0kdliQ8kV1$V!D&MQy?I|uKE(OTW(wn{`cW*uOIlt&EvQK|ti#qT zAV7SWPVY?vMD}UM)#_1DpMSF9<)c?6R>SoWG>C)tnXDuz_ru+f(@j2^t?#v1pRz}z zYl%OeodK#iohio;rpPoz+2z^9rVJemY)h}d*941p{p_W@SrI4CWu zmzr3eifGU_t7JEY_AM%E!vs;BRL0Y{%neYine$yOt2iyNV>=x7nIY!o^f^vz8Li*h z1cO4K|9U@@VOgAI^G&0sst6Bv@DWNLdD%p(ia1aSD+H@ll0OcSR!x%{b2OET+!wMz zmeizAEu<^EyoW^C@x&9jk`8s4D|~_aclv{YL#R4w3{#1(PV&|&Dk_3fc&JFFw%)DU z7p3YLm?@pg!pKO9EAe=qh00q2Olb=RV0kqAXbrpA!lV~IsNL?p3S*+=C?yi7YjyEs z@7o8BgwjbEIYza`YVJBCZ|JtAtBkAQpA z^w4*)hVi~RkdQv7Bwl={xh!&*!X6-_^^5vkWG~wSi2U(VnMf_2CwX@ic>g>(s>3k$`d3iX{fRp!3`~Xy#rN zS2bd+8*SsQ9z7$FkYAJg22E0hT$-RruBPOs6 zL4$40UKjLi4#GB7n0I3X|uYhw>g8D;J{S=)q37xuS)p`el^)E9=9|#OO4yy9M$UXRQ z2r!-?j@4zQDjS!d6!a|jZ#WXCyPG2|yfy-0uU*Vv5Y~;KvYr}hJq;C?aJ$PjrxKE8 z9tmQCzTdQ7dng(~cdM|0wP9E*+W+d9o`+%nW?#yn9DOJJQg0Ytw7G~#& zV)gbwU)YJ#ffvh9_{R@Wp+g-}svEc4gDvN8X&Cshc>M*%`L?!6o72c(%p8CXEN}o{ z5StEv1rcc@Kb7q&RPDR*<^(1KZ_&SlkWRE3pIw}Z^@j-!&shvcezcd#B5tmo@3RKa z#C8}Q9aR0J3DF?Lj4=75Fg1|nEJ>01+lS4GdIwR!I+_)NFHyC|fMK15#=?*xC7OF8 zCNoMEvUZBMsBt+a|1O-i^Nv*iZOm7C=< zo3&gsnjWOrD&*|WI~D#ZP=|R+qdQh$r3VIVgGF~h!=MSXvKl|@X^ksy?WfEA48Eyv zmpFB=yxc!ZXN|p%b#v~RcfjnoJKNM+G+|bj((^{bE#pfDd)acM4_|KqJ2tMd2i*xF z)ihF;XQD5^q~5_7YJOn?OQgyVlbfsol zwNs7ly#oa4LeQ(nDT#3QKvtqn2K23sK(O=za~Q_leyETw;csa`Kr`Atz9(Mo%B3IP z;k(WNBpqOTV{@dSV}uLorgb__6>og0hC?W=So*wl9{ zMIUJ$2QQK!Tp5V|nJL!7Shgg?{<=?YFcb{jqWz~Vr*y&2Na5_%dA4bo_)v**$qwW& z@nz$o)cSO0CO$hO0Xuo;Bm*dJ#(ORYSQ+_v=be<6EI2Uz9Q9NkC7o#V`9v*b8M>3T)AOKh|P6PwF{sipLsXqnAEnG0<3m?eskl}^M9q{ zS4D6VXLyaxLD%DzA1f6kJdGX&aj=U%0?#J=@zZyT&_p64 zBC_Q9K+63RR5OU79u*W0bX2)*t5{_GeiSjOdV z2xvm6frNBtwQHr$l9{r-1i7a$cIf2U8F>@hLYAGL6%rcoEtN4!wiEFBm6ML(hEaix z9;-)De=AqL?Xt`%fA%N03Om|cH9h)%@V+h-?UU2jK;B5LKFCFYXG&Ozxd;m*SlkZ; z^A*+r9n1J9Nwe3ZiD}5TQ0DhFrf_KiyeYZ#ZsmtKUvhfBa6!m0!Q2BlJ~<$ZIln1s z$$fzf(S|ENS4Z)&f7ZQVNmBN9dHB}6vK|hj6P=-;TTr>b2f(*Do@F=ANTA!5rRlM> zHU|OMNM^z5=6yXB5wRxVeNj`U;bUkVaEX!~?e1RA=dU2?0CUS+WbNV&6nHn#5B$eu z@E?m#JSlgcMVMprO_~faBMloZ_wp9hWB)SpAPMyqfdxi9i;~Fo(X%g<7t%E+4Ym62 zlqofqwd7qWzY24lQ9ZuQ?hgOvAl*1?hoF+|H$zPpgESAx0PT&d9#Tm#d&N#ETTNy_ zR!%OwvtXQbVumVQ?EnT3L$!~U9ZC@HE=NjitAH%BFF#?k3|#sz3XVz9T?`n_ES1dY z?SY1O`2y$8R1O#!-M|}oEnQ)uwl|9IdyT}j26DC>)-aG@P5qJ3U0(~qLa%Ghjn)f*lc63b*w;$V{ zAN1_JCAfgOw^0^!Bc$#i^zS z$g#P8K_hyre8tqG{j!#`bDeL2$zHx_t})UerB97d^`39duwhIa=I`P(-r;;p3St$> z=e90t&poJ|b^B^U;$GMtiYh;-xzd(_vpju)#pWG?I>KQ{F|LwBmL=!!yLT~9!e5@6 z&`vrG1qmg^Ey`YpOGsayQUlG0!!ULAay`50VCCCzu2DcF)mHn)Iq7qkY*VA}Q^jrj zzM>d})!rDYzNq`zygLeJIEio1wi!UL0ht1BxjLw$nu<1S*eJ{K(1XH@{g@di~!gb~f;j0(1tPSdGc zx}YX7^SJLH&DPJ%kyf#}KzMx>FM)GnY0#2xRbRPxp)d_2;-A5y<@hao6SyHq?>Du* z_(Z&OzD_tQBx9mI;4)oGbVD06b+SK*%J{=LLUx0o(Op9ni@YExw_j?rJpryV4)H6= za(ZN6%Au|xC{n8~=D0gLdv3XS21t&FD}{k?)y2%m5KZH$Vj^f50(CX4t-+$ufQ zC$U@Rh-%1}TS@3r;noi=Dn||mmsG|=rPdc3SDOcvT)E@C>fxC?E^foP-W<+K){2aS z`*fj-%WiBKnxnk69LVTsseO>k0(Y}n0g6fP0zMm-`nKdxQ2t;oX!oi3;M{MQQ0QK3 zpq2*6e~rvle;VB_U^^-=5~mAkGswRL+o4k&w}Ah)r+i32@iZd7JNC z`wY0M>exf2(DgJ?zk(MTR)UTB_G7!Ik=w0bISgBj4Rww zt4H^Z83;iQd|ABWE;5wG>O`At$_qtc??7JUAk^}VK8A*>ab9G+^Rju+NLaUyH-bG~ zWdU>#w_GrTnTAMKFS5HF8kus)LItj>Ni5EHV{@~41Y$PoR2@9e7wRM|`wbx}f-A^P zud)qLnzdr2U2JxLejrdteHku1loB;+pW}`DY}anMrV!`hIHNrCrV(FP`KxCJ$`L}D z2EA=kWhv^y*jTduOEv>u78PBBDkeOs7S=yJwn?1QuW=rwna;|0I%=<#_zu!?6RdHH~j!dg@Kd_+%Y7w+42u8oDino$3 z!$zGa(V*U)2QWB9Ed3Tt`!3Z z)k=7op_e}l-GD=$12}yd7#3duUX8CujO3$BKVHJf<4+&)^==hvjyT^3H4{S+jUh?) z(WSmJvm@IicbgrC8?87Rx2vM)rMkVJ1LSpH(2k?{pcOE+1!C&GDcKZIN{pDAVsyBG zWEv;&W-lW=OXZ#k$GE%(f0JoSDV$@rZj#~Ha!p&VsZ#;PKv>=6r@ zsKZ8l4LFrqUu>1Xlp(sXpS6$JD12tQrwq2@y_T%6SzsfhWfoAcl8o_fD2?>R7#4y2 z$visda4SL3abl{*YAg7eg)YH{z#|b)yQ{ ztrC7q4#P6ta355lG&3Izo(O$02a zXR0E9ptyTCH1;T)#Fz3NfQ!=HR#X`pbKgbWvTS^opQSITAB`NM=)QX4mMM5a@+rnl z@`<|p&r7sUk9G~x7g_0v`Y=&64|5xKe?=7|Q;uUp^eQ&r4%+j*C^Xn(2EXaZwPYM< ze@QuZ)g2%hacjvFtQmQeCf7h#Puag55wraSy+JRj&fpDK1Hj6)jukug*I!GvX`VQJ zr?rWuzG49Wi|jYJTb&&rr_nk*GW$suBLmL^#%}TH0iqv+w3$-YW#2%E4#~66zo3Ec)AT{O|^j(?+@pUIkJT01hT;i#7y{-AW z0}>;Y>Da6k=_=+{=)Vo0{N_UV+lnn{C1VYupwdCkSz~{f2vMwHII1&{xD{va1qJ$?jHTCiqkdKRge=_@`-`xTZs6QHC2?SG!&mE3Qgu$OU{@r>Q;%U8F*oKZ6 z|16B@`V`-T5E;O4j~;RD^dj3Ju6>;Z5^Ot+?j=zPVfgu|cad!Gxlqpk(;EFWRIHA` zhox=rQkDlxp<)tYA7|aTFU(K0B1m7}0iW`%W`=HSHVv)-6yo8C$!vhhUO=D^@;G2%43@v27=DdbH__zxXa^<*VNMN@uTS09fS!AoPlAr+NZ#(~1WsJxIZgJBfI<;j5 z_>~S+QtU2gNi{WG-#k=K;%kq5WHN9K^&ipguqmH$2W~xD{yRXKOTa+D?Bu+&I*&HS zZ4rj?68YfRR48S6^dj^cB}L0Z^DQkXCQl|Xr3CZ90hDaFTg)JUOp1R4!y7OG-clzU z#YhS2OddUucgjT_6%@+uO@NUgq-QoEv~+Lq`ZeJ`O}!3*sskW4W2ZrF2;C>@UMkBG zDnEPmXm_(vx@E{|%It-DECweFu`n?#;7iC8 zy%KJYoDs1%5hcr|hVBNJp^H15tcb(Q{{ERVB_FR&RCoRi0jG5{`oSbl&el7)m=?sg zz{?iFSMMuU@%HG4RSwiAu<-Za6&JZW(3~O2Ka{!F#H}O!o%oC~x*$#|;Q(rbn21P5 zPsB(IT4BeOG;y)%y2O469+Hci!dUZfxQGt`iLWQYN`HYO_0e=oQ6KAX`r+zB1zq|O z$+^|(_glSm<8F-*L)fT#^UdpCM>8+3^VeDiM?^cNsI%8{jk1_t^XQvi3zq z_fugl+eo2(ByoTh?uGAgxaBsOGKdn%C};%{Eo{S0L6}aL1_KbiH1wxOI-neK>t|V( z>bGJ6)i7Ed@cD&c60j9iQPZJHPHsJDNj5@iOM`Dr?Xgh3ZX5|E^IKGK z3AnOzv(uw?R>Q}7IqpLlGZb0+ym=oK8hP zq$nSb#V4i-O4#?g4SjJv_#OfmCGqz5_E`@E03K|^j?!yFuc4<()8MymXr=gd{-g3_ zq0NE@2JzZ;jo>aS5fQN@+o+olRtu^SNf}@IEzb34F&1h>QmcQZAiD3x7b*Htv3p6Q zzAD>Q*}>SMOm9k$@K*X~Y*04-+Fo@I5YOy}i*YKyFrL$DIcVtkHH-QJG%<8|7oWkc zTNJnM3ut<-p?m2!S0SJKxX_n~2lJK}VMQpO6_XKe@~uNM)G0c}VjxMK+&lr?149r$ z-a>uFZ=qJQGBQ*T$u7{N+01n#f@8OB7=IL<|9d*Ewkl5xA4ELsur*kXe}bbP*@N3z zv?S9g4C~=?x6^7!mXjDD^Rr0*(0Y;&RO| zLyv1DA;b8S&sMTv5BVJ!uVHYR8DQYRLW)Orv8nrg({sQaTf|L$qEErL^FY8Mm^tiq z7_!4?Yyh%%GDloxui=2*s}^q|5P@}Uc=6>J6nxPA?fJvJl=;bAk^oHq@Xz98FTr(5 z_<=nsm*KfCOr!0mnfD0|Tw(t0mx391SRvFP@J(&hi zjojeMhp94wI{;LlXY&i37>N18(#xI7nsEMu<&`9D7?i=O4X_%=1W;QJ-W!4BGDRP^ zS!%e4mX+K$2cIPi!CCec=~o=bGe#75Yy@EcGsXo4gIwgR7yT}!Y;ZuXnEoaA8y*Ypu|7M&hkL1Oy&T(Mja`&w)H4!6@oi%Y z1H0&(rUuICBgj(JA~d)k6JNNV2xSBI8Nvo?Pg{0d9#R1QrGT23>H2_T3UBZjN(@lH zR}a+DN>`h9myjc~3mBA+Y5M!G&8N#mt>L419`hJRF>E3OY$jou0Y_|{PK*)@3}~W(5g#J%z%H)R)0v{_Z&9~)n))jj1>h_OMOea z)BE!&_g_6<+>~h8WLvWtK$^jzowR9wb>BFQ@D{k((3MenzfzBW#2rco=zGYI>1>$2 z_Y8?|Ta9$)d0ZFV#GZKRL!CQ&mG4&L{1pn4NqxO$xo(eU6*<(avwKtxXKC{e2|(s< z(HAZxwp2*vn#`R{*Y?lK<@GO5cMh^%iYMnC1|K-@aQ`BTdInLoyHus!!Yo;ZSC~<1 zP=C~0fB0(4dm>?zvQU==JM~a`5(CpE^Be&Mgj!;q8&=5ck^+2p2K?sZk52z=H8el; zm6MujvAgD;@|P?VT$3T#Tvb7Rq1I^9m->q9uD}Q~s8EQ*j6$wh)gOG4R0y`zHieKO zPqjf1VxGa^swN><6%{PjloplmAio1mw{yw3MuU&u6q=;)M?G6;QHjMn&&z2ne@ff z4xioMXvO;&tNi)V# zD~}nK{Wg$QkNfzM4IjhS?vOs&+cEVc-f}>HSYS)m!|8NBJ8=Pz*fG+0Gd0vkztW?) z!=S5W#^E<5xUkPpA^H16DDFmOo3fF*o;^TdVlu$bn5p>0a-lyV&u#T16CIJC<$L`_ zPXD7o;E@z_7Em4KCXWiSHfaQiJ<$UQv~ID;3T_Z8Utta{)bCBF=ID#Qi70D* zSZ-6+($0N0c4~3inLi+Re#M$}Oo_&p+FA0^TbQn@5*_FWGcRlNwXCfL`Wuqi&r>-% zKt(0a4bc+cY{{T!yt3xj%*K-`?laTySK# zd8bo-Y%5)=)oTDFz53XuH{s6dM4CdCn6t>bgKEDz0;C73a z)BF)1V|eE?O*s zyv^dcOp*ET!j%>R)>mBb}L6DV9^4mX&eiHioCccAI z-&9#~?Pim{8rMS2XKLCPyaG;qw`&~fO!nQ2db}UY*HWl(^~-vceyTBBuR*m5@+C?P z5THtU)0LpIEfGe}BRiv{2O}9~@+w|$Q~Vn$WsXtoijjUMj;gpwluflvQ@r<<#O&r| zHnY4v8)DU4m_)%ch<%0h+s0T+u17( zoW&OC4m!cOvsEncA9idjL-Cl@9t~>AcRjeI@F3sNxD`Oj?h8HdnX{#|!;({pinT=)AbFE9)}QsnhXpQfbS+keRqU9#8@4L$Op6OXdM zv{EIjB>am?KJuDWp{>GuVbXfmL)G{*-)0g!M4z$k4f67Et;d?$$d4x#CAp5O7HWF& ztuL{XZ&YOJ>aR$0w-|$D>UKyGW=f(OZ78(PKS1vIRQel?4cPAP=+waJzSU{!K+OGT z=g(7J@SMyg`dBN_Ry2tT9F$<1t5tado?E8H*H>v|n7bS=4DD&(F%J{E;H zC8ebDbyYnUSr5xN6pV`T;GUjTmJm3I+=s`RxU!Xkh2gt9lHwf~gYT6`!F?EWde2Kp zXDM+)!;hbnp0UTWvJcwvIQ%KTK5r{aADk^fSX}pdRbE^|KgxdLX#E1a5jPiGUB;M`JW6(uCEJKRchvu5ReA?5ZQ6MldpQwyG z`C!_@UfRnkl>U2mHn-PTppj?r2&D(e`6O=+ULxu8UeSkWVhBbj-0uoq{ww=2a;nW> zuTY7wP6ZU*Zal;o%T`rb;#qJ>*TZK(Upt&*J&fpTjMI_lslMGs^;?_TK8K)J`|l=b zH}3hByE}>78z+`{yCmk0vxsFGNtVoJ`Y}?0`DZ^lyNUwB#cGR1B+)Xqf_xdi3S%sS zFG>|N(rT|y(ST^GU1;%#9+x!51qpMkEoMRSqMz4kqafTK0*PQp47=CK|E8vJ^gIGb z-nh;?;1^Z+JIl+tb5oVX3p6Q8S;2mPFnTGgls8m=pZl)g#O$Y$B)oJ&zeu#%)orSb z14SRhCpnDx99ki}=5*D?{(@TxR{E=jzH4M>9_u6@?FoVDmoB}VszXw0UyX+Fo@}Et zUoTABV~D4IW-&sR@CqRM3n%B9?(61r@*{(xpOsFmh;4Jik8W^gL=!U8Az5KFD|@tZ z)P?2Bq*F5Q;z{?xNjv*B5EDFU*6lzS;q2~1KTrA)oHV!ae!!tNpZ6aX!|uTiO^(%i z^vJqVwqIY{Es3qysVteMe|ilZ^_keF-5zFVR`gtsoe7lt>Y;pBH7yEa?SGkFEhoKP zw8m7`f@QttGrzvu+N1_$Dqk7%O~ZDi-JmSpk@h+-m)~x=PjU^B6(yXu72WAMeB|~e zrD>5(y61ddy#U9wb2n-lJifCg$%;8`)P~1ZVDfY1W{Xe}{0@Yg!L#k3+1MjUNLj}o z2f+__PMuk*DF3vx~N! z1m9e9m~F>QQp2;7N)vE!Ji|ToVy68kd(l?jv@8i{2`a1)_iYwC7f5=kh^8AbeRsr= zrlrVQ9T?(IyhCY_W6EdLlA;@V*PLpU0Jy5H4CPcQn3?$|76Hy)Qe2JST3h-0t_l{* zaXZW?U7gs&|90s(rF4!6^0FXb)M;0`eio<6JN#hG zR%Sa0o%7zErWK{=9`raj?yT_YRDKc%OIA%#u(4Z&rrfSEG$@Hc1*R)dE1c zP=$KzC(_NTr|mv4gmC#3Cnp3iGb9WnjXSZZS6^c)?=lzu@kDVV zzv|hZkAVZ9M5oXb+Bsr|=&QEPQ&XSD>;=c37@A|MF4*82+Qt-Gyc{Sicmeg$?^NQ~ z84EqaiL-xP%pB@p4T!0@zA_Rifd}ZsCMGA}0+g`DZrXQ>EiTMaZ!<>h0xk~Dd>hB| zhB?3@Yu;oASnEn*lb}P_&~$Q^qU=Z4A|u;6L7`)c9)s{ws(b|zpb>P?2HPv(bj zv7%C^P!;ONpTJHy8o`B@?$pf_q>BxDA(Ab5h4;+I>jhplVQodOTexUH0~$xohR!*tO;_=)Nhg2#}*X47RrCSE3%v;+VAKq=7X z6ZaAgmr%!ly`iij5{E7#4Yp+~_R9y>dngq^VI;6}ovb;i9`n(L<_KI|!S)2nEGlGU zu`W7VSsRv+XQ}D|*M!V&V&^`8alyHp;vzPkKL*MJ8mN}c$zBwvg7)p-ZWHL`LaMM_ zNzQ%A1lj_XHiKJuC2LfvL*wVC2b6$~NC&YvS0mJekOQ4j^>+wWcN zBDfJGf_cY>)-GVqU{eH5jzej^^LR2d1m_@m+E*XLWL3SO%a=BhyCFe;PsYD!KKhlJ zSg*ku9qce=STXhw= zgtA!Ar#sDm)>|Q%Kew!)) zOUQh*4q6;V7N*K*#hS-n9K5S+eO6G9obV%l5etF~vwZ@cGQJyAmaYrGynXSf@+7IF zP=2!oFfs&8v1-*G`qpp`q`OcV6xJR(#TN!$LMj4vd8^Ja9KuTMBVK-*~ z%75*4%50GPtkaMb5g9oVvcR)=ixUmV?Emg&-3=(9%_BuF!QygqUg>)ch1YGo!7%h@ z#w28LrfsIek+w!gi_QqCIxruyt03Y0#j+4G3&C?5hVw5@!7tR9{yKbVcOJcIB6mfa0V~%N!Gs%y4^{alebb#%s=~b>G zl7K3MAD06)d2flUuc8WWnfnf}u~$Q0>(Zmp2Y^YjX*tPu`RL*ul!qd5=V|+eRyp&W zs7=TFJnJxD&+{xC zB%xQV-0kn*QTl+4(RbZsDnbNB=LP{OE=kGC46A1QF`5kXBUZC*g_2h~62KODWfs_x zUTkTV{!D80P4FZ^syzXG`-*;mFc&X)tXxb|0(9HSDZ|acIWy(XGKO zX7lNBDgqNV|BepJlqp7Y9c%aKn5>GA^X&5UvAB0Xzo+M3XtkmKBL8F_T1P98;5%Pp z!9tq7nNBvD;|X)(ku^jIwIV1;p!YY^Ef`!PG_ClVh%aVJw zf^6g<_1+s+{AuwZpFw+L>$~%QOImdC&&AQ;OL@0une^g*q;!E;yYUJ}UBHxku4Yh9 zRB+}s0|xt4x0A|mvo_R&rBHTO6a~a`rS$;X34?%vqIYvu?B1_v^fg+b&<1$F@%=TUL`5gk=*m9ZBR;=AkF1O zmN|R%!ArdJHpTwx>RdpC$Pqpm!;oZoNipCWA8fO!T8dm#sB?P9MAi$fBJo(=DMl~m#Kb@u(nnYsl1#FMJf{a z$fw_X?-hjz*puIRA{0e?Gp0HI`!L33()nz{AdSVGtdZeh)r+Hz1l_Iw!o&;7LNYM^ zX6a%EcNM>(t=Jye6s{n<*M-T7rE{S*&GZKuLwkXgRPKge$CF8SH}1UDaxg6=KiWky zU!Yzx-@0C#Vn$n*hTr1GuDiy|3VS7c5O~X~r9KBgqi(ed7s^`q;lrLs4&SP(C^RkC zqGB7|lV;^x(#Y5lYQF>-mWm!H} ztHS&E)pnv^K%Q?K-2w?ie z$&8!%Un9)VyJ)}a2)wnl#P=ky#PO{%P&Z6~=||vSKe4Y|1%5B|abSwiIPO_x?QTTh zXE}9Kcl6a_JGPr|rlM42(Sywarbahs2b{jgbeDV|u28K0oLu)p_5<-NuS_7?cEoFa zNn%lbWn+~Vl^@u`u5mA}#&%=}kja|=CMur&Z6UArw^$~yVZ$#I__thy1R$zquzZv_ zDY9jw93l>7(}^CzKg^byCE8p|D|M>Md#Z8oVE!8}$$SGF85)+DRwLy)Hub=Y3-RUPK&IwQfh5W;k@gq zdO8W9c1tuN^_r6LVb#^;{4QAQ8-1VU`y#9Dq`*6;T%CFTa8_bU=F1B+PTz-xv+Rl4 z2MX7IC*C&j@qF3TXJPX^W=t2|LW=pzvGvG>#cZu1Mxo?stzc~-79Q6BQB}tDAW>u3 zf%w6UyOwPSF`??D^Iw|RZmJCM*>oUI=eHyM{g4U$<;Fu`zo&O%4-jc=*_DV2$r^Yf>}Z!x4r>(<%R93$SMU9I!7CbBtXIL6v%b}n=w zWO#UuPhKfq(@9RTWA@AhIE&DNRvtF+>TxufC^ufA^^f1Sb>3z=s?+;1I=i}Aey`1R zechBuNu9Lh=%iq5Kt_ZU*L*x@Rd}!GG=ttZu@qs=VHW<|MPrp+bb{malblu-f4_bx zJ1a7I`NvguLbCD4_x|!_CXkVuGxP~7W#pGHtlPL#QfbQ;Z0L0$S6fIC zpJa4nwHhFl?)}MXzcb(RUxX}>F_HQ@`XBanew(Qc$nxlX^IDQ-vxR_GdyENYaAA`9MhvHGtTzq||hkc%8kO}){TG`D4= zc=zGn?l;$D>py<=U{kxgXT>QjvDakbf6uWSYz^V<&~=h zB?I>Bu(5o}%2nV==w=6R)9-$>hmcBmcMhD>S2ac)1awfhG{kIadNo$a7_Qj&;RLH|CflL z{6^^J&6{?r)m2r-x!1x87-V4IU59~v-_%8*#+_dn4Ns`Ti@?5A9M_K#__xc@P_6vr zWH#H%p9yhq1kvO+yt;+JZ#Ld|1EP7kFWGygJma+*{RR-tj<4rAep?6JDVabtgTIr7 zt&$e`TbY!;$%ZqGgbJ^1H&cMimsKLgLr4X}Pp7p#v;%SpXaahK%XGMw!f_SD$zMmW zQ)><<5KM8 zIKrK=1eg17UM+MCuFH%a1JZcDBANB`|} z{@vUE?;M2C;f*(L-sGTdTz zvDcIhV-wVj~edl#~l?Q+hMFJ&4Mc!_6Uv*Ipesq}E;4Zu*3zqhd%7z?IKKtg zs}B=m6bNXIHg48VuOLK9_-Uz03Sl=!=n{(I6~s<zTx>-sp84Giu)ny$)hT8e|07 zCr|?-H2vOIu}i?`zlv`#Bk;OgipnyQ2_aRol2pI`oZw1+A%5URbPZXjwdeoc$1btG zL?PmXB;Kk&R-6!|$kNaMQCU1a1k}0sHG}{Q{^M`|3!?qsA-=>WoFXU;kBEqPSn+*n zO2;p!261F&CNM1`31w}Bxc8kBlG_H$;FZFTW7qC|=uW*(W7Yja;t4WjPvoTtMw~kK z!P}^l-w{j%9={5P><@Q;9uzCf$L{f4$&@$TP%!yM%C5z9ui^d74>!YZXFuF@LZd3& zx10{J9%^hB=6IDZoZbFqSK@T1k4mxU3GNJPE^RqcA5NwZVPSg9TFxy7B$-V+vVzc& z(rW-MR2vm?m%sj#<+^twrI@XKZ6)_h_#;~QTix7+7jOwa_*!l(=XGma{mt~Kr)YMz zOVDMq$%4h1yK^_wauDUt1-Jq*EjIrCd<{Ce|K$o`%X|bv5Axy4?u%q{gDEcAFElRY zGQE={+N@~S@ye4;^)ig9<<(BcgzSd?<=pXWS&K4nTLo%GE5dVz5QprO*aHeqB#2CM zm4-$n4D%ipVEhsm4r2(n@$Xz4l^P&pYfPw;e!@%gh15n=wA* z0HM=Z!Ne=o=i)#8vq+yrKr`I?15DzCq{!$~XGe-uMt&4t@M}=nKNaM@OD*tE@Y#$&ax;xnR_zifWJ*PtLAHVt^H%AQ3r_!vKf9Ba134?qa z@_QN@S<8fqlYkeIyl%J$STGLopbJfUK8(P}6;x_{tnbKTMQPzV$tjp}nJq_kQqI2wN z=z4}#nLgYB*?!ywp_=%Jo4W}R`U+|=zB_hgRUvH0QF!mN|7ja}TtZfMuTJXlg<=>o zX+2$}m^WG`NLMB>qxtRIx4#c>*>jS9JsBSU&riG*OXI zFn*b61L!ucaP=-f6K5DyoM%1LPYK`AFqmVqica$G$&-P3vGG-7I+w7q)`QqF%#M_S zWDU*O63*fG;#S9JYGE<@e6q>3lS@|c@@Zi9V}@A-WAgjHngdHlaE%f~4HbikPuy7o z%50Hwwp~;wRuFZ1V@#Y#8LTnnrvvmUbZ6tKcR zB2NxkFS^F;?f{F;bdk)(wuhT`+^!0j;dXg@KW8U(O$CE}7XSQI`>@}j<Fu#*st@yDhbvbJ3PL2H+Ex}}*M_y98Rq{YO< zd>(Owu(a2MC_IXeui82?RwmO?5OxmgeC1{e1m?G zdawk7=(9WaavsTk!2DP!SA*1-``Ke#gFkUmzNo1= zP=C!vq-2zMXUOD~yR7z;;r9FlhMJGsTjcv2@4ok&`QI3>P!?>l*`$=wABY^-N9@ih zvmN$+k5M{$pH9Rv-62mE=O7i)NO=~^XdZDX1@wq$j;Y6Lr3FE#R(;u$FdrrOO0Fhry#>e^y z=7XnMOqLG3k2M7fsm#w#JFPFEv6&r~xu`h8(7`}l9DG0RNA&Q`YKJ&7<62dZE$bO1 z!yoVLn~DvX3olp7FL-%0KbKOm;m$>+M!6FEgA;?zg3f#+Ul{xJ9B7Qc&|1umSGQAU zOr0-=;l1uGivA{zCJlPRq;vv&EOU8l?BADyjWHc9;o@qrL5d4|X@=$d%-6GIx#Bk1 z${N^qJGM8f45RUyXmh2txWRX_BGK{Crnhr(l~;JrLnCh6cPT}uvH7<~d<(OqX1xL2 z25P>#*_*E3y!pLlG-Iw`Ck8}lOGX9=V*AG;=AtAPVrN=d0(XXptqWL~5-Nk?w%l62 z=?63pTlP}GqNOd{b_6_*NND2O+iOQA@VLw0f_Wz4P+A!W2gjBwlP~)nK09$W?XK61 z6+4!}^S|D>mF&RF^dS&r!C&CIKN21LORFJKPbRTGuR2s<{C#5g8^@2Vi8~*<51RKz zB(!}9i+oDOu6A-GrHHLHSEJ!|&4fl{G3;yyi_H_D4buRa)^xidNL zd^gdrW0O+A4N6rm#aK(!i!JyxtL&b!BE@-qIo&McnEv>BPVRiTA-3fU&g;qP7aW$k zuQJDA-_&T<dhKljt<6|NM@A12{p#8Ovrx$TA1mQJxP;FsO; z3h~aacrw&0In6#T;_Z?M5|3}9S0+WGI}NSp^C7w4y573#H1o5+n-A7RBF4%cxB2ix zb#7c+6@cWlpR$GJETn=vDBOk`H#Cly*nTqBnpC^coH1}O>dn&!CpWUuzer}k$!p$_ zDmXnr5vqb^~a50B!)mE88Dw`@Ve*TsOYMmKHFwolB5#U zXjv+@`_!8&{+;PVPq`7p=&@~Y1E<=Yu?Sa-nNll0bl6$~FT_h( zXi~!EvAx8Hcc&uu6sW(FV^tcKvi{B^HB`B0IIuk3(|gTPD@@E9Ce~Z;$LKhCy_dHX zJF~0xEcDr~5vhlMsw|V$A3(151c4y$A8YY4r_bPfeWmkJMeIg=+S;99tUJ2|5*WsFPhuYdXT2gk)XMr0A|W(4-ebOv zhM^>z8OxK$mXf*AgGUNv4-NE(h>q~zFPtci3GZS4; zv@%?TGR%=ZDFWJ=y_xPjnPAsrrTb_(N_|qCpLU|=<0}Tj!r;tRG!_)Z=yn5nAqYe zlAwL@qxy-clbh)@EF@{sDzhn|!ecX&oS08bj2Fm%8Vs6sDw)2paxL7T)1C`hdH;;> zxk}7dMG9>Po0f=h*DPOOU%|n*ys;@=T2-31n*I)7!Xwr8)fbO`w3NR=zk6$Y4OsgJ zHd=xrGB1C)dAbZYN*1nY*DASkbH%gHATYz8)2W*!pZ*FqR8007kvD)LMsQBlhrn`u zt#W?4OG_8}1MUXa_Ah0T5A^pC8-dFQjt_E!r8dv4{g>JmtC22@3=n-gSfT=UT!{9Y zcTWx`8Z}s1GA~D62DO~{@!XMM+sAy$4s`DuWFI{mjyh)SaBy&X)-z?YcB8_>sGgyQ z(4dgEx}+CF;(@@9F;<=LIjXGymg|xiR!F}bE4wUSv2n%df}Fa>1uWCi%0e_(gpq^_ z8rqBRn22p^1$d!nMDQ#?pat^vkkD}dbK`tv?wJEkKqv)St9rql^A}M{(nf_F%VJfg zNoaxCf~LP3Z1cELsfp-UB#x$t(U>*{FL1Zv`{0NCrfMaE|F$lbhHW~$mv>REb-DG!SEH+)Eu&MQ$t(UJ@ zeP(X|QmnhSdK^0GGX7aeDV}e8G+$S7qPe1ser=FxrzA>U6gEEAH4A<20cnp72w8gY zD2BT01PoyQ@mgM6*aSb+s7Z-{)-pI~GU&r`B{m$Jk+1?SK2)|3G4cIRZ$6jLT;qgT z0hUF>3wAV?1E~;|W}qPw?Q_MDBNd{VA?!p7QZOsQr2eX{osAz!b~}uujShcFP@4;f zVA@d#$I4>Esk;aXQMJ^9Byn86K*RF%Fww1KHnR#U+5>l z#>$r@jrYh31Us&knCjz?)B%Na7Od*r7B7jwK_|eosc#t7^25RlwE#YsQ%+%YcCmTv z@q~MWLQ^U-u5+cZ%1KNQb%3ZiXmOhP9-?nbszGhs>~-#Syw%p5&#uL!g{t%iZ^a3e zw%Krn2a5G*Z;PgFJq>_p8&T!GMdhX5p&J|dcF}TaR6ny#jU@3HpOHPZJ_ro9vtV52 z*wmR;g$RqnVca>X-*Fg84k;!+zc(*?79vgGVl=a8Nc9L1(j`K{3THslc+m0@O%@{$ zlr@tsu)aZ{yz>{OTUnI}4GvxWr5Q$*&mQvVNYPp;&!#kAVnBp_;ds50yci9Ld@Bz1 z1e5IEIR>q_QD41rZ7^{sC1!~vQ-2}E5tj@NWqmlJGbxV6zei6w-?9_J?vHm8;Qi{<{ow1 z`;G<(^Kqs2W%)OLraZ*4AI`kE z#=~OZsuF%9BcoG5o&B}OQ8|yLuYUsWFL2>sv;AXrG81;7JrPGiRl6C~)#H?ulp2G9`sh+QXfX15OyhkRC{9PO>BJtB}nlUWIOdCWEVh_1FG3#wUWRttWK<|+)^boD( zkxgexMC|$y`JgR+rU|Nk4|w6olHhpj9m_!TBM@P+a~!ELKwP+fpv7IZ+$TSpQ0ORK z??I7x7*1!*PWQIlRg$|(PDvY=w34mj-0bwI7eW`50h1jEB{qVAV*GA!L4sJmI3NGi zfYaCFiQhmP<;M%-QYSLWb_ zT;Jv;y2lebhgVxyY1W}v*F0NQPb}B`3;4q>hZ->(rWcQvB)2TeREQVs27B}2ZruaT zE6w%Z%*XwJ92~MYgDY%LtAAp)&{oTs&WD@n1)q+sdD`#^gcSQPFitVu=hCdL?PN&H zh#*6UkSf-%XE}NWq6)FH!)qaMntNlzooR?XM8D%n-8*)B$B|PNVSmOzS9$+rwenbg z&H=`?$JHQl(5vD|idt`oVmqo6tbEe`G(=wGQuwAPN4@^ocHm8TXyC@|OH|jvMTv7* zO*}olm_%3#FC9lgtObOdn=MHX-Str=n}g0 zK97gO)V2#ZFt}Yz|Ef#4PwXoU7s5z7}805jF9@nS=O0u|`9*j`YID`P149q55Ml=juHN zl#(tl6qPH;xGAn;J^I@A&QAFfw#Zmgl#*ccab-=KJ1nwByN5H~mg{zuJwhT)c}Qq_ z-=)=8A^dD0X#`QcE6djiG8sI7L93vWIHnhC*Z(PLdZaFPSzf|Lfsm$L#+km$yY2d# zR-}`n23Mj>z)N~RSr*8yRow(G@vZLRlW7j0H>XgOcvvqFo#h?`Ki~~=4|aFZWk#2z z%Fn^xMS5qmR_&xse`E8kQr;rpuLee;~RWm8jBZ-T%dr|n4MTI_HfmjnWE&3(58Rxr*7Z6q z=|29V^=uJm;v2Zu?MX;T$ZJkECQdOw(iNldbG2b;z+<=t`%g{H`i_l7Y}ja*TMz{B zQr2?jbAd4C8>G)Tc?qXhda88V#@(m(zxCx~PpCe1-G36=z;E0cXm?5!;?3Z)JOfD+ z?IG})vemfsmACmUA6=>scW{iDaTt3VXFSawtVIhgV$Zt_`Zd0p9&Kb?E82&-p3S91 z-$5ntks8TsP`>(pO)#b%DpI84enW7NH>=B9u^|N{re*K~P5O|uVa00tvNk>>e_jH5(7)Xe^~&+{J>{3!H|Sj| zvxi?_L}UykTV7Rm9U^gIxExONID!9(RoMmOsuwpUX2(lalbTZP2VXWNhmcZ6L5e|e zxI)SD#2`2udEiaNW~NM3fvVs5H#}F1 z-&L2vhJHE22N$5oxLv)exp@PGSW3{VeMbrri)OIB)GKJJb*JnK+Q4&#Z2k4UE&>jt zJ@qVW*DmBQev%f0v((K{NkrRFafOKCuuBsHB~N>o4de5=8!2*vfn+z;e3WD_n>Y9V zZr-5rqaW>BZuUP~>m0`F02v^S8*m@XNr%p-RD)C-_G~xHm>#KyAXHu4B|hU1Z-Niq z+3JD*kl~ABO$FDt1)_n*JdH?70nz(b5GAcNE@)TxB=KO#-ae}}J5hy%nb>w2Ow8#+UTke?2#?cV@s|fW6b5iKB${-MsTAG4&zN({u;gP=%?U! zl|JL6Mbw8s63u`hC`Wq?&>znlFsu(;h7(K8xs)nUxDXs&)_Z<-QZ;NYX2Pj%8h`xb zf}wutb=o|>+#Z8Rz_}~20FOi$6ajd~?XSq6>?PU&W)@2S&anR_sWyS;xrST}dY@B0 zp}7RT%m$W|10t_pKZAz-#G9nEEuW&!Pa7k#btJw^4}m)qz;#W~a8xd7h09b6V;+P* zszUgJ?Ulk1qC6J}A%;HSLa(dqcY=20J=Y@vLHljwbR)q1m0e+xkvEY*)@1nkvPaTE8_r=P z;rez_Khm{PNuRD&Tb0WSOr;;2_lpL2IS}uG(HVM;FRyk^CT{2df991t`E|_+#cnX8FE6O?~e7@W-tJEnhkH<=$8=#E@;?8 zQYtX|XZ(|IOHLGwk`J}f-pdsQes6&w)Z66vish(AApEh6*~tvMp5*pZ1-EzfL-vUu zAvwfm_1m=IVOx$!>5|wl$f7)Uay7UCP=GSoq&ptG8X297vHHb@_Ev3geN114Z0$6YMJ7U1iu9@wjn1lv0C<0a zyvxhV!BogR_uq?h+M!<>7%=!XS||GxlC1G^{;Err`<9M1sq%!nG-|5|xb5okmvW^# z-!nnDB^~s+YEuoQK)iXYD#Ddw``#pI*fu)b(!NMIE2A5}65Zf5Sd92!{rB7y?a!4d9p337+#aQ~vfyFix;Hbx>{dL!Y*pEV^{+>vY@= zJPn>AJ@iP*<3gHdA&Cc)sGhStZ+STdAD<}@?S2i4aBF+=eX=bHJFqJJG1Svu&uy-@eV~Y|Bl7Hc@tLbmiSGe}PzH)&k(XgAB1EUCoG{_?_Dl z>@+EoIEh`B{Pf6!w$=O)Gk(Zte8MCqhn6!iI3aB76I%$7(} z2(h|9CR^6UUkYiHUoJqaFa`wt28@LJ?aP1VS*Wvs0zBCe*7R zh_es7W;waJ!!LJ^n$T6PKUUy#%I zQHjNeg^z#+G$``ITXN<>Cfp1j+mu>fj=z6)l zM4DuEGhDP3+xJ@2rsnCpvx*%msKZB@4Thu83iqW*{V0za8_lHjZ=?ik*NzQ?Fm0)i zBjjYR`I)7#tq~h4)9;FU)eK3x8%TM8>J_zgV-WDyg)H0lqw3jn*vadjVv-rBI}QpJ zF+j-Gsn@abI)s#;&Olu{Pr%u8XFs1OHJE z8;^}0pgr>@H*2d>*e7W^&Aa3jBXFPZyP6MCT8t2!vv7g{vN`qMYK>{OGXwK!XMqms zBW+p6%MqI}g3%_!t$Vkj`5oxQ)`ocvsmAGf#mAyKT8Q8R!tP z-Jpo%ZV`F$6)9mVVDWp?6_v4t&8ja{V%m^HGbK(nFoYDP{9NT$v6yYo#}zH$>+JLt z{t_lbVvbbsAhF+9?O+n#pwn{Ny#)%Bz9!$KLJT4>w-0)D;^snXhHXAj$$ChK@D2Ai zp5Mo)Sau3b=GdnpE}{@>Jqxz$8we8&2qTUmrMt-1I8~<869u-P>#iH7L-E7u z*H@5a@)id0ifCUX=FqCQZjMF54>?ucc}P5KF0}wL>`xwaC$FG6e7GO-h}~%jSa3aQ zO(QC@_3rl`?$xRn@yRidb@;X33BP!l_{1aUUJ(6br1U8Qi)Fy9h??->JE0bIqZ0)rdmgprvt3DjZ{;{ zC0o* z(<)Yf@|bGLZ6jq-7YY1c_PZH5d$`T|CXthlP?qcoO*rb#CLAD=u~Z00$_wKMzYzSk znF%Q`bTyLs=Bi?INTbpxjA)+=K-`a3BDVh~M3+i)=|L4AQ&vuN@c&%&AP?xJnyET! z$MUB34w{{6e*(8zsVdYVq1sqaw7;NE z*2VjG%fmyWNVkK4Un|&=TzZI^spjE*4qeir_jZ>}!E2w=wn1!$A4h z9)`V%HpL9`c1VCz=dvN=_dYF}NWI*T`Sp3l3Ax=t%^z~Rh}3bDLTZxY!ukoMJ~`6x zgI6GIAVD~_D`S0xz03E^LkK`t#19s^zmZzT(A$z zzN)aLa*%J8gOEJso+Hg?Od+bY{;78^Qmh6s_dkG-i%SB`kOUG^lBPwU`iC9dnfvmK%_q^2_K#C~r&1(XmCM zWm8q>rC>`^`-C`NbLxMg!rH_ZZDw)Rf$N1 zZ8xlirSU?Dy983Rp48@R{L(w;!wQ;^Q9TIJB5rMB@+E$n9_IPr2Sx<^_k{IjEkxyf z$9C{P1IWx5I^Ez3{nN;CnHzo)d-2043MNQ0_j?@6Etd=`k-=hZxB^$-<{^cLNVNio zMv}$8G8Gh-LD=fd*W~sJ{AP2=2Rea7#g(R*IEjLMu{a>L=k5 zv)Q5JQ9sztdi&VASFt{4Xhq~TLdP{9xzoo^O-)o~H1N3iIzq-?wVut@0xD0hLBMq{ zRs(dWzt#Igh`(-h${iz0QkX zqGGr|yp6o*xUw?c^XEV>0$q}|UY(^Aj=P7qc6;nwBNSZVvNz%33y6k(2yD1achZje zTd8VgcfrJP=qU5>`<72D@XyifDbhWf^Z zZd(zi+>_La&y=`?1jT}PAgFupONiRf9$Lb8gq1E4XTrz9naePTO_M$GcFKRb5X@Di zWJG*t!w_Gj$BA14Lan*Y{nXqMRetN_Ti}bCnJK8QulmPyE zk{!sAj#jl(lK4x;cA=27(JD@+rlwV#`Gq*imNUq~qS*ar3rn?H1wujdu`Df-UK=2V zR{(*U5+zR*tS-9jaV|lFCK?V@`}y5Xzk2rU9gV)j)3yYH^h&AkE4G7nXSUGVswr$CF))TeFDSFi}|l zy(&u@e7k3kE;^93^JY#HCwyQOB#~oIlEo)>eWMHeu`u-W)Hz7W zu=G(O1%06BqUVpgIp9KI5fZWao@a~bUf7eLe}lb<9Hdg<&j@z8o*IYg3wJ% z!OozsL!S{reHNnf2Qplyg`GL?r7R=yxL0d$O=M$#0gAg%5GnTcnJ+P-`Gxm?zCc$D zJJP#p-P>*=X#N*w#BM@bap)>nE|gyUbBOVFLJetSb5Gms`w71J@i)?iJ$q)MowMb5RV|%JK^8%Ify^?BHX_j zBwFUSjs|qXR-v)R_!f}+&NcDIgiIeF|38t-VLgLky z=(NMZJ`bq3XWiKHn9i{?JP_^v#jr=Ta$i}{<)a0t?zQ{(uX0a&b8|rQ2E~oHVX2-^ zgk~5HZZ02h@#J;r|FSu4^y{mV+OEV82HM&W9Y-6Con7iI+nq{k zsl_odHJTEC5z9qF?qOY=ZG1ZKs3-HtTj6o;t4RU#97K zDsl5AN25Q8ZZ;;W6!A1K4ou(q`Y%6tkfG7E?lwzaBY;mjzdMCOEbxhM=zrE~!yAT| zD&rzJ>g_;He@Q2gKkw{Uovsn$y&lSd7t2~=?TG0HVOsBA8&M~9LJI~KAH5aGmfhW& zAWl;D#8p6WSkM}|nDd7%0iiH%MyV9HlGt1hXz$(;;x9(4!CVo;*2s%q;&<VE%o@Qv0h zD5%u!e}4G~iX~X)&tP0yzPx3^Vl7r!Js{$({;<-eM_F?2_*J0tO}Bm^V0}_bMCA{+ z2(D+mcfR3w z?{-l6#9zd&1J=K{`iH)gl%x$!6V3*5TJnZImo*A;Dd`@ z>83+(V`8}}Z%cxi7yfVaBT{fOHB$WzdzpQmf#@&qwr!}-#HS{G4cAZibs1vr*?k(Yv% z)t{t(2Qe{7Y&-sn-tZX|V~PkCzyGYw;|AE264##FL@D?mgOBA0y%I0`#sPm(|CRxe zxf+mTfUzasX6Oe_{#_sx{6GWOvJm#DWQxw@SuJux!c(_tvntUp$Yehu%_W8ES1|4@ z1emo5SdnamqiOkb$B==WM7JpHFeY8SQjp_!`swl@>#FI{e2BjfaXVq6?&1nhVtDG< z2MRsUzx#JA+h}B-`io8kjpL}RV_nxq_xKbF5U--&1-y2=C7PZXlM(|Bs5H|AA%rKk zI{5FD1;Rvs$7LXjYGfWWn8$Yg0MRhV60qST`KLr#<^~8`bIIiTQuF`DSVZVdTL8H6 z)v9sgH2aUi@4$eXU%4+q8h;ar0P=exaDLHCQPV#bQs1Dl0{0>M0&^Wf2QStgJgc)k zkKAlg`7%KKW=j&%^w7M3WI@ED+n;nI*JvvCXgSVA(?;-P{#M1a~@W z%aJf@2Pieh6V;A9nwWHv`jT5jS4(_f-Js(PI$^J@BY`o=OF6cz>+Nz2qGxX?jzZK! z?xH1;K4?ptnAqLGEH|ktZ2mD{5M4+n8irS@*hJt?{#%o61Yf(l8e{q&`PxVSP*4z* zF5dkc8@D8)f28C8N2vE#(x$((`MiYZHiA&m0{w?+fUX^Pi-i;robDj4d-> ztCVmMpZ~wjqz2TQAb8yIcaj$z++aP}^B%W>D&8M6;L_Z(yEWf4}3ula)RP z&emJbFHZP7kJSY>@3tFDAeLl@#KE{(M?aCo=LJSB=Y?8;rBxdfVn&$Ul_Sg_k1dOE z{E>K&Z+3$~UNU6&{Eh$*BSe~Xb4uYy>!rj8hD)Ge;d#06E zLE)i#1@R-E3*<|D;Y$%N&RZA^$SRV{`US+M{^v_zLIPbMAD1k7gY7??f)sLfs|EKS zAqbVn&*kb2TLeF(Xmkmfa#8`AGf^hS2b(b0?Xd=qwEt|PgCH7BYqPOIc zT?1`<{oJeHQcg&yzS1){3m*=QD6rv80bX{3wSL>TOesuym-uf{feQGxR*Z!BuOV}C z-d#XKc}*15QR|bKEpv^aJ*UHNAkgrHx}-Z#b4)~tl)sn z=~+(nCqjVdUnjmfIE22m4EhCD#A3_D{;jbH$JtKqVMh8pOZ_>%@vw=Je6)lZC5H7S zP`ku@GAL9%**_nKD9s{_Mz~?#b1FQPA#Zp44*!Mo`UJ>40Rdi3l4nXpozErqnH079 z3EXb28>@%E2?~h4{y~T?f~WuiZ*icWi2qA;IrB?_aF~0Rc$>)se5_%wz(hX=&*qn= z2N@~-oz_zLz!9t)Z_`~dG9W>i^Yq^l%!AnLk(OL178=dr!Rl5IJolRpB&0vcJ)Gax zaN&CZm_J}5&aAIn@#mk%e?E9R#d2RR68#Ymr0<01<_!vbpJ(x7{CNl|#0D}9Zevci9Lyj!rB3eO#{+tOd(E}de*Z8+T41f-N+tq3E8~FTO7mi$g! z<~LR+h1ius{MU)vT0bYbU)dLXAj`I4tN$n^M~x&%@?%u@{sx=>#=hjU16f9e#{P~G z`0F(GKT-b^T>VefFO8i4iTb7G+y6xU()#Ovq8{I5!qs2@6ZK2;)c>sdrEP})S@lcv z)c>sdrS0ec->rHnI`oUEsL*|v*Q80dLh~KN{?WSgh|)Q*`x$z#0kmcYwIaVPg3`}yV8-RGBuHta8uYxW-k2|{$K=S|EvF6 zsL3VO7YFHr1NV_%K=Kh*L4lPOjYgr!J=~=T|80)LD!kaLd@CaVcpDq zu6{}=1e|MA%k@iOZ2_lpl&H^3Vr>DZj1@zYvV_$1_m=`hxEzR($x2e^=(&jh$UPrM z%E8T9P7o#~mo_4oc1v*0sd;1K8l+h{yx@oK>f-G zf%WV}GX}qmgC)r<2ZRRgPFu1YAF%e@gn_r^~w5-E22PcMBa?5BXfB7`zHkuZpx|Zm1h=0mPna99DQ2M+{ zAR@CZMyWI8m1juf)b#k%s(zofn%?QQ^-nve=>ijnV%Gc%((DIv#YBL3Qx7o7o}B0r zD-DinnBMO&{#MZbL9dXxOk>i>ZL5}hbh6@?m;V>SyX-cowfiA8uTQ3rUk)v=IzPHU zA%N>JD#K3NR|4$^(-~Q>!F384wX%*HOwe7KIskf?n_f?1Fxqs zJlAe#Wi@gA2PJYC8sLTq`U}#js@gBkWkp)EvRTRh2exk+QpMT8vPB#2%d8vhw3Lvz zo$FKeEZAbnD)fK0ZPJJ#{Mja^o{aYM#h}@oTUPo#Hd$4)Fk|A?f8z*52<1nY#&=eE z&Idz-GNFY1C~Z>n!17MY2aFG$QgLO+8Hk7I_*qgVM~E=mF*}chdL2`eOY#T{=4tKL zBdEXm8jwb-y^(;%0r-gz!&8q|?qHOKRQt zk>dT`d1bFu?yf(1KCjto;AnlFf}+Z(({qA*SAO3#bF%|NZYh3ytqzLQt4lb#FMby< z7&*{4LVN+nhHj0slQ}~LL82PYLd_p?@1nWHPObd?xd@rNi4&+TMTp`pxTY53j>?Nc zhxvvS-DX1>dhp}p#;_&^49VHe+&;BR5fe;B2nex!S`mI||(w5zL2g!bHv zj0oNM69hNh)uQ{RQj3#oX$a6B?Vp*Odq8cfVa6Dmd~v!={!p}5jg){)ql5!9t$+f` zyEiSiHo%^SZ#d->v(h*VS4AavHxFeM#AH=+W^CW#QRF9tbH}G!8gjP5g z#dp`6-IPD1V<7Oddr=&-vSOD#VoErIZydTMQuj@Lj3huCF*;I-1cX#h2#dHSf|}`= z!BK-v8UR8)oitg``KixVm7IQ^V?|NukJD+Ii!8N>tDo$LTP2EPX6G8{SMQK5y=IH(!znLX<*uHu_%LJXV4u>C z)`}ZLm7+?^vFBI651u)N&~1?99d<;xSQqI#OnIb(aJdv799>XX8-l;~@2@TK!3yaH zRTNW)SC!<87F4cDC!HBT7>Tu**`Oks0aH!}qy;RB89NaGu*d5wsb8MlQ?G_8g~ZPn z)vKv3QliJjCYXx7SKI?sA^G zUu-p&%Dyhk{#Tg~Twh@{hv5WXaq<~vGxu`_1JO)%>mH-5k&~9vN4IKO2QT)WB z>8_3RSF zm$n`~JC#9h)0xJxx%1i;^1F|pU&F?@Y5uWY^7S>Qs~erq+RE;YO5Yv!=VR7C50h=Z zc2(>e7wt8w?T=Q;C<$`})SoVz^xsXF_%Y44t-&YRKtFv@bh6d?0dJ^NNsYBZk540$ z>oQUbUs%uoMN#4Vd#HstR@iW>H( zbD)8aDX0s(OK+^hc`<^N46R1dm?N(u9H8gBc@*(p5X` ze{mjRgaglwzSJKs%CHB5t*xzhjrrKwkJ!C?T(n-&l3;|hl++6J0*|&qE6WZF_Iq2k z4+Er1N6|PqVX^@9tuQNGFVM7{e8(Pb6tl-^16S{?H<1U`4lJ(V*FRapc)pg8(fHVP zoq?~v2pvTJa1ZT*ZUE>Nx`7*Z;5>B#niCdsB%ZIFwNb-jRQ#=83pU!_Wf)On+7VM}M4|2z4yALkpYX8VPgM79Kji@WuD-R*;1KxKBoUbv+Z7=j=$_ zjqks>x|Sdiii-FSnfA9mIcId;>d+C{myri|1zYrK2^3`&A2f|9@1prbv152c@GQ>A z)!bPAQDxCA=hB(`;eX)p$v0M$BGm|rr6q#PTOU7av_yQp<>SNl<@)j;-lM*v40LTr zF2v|o4I6c%-jnx_MU?l&0-{|4H5qb88f-70&cDI=K2(;_w(xTbPKJizUI+3O2q7!e0%0-Ter3znU%|X+3U5j`Sb*!rE+BsCs!GG&k%?=;^g4kgY2jHzM;}m_%LB_vjJEB#2}3N zDj=%#IlUbH#^)$DCj&i(@IN^V68h=T_X?kuC+4s0T2pr-w2B=t*u^U|i-Y`VP)1~q zo5INnHqFhBEJ@t!pqC}ivx{3s@Tu&GtMf94;)7uVf61b>t^>zC)?d2RkSI$vZgEUq zeILq;=DLQcDKE&54|L2PBx5?dS8(vY{}1h!TQw>n8Q;Tv=qj`A;RQES!7%Ir)CrURPVPbC#CU&iBOj z@bXGKpCyF3X$NSjVSmoQ)LDaP?PHrSGL!C-Z1an8T!#)RaG_XIxVNtIZ_;q!3!97 zV40(RWXIl#l6W|jT&Hua3_HPRsLCyS`}Y2k&78Mo9S73{S1V5*#XO4mxcAcHq^<@a zCx_l16RP_&qWR*ZhA{7}(esrDjFjaQA}{O;?(2Q>cRgaSZ@nh0+diXY?hjWgk8I?0><_4Ba z$r-A?(n!pxwo=$KtsT3Gi(}-yg+@0!iN}j`<@7kX2n6aW<5l{saZs0P=j!1w=%fDd6i$c+q?(0Ka z7HR=VQ2`ZC&2V5zWe1sT+s@S!SVtpA|K$pbZK|&=K~aEn%8&e1r-fm7etxq0>52E# zG5$TTEGgKA>hJDh!g+ryDy(>##>=Ad!XIu-sEd?vhzGg+@UhDYtLQfjjwKhy)(CDScZ z)IQPWD^~NkqwJY3kzZJ3xn-pN6c)}~ytcIe0+S%@@ea||X8W%el2RFk6uxb)4|cfb zKq@itXiMIB&fx6*$Lj)%!day;I&qcR8Mv??1sS$nuvZQQJ!=4pZPQ>bzkPF&Gl_pp z;XamN@6$Ni7z3BTVJLD%c?+w_-jbm&8TB+~WPoLw50{bBsq6ZC;KJ`l+cY6sR$kil zD@qBINe*CWVn%e<+pays_Q1a)zaoDP4Z5f-oc-uMDm7;C2KZZU(BbUnH}i8qmMs)` zK|$^!`dP}s(rv*!dPij*nY(aopuj=;aTK*{<|ho+hyaoj=C+T|Do&iI zD44X>fNIK*p>ZtwCGBC1BgqI!hY)nf14CDM^qGDAmp!H`bcf^=Cql^-lgIt8eicji5pN6 z$WNmGHZjhv=fV7XzPM4=<|hYy@$31tuh;CZG{c&QT#Ui)U_%=wY+%QQ2ncVl`RC5t zW|!Arik36-WeM_R4lXOm*(dYpPD;hiMVi2zB0uJ;mb2~S=(@TiY6qEilO8u+J&`9d z!z?5u^wO&Heb{D^c7Mwo*euIZF##i06fT??3-ToKwLKqs&*8H9+)~F>nFIusE0k-t z@$xEF(5=J)ZcdDyT*rh}D6QEFf9&7ID|a(IExKzg;@8t)E8>;E*|N%qi!lya7*Xe- zwNvpbYUk=lLNtnFezvxSj1u*hPG&~IEQdz*4d2H~r^JQbF>=Z{w*Nyn+uhT31E-5$ zNU@!0>}!^kd9-%tJ!S$wVn6yy0-{1_sR^MZl(xLtb!+^7y0{v}t7~?yejV38|ZJIcQ}N3biVC<=Pj$!upM_U z+3?iAtx{i8IBjmmqbYucd==~JPtSRA<^E&DyspoW-C?zA=V}rgLk&%FhVqgT?<^IT zj{F!!pXqKFpP})SipYnPY6(Ug+m)|+&cy`;5o++ z{6X+6CKI{V=0maIkG|hqK;M~$UHLmE`z;LYapaq6j*I`kjQ zPR6BmZ*7wJ$^f<2SVJY6`P3@+#9JMcCM;H%t`GF@mPueMhaF&;22>8*8@gx7K zoenCj9|eRFg`F*xKfKPz!I{obQ=+&+`^XXL1I23F)JJF@?qY0}g7w*tY`~@4d5+U>weQ#uQveSDw$b=rxjZQJXJQ&m5;u zFD3svG0qfL)=ufsj3WuOFSu$}G4fk^>{@*3r=PR{{Ei~Scx#2_ul9ORmYAESl$?(& zxidR?=6dIVB$o_E$J-z%21lE17@0?2 zE}0up->DD7L=LLGHIDbp9a5WFCl#t)Zwm`=!yWCVdgg36uD~+VleE#36WK+yLz{8* z*-vQBLJG{?oyVq?42;g*KAI5|0fu971@_wE!>8Z8t$f*4wp;1*g_BoYv#?Ria=jLr z3}Xitf%D5fskCzRr>b@bTMVY_p8FD%@gVKs5vLeoFc5S0?xFZTv70OCcC z{uATT>yFut)EFGGvEi5W2+_YGg-b}vnc-b)d#{yQet-KXOG#x1e^jj96LB`AADpD> ze5caW(U@grJJa7=KkznGUG~9R2T8C`(n;whzThY<~E|L&1;XXWkB_rN%mbGR1X1O85-b@_?H*HfpI zKC^9?!Z1hR4FcD#DRlH(HxFB6QJ?p72!Gj^Frwyx_O!E(c&n1q*uvi0-m_k@!!KNq zX%W37>+(xVUhJ*4Xr!cGwNJV!$yJ@<0>v)9cR2L#x~!`GIT4&=whIVUjqP#-|M1$x zC&C409w9aB-Zygl>Kf-AScS`~WwC3sOU|%ta}?mMPgYKEVB_YjF-s8zwV;P*@yt<@ zSn8gsb#nB+r1es$(e?*2b$K5OXWZAVjk-Oc3ErN7QUL~!1KlzylhP1PmdP!&4DXj8 z;iiZKO}$S}PEP2;^XDAR(%0Qi(yrcu_gr7FYu%)`*wwqPa_bcyF0)#@S9PbD^vMkk zvhO2y__1{t6sLL`Bz zoZDBuPdagpmUpnr)+T>_Pd+?!&l-#fB|pgYAyYK1)Z%10SP!1M~LP* z5@7n1lnl*sIM9aR!LPJ372>Sx=DJc$`+4Cb*}e{B=$YsYnOgDm6Ne9rB`IvR@&WZ$yz>cu z!oZ!?y8}_kE6!H##?|)3w#6OXOdX5%z*{)7aL$EkLQrSM>KJiz1)tLAdwRqPSh4L}iCZjyUCtE8;^*M?g~uFw@`|HcdxB6p8Uix~$##^_{3}`esM>6R~?N zzOeXY%v?F){PGF!MRe^Wnazt#F!$-|IvFdB&Y6#7G%QBsWn4J2f#AV!|0b~kg8XXZ z2&@rTOdq)Ys~h|eGW3i2M7BO&&v1a<3V4)tY-GGIi$E22d)x|c^}&{#M($2V@?7+ z|Mwj}aRC+k@@4DPU}+VDPXjI+yE11E3sI8b(-}fP)CI-GeCdT-e0+Q!rwif&_D#UJ z*&*(#a+y}UK$N;>2vyhCfn$2#&}?90;wwV-%Rabp_Kj$I&t@*m?8s2h^SaM05v zWA;~&&AAbwo_{m+L%Gq36Wttl*9U1t!MeU8>oQWL!&%n|s)IIDzqccd%599yY8ufF z)fwl{Z|k^-%^LeaYJfv4O>wR-su<K|8cy})PRK<|GkZ97&W`_Q8!I6HoZ3HEu#9qLF*$yGa#tueoOhLg@Va`VkMmFKy4 z{SiJQ5ussP-knRqW~J^wf-@`6PRufQp`C}xl`x}0Yu!;7lDRf4MiyKp$gMJF`a*@D z;N*Ppq&dz|m}PEEfLi+C8c)#=BRAilE{qS5y8VfB{NL@-e5Kks`SE~i+;|v({%Rk1;k^QJ zw00UH3%xtM@~ja9>$mB>ty% z^6HgMsb@JjVFRxF&X#lr{&|Fjs$1JZyRlnztL+#B-VE0dyh{~T--TJUrnv`4209mm@) z?}P2&Nkz6}HSX{fh%UI)wKWXc4*iqmQkRCGht@wQW5X&mBdb`4yNbE>(2%93BYyM! zy*O;WxKf-?c8BI8;TjJ>YF(t`bTFjt#0^-$v##sB&~?(hr5V*!a}DS^9&1pZkj6-ma|eKy&J#rz;~l+-g4 zAZ{OWvQb*CN*)X#k+v6idTqAs{t4u9T<%){@=v*e!+PM2?e(R(<^EXl(D^g*C!Ehk zfD%jDAuED|N<;;oAfHOuoo}R|bK=B(BptDf;qzY#Mz@C`6K`C-c?X~HVcnU*KnpC7 zoYYJ#5-$yOS*rVNsn4RC+|gApi%`lO<5J_|XO2=>y4)?Yf><8gccW`YFb1;vpF_=@ zrghW(`@gP;oM_cI09mQzmq0MvThtgQmS?XSyQIhT#PIrIYHCRjePyc81dsjjFVhLo z_PY04L~-Gm+b5oFK(-rpoWFlaR_=DEfXzLY_z0hk+7P-9Q#IBrN+$&?8vQL6j2qKn zx&k`Oh^On~`ujl_OY`FHq?;O_;o+6AZocJZTQutweZ+5O`{QjF(JWE{{5Z&^-e&h4 z@rzZMsrQp)tUzCCyN%6adSR5im_5cufF+$$vU>VQp)c^OKCx|S#;3b1=hQP+moFdd zdnIcBHJcOJ3?xeM?j|cv@(Du?TKj0<^YwBn_N2KZrmXUs?>S!c4q6UQ2iCEIGh7)W zarFxYv&Yrjp_<>Z=f*@iCg#eSZ&_5`LPt?px>C}kKZ?c(hw_A?= z{uWt%V-Tmv&vg_z?|3njiirgFIU*%?=!r zrht1WJXSq4&06*3N!7Fa+Z$&??(B^WSeF(}xr2g@!wX{fl-E63aCnmX4}S6J)zo2X zOdS%8WHbZiIP~c1NGh2zVnAMsV8bUGzS2= zg^`-9-X*Wc7sdm%M_frw?qMYa`2}LAzq{ZMuO3d`#;vC3jN?op&X}F7t8>0X3H@9i zS}AR!*gjHEq3Tjd#QE{-n+Fo3Y#zc+7z40sgO70)z9f>led@#F&%@ubG5pZW){&8O z=LX}dcUd%Xz#5*w8Zx&&IZR{?D0y&vE1vGdVOjjA7Jj$_l{#7UWrDbQS9lV0gk*%- zScp>!^C)oe)BY&Xxp%^=Y;Of`w~S|e%YC0g|M~4H-5qUm*t@4eeQ@46i<^CSqXxJ= z3;}NOR{mkd&qX3~-XZZypCa;eV}Xji!lh7J88Pu1A0iWO79RV-0jz{gxRxsXoQ!6q zyH2?xdq16q1<8>gQ=5caK>@xnGbMo;0gUXAhL|;f{RE%l(?i`xFI%)9ZOZ5Nj9mAi zv=EG-A(2OcohNplPdMJj*{FGX+_zFG*r2HP_MeF;#ZLH1tN<@nnTk;c_ZkEr6Q6;-zE=2LBr*8v|PIxdWK0ps5oHWQA0 zc(8cyzlfExl)L+*I>Vg?=rSLOvZi0@Ak`j`G<<1rJwzz(RI(5B{({D6|;xg3-Ken26Gl_0csbwv+vb15)+poom@Jv zWfF`r|Hs%{M^)KweWQXPp)`nyv~&qbH`3i5($d{2h)79EcQ3j-1?lbvk#6aRb1k2} z-|hGAan5)C!ZCCV?sc#0n)6q4x(y(4629qwnkF2mjN$Kx`Lo3S)o}j%J^cL_n@}0S zG8r7r(Cs*qyE!$29^8VAD&@6<>FM4A0;pc#+=Etg|A^e=++(R+D(QsVj=NEU4J2OB0VIV0|5E)=uPhgnxBN{-?lSH;4M` z-h6u+1aLTWtK#(k!5H@-3ed59(!cdz_x11Z@}DR0gT}vjHK1gy8` zwO>vZnYfLCh)e`PcwNVCvMIK*-Pg=^^7!MEHZ#$Tz*$o>zG__>`NM{E^dLWhqMC3u zDVtF1S&JR>O_OiAloF`f(+S4f|9LRPAApGJdwQ=Af#xnM9j(%dC0}>>$hx9Tx3blW2S_LR|kzGAT^bb>n~3VK$j2HZC%8sd7YB-`e}S#!tgcE zUcT*_l~wrrH~r^*{Pm~T(gI!-@zK#rC3nCiSgjO7{p-s8xfo5a+xU!lO=){z0`hw$ zL}!-1=nlSaPUJ;v;^*@T@hqcYT#44+gA7wa8Y zd@-{@{jVrRH;Ya}H?v+;sGA^_qFeaMSEzLG#ob?xs0~beA+I_DKqA}5Ay-S5F7LEb zVO_m`re93nnd-sT1p()tzy1?;SN#m<|1$d0lON&xFKD2{;WVsD)Bg1d|Ifqx&tGgY z0Lpy8BK+{buKoaW8QN^ox5jn9m+~`>Ryy@3d(WW=!|Ep#U!XNrf3LazmA|!Zpl@EqNQ?F`ARQ!R@<7RIX}O`1epLJFEhP^2=<3cF-b|O!Q@{_%ph}XLi2y5 ze`=uKF9PMtC%d1sjs-d}0R`M@PNig(r4}OM88Qx7B`<}L34Y|5|NVW~Y7;MrVt3=` zz(~R7z|6zFN1_~Rhr{VY>e>Fkd}3FSloJY&!`O;H>LG~$$3Q(!ee z0FiI@x%CKds{4=x*8lLmXKdE27^u%rnkY&&a1Z^TxWICjh*kaOLTo0_K3B~ePEYhx z?{Di`XtOFg=V$2hkUQ*A!M`3JlN1!S(7jJsU5KV9X3kHr3rax1gLAqzYVGw6SE8OW z%{vpz$ct&hno>oyX`ojHQihlOC4r;cg2Qp9_XudL{9@pS+eknCzkKE`D)23TtrSZ8 zU*9tN?{EQmSY#FT<=-rceItZnO-VkrJF=3)8*7EAMKvunK#rJmoq_|>VAj8UOM-u}k;D2cz#O0tvcm0&( z(0}#;HO0V1AY*5vOlha@)It-=Ym)QLk*FQf;|l&$mORP-ot{_opK^Tz1R5UBhy9l@ z0SAMT$TZ5W;j#2u&#Onr<@gt=JbKCFgz2UJ2CR>&^VE5wNx{fs|H= znV95482{^*qYDu0!n7h_Qv~(=v_@Iq;2X@*bvJL)?jBxlXcb7+s*_QUPn8t|iiVr9 zE4u_c-V1d_O&az)t1!!OvS($2gUWbLCJuNL#TisK++Q<)DY|)GStlG#qW1Eu8i>Vc zP6-NR#EuK){q~?f92=`ZQ-!qs8oA%MY*B)BZ^#aOZ4X_2&m~|6ZH1K zx%In9fpDzV*__H~ifL^`znryYLqo0FUL+;%?S}@>Y`>Jmi3p_4nu9_~0*>$%AOH1S-hcy*v=?E@et_xE$&`i1E;x8NK6O8yrq!C^!USZ}p&+82 zU92kdDfe{6WJ2Gcr}v}%-MD-wOX$9pK?76LutVc^)QOv;+wA^b#9$2shp1s-(N7Z@ z!0ky4w{0c?qaF_bqRvmy2$Snj$C+Ufm8sFU8_WmdnV8MSUgs-xw4m5acgn$9Och01 zls2oj+?~%$zQ&@I#Xj?3At#4tfL`p6a3G?dO6-~lO87h+o7LRwVg84v+w1Ap*v}~V zbE=Fue?aFC2HVpu1w4N5rlT9qg`?jcXdw-hZ9qfdN4nj&@rlN_X=0)aylxV_^T-kn zgYB<29VWzMvy5+gxWCayF&RoWyXF3O$n2J`%%Br_dn_j;J2$u8?{5Js5%H2Ky-cCl z++Hee!`^%INey*eY;aSheK>gKfqTP@6~(&TwC0M9iO{%5HU(uuK}}hmvUPH~q%vZ< zPN2CP5P$6*(l!(s7h5b^&lpH+BSzHEUwy?u zvSq~LS3FwthX2wQwSYWe@Is~NWuQuC;LzXn$)<3rShrklNaC0n8TEj7MAGbWQB%rf z{)e@c(Y2kW8#Bv-BZ~t6`57K5k=JP?#%EUjcDQ`4+LF)B*-&p!z!vJ!4r#L}dO)S` zJ#m2y-rZ2me+~_KndK~V{q1r8dTr}nap+4{$pV$a=X8%mL;n3%;k2PM84Qp3;jvYv zB%%sE*dp;|ZD`E$duu*2F1w50-}b7`*0C?waHT-DT28e3+ZZ<9;cYU^lMgd4YzV7m ztDa%wjTYuV1L2gY=mB_&#BK3|1}|pg6}DNYt@wmrb8#f;@;B6({(qIL*TUevVu3c1 zC?F}1k@pyRvJB|x-u9qUF3m_j&B@8BuvzBQsI^X^(`(UYvz#F|f7}&GCbl+j(;5R_ zQEXv}e#d9~KI%O2!9>;^)8W*y7B7$O(X2qAQX-9|3qF>RtvTGtkFkR)rGC`tX73s> z>%s9}528$sI6Xam56c94?r#7mh)xrkH{O+ArL(&~RY#)X*)6Jk&CRU^E=|!z`W68! z3{>~I3mWS0XCZV?WVg}H=>oIQG&D`}i>9l8iivpgqiO%3$~@p=mC3ya$JTz%EDsN- zpp{WYd}YyPnZDX$x~3Gvd`uO*={eajRRX1tZqPed+Il~CsaGv#G+m;T>FuM;)8M#G zYBgWO3d=L08~L1Gvj;pa6#=*Nw_KSd$+Mlw>X%7hQ^4DV7Jn{a5xsD82C00vv$21I z8Y%D-rgl5V`o&tcY<|d-PdgE5CfvIA_VF*I_Rg}|{%O-q6i||5I=~1Ma3>3)tUc?G zFmc+uX)>DsC`9(`u=4O?m($JIeX_wj5gN6RAA}v?S0!a1P$x$?2_YcR+I?ciY%L(Q zJ=)$f-19V8a_vycrFYN9SyJCFKkLq=!5*aVpMPz>Z*LdUX!UMBs^9O6p$#*=pWK8E z!DTE_&cA+EtCT0(Re85F>vNWQda&4tpSH?ov!rc40m1MPeA z-Q@CZ*h|*29I2K)1klOlc5(GQN#1>a7HKt4(|UK&iL3Xv{izdg=3*M{{Nc2&hfGP` zFXZXKOjyFn4kMGV2`r|4W%?Zh*z{@?iH|R+>7&66%^WDbCI8G-d{OwB8Nv{CvE*@h zIU9!09_w+~tai|JBFmQ1{h{E*Z13@ioAc@R{Kv-#Ybh>EOy{ZtY5aO%KL3Tw=FUVu z8T8UX2VfYU%#Ri2Giz zE|s=z7bZX!?k54KDru=_vy!e>ja0B?6Nc}_Z^k)^G-oFnM&{bhyJXa}wJC4jY-F%%rGPRCV&3x9?I$5aJe=^L^$YL^3w!a~q{aHo+=cJk* z{j|12nB(r0jG~fK);WtJ3?@%T2%G*1JbEyc<2T^~QmprAmZZ3{X$ zI*hrIg0IDW)7#;`caIjugiYGLHQZJPYOP(TcDx5E(|D(&ft_7Bm}vN7U+U^gGQlu+ zS6^YMcmY4z+ylt{4SOA}n(#@(#x$;KeF+p&?`N&xPwWyVa{r;{P{ll^Zd?g-dR zpE}*=pj$m=O0(MK@i?W&fZjY+@U}1tnd7Yr;y554bH?F-Jc5MTcWt!LmoTk}+HUJH z$Je{1arm7R(ZXsxM~+FX#eor}7G+mFJcDsf+qIleig@P?jMqlC9QNZUnIDL>X= zI62?Dc*#yU^?prEqj48Pu;^Hg3PwHFODdS0S|8Tq^kVrRu7)ej#wohFbNISdhHv$p zXN|{-G+2->mc_ccG1{Kgi3ag)N1j7G^uxVQF%*Gv3<{_hJ(SZ{D}kFs^A?G+${~SM zEiMTrz#RLiN-YLVcdi?Qc6P8yu3UNT>veU!emr9s-dm(mnQT)Q{9Kmr%E)m1bA#Pz zm6_#A+9;v#*G*U!1s`GxOQ8=hVA2qUZ<^m&&Ar8AGWaAX+W+QHCtr9D4$=Its!cH% zKjdbXLZC62mh2r+UkL9#57SzIa6-9e$-7g%|%Qx=j1vom@g1q z@38sOM#pJa9xXaQuy2467)>#mul|KeT{V6-oeV{X^WSsqp-*&Npz&R%FL<5|@^~JW zOPCP()+4PZs;&KA>0mbQ!@jyZ^f`2WqhF_i6o6B3M+#zAidmv44$+$(ilc;FD;cgt zvRvJ}C!9GovpU=} zaC~;_(VL#jL)sA;`SLSvcLp{xg^>5Tpm7SHd&k0}<^AS}M#@0vh-l^k1Xli5+oS=V zntleZ{G6MRJWRf7?(FnURZ9knfbqcJzHv^ua z*i4g#O(eO(K6Ys3C*5rMz{`1$=AYDe_xR;(Fg8QBe6vl4w|m9gk{B8p^PDd79ca?V)Hdt>luNB z%(~a#5);iUOoGWJ0UFv^_xB!bghJHAmYX%bhwC`Ew+K>Q?kF4YIECp)HRDIQ8!_Zn8sw&|*Z2_XIE+%)0BH!mu~x1z!PP`U2Rq{;rvs8KS#@?+y6| zCH2;Y)PT6id>Ua1>e<53<V>ZQ!ZK!v4+kfYrKL!it4WQAb_{Q$ki z?y;t17ms-{5IXPNZ!p8@e~04?Hmh_@AO(VFVa?r5?TAt8w~R!TEh$#DxFcM zsYaW@2eTFiVK-Yf-y6*X_whtsPQ^%nF4E6EeT1J|a?sfn@lf3yOVL%Y2ebpzi(6`E z-v>$`q~qTR0-mSkL0em1Gt74*eSNlT+8_#=n9Kyxms|unpynXbqSFhD@LD>2hsRA1 z{6iE3q@g0mk1h#BVQg?>mfzMj;pqp7vsWY6VwX( z%@miue#Tbq{84~O`cAjU$;rq%no&DP6sv9=a0(q+T^1 zdU9o^^ft`#=GKtPb^Q~HbZ-ox73n+CeqID>19W)O$QG1;-3S=#ufFY%2Uk^xIKY+0E$(>%7rCCB52vhTG{Ym{+rWE)7nPlCP#v5aI$K7%lJ#)MPCNn%rpCg1k zatqSa1#+bmNTE$2C(v%+Y*CZ`-)6Y-b9F$;v|SdRGMAL`4$7`Qo)`jFK|Bg;?%?xh zxNdPcy-H3%U*06qjzXx-Ts{L?tnPRFQ>@X+wkin${r9;IV*;SaXrOnh6{(Ye%25qL zNTnf^<2m{iO2n_*es`A|N$WPnAnP?f&>GbZ&dlVVo+XG38JK*!4S za}D6Mv4GysYW@;Xwrl-#LoQ2K)zrj;$7=rV=oo=E z(A_QVI%TX>kDoU0s>L$%JX^RCE$e4J?&{5Y_ah8}HZoW)1nk@#ivH?6wnz&(3z|u# zC`$}_Xd~L}eHv2J+mas(gH2zr{{ELlU)}IgOpM8Zc@{GUx!P}3^u$!Glao)2zn-bWDVJ;>> zCx@YFF&Fr{()AMd;%%_IV#R0E-&UykdG74eYvy$MGljSap-EgPG?U{fo~uD_?zIn* z-t0yLLuQ@!pFj}mV%%*MOw0oEv;KV!^i+#t#pOt*I`c1f~oN2S^vf3m9rJ7||3mQAk)6%H|_d z)1{*a!vW*Ut4X{bHOUS`oL}{QfLg|E)Pp`-W0jP{W`NTDWdoGv9JBN{u$suWypZ*( z5o$Q}FG@eF#$}^(q~bISa>T+3MddTDwL-}3VD}z#h-?h3eDtWK9oczDPjh(`Ok47% zWVXTO(0UICs4>>{wqsFIn6s@ut>u&=`#2i)_Bozc>v6Fc!O&CYyw?yHaz28D*15~) ze>!Xht|nOsmi*Rva5nEBUe1pUi3`r(C3YG#JpZuNbaj;8etPOynWr!W19K2(+xp|9 zv9U6z&%Gxem*WR%M(=nIyG%%2S#EYV$0YCYWD?um z>6uZeVHWW;Hn|bVMxLhYx>vhV`}S{IX9lQCVo1_QSk1FYoQQtKwch5?X;l1B?#S4@ zXRP}PyFFW(H&Lpmw=-Et2`*#zu$o6S+A9v?tE;Q-w5x}WB%9D-yW%~x6&ph(B}_Gv z5{1kY3@|zP7z|EryHZFZSc^+#+3? z34fx(>RWEu-M1Rf&j5f*O?Y{FdRrai_35~rRtfjiveoFTI!b*v8V(qkeYiTp32VtM zFs?g8{Cn8vUWuXg_Bh^LkcHTqBd0FbWowbh>boO#UGR=_z|4-!`1$LztDHLKA z0Udx;mE7vj3F2EkERkuWd$~Y!Ppa=BE;lB>3HB7+%%iR7t82a_>XE*1^ z`yddbt6n8@W6MV?wHForvUl;ZW+I<)N2(_t?7cL-@0y(=gDag-xYfG z@8md`m-YZg7W&M$02Ne3Z+d7&jQ{V7h<@oS1U-WhsvcSI1oWf6G(j}PSIP|{GgxYS z<}FY)$R}%fNCKvEb0HA%RM%ffP*#(b3Smnu#K!}jGOh~HOYKsW!PIPQOFSD2tn5F*KwP0=(?5=84R z^J0de!w@0a@@{4I8c`t<+iDBI2RR)s>8O@y<5l))n5G!>By-#TY>VIhDSQG-D^b_m28^j8CuWBY0Y<=>l6yE=+yyln=hbj%J zDzM0Rbvt-iP~sp!3O7}%$C$$H5*rm26`yD`Rg{3C?0U0bi>3S`I{hrVPS0Sm=og+~ zgPwtk#dxlaeFqAAPkX>q+LVIS4h6>7%B_q*F;O<2)V;o4E|8;J*RUyv*rCEAazFzf zc}A;+YH_~p?%Vmy#{(wzM?qo2@DsCk*w`^W$vKTiL+so+W$NJqT-;qM|2W>?`-0-UJ=S?ybO8js;463s71ngVyn(^NnBHhg{NZ~wp3CI1lxhK5=s>ek0AP^T zfI*H~iEf1_!G1)gdYwEnn6n|R#RZ355Sf1?#ZqEM(&0YkV3N)>p{Y!2KS;~p(-ad! zWE+;jMK251A1u6uBw_9B!`CjkTg)IN_fL%#sxbmJ)ZM{v5r44bOx5eMfG^o=ue`f` zsS2Q}g;z?*Fd$Cy+}0w+ zUenVLeonnr7Wqf)dO^a86W-6zC@mWLf`o>nynt+L1ZL z_FzgfpI56H%~lv6gH;csbsH!I;P8>9kBl0Nt@A;Xh{xHO@>?Au!x4W(7EFpamNRdF ztfltLTn`@SeuKR=lKY^}KfS%~HCfug9j1q^zhmon-0@+4csJSU-!I}^R5viZ9^ zDEMqIZW8ijlAJwDf%gBkLa81aSdnyjb;8*XC-}xku~|cJBYZWHs6)TDy#Efnnz1aNG@wFno>hOH zUg_p=!v2t1YhChb&hvg$jHvf98V|9a_tpAQG<55o7=T(3O#yfe>12*kSHzNEf&wJ8 zU}Ut3Dk3FCCx3pWWwW!~t$f&0_~=*BsA($_nB7_m1>aO^wKe@wM#2e8CfkX&&)r#t zQE!xFSiZ#^AcqnX<)#i<<5@w^M*Ulj~sHJ|uW%QaL=4A`eftg!q+ocbgU z6;%XO?oai;-Y&~4h~ooPY3Wi&VN&XJA}BA}K6oI3MGSaH2Gvhn=!V@-)~lGQ;CN`; zT5Ngq7$RW;=y!8^AD&s05#Y~X)ZWbLh#4y$pk>}qhX*3tc^V6=<(rWV*xfdr9j93Z z@(wel8+z3@`+R;|q%l}ijve={Z^5{6tyIccTp~ZX#_^^zAw1muq+)`1b+fMlyDRg0IwZ;cGcoE~8- z#2y!4X|;_l4-rj_dd+;}-YkUdLP!%EounlASg+-p!Qkje|X*%vJqc6aXhXk?$6JYfq5_wu-Unc%dhN2D)mFe~A_VL}tGM4;{ z+PD9n*KBMrFw!8N6K`wO?rv@I{y-MmP}Ru>P<6wcJX^0Oao^tiBI&51c|zR*=~ z3Jrah@Gs_azM=_{*vGjVPrH8&RFJo@xBl+H_?t*DT_}fUi!^|ep|19PQv*ky(N6xt zv7c}!hr8*+Xu)eRD<$9p<0O+KG`Y_O6bu76oF%Xyjx)s^V>P@D*vgyVObHDi8V4R29qJFNa&!9%g#I0~ za&%jKT5x86XC||jsFWnhiu30E_|aLc|M7e++n0H~066Tqw;wSIg3hnfxmY6^-IN6P zOPh{^0kKpe^!zQjDfj{ABO-tg6+9rJ%noy4vCT$y+AZ_34IcY|SCMZ2!6xMu)t3h= z6Kh#)s{q^)dO&9t7vH(wbxql8)XvRG&$r8n#yp|9k8GS!q~cpp)C9Bz|-!4T96hU~3*t z=+9J-gM7zKWLuSot__CW+<&&`{f-9bOdSbv3+fy?IDivudMn=$B( zB9GZoWRy@~WKgfOC4mXBlTw0#;wQkDFJ;oRvxPw~W=vwYsqy*%^&!Ow-GpU%j)doT zQ6&RF-*n0K2glCy%D#T5pwI}g2Yr~ymPpeyFSZrN>M9rKW;LxUga|_KOZ^1EH7}ls zhGW=@Lkn6Nz?@aTAvMr>8agoQiWuAmM8q72&7sVLq!~BJ-HrM1t&YoLr|uNT`+7Z0 z1l@Qge|yk2HB}f1mCqnI3^a~qAh;r04g4$>Z;F!p6b~l;-O8n+65&2FU*Blhy{9gU z?B@+w81ggeCIthpmShU%(ch@aE0dOj+yU76M!xfKeu5D)zs0%S`(~{9HBh6_iS! zZyNn*A2`Fyop*K)oYueqZ}6GpatiJ?%)-cfmCH1D51~fqeNT4pMuZnewWM_HZh17&~@BsmWks019qJYv7bQ9Ua}< zuB@)i7UdT`z+Dbk%j^O_aJT1cOOt)>ue!SOCMJFaR22;J0)7|4*m5bvceBTGbv|!n z44|=S>pQ6Qo&y|rQN77SqR9(j#BnYi7O>?!oY%IpE*&RCMHyJxOMgQx?zU;U>QayH z6H3@yyg6A;0f^z7k}PMZy1zM}%BA16eaDX<=v05=IPZmg!>A}CsQd){;EJB$m>3)5 z*)VKEG}}sH0z^baG6^XZrkQqV7nTn)EP7dnI6CUSa^9Vei`?-N9kf37)_w>0inHpZ zQx&oq5M;D+K2JP;M$$UlyttU-5w* z%8NGwLwq-uP<6)ufgty6r9_E)H!L#`i`t{FO^}c{A=9 z<}Zg*xWn93E{rB$&>ZA(fDB4D@LtC9E2D{pn45e%?3;*EqOSVU@xRkxj+WCYq$&7@jQ zW>*+KSk+|M{S|CEdZG_VyzldsZ|R^RWIF%;DOkVymD{K*6i;8y19<92AS%}VAah60 z1M*@CZ}f#`j`8sDj)8ph@N~NJwVgOMNi(wrm4p&N+QyZca6`{C>p{p!2g>9nVTtfJDlL$`c9bb`)L)PW-J0}Nosg-hjn%qwM0x%Mb<*XW7&!)82kNX*Gog6Ia z*tsW$OY9Ysa3}n)jR!NsHrJx%*w~mL>~9+CB`(@NK0cn(vI&|~KibYq6WuidmK8gz z=+{?fMUT%sdV>syk~!@cou*X^u2%wyp5wT`pU#+Sb{7yT?!G$N?5-kI(VOUirEye* zSB5N)Hhlgtw=T*H`kHSXAjqf3d!rZWh+qnKS^9=L{Y|PxX$0gtNymzz6?n3*+yq|7 zTJ!~161ewV01(Cw`@19Hve1ILdR9#*da^`^d(Y4AxcWIO`7-Zz zH2G4?62;F72Q^o_!`X#IQ;?~ucKM7&UUBcJT#QfT)_^pI@N+tK`E5bpijP2!u?sko zcda8^LVr|!?{m3=u<9L!ECt=8Sl@;;X|k08hr?k9=w}ypcKS^-E#qRy+1MfJH89S> z9Dl3tb&?=Fp4HOc!ef#h{SJ_T192R11H)U#VIUv99t{Vk7+0oU!MhO&RF!-1Ci9Lp zKds#D*eOM)oC`fml;izfeBiHnH=qmu6yhPY^HSrEux8iz)o6*s#AA#d{lMVB25%*c zGe$58X~)>VmvJr$@s)8xu~^rQytY{Y{DkgsP=0!FXK4~ZqELkKMJ}Nm3<|ka%e~Pu zcZe5P-xe_KtDIVjPqToD#CLyb=mz#+(T58bt2xQ3Hirtqixl9#|KVXns=aKe)2jN4 zCf2E7@WUC`RU`k>4U>5b2-cb37Nvl|2oh5M7gJe(X9h3^mSoozB2WfD5pp=Osn*#! z#Os?4(rvmw8xI=#C!5=JQ#Jkwh|`}N z*ir$aKGKeiu{f%5%C}&3@)6|49j`K5BhLG90oikWbCUd(z{9~{yYgWAvV18^QQwCK z{av%PA}?B{%c1T#&rU)rU<1R5v{m*#-Hwlqkw2e@+nKL0Mw zE3v$|cj^RB*D*#kh(TOj4t1ZH()h>yt4m~eBPvX{;=NUuxt@0u6Z$J_im*lP^?Pc zgYKa9!MmS7&BS+*$3K(LLAlj*!YkPoRcFDFz#p~MA!Wt}Yp~-hTYc$SH1kC@O(`4u zp@oRnuJye~IxI4gR+-p=k567wOVxUr?+}Q|Y)vW&+uN8?dd*GPs<&&Ok!@PXm@V(I ztId$*930Hcr#59Lh`H}-vy<`q;+vm+L?C=acwXk7v9DdaTFNq-gN-3?wh-h26VUwJFv0=Ll~wH0hwBe>zb?&YvC3 zVDRqY<(G4k(r7f6uH~CF3;AhX^U5wDN~|`VBTv5AeLOPSWptLvten=Mt6OKAal0+& z!|ZoE_-d5RxDiJl!uLYeOZB^dB<#%{rYvNGVvM)xUlxLOKQA)NZd(bn35d{o7Rqd! zE4{0GH+a%~u|!KvP0i#~TyW!I?(h-$`!o2T!t)C_yN7Iz2TH21ZXYTy2u4(Krf=|< zvdBa{l@;DN!exOCUBTE)k_zq!3c}^`dmo-%g_Og|2mATKUS|afpwnT)%LsfC6~Q1S z#?0n}&(Gk!EIo|3AdGVu{>I`kRDW@x5fWo>dcJpMGUR4@ID0eiz1F_T4jl8B?AFOu zjNKM@4X+>l&hm{vPB2Z4WN?NNnqe~RqQYpW2sxy|^DNgy(|`HQ;D#qLDfH$^MCq>s z+H*#|7Ei?od|}H5II}wZ*%q(H37!#J-MkN(y_!t0Yv{r&-tEpE4j%%IP^qxl*bCt^T|`Mn_P+4!9;6}bP555xx2zP#cO0u2_0317w9yt96j z-yf(JDEG$Bnf8WtUbZn7DqS+`O1wvFCwz;}(7+lw%re@pGF*!E$ZC)}%3^hq$RqX0 z5`wPay7I*opXsYORTUnBu>s?_%9G&wUwQ|hUr^qzb|Joj4Hcjv9f67tEuGHqgx-8X zwln?ki+i!AMZeF~2kA!2GL0Vt$t1H;dhIcE0F1=k!2%?HoxLA3nT-r8F9|g*U3w#A z_y7S^`{bK2A5Anz&rCo=IcI}AMMzlbPF6e1@zrJ;w>RdjdXDf5zaqkN7~=TyQR(im z^(hRli^Jt*lJzzpQ~aqe)QSN|5#ncl?W61upLZ^aseb5s-FN7_>#w8E+5L3Yv|P-h zXUsHFkrFRGTSU3}a2m)jej#`Mm6+nyLN+XGsp{CY;(?omL;8<6Y56US#_T5{2I@)) z>FCSd#IJ?v^7CKW`F^!w`a#hVs8piOneX*QlBb9sUqq#ZI%!m{q>;)+#M}^vYx_5# z@$7S~CjPufKd|~lRxqjkZtuGZJqFyI9X%uK$b=X8FUc`k7nJ;?(=Db;M2~#S0D0kf z9ReiBoI=Yc+_uF>J^|Jtqz5z>L1Wz0qD^6lPq7>-^!n-IJ{Ql#D4$yd4Y`f9=HUqH z7c*&nc;~!Rxr^ZSr9GK(2QHv(W3dHSVb}b6l12L0&D3-tWHofc{+AI>Z+de_VZtYB zYU7VoPhVREX=c(`Nz~71OBQ;+d97(P!H4d@gq!kca&ONvP#di)i>5xcVCCy;{ud3v zhpL4gEUsOWBoq6Z4cNzZ6yt7HIYx3wxV`oOX+r-Kq-~HNUQvc^hAupT1`~%g z8V(wELv{Kn=AL4GpCTT7!EE&9u-_4nc)r?VQ~3jx#@Mp)rvdGSK#ccrnIVs`eu-Gv zxbkOK6BVJ55&QchE9e8g5$OjD`+}{1=+?JGvW7L*BQGngAAlZ?f-Ll_Ob^CmZXE*K zjG#wB!8o)#!5kLJMKXB!Yn$y#*xv}?1W8VPfJ6oD^QQ|$JkfPc49Zk5;osj{p9B8| zsU}s+e{iwFSgKVCj!!o>9X*)D7aGq0#B@ZTDlTtK0+^4BU&|uw@@f#8$akHFLpCMv zzDuXwp0iaCW(oPNyq{la1ofG(N-_^ZRNT(TiW%qqM;Gi$51&VN)w#5}rhNWX*iGc4 zZ3=N%UNK!#XJS?n5`|m&b(kajIDg=Hz2E7x;%ZkI!9%NThs!MpMLK=v`rh3D_e~Qk zwM0^@N_UfOCv8jOlIC3wDo&q*VrM#LcuV@f~ zzYi!P8?SF0XD13Qg3b;n@}sd#_ch>nMm6MU>gl|Z@N z_+o=tCsq!Jev&{c`_A%-C(2_4)GExRkC!ign5576ZGS!_+ghr3Fr{tiK82s6YR|xu zTQeObO*18(t=OCnw>~n2){Mg43wy1`uuaYj9`WGzWg1V~L@F)|`v>*sWNm-y#5IYp z&D+ZadwY9W4W4^cKgjQvn}cylR8-;K2ul4wwSwq7k_-X52V(28etk3NXIee%_^!pgUiF5MIm$qCNC=vVN zgGY@Qgw~LcVSNgL{S}^!KPR?Xs@^Y#pI-BykBmm=dG&`B`1a)>kM--UIW&BfI%H>4 zJYR`c(1~JdK1NsQe@VW5Uf8b?B$UvymfFYpE+yCdU0^tZVYrY61=VS_v7)~SQ&B;A zv|_Yq`nb!o*X9hlH&RJ+tluH?ni~Ic51Dd{r`xbfA?7GGEbqUw0N8WEfz`%YJ$+|b zHhX7YMbWzqeL75Dp(<{u(!-3j!{>{ImI?ywUKe|_?_5nuO#Azn-hJh9m6c{4ZF0qh z`W7T_Cdi}0nGcvbME6rH$d*Ju`3G^%md3m)r_JA*#!NXZ^OnQ+Yft;SF#<2=jsJXz zr<5EnQ&v8n-+ya_$U^@9SshNhjhI|&hJnw5IHzg*bZ7RHWz;(qm1g2riAV`@sZ%km z5J5$R_)!*S<|uP3QezQ|IFy3$IfTp)i@82(Nb;Q`i%B*u+sXx>zn6|1p}4?RmS|S@ z<-d;q(y43eUCUIgS)F;b+N}gsjcSoT4l7#|D~!8Y#7}YLp(9WBTOZ5pwgAhB5^`Ty z+QKEp+WLJ;{JPCeyV4|VnOrI?4(VG2=8u#YFrviy81G>}eXt@r6stP-uhxfCMt`3v zgj40cP1~?`cH>oC5_yX_qbu$QU!OAgr2Q;T*FC7D<=T9Cyl3W{c%A5p#|m`?s~<6y zHlWd1aKA(d6U^5>A>Ja){sLL$VNOP%#MvUVye6X#K^7#reTK*-s2HR54(2g7ygbU2 zHf};B(j$?#3&AyC{2CpXt#WU_9o0d4SR-Fp2d9tNe8-q2ZZta85t+o@y+PA>iTK{* z4L}fw@C#~NZx@oby%`N;7t4uzMki;7o4lt&ZrDPn&Ag{}1AWI1o75db;V>VNNO=<; z!ZOt_^Tu_3?wmH0Vrr6`ShS^CLgRybdUQ1DvLtBuZOpVwtz z{7}<^AfH40l>Q5=!zZ3&%JVHq(D${Jwbp0N|RQEnW-X4`rZ8saI*QwuX4722p(DYz4z8%mt;z zoFev4ce+O*foWL7ZNMT4;~ze9nB^%IWseD9f(?BHu4gt6EY&T{uMA@RFUb06zL15k zTCE5RCeFB?uLeFrb!D<#H&RB!q{!#cOnAw1V`jU@?sO?7HXI0?`|mmmUZ0?A`CSPR z6A1&?Ph`I-|HsDVce+_i&(TI4J{mBr`qLjR*%b}Hp4a-GV38M*#+t6yJJ-^Bk@bW_ zlz0*y(LWts*uK=cP<9jNZL~+@!xnX7g$XUCcbEHJMG3@EIcmh1cnZSAq=V z9dA6T+nA)rmO5)8I*OS)$qK(r)zz+dHts{s_$MkeJZX6^uHoHe2F#F z;AA0m+XIiQoz5%v?Fnhu2@nTdZt)wgwhDG5d-c>v02o8F=ogKQ#IM$NQ8)T{|LX3TeU5RZAEvwrS@-tWRZK!;*5?yXPHG>>mHZn4o(RgT64^?BNpFGgwSg z?gnCg%B|ja@3E0>pC_O_(Jlzq1h7NoI`LkIO~45#hqAW$%f$G29lVgWUkmD_zX?UH z6PS&wh|lfkCi0cKk(A@vtP=AwidpSS1S#V041bj&h-(Y}uG=rLi^pnMb%V$FSh7Mi z&Kt=hxv@t+_ZTktba$HZjlIxT2EM@I#j7I_&BOTe99G)5?FNG$P90yA_zDB~5gG4_ z03X&v#B~622G{qPGMusUAO^Rrm+C>1-eo#^Aip9|gOdGGyvuT-uDtZh2o?rRCW?Zz zptIlG`=fB555trdtd>~Vxn!IqZ2hztf^qS3H|Q^3L4@SLnAKV@?kK-@Bzq96U|*t- zp;3vNLLa^bQFs}EWOz+Owd}^gm6XBlHmAK{0Wn8UO=_(jC`9^-jzlv5o}-C zM!-;m(bs=U$8ZyuX}g8=N_#+x2Q^$IDH8u8emBfBy94XR#651Fl+{PVHQ`hVd^^Kw zfP74{&c!QXpTNTMpnn_$gIOv%Irm?T+fHI*VshRxOlcTxLR(5XjunOMk16>AWoj_N zQ~SzZsI>is=F>q|#9{QPj$7>S1I3RK{ScnL_}L$;s)2>60TtE0ZJ7X}|7&>H2A@Z< z!rF=STUAHzyB`T~ym=q!DLk+BBX}-lNEO}K>KMr)Xb^>ahoo?%-3USj;t_uyzzYXl zetws1)xdrpSJwLDoFyVUdi6&on2PanPC>RixD*9X+q!#5s?`eQrngXx0POQ(24fI- z_*#n7dGBW~Er0oRtoOre$Pj(7U1lBnfi5P-c07kEg*dHI4~_KJOU8~*gI4btW2r@b zS0DX&5im;j%ulH3WXxV9ns6mUkL3!xss1%4Xy9PPVK*@@W?Fc zEeiyGT^A3RsQF6%)Ku}IuuritaWgu9JlgB>^;y~#fDn;9-Z@JC1A8XB>hU^Tg6N#oY6e5b&K-bV5lqnTS?s$VxV zFC8UTG5UEOHl$wyU3$~OdZz{Cjx>gv-AU&s80Ca3ejYfVXWkA~W7v?n-%AhRRoAg- z`LNg<_IlHg_DbG1BDKce?|l!I2KSoDXI|{x@+{V~0v;(aR|xJk+O&=UndTO}%^d8} z5PYNAuerOLAAzJ72~#=S-@9^z61b708FU&Z^5Y{QPJ1(vKva|N49O!5%Gx3g#ll*X zg-{9L2u{Y(syQ>{%P4b4Gc6eRAeS|O!IUWpbDOy;>KI17RDjq;%b${JGu(rH$+C|w zKxRM;csjXn;_-({0E|_oMb!GXwo;8x{N_jCCdhn2Nvp%mH4032G?WY)BSSqCLs;AnkHM^cCowWedJU&GvN#-A5^ae;vPiWuF? z8-yoh<@rueB!fp#(l4ryc;W$ZAq{+lz$a`W^>5pePtgmpWdVTVyyF!)edv&sk_Ksz7NonoTR>7k>23t11e8XkLAsIL=XmdL z_kDN&0WTN6^UTbr=C*CWVZrzOF#N&~7`ar`!mqXveDRU!zE|pBvg6Y~#2mDaCg<_` zw(~l#Xz*&$P2oz=p)tQ1!-uXm4&f0oRUEQKcIb58q;RgyQAcm86b8O7fJh{K0gNA! z2pCQa9I85RGD!RoCDzNQZbvW?$iZ~-jbzP@91U`eT`_fwCvlxIGwyY_2c94WvEXRm z%^!2x89ZPpaO-e{0ds6>1;Hcn$$Py{aM^DTw~QTswq=Mrg#FrqV*6p5_*t5pUuCc* ze}*kJ#Em>9gPW(5_#V4Qjw{Q+&gc{CXZvt}r()L)zNJk(%E()JUjhWEd+dym_@Mt= z#4E!!Ge&>hgBg5Bf46W9_h@R7dDf2b9>$1iOoUf`=|J+Gil+}R*{cKJ5B95XKil6? z(FcGMoVq#eJoHpr#9%1ReSDV2k;>A8aRax6pY_>lg8n_5@5OV&COakRy~Wi#*M4Gc zfpwH-d67?BsJPTcz}z|Q>1RT9bT`NcP?Q9$i9bJLo*YlkO=r_LxA<1i>Y3ji9^XW7 zu{Tze++Z2NUaLs}r&%Ab_UDFZDEAW#WiuS~q7`8vD5<8i2@mZWBaO+xIrY=ZNb$6~ zGO?NeU5z>^pkPvdZ~*LC2|4Y~;kWlvJ)*SJoxqazkwbb~CI}(?;qXUAnnp5V2 zn5XOTcFP?(qIYK+B}Z_3Pq-cs2#J`gV-5#oiG^haP6v0&bUv?EZ?Sk_JqowRyFprx z(Uv;U2fy9=TwGmKEV5SOd-N;2_=mvSxGSXU&6&+1wiLy?o`0tE``uxrmeD zd8&HTLV_oEhp~0Ti2EJ7&~Qj9Qe*Wvpon|>3wRmv2dJn!x>qP(d^cZZ-naejh%)E+ zWF%0SdsgnM7>SH#6>56v(UjGdgU~U0WWbcf!0FP+K+l53M*T8bjQib%9V+1urpT0w zM3R?(K^bC1HNOlO`b3jmkGUJO4DSoz-Wv0CH=e21t^J~5Vc;zGyo9AHiZmID{fFRF zR@eLd1!&XN=nno++zu7-qRSfTxkpvZTZ#!mULMO9e4?+Sh-y&=^2D8~+e)%4mvwY3 zlpT<)T)ks6B5q46EP6b*z#eVk zs|G2=VRlyOOWeF+ID)1GLX+>E*NR2{UJEp|bp(|?aL?ouy|JJS9CIek7Bb1ToI+47 zy;^TS^Wgp>XJ*^xH>Y{pBdWeQZf`S{C)I+nl!B6S-v`LsI4$pl=qxVaVmTnFJ;&Pl z;_&#lI70(qT-gRN<}p@im(Y0Zs`ja-rXRP(Ynvbo`F+*n;ba@r%H=H9*Ft)!Z0|jb=O<_-xT;C%=R_H|u#^ z!|FsA)dT;jOo=a{(qx#q#_Qas1#p8RQ8Yztf^-Oxc;eWS{#VnDjz;7lt>fiqB*Lxk zYAmfl$q`gBLb>x_e&LR=bxaQK&4KhjaLmQFi@NX4y0qX>@Q-}{vF7vWpEoZxPaQ&X zSOdsej40>uPtkW(imGUSI2U_KFGLe^zSiaqHl~bpRFwWPjj_|oL^A=XE=7#FCIqQ_ zCen}jMegl+XVkq1m|aVOSW6ExD398&+I6$Pv6NHomlUqZI+5Wr<>N)E4rTL?!@e^VJFNo)FBw zK^$4nY?yKHqgxL?hfBa z-1Wwz@%*C?rQKU_6lBLQBL+!SDdFW+fzI$zgyK~zmNk+bu|)oJ<1RSX2mIS2if0b4 z9m_|fnWY)@KD|G?#7Qx{2qbCEr_xF|$kHT-EZS8g84v*vit&Lh9RcBX0fvvq5) zHJW!;KU-*6xA?3uld7MVYDV8LA3m0U?0W{qam#230D~ik2$QbRs`uhd5rP&A=U7>? z!BhgF;Mtdwchu$!0%|68 z594n{pY^VO1{oxl0&(k7EXj^${PCv-J0i7@bqiIpJTps=MBP=|$;x;eKB$Na=jPyw z-F}N0IAy~^%c8nRAnOQPe4IM5fEz&T%=62m>#j}zbXbwL(2vL|&IJG8Cy>z6R^`H0 zn#-M0>sGJe3025)Mm{%2YnPA}1MJzub!bh`G@v)amZU%n32^lB2^Si>k}!duwO$LN zP8j7hhRTUbtJ0K18Evjgq0+UQb_KX7Of1O@ZfgGJX+%hp%Wv0Wz5}`__{XT4h$ArS zt9)>OH6KU*l+8r-9dHtuL0TC1q;N+rfr+QzscOgYYheu?!UdrgnM9WeC)#6>sGKhK zjJPBQr`yzy2JZ}0whM+^>qO}X5fZ@91BfY67^&H72#M*}>Z1UBi{VtQ)Hq{P$hIfr zlU1da_F@JjUAk^KwRki+IVPp_U&6DPS{LH#0zepVB#-QhxUFo~bpkLZfAAdMgVC?x z$l4f59W?+Zu~XrFj8-U(O(IV#H{f9-RBz6}LX@D@t%9ISM&RkTCl5LAL9GQFc<_Mu zrR2*W#nIYu@Z_}bY=QHP@VOxn2OA-(8%y>4^yj!ZpD~&eK5UbExWDYQ{+i6H33jZR z`q?JF-o?fx_bkhec1=d+=>0?NXN$iwjkES7z6v3Q6evU-q5E@-f1j>0Py|FfGlXZ$ zLC)XslQH~{YNH3rzvG|p?1@I?x|d1tr6CAd$oX0W3y4^=98$i1v)YHgr9_Vo*C)oZ z2VcWUbnwR3w;YbX6v<0Gk4>vwz#Q+hqb*Urz?)xicVJ{c0}Hc&uf#SEO+JOZbj?jw z!Fm+2h}o?$0BN9v0i>)2;r=}L9uUr;J>`-BGy*>qBED_KO7mv$HuI|-LLIE03730 zW8NCvdM?K7{ipu+%!nHgLHm)58e+M<4gV?8ZXBv1H%8K{qxfjxvHvV z%-tXnxIDE20meQ(5s>EsgPd8_Bj1j^Nrf3dOS+T`1PO>CYW;aPH?mzZw>XzpudF7- z8j2y@67N|dx;PAY97vHQT=x~7&`?)lz4@$(&^yLGE*_Ue0!93}olmmIOGya{D`gl5 zCMLTvWKX`-=b`t!d)9qbCF9fyrVH_Bi-(J%ONv*jdWMfE*?}NTuxsGIR z{9($ER;#hCxdtsvO;I#eq(hPzo~&k0^*SvD6{# z3QDGN866NEE4cav!C(1$0z5I>v4mba5~(o#y{_u>O2E$KewNV@>nMY*XO+6`816%h z`%p&e=|}K+EH8zgZL5vBHHO4ZzsEGjvwPB)v!cS6V6b-C{s{y$4Oj*=J#P$cHF!e^ z3j_K3_|e9xIBjH3K$iGMJCm6U!!sI>4BquW$C!%li%Bbg(J)tW`%!C#8HKvk*~5mP z5xnC%$!6N>-PMfmPn@!AAD%Ab=#Qj+B|)G(XQx<^^cd-Z20GLW`~k9D^On+ zppX#rGLD63&+X!<&*%zA4@O4DquUQp8el8PNau*3;~_ty--a#kDwCFM{1d2%%uIv( zE!&j9@9+nnEi0&WOfx@fTb(j=ABZN_@i@0hBbsOEcwO<4Vv9&pJk7KDb$Y=Nvx6tQ zjc3|7O-s05nf2Ts(_qh*9+lY_QMA1KrukU*mq2;4==F9XIxZ^#!!IR#WT(L#=Q~5g z2w{G{AOJ9tu7r(&xREy4?XtrntXemJUw-gf1@kaZ0==wnqnG zL@xI}r=!nQCO&hM6B6Fzx=lPo9Du)-kH}}g^yIfc4L$xiIL6A>m#RMoqLdVA+1mlZ z`6{BvVhgK0uTtEU>&||J)%Ns{{PkEn)1q{*p;EFP91XeLngnO{B=qoOI(uh?OgsbO zE1IlvE)(+gz2(kjI$8izKQo|{xJAMs|Bd(C>yHdK8A4lvw|c#eR?5CW1y|xGucqH_S$CeCZ(5!_qa^_B9^Bb!3x6s zg@y;`7<+sbOrehr;!#8VjAs~aYNT&8r-A-asN_vt)_uGgFA3raI22E%4j&(xKQy0a za=m58=*-+2!?jx|>43Oe{Y&ahBUs$^{+LT7t)TeV7v$al#Omeu>hlG3eRif!l^nLZmbTcdGD+xNT3$?rQS>|3wW zZFW7V-fw9ibStN`I#}6+jIM2xo_b_cy-d)a8AOPAN@;1xN-D0%>@P z<_~@4bG`7d=ihI*<(fP%Jb9iiP$N@fb#5qArbO@E=AAHwQp8k>QLQoe)1HGK0Vk*H zRe}p)ANt#pD|G+3O6lZVxRcJgT1&z~?p_08f*@(ozs2Ks6MB{~PKRb^9+U=lfxjaQ zQdUbn`0y5*Asm{6esuUq&b|CG$UJ@H%oVle${DR~0(l$c0qi`3q3_~BXBA%N z5kh()@tgenEBdVLH8_D4!%g-`45XGJ@#k;^$9@kt%Tqu%DFHt7`qg*w6U!BGmEx=U zTSRl-v$#a}yC4_nvkxAl|1N|ge2=niVP%+{+t}ZkKYgpcC;LOVuHG+ZI!qAnA$wOvU}(&TpPB?p9!}BzEq}u%hS+WJ zE)`}zwY?T8AA74tpv-oq5d%kDgU7^z<%#yiI3$nf67O!U_cA5;PUL}fGta3u7H z918p!#rw7Ru@{+qL+1i-eg;33Ak7vWeb7fFLyP=5ytxrRI2o^ln<%L<-pEqj6&iz* z{YxYFnIpBx#jM%)I%|zEb_t>^GhQZXw;B6*{i47at`jwQ%Rc(9M}uF#s)yWV-_wu8 z4+jNevbp%23^C)dmQt7?+r1>pD>Z0sa9mnLHs<(7yhV-lei2O9U04We^eoYprO@|E zE%g$*HU_8Oyt2x&u54Raqj$VnKd)T5sKngnvHTwut&b*!{oK|Hs)^i8O1yid z_hRy{aPhdshH)o0)MDqh=VX_p((>><;n~UWr8?)+@q(&=`!S@D?rsjY@08W(9Xt4G z5qD_S2`{p63HXytVYjXh{OO>h9a?~rBr<`HRs~`L7^ZPe5FgsF@k556d`npPT z)aqqU;$9Q;u<$c2Q|~v$bJZUnFlvZf6ES=_d^CfoGQeaZMm72#GxwHX$JLWRb2|C_ zKU#oY7)JlbFb;EPbAC&AK*AzW-u7~;3%OM*6xzZ?=_UK18HDjV({*3kYsivy%Pk@> zwnhGUDA0d9D1d8z!(E*ypFGKQekWdESPf4Q%M$5h1@FN-I=H~+@tR6GLO<#$(6ICy z>{w9?y2yeR7+p3;cw|&aH5AOB_k0U5Q%?Pk505}4bl=#-<*Ik^g0K3S>)F&MJ5dp{JX59b_ zK|2Wb$thjQK$Op65nmeI41qurDmmyUG35<5tliKtcoImOOSJ>T(rC&Ympk}?p`J~> zul-H@w(gYj_lAuUqM;aj(r`sJHG%-khFccEy3mgMzqkNOn zfriK*QJ}qegYz|wtNLuj$+8v=Jlwj^b;)5H98CaNA%&L*n({CTQdrb_Sl9jiio=Hk zzX^v6&KmXH6J%}e0qy)4)fT|A4NBBvtVuRxw!fr8dc@5w=RZw2@74gd#=wK?!`&t3 znwV05(RMZ}#X1fBUQ+iLl0T(*GeNJYHK3%k!toA}uZm^B&v(iWG*H@JS?%5^Wrjzx zG;E4luDkUnL>!_`s}PDp4!;8CI9FjZ?2rd2x?I!RnkQ@!i4Byt3csJKqI8W zW=3^@3{XBue>UxpUg8?sj&F@)DPA@Y;C!~k{Qz3O60lEyAXMD_QGkbwdH1*UhZ1)= z^<>NOi3(T{ZV4Q)rI{r5SoQfNHs^@{a4@N4Z}(+x^JNI60CSX}H!`ih^7k%yc;5hM zh?x?Ry5j4_q@A2BHXc!dioKah&&Rip(J?^TcVzoV>S@!2wUjEFoNdgUBt^{&q%h8L zbE<$+LZaCAGOVSkBkx^-Ql&S`1tNUzKSWdL5)Kxc)J^mRf33>xT4@NXNmj-fTV(C3 zrEpvuAJyJ-i{WWG9W59UZOE1|oQ=ei zOZgpHu*HhSj8eW#M}{LoYQm>h|D*G6-w~;X(BW@SoQ1-5-@zc@+A_r9dm6x443-4o z%TXhxr+<4ejOYlv8>daBl~QGyoN~?Qs(Yl>;&*#Iumh4|^MOtDnEqiwYJIKDu)Re? z`5=_Sfjjk8j+`K&D2N?x5QH#*$W@i_ZlGFGxUw9cp~dd#k2UPaZ$rCcFE@WxM-wQi zb{r0J0-_w6H&R_(Rn}z#aWN%+8$yr^ER~tnC@>;#*;;%R5?+n?%oup!+XaIaf9)nq zKc9sbQ$_s6H z6ec8VYir8@uv`bSWbb&#X?{NLJyMInkSx9S=y|T)GL8T? zMgRw+K><2g>{eUOXk}dJ?CQJXEz{_{mRops3)=L?zyoQ@uB^^|^z$>B>P*d=H^p`7 zC296?>~jSp^pPYZMIG8H?-fa!f zf5l-;Qz~Hx1bho2`2g1nvH{2u)NHWREi|8sa6M-e59SFcqv0`y(zb*jVF^?77w1`1 z^f$cXdZoPC64s0}G57gc80`*ZHM}_88W)p&Zu;@fVYwdn3z>0{ITt-&XT7%mrSDni zr9ZC|TezPeu!$JB$g94^_a$5;YkB%BuyuU5s#WE6S(Q&B)up-DRKtscsEmAIiW{u3Xxg*L7%OFR*S&@ply8v{E0Cb!av}r?2!p>fO7mF$ zNSr&*Rf{$cL~&1`|Ku;D88!ufxifKp^y7m1@iv-?dEDtxd~j$p0(~?GbK>$-a|VH8 zV)N`-4RZpE7!V+o&=(DbMv+2fVT_9wd*V^P_MejzVzYKTg;{ryM*D_M2xa2-8fuR4 zdNLpz@7;#m@p?&(G2WKAJB}DqNV*x|sgY`A8jG-=^$1pPy$H=$Np32#-Ccm;lt29* z?#_T+OFaMq*}PGyHE-tTk=9$aAp!_vL+19OdJP!be?`^T%a(Db?RRS~n;6o7-qi*; z6O~UDqc1<3N`nCUu#u8 z^bgD4U8<0xJY>vA0?>N?OzrMD@UIE?DgRTKVB83x#hV2V0+$)A6E6Kq0344kH-H`F z3D0{t^S}C+X%`lSFQ7|v#egun=Bt81cbkaN`hw45)IrE~B$tE!9eK;lW2w6;Him_qh6nHL>+xF>6RM%7l`nr>{4F{L3jD?TD1d$fKFtF?zk4;((P9l zJaee+!D90kJ36#v(CCrl9^Rw(~`ghKK0K89y(jS@qlf``dILJ^eTb5MTzN1{nJ1gD_ylG{x~ z2gSnzd^8YQe{{Tp`hfqG?qG~R1`i#hmp5sfrALKGVm7I3% zFZ^Vu(CBlvMrh*P^Fa4ox=6eBwK8*hsr&xC#B+t0TStnjZ-L*Ixd|hJ8Yro6PV5l&1qI#z>k3v-{B-Cco1M`|jLpSMJEU3a zb;51{#+60GCGI8I#TC7yz^+l7xCZvib7E2(sm9W;>jH2pO^r_c9)~}bd&6*<@e)xc zKp?mj$c%M8sKKv0xDfeJAqyLxy}^zmihtY_^X%vL>>8~?f;GMH0H4HrD^V>1{t0sB z!zAs3Amzztx9zB`{do_!mNSgK%e)}hhgF@jS~B@)s||F;@1<%C_;p`97mk#}$C7qV zqofyLWaatXQ451A$8~wjoZ)9xmKsd@@jztE^2m+*ze5nf2mE;vfH|QM1dFi6C6U27 z`-5;>f`hta?0)5FxEsY;BYIIrFA?zTlLnD(#W2(h1J0yf#fe1iSn>G{=rYXl!B^Jc z&*~;8vzVzYtf6fw#>3j;^5siL?5I341wh0ow#5(z%amx}BDwlQLi-oQ774ofY( zz@4+zl z@q2suPOtquUyVHw?`5O68aZ&hXt7&YsUCF{mTdoc+G;%%>XXb)b_b^8K5JnQob{PN zJKM!3C-jImC7aMz{lzu#Ka&E=)B?=$EV8q&l{#_w`46&P&$#UiXKQ@SXI`_-i0ruy zR?R@gCYD4_isl>aZB5Hv%#9E58Ds<=Rw=w6(URJMFw%=fklN*ZaEA9JwRFWNc#G$9 z=>(h%$bJ<7rkp06UIRKgiYOx1f=d0GwOqTyMa_ug?f|pS)C;rAUGb#PkMT0Wmi$nP z!a!Gvh5^50rdVUW9!V1vh{3 z4YHQTl|S

xXXN%qSFLK@EM7-u$7r9dlfI8uPjxcZlu-y3S(*rC>C^5xruVtkv~ zluhh25+x@A6^it|H2vM^gVN?oC_<5D;7&H0Etn+E-W~H7>e5H!h7aU0KVLub-Cp@p zND7~Y#?A9y#5E}({|%6ueJ_;7)dLph$9VjA^z{06XWJ8eAmecd8)LZGRYP@#nO>v+ z6vPiP-k!S9v18i|Qne?N7Cf?ALuKm(jgsQf8Hu1tOAbnp7FY$ubDO1tAmF-0&&<0O zgiq!yL)X!jR7*P-Oi;`}BpxcPJJ$SZRP?*_gy0#!iywyv?|Tt10!RVkUEv&bm71jK zcsQ<1OGq%$^HvyM`&)KyU2!yGDu5PrLHkNT-1S7{8N!Uy)=d{8t}4)HN8=F}D;(8~ zrjtyFa=vOh`WOh;e6vRFeX%#E2`s@0q+1>dIcAx^l!@#dd#g@_T#n%Bt=av2o3Fa$ zlb9yJC~ffB9&nvfIG!M>SI(q*e#mwigj~qMh6FTe>CwkudN;}Ku9yNvJNfg-CiFD( zu&YlCem^|QDZ5(!cS5d0;wguem%F(5fg1R`lGBEEfdfR@j;4!h>2|=%#cxLnl(jzA zPL?(V!hhT3y60C8TQFq=-@ICky=Ks#@RE3Eu11!5FpMJOE4@)ha`YQo0*kihZMp?WSjPQ z6>m{XXxYvrX8$H`>h!V4x0akR#N|&5)ts_9T;qwkmkaH(*54LK0W$RsA1TR$k6m_# z9FqP##U=EoUYfF_cS{-&0#JomDC~A2g-oiF9lpIjvxbS$DGN5iL^0cR3q>%&eD9BN z5OK0OS|L)+awvWtE2)n^6adN)QX*QBWlh1zw3zy8f?WC!aXEC|q*AI*C8_hBNwKqE zMa2}zG%f=&hpJx7(M)NB7S{>l{b*t5bu^KyUqNR;&%u;D1svyrJ_f}~WF`-bK6fms zpg%7B(;qiMf7}|nS=Q0`{5alfegIP2!@Ze2G3=gK$H4O3_cxZo_3fkiw!B{-|_5-VXJ;r4c(x3bAPPtK{e6gNuFgZ!laOg@j3aE&mXw<5Xw zthqc?D_e@f7w9rd{E?UhFG1y6@{%pgh&EMbb1t{H~5uz#`cpG@Jvi~oeu?yk8jB1rQ+fBOMru_ zYRVJYxe((Pq%A0e+a|RI<>Bm@NH$z;M+n56uXJqgK?i-d;p$C)bFuGYHwEwl#ucxr zh-^ICw~Rb(AdbLwn4?iHC#KYcS3O)@&h`3uc-c-^w~R*VIb3O|ImkcA*`6tK3@YT1PJ#?OjX2{2aw*0YZtJ`&EuJow!pD!vKu2~)Ue@*Dk{_~Nz3xxrNg;c?HBOjjMO zp2-OCA*FqX0FoxwrEfoOMQa3};z&GO)0&;D;l)joevi^bs`4tQr4~0FlWp);zY2p5 zRlp~^$9e%gA~+di>qAKfH*>Fou$Q6Vwn*~lU~P2T4~427qhr-0Q|ndEk7;j9GayB3!OEM83&Wy zEomsdQ53dc7Rw7Mw=tN-La*LtLxC^St>;+;X&vBcV4H<&EN+~<+TVhv$ohyBBL2iN z_xah4-j>D#iba_fd~L)yjiaDA*PRcu1r1Ip#e4G=C9gtl<*#`Ove)@o8J!^=Ki!}$ ze(6-O$(b|FQZ4PV!sAM{qSNw9RIAlxkBO=vW~c$fA7MhG&`u5(0T|3b8H`S7HBlfP zz#442${-UBoBpgvcT#AgS5twU=L0a<*bFuB41UQ;ip^!RPhLD-NPMhUIH*Ff5!yG5 zYfDw&mU-&~>^I+mGj9eVsR>+nhr5Wb4DAU4TcR6r+*<-dWXETAv*NHp1$0)(E0o(} zn3GsaQ{e59@r*AEJxG#UoxE)@9}t=Q+04Ic54G%?&fc3LpyRp}zNue-6RB4X%DWH6 zyYm5JAiVSLdgWJH=|1XiVYoOi9+9D{K#;qrI)^KL`%;*ST1d&WiJd2rw6dRWLi%}k z)`Z#AJ|zC3FE#kY7Qs2M#bp7VkK)XQu1R-Rz^eTCFE%ADka53c?q443V3@L|ME1$h z%Tq*_t-IMoNVrYzkp){AkO$8>(bJ-LSJDZ704R~r!sDl3hSS5~es~0ZFG|b-;op+9 zkB)6htuX0vkct2%tEbNE0ni6{8F8EdG2qSEa&^6VSTAzo>Vz>-Mo6B|8Gg}#5)0`;Sw9MYRrjGkG~jzm_C(62!XP>UOK-;n{T-&K2n<)u;iZsStH{so0bGZ zdU3QL_u^JG%X)QIE08Av&?m&1=z)^IxE+5!{|!=d9z%R?Er|CL~ucS;6Z@ie93q%&dHP|ZDAgwC(l;)MHT9env1l8PemViA7@-{i+$ z19|ceFwW6k>HPFQRSpyzbhrIpnqbCdlhg2SQ?BtEF)o zKuxokrQKt9Ztl6?Xq=}pB8)}3Vw>5K#Ep!N6$3hB3fOpGX(b>3i8NQr;*W{%dtEqp zq>SX_YcKkwpnh=A(Qdg-P9;zda^^Lb;2qql4|1>@oknzukr4iaAyNJ}hD40iU^gcT ze0vPDO1=xgw?gM5bGf9Xv`wh=w+nCKJ5zPI?Y=DerceO!Bv`bwQUFSK-q6| zfd?>?_sJ8jIJ`@61VDfjxbQPaKre<$sLbAYSd<#%sN-0_FLS^BZk>7R$v=9%Whj(j z_Ds!NZVCgGw)1hd&a&kK?nJMnU&r{mEEU$^WYlMFuSxTfKqugKL`z zZuFm-k=)=q2n$lSlDgHQDl)4e85%~wK;MPMPV6;fQ1E7U^Y!SGyvBnkttOR{(%5>i zW#M*8cw8=%*gf3~*W-1MIIW;W>FX<9KQIIPI%!fl@``J@IjHs9Yk3ymR3L4I?$jMS zhYxAupz@ex@!O-3)E;Ap3bW-sv-;M>*Vg%Uq1lBQI0e_TfBn!wP4VHbEMhr=TzYq5 zq)pShv~6bEmI6f8+sWQbN{1Ruq1*^`3|#>%bdGQ@@}|*B2?ztlHvtqZXFGDsDGGk} zcFt+@!TCGWbvK@}ObA)AGFeOonlv5_CcV%Ek!ZB=s98rPn)P!NDPRrT8 zw;D(xhTW^QE>L7SO%Ws$8Skv;p1kLW%SJYWfcr*HvMu38tWh8GATH*52^)(4{vgrf z<@EkvH-LAYXa8sW!VG}~FN$doI30hJ*cTf{qwKeGe zD$c_9VpG_M)bZ(0ttX+1uA~yV{F`-Tr}PLf&9h30l8A=XV~`1Us8Jl)?s>WHr2FvM z`r_%c7kw}3bENz-1RkrwD?rlt92RwCMOH{DIwJDNxgJ^DswBKhnWezT|L~@k-Cgy( zU0;*YUV073p)VZYvho3d=(L=5=?$`hBx7hr5CniR(ckW$P1V%YP@49D1gtO&VzzZz zqPROQgujB0n6U1O_}sFdbYOr4E0@p&KuBMu{R&GS-=!u_%4ir;-V0!*(3d6ox7@g~ z{w=p(3sA~AaNnya76pTIr5Q%5-%)cvu1oTbyCh_@nBCAT4O*w^*p;AXAD;Dc z6}rlG;k`Qn>9xYkW)7oqqidENhGzDQf;-zblQKghogB@)wr5$p)G2O*AAdme!22oO z=c8uF>oHK{)%#kCZWy3w!#IK!%fP_8Kl`pYd^3sc(%gG1v+ltHH@*_sq8VEef8Hte zRrS4=L+(r^)7)2-*AJ8wABKcn;HK}BC5GgGf_Asnop+Z9KVTL5w5*ZdIY>Hd<{kBf z4WW-q%O+fQ7OI}eOIFi?`it!DmcErLj&9_RwAVlCmNt-gfJi-U>l+1|ZHh{i3yDtXXF9YOToa9e! zh=|#BxL5e!-o=yy7Sg5o_jKjsd6~*(`@g%WP7}DSVktax=h-g-J5~sdzgUBkIi#%5!oIrESlg%mDcB%H(52G-IDppvXU_P zeX*n_$h3|L%l58ooxJ~}1&FftTL7*01%SsOd$l&L;B@8=&?poG3jqH8yAKK}SBFh( z;qvo&YdwX{PGwM4QmH1Bja9x+YJzOqBTBK3N(W$1-T9LuY;|+LCiCp{^Gg!7BsRt( zi~IdnM84H_`^meY1D!f?l=DiX(0M~I_>Cd6*Y5H79e3w1a0FN0n+d)SmJ!DQLVaUG zh?Y3z9Vo4wz~5J>CnwCmgHZX-?DCz9jT%+oLBuxf|rR$Lzs91pfY9-Ea*Iom+Vu5n1n3XP-~IRq2P2?c(6Fj`HH zZrrkK+wL7}SeD*L>02*3)T%IXSa@Tx>GK7>T-MECOBvacQuvL1t`Iz6gRc|~IR~`x~mj4onRW zd`1Dgp(*)Kqm4Q5RAm-y%Y7iRp$bIrIuY~Sw16-)k^3+_nf9)Psooi0YT z)x?8V;Jtc{GWwP&HxE-T?=Ru=G)&jp3pmW z!aFwssD&+V1Kt_!4J91&;&4v#5iqoGfPbCoO6H9r?2sk%@l0iSP+BSs_jFqOq6R4S zhfyNCl|b1g`hPMMJS z%mJBQ&DGmnQ1wKh{xzEyfq{lVJ(l$=5T`s2JzQAG?Kc!aPXd-Kc6A`dk8rhJxegE! zY9P{$ATm?HiT*uaiOp$EQh~z3{tTy9KZ}RozdiC3dhZPVN#phx9d?73WRMN{yR`}9 zEGppNbbD|9WacSo zo0;Bw&%k_3xS_?V6>oT;uI$_xK9)`QTkO=R?rv*)qdF-1=!@QmQoo=YB%r(+eZ*75 zdUrbrG+$!d(w2K5?#vp%26eAT$@MzCn@@qt#YX|7oCud>>BYA10xRps**UO17GS7& zB=JXbEXcYUFwK~&r~VcS-1Y-ve^>~NHOS1AP%3$jdQ0?ew5XcU$>wN<17tHO(4=@I zB#YB4044PCKe+(Q4X|7QG|xZF$|e~Kz8pY!yOVK&6yl#FkI^gW&GcHC2^gg>>v1;9 z9NSl!QaL#a*BU@3^9 zr4F!1smn!|IF0v{=7=UHAi%cN@8RC{hnBV)xG64w%pUa*NZ7>#Lz4Cs4gN9UmImnwAZo0B}MX_-55t@8;dqljPh9{y@bQ&`#hWbWApG zzrQ>t(XcxdytU*SFf(-*1NnfyX zCZ8JlK+X?*yt%Buq~-6DulH!0tqzTENQ8T+A=xPBAh&y@lQ9TZG$uKS2LuL0qv2|}_MrT{9H0&nli5 zJO=k0pHXB=PW zZAara`*oD@8hB24P7T_Fjc$xZ9wZXq>=q5rOj;^3|R=r^lL*LorVqkrd$zo`m z+Lb1O<58XH@#!2$9itrl3`R~|09K5;M8I|nbQ?;+Vf+tM^}+ ze2!Fj!NhWVsKPC8q8VG1Nj)T^n*I!TBHMvPJxpYz(T3@W~Z;0?|DcJx)e2xz-<;K|O|)r>Cr8OUC~LTineP z5b|b2`%C6_O&TScydw614TvvV-t}fOiXpJ|1*2Uc;(r59r1)FRxFZZ`_Z{0%G=C~< z4TI*MpuwGz>H197ltIvf09T$Ic%jN9LooQjrJ5wtY|c08okm3vhQ;m z)PnD!NBKjCc0z)?tktS&_ORGAyxR32!93_i5NR@)i~i{5oD2=1%r}A z^kD-yEEGA1ED!ZMgB6Dpm@G~H=pEu#;Rz^LAo~cC2o`=v|75TlctmOHVKwa z5@}YDAGzZWq6?&)pOpvx=++baoR=1oW@tM(Q==q=h8DZ*CjnWF_tt!PBYuvc zK1jk-3~swU+?z=pU}F;j5~G=aO6NlxtaQRtEH)W4XFDj*J14V)7^pvMltfU!^}rQ* zxb!(Omic7)G675P=_dj$))cY8d1k-lc&I=pB zL}qB5cK|to!d3Wf5?jQ4GjqeaN)4kndfkIN&Xga~=Aur=|1FoG|0x&1h_S<>Ma0&~O&Coq4~&W6VfFH^5S0yL0^@AM$3k(a6mBjUV~r+R+pIyYV6y$6T1j~N|J4e` zI#qFugV_N-g~Q>cCcj z(h~Jp)FHwMb<-1ZfC8k#F80K`KtFUFQULTveYG81c!;06*v^hhM0tLL$ztatvf1tY z)o0J%qx$f(XDcKyic2|1C

`%7!2FE`fay3L}6XS2gw2S)~r36^S71fRvt?F)1iV zd0G)X_TTU}nM+^LL9BX~-6w}AJtf=GsVY?KCqq7*U+rp(O7Dseee0ET%{qnFl@4@A(f8TbLabVB(VFEffe#s4pD-jMJD>y7-R7_O` zPDqiGr=DUararv9|6LN@)Bn4nl=aC}Y_lnP1W@3Yf2<-IwXoF(Iyn3=1_rT@ z1(QkW7;El<@)(8Ad>dzSGJ!p_Ur}zWkYa50Q%@|rV$7@VT=~KreAiH~uil+wkZjf+ zaiOmV(_oiEpVp*jf5TMv4h9Ev6RCk(GkB>W`SyQ(|7QiKnbYh!!wD8PgkAz zLT$x#vesq9Q__#({B9ZQfw8EWB*%O{3$k4M*)@S`Wq_Hsq8g1MBR_ifmG8cgZjQxu z285;0d&hhkgd@cXxo*F~8KEuA6Y~=V0WYs!VU*UtmXjCd5Sy*(fBT2jqyM*ml&$0b5R@Hy9=hLc{!?T+2mGAQ3~xZZ4A|llrJ~oS zk2+dG#zwYdC0H7`-_il}3j~+YOinxzwEyWF?FDaMTI9Vr7=ZcJ zU-Ty-(KvcYLw4WBYCpj6dKwoq8hc@6Omq6V_2)H0+OZIk8E@m(#LSBFS{(#3}k98 z$BSV%C81rz?_UBFFhI*5X_cw>lLzwWS=cIU5qJZNA1v3;e8BXja-I%u+hJA=3~rwT zn3<50B_rc?h4IkHs1J zF*{Tl^wFBPlqU1Z$8aDY2%OPK;kTb(k8lx+C!!Yf&4yj1DG~DeD;@c3Ft0I}x834l z@8(CtkVdD2$&Cs6Y-Xw|+w2nj)GwEqyqI@T#upMRuqYFx*aD6D8r|>CXM{Wdi>|K< zi+X*d6+{I@Ndcw1Te=&iy9A^gl%Z2l>5%RaDe3M|grPx1hVIUB=;nOm-us;Y&AITw zi$Q1R`MtH?wbq-=to>5H_Kgw%4`goC=6D#);8fp1He%?gNb8_S6k@a5 z>E%W(c$jFOb4;=pPi;Tn2mWK90W+j&zi$7O_d~uWse~4J!u92;Ch(vkTfUKb1gFOd z7~nGu>@q%_N#RjS#}1nW_hxdD6VEAx9>kZg1-CUN)~r&SaO)Kwd# z2X4^n;M!F*l*08Mz~i;YhtF(&@Z%nxcV9RltxA_6e}qaXtK_&wKsnHxvL@{RFXlc3 z-ib2SS+poGbE|*S5)b@dvau>INmK8=X5Co`7DlS4@96c1ZKF3h zEw5LGU&uSh^S#_ZckD~l|FZDvw5U|ts?7f~)Paj2xm&!!S*HUM!s`!W(Y1%n1LvUM z;@SRB29*p2&_?qFOaC2UW;u5Q{sz{1U*e8Ars62i9>efYd%F7J6jJY{BeS4Rv__s6 zrUDN%++Y?AK*V*L7V&WO)?Pm?O^aRW&3aM2^9gw&6}YJwHzcnWB3CXRk6-K@#`u&R zPn&~zyzUbT)yq3Nk6zZFe}BFGMecFx8=tU9+(dx5C8l6`>t@cl-g0L0`IDJ}`{3%G z#g5!)A^ue|8ZQCXWdpbc<+-_=9|+3Mfq7)D-=+HnSkGlf*pvN|()xxbXaUycvSSE1 z$gZC{(cdge*YYKw(imKRp9We#C8d;Cm-ifHZ|L7DyIK$|2ei-&)Xu!tK}qr?{@X|NJ*?+SLN-aLFb`%fI520535 zmti~{n-nX_J-u@~{9hoY$mK|fJbn(4u$nHNBDL>S^sUz?GpQ**pD&87f&m8u(~mUU zBm~IBeuo{0nvrl@pi|XT2i#m~Y=88NVa~UB#Q?^e;aMQe*Z2;NF(nSJxCfc})9^Jb z0(`TA?m}joNS_N3qMr$EO>y4Z72B`e09^7_BdLny=}YUNPGXqb08##TWoEVRxPuRY zVJBPhaO__za9?;+RGD2u-h=WI#

J)m63AEt0L?Fw!lqdZBq2eZsk^q6}vQC}ls> zv*G!vw}S;Mg({ax$)%`Z?$!5DF>zL>y0dzvlEN8?LzG=aChDEA50)8eB3{+@M21}w z7bTAyUVAtpm%oy|iQi{e{j%76Env7Z*%=w6-bg(RIFDPiYptSN~75=R|zcf!s$~u5!`hSF!9)aMutqYXA!QT{e0qEy0&dN6JsY2lZYX z9>{xKH}d5K{1LLm)Y!`14{Si1^&a~~kHsm6*q$`MKN`EBp z%07mrnq9jrgXXNKrxl5#j#_E=CwC~K5~zmWi$x+gRBl9m62->VCBx3u0id!8P=3mQ z!z?o10YLs~o$Xk#8ZLMH*EqY)*UgX(mF>|l56bkaI^7yyeySb5l8ln+B zvJRj{U=+3kR{YkKb@tjFekzSwARRJ7leoZNn7!%vElX*@vLcaSv-iM0o)j=AO4 zUh%J&PBm+VwRE}T>rc!YOkiF?saM1&(LBE6F-$(z9Twwg4cZ2ncMDqZZm+Ac6OSH zR{EeU55lHj{R-med;=!R2C)?~=Pg|9?KlN22hsz_S`p#4>#WrZyCy z52TlNAj_)EhuKLx;Z!n$t7qG46E}U4KHTk)ACIqCMM^QoEV^!@rN5Q3o~7_ zmB|WAD^-g;Ae_2GN6(ZXD7SR{f;XtslU8z4_lqkEpo{gKZvpQUNAc{ufLq_V;SUd5 z5>u;SiFcXRGplb(y!F&Mm!%2;qY0S&7)r6doeHFcfw?uL8L!<2`^n9R_;f6_asAH| zJ)Yc8f0_z9%0OvR^WVLdlUp7@SASi#N9n1)b_{GcgKutr3Co#o`bupSb=AB z>Y8-CTxARcf2mRLa0N(E9?L9&=ed^#;Nb^)vUS#g(lwkVI`bmW-5zipAih-K`>VQ- z0De0eiW&{DT-k?dT;}&JEiGRRQ6wpE6=Z}0C*+eNSV%4^V8wQXPu5t+NHf0M5Lf>( z8HUfG0c>x3_u$0@dbqo_|3i2r-ODA`GslxMMEm#?cN|=Xa}Lmvyw@RfLw%d~GS}US z)X~UcI<5qA|KHW|Bv>7{{lC?5;GJ;Bz}=^(FM$vc+_1pJIGxRn0!$4hmpRHr$}Ue7 z)BE9am$g&lDhaF=cEU4&9wqf1nqfNaYb^68l*=0sEHQ3)Q$hQv6i@XbF#W5?178J3 z?j}!!nXZjG4jLDEeOi&{D!3m+ifUd#9}eeiTWE5tT1rO(<)Z%pH)D+^tB%Rk(-d)P8OiqE=+q9X{MZyo^Xq;hYv8K=K&R1V4jA zO>WCifalAKeB^i)^TK}aB>9Zs9U+MnK5C!b z0Ir_3Tt!Z>td#G`IOZv*P7b2!t!`*a&YxXBCLPZA7r!|a9qoW6q%L0=$=5;) zmnp%5yW8m4IG&MDGdM@>$nk+07YdYn=_odOH2YwbEqM3o4%ie383zI-71EW;gJ^Kq@V z?|(ROOAiot@q}6pCW%%-;>`z>Qd6;Bp$b_@Zv`k2LOm=8=HSYkJwwhA%g>K2Bl7+v z`jSY}Fb$dj4qX&qdU7#RV1qn_iH@NNE}OuzVjfIOORU;gIB|Y>-vj4~C1{hFZ#WFrJgU+sg;1XZC$>{ROia4B4|f9UWX@8i&|QGPW8`Wdat*OItc1|1Q- z_bkKZJ8wgX69EsRX**}fFjoK5zqP6sS*!ZzLx+pEeGIJvnp6)c0n5FwMz@p+;2fd2 zz@?c37(uuLCP;WJ0KtiC7>{tKQg%7i7w9zkVlD>1$NZ^g3F+lx^o47DOhsi}u ziGLT~8W^X!K3c5{l^x*T-}m>Kuc3d-6KU*R0v4aYLqzAFy4OyI7ASm=F99tR!{VCp zCgFdKi-{UOqYl)50ART|`QaCt< zHnro2Sr~82IB!-mk0vVokD3P7gT;ThQr8ug%XzymPBNu6TVb=^tTPDVKgyDYygN(i zLi;F&qSU#R+j37oNUEX*>Bfn)fc*;g6S0y=itq5U(!Wf>S~>iteRaJMn@suuqO~9Y zsg&Y1@3SMX$E)CW$Os;BElXx(1NJiBuygMHpiY3^T%15?h4=eTh9CX7X+VO5gRN44 zz*K26Flbz)09M8?Y9%y0j};AVPnSshaA(=jfiVy#dcxkYdaH!-#aZ-AtwINs7X181 zSK96`%xq$ItclU$Xg72dI4Iz0+QnXC{n>+R3~rj)h%}Tqfiul-&K*s-dkVp zKPJz*w>u?>slj6$%`Z4d&c>M&EPpNVZ2<)qQITQ zJaGT{0ZzVrH%lXl_YF9E_0rR%bi1W8@ye0LQ;-!*RpwvK82@eU_(5yeE(~zARf5|$ z_Qk88s7sdvPOJBJ#!KXp{un?e?inunX7Qco2i!0V;2#k7X9Sv=_Gsb5<`pW^Ub{$Y zZ_4C(;XV&dT*>wr1&>u^{Nf5Mh}ww@Q{*8}Ffhk__CD?&U>`}K;YbA95{$UB3=*Oo z>wQE+uSDV$&DcG$H1eBZGARHuwdU|f@TFmd?cc+k9CE~)ssj*D-oJe@+pBF*uQPI= zpZR;(Gu8?KSrN0oMpUi5Kvm3RdPfIsULb{`ZHc1zq3TMn?dMT_X$C+I;RY3PoS>je zijhJ?`^icwB-Vn1-;I<)T~UGP1~lw6zHG7uv~`(>rqgm^Tdx(%ZKCL1<{%@uFr-@ayroEhbhiM| zBn+E;c$=buD|&<%II6k@Ku*^^)C1XBQ{+XgbFzEKNO|bD?y~RmX?%{)0Hw1l`Jyk{ zr@9T&wi_^hMlcoCum*YEk+l2R^OOg-1)RpYHn2L8kpsM^{+y!)vGf2T$qAKteEwRK>3o)ZQ|U%JV{uP32N1*v$0yWi6)|3k5)ZVM-l|9R*8tI=2iddk{-|Pmf@7lC z;>qUUL|fe*U^$D#iZdeKMOiRIr&y6h2SU}Sw#7itZy-e{>NF}(30SqVL>PQ~eZb&V z14u^jn@>Fd{*9h0vJT1|1pS=4EHy<0NsKh zCa&9qY4Q1-8yTnttxdoMXz*6pKOYq5>6`9;iCSE%bP!XIgaQ>wrm*i6XwF>wV0c3m z_nqiRNPU@*7ohA>qzR&Ac4fdAWx15R?{RhZmf_rdce1M7+~T2bI6AxKngUB!$(O$Y zRNS6z0i#RPJWa*z(>98j(C-$~UUy39sog<->R zU&B$Z)u){BJmLV3|bp>hAPBDD`I7 zy6{=s=S=OujGIly{UZndmu?2y06B61nA-y2obXBS;EvED=>B6BZf_=l8Ex_1-j(!HI0aIRU4rzNlDx`eFUfrW5fU2}@ zDFp+Gzy{Q871MhS>q&~#&+ZE98o51b15{G9`MY!f>br9M;w&pE(}#CM#m{QiXB zFtEGeg(&Krt91N-unL3cOquL)(A>`heJt>xqvJ@t3Gjtn-V*n|#sS;4*KbaE9^w;R zNUA!rhGHPZEU&{`a>?U!(5E&H zgLS8-)S?v72j=rX$4cjpbtzyUl6kVrDSo9@`4ODv)UYkhg>k8WdP#8i+VOP{+Q*I@ zW=yT0b$dv_?xE=ei~R7v8t38p!xR&o*OwWpsNijaj!Qxhu;jiq_3rqaNNWgnp)96D ze&$Fb6<%Y=YX+v5b+UOjmDvmamySW$>h)fGfDtgSfTYuc9$P~vLra__FyC~_7xaIH zK>sn7NU7SB{cmVEDgj38L9(ebpgiRQ7yG|*j^rY|4JtR!+nK(S-uunqL`N(RHr-gO zFB)jb@|z$V8UV(%lwmps!;FCI=BLBqE}tJ|qQ}2AKXj(irF_%m zmH(ah0)Jv3Q1&CJPtDP~kd8#eU-V)QA8vdh*G(!|AAbpq1#Ve#Tfs63%>72S{-?1Y zI`?h#qJ?f?>AyKn7x%;|3U7FBWhuoX%~~4X-fRBq&eR{j;jrg_cHa2DJI(RImm>F7 zSF<3g7Q-NTIT9`c93zQLVUGe}*wuoMnHRCV#6>Dd(}<13s~*UbDXcJ>;qTvosJJ52!!ep8Wnq;O@jhjHwW;XA9GyUy*$o3(6O0+W&myjdPU_NXZ6_5esalif2DNV?&*xrLA zusin-6`2NhYMoq}s$TMi`j5b4q%h9|Z5HoA>N~d9F+6u_Yfl!{tkm=K$`0ML`(#{Z zXnufL(%JXM>oIjQxHW1#nJg@WjS?F}#Y~h*-N{V*NepO96Jik^i!2>Bz{=zFH(+oD zk!`|0Rfba zew3w$UKt7B~WtwW8gDTr}oPWpgyKGrq#Zm1sw zTp0^TGQAmcgjp2DzAHuM8pI$=v5@<&0(jIRWT6XctvLYw`4zO}ya_H=*$3c|QU7cM zg1lb`7DCesDUW}wyBr`K+l9+ zwSpfY#off!pd3r9r98gD!&MJb$q31&VXGd|#-tA4S9hFD^@owKd-0GVN#SBA+*lM( z*6Ws>4g<>maFPqmgt5Y;B>AJDIwi@h4=0FDUVk?DS<1M~||2 z8F`#Z)3bYW#M>L9Zf@pK+}2P@1KsM%y~MOx)!%U+;9Or{N+YfE5+FjkrQs-MG{R3n zvqPOf!Ex$AbdVn#ul`koPSo_iT-frGcGzrNc%GyY3DMN z*isV7K>gP$%MdVr{5oeZQ=^T(vw}EjU|{}|nxl5F?3G_?o*KTuj~@UT=gdI~Wl0mq zE+bV7D`#|Ox8b-`7jK1mr49{wgqH*CxuX=~g5xQRD8GZadDZO7RA)Ed|3pr^C)|eP zCcx(uh4+OvJ=WPUmf(XB(kHkwxM;LI6p`rRVViN1IO=L#WvszZZ%ky=)pft2z0~`D zXPLVEq2gKBwJaxPPEbx9Z3!i6+=jTb`3gVJoQbZsuA8ohxZAMDFuSJP&iux_)8)K3 z-0=G5wEC`AL~Y^Ndjum7{Z9~+&Z^KIuogI!%MJ5rKg>)`AKQ^l2Ukft5GNOhBjO#F zWD&G(SHm9d@$SH8v)++ixKdy+S9QiwZtFC6B~jNnHI2lB(8zUk(3}b$hI@p!FtY&c z!wrqSp--*zHdP;>pnhSZlr(L-Xw&UT-x=TjUL1u+HGZ7j^)E*>jnzn1J;6G3)9CbvDZTa%4k> z1-F14$NBQ4MF9TD*;Cp))9w>_hJ2g(^`cvak5M!Dd>b@nb~Ntgf#dJv=@@+pn~EQ# zYbP37*!-rVv?qp@Z&wl;=G_|6NPgy$cy|W{_4*0U1I~s3ev*B8s>iSA7cvmb{V~3) zG*KJh9&)t4QGI~C8a(4!KxQAY7fpS*k$ttw5ak)I!*LLC*(LXZ%wx8ywjO#h79w`^ z`RJ>vU$JGXY>$Qbx-Z~L>92H?l)<>o@O!{*yBR*60y3LIuV}Q7hXZcjVH;dMq+^o_ z_1{n*CU8fCgGB3cN>VM4D82AC-NO-Im+z&ZsEejLt^zc~~-d;2|INodvV8T@6=&3D1J6bF8{l*n$Ly~3$ zlw_;{7_%Ld2Kj$O1@O{oO7GV2L`7! z-PoBr@>3{#*Q8q7Xhnp!swu%T5U2 zLS@KMtAkDVirMX}WTD@ROw4b%wrM0TF>ok<2B5sXbG!RKw{J1`gL>#)o6~ei%@Cg= z92nwiid^p2s<%esBBiYb9vzo+QP%By(ZK$w9q~#S{_vrYqfBp$zE$-J)G8cw0jkY{ zg_|k6#~Br4JQt#;vo%$GHM`XV>(5X#*9B$c%j!yGD&}&@Ei01UytiJqqS5jB?Nb={$7jg>JFhlQd z;#KAj&@q;xpHOs{w-0u1)wY==6e#uiXJfzm6LJ(GE|zhPYW=wM9oolnccYdCBSdGD zN9zh%R5A%$-EWO?9qN4O%^tS@pZ8mb*|)wkKHc;43XkhSF<37iv3OgcuBJUgun!Wa zTzdP(c!iaBv|5Pg~q^*n?PNmTFSaYq*?ZFtT*Tq7s zj=_ON-(Mow`tfA-JBN}U6bbNI75mrk@mBCxdYg3+&OcS`&t=Vd?)w>)G}^!`5^^dP zAuljn&~^`8Fpxi%*5dF_aD?-JGZlHxPs>nxx8kw|bqsi4p0u8ZQal0=rm;DGKnsoY z9n(Z{V(QAbV7vVy`IXCyfo)KV;SOkG?5%$~+xBKL_jP37*~Qw)1wak?sbi&EXyOR` zmmZoZ03tW!D|QbJ`dONNxh>kbF(7J0&1`rTJ>Af)BYs{Xt8}n3WQVv@W5nHa_pv}u zm4u#!BsbpAE;Fl^YIEE_z~gSX?S+Mzu#htK@+`VjG~(w-_vbLbXMrzbaSn!@{UM*< zow%Dfk5RvfJl1>THGi0;yK27n3>7U{LSpd;j%zNx7X9L(`cua*iy~ndD~})uLm|F9 zLc#K{Kj9I$dw-hBFz+&)ZBFg!xx4TlTTH+R=q}lWg@VRuh|OE}>IXz|ym<=;HL9xl zU-gIz-=lcGb6nrh#^$$-pRIs+^L4)mnCfJj-OSnTG2(G{-9>yPG$`61+HCg6BM?*6 z8yB+FUL)K(YeutpbTO@M1T%|>3{>xcUYY-ve|LgUDUm~tx_l>VFQj$kEyKw?V;73+ z#n97{*%eDaWb0#KUsm@Ethp7*7V+Q1X6W$j=PMPeOB?IQpzvH0D|#szVQQ*rP?+QW zFUGP}?6h2!PF#kOH(>G+t(Pn&=Pw89y9@@wLz!-TT&%lBj-3g8HLsVW@?sVL7RQ zdi#nDL~UeR!jGNzKS$>|aZRM`GB!6fXdWCjTBlYmmt`qidqt}ydrlUwGioOa!&AO` zSNKFu0p&qGVq!aVBX%PLqGI5)S66cV=OpJyk0#VQx2mvx4tL~erTb27;g?-_h@o>^ zT@?eX@!}`zXz{A@QIk=M5DI_KnT_VE4r=3<$#pmSu zTjrW|syNQ8VRhkiZQ{Bw)bxT{Xg|_X60(9C7W786-J$AUVcx)FBOb^0i(Jw6Lz{*2 zv4V3Qq1YnMdBWq1i4L){#^rD$QP0RQ%T_l^{{$JF!_$u2>tA2bdH|hx z6n!|l&!FVXU_;`mPoB<`9D_U~eW;^SF3sHl+9W++M0dpOdXv3b#?3l^hbZ@^?h3r`pGrMZJ?HWi=Yfr_yd1z0Z#7N`%U~37A7-okx1glz%E#Mvi1cddtKRzZi*UgQvpQ`@3HKN(~tn7Qs- zg~HSyZT)*rgd-Zw6Zh^L08}&_3xNxkBs*LY>}lflC1WWA)fh!9?^54LF*M{}bLo>6 z>v8NHasMb988AMnmiyH(sg~`5^;E zdGglPR;PqR}%tWZ|;FHq8=`E zy`ScJss~wsjEIiy7@syDfi|=DCr_)D7s7|vSc+gIgW5~4@JqUdiMo3!91iYmFvWE@ z2rmoT47%wU*ygK@+g`#C>9y>$Qq}~ejFwGH(!O8~7@uyA^{pQbdCBhLGF1nB`LkHE z*{O0Ez3xauNWH9IsG2>mt-Sp7br)Wj$B*Rpqx>cqef2PcL1!^U{K}NZ%dCaysGF2+ zKfYl;Gpq(L)W;s{)#73{Y9NYruG zZJTPDWb3e)?GZYc5(*|D$ZCjAM1J(DB^$RCmAqL>@A~Qf%_7p3UMAlSykW4 z2ge@nBXmsT4;>lXlr`=8j|-qKPd1JYHFBS_nrrzq+ z&k(WS=xV*yFR0=qp)&mR7xDLE4GVL!k5t`7(a7Cs`OVBOb0@d&KuXrDUw zMK_HPnA{vFeTQ)cM9Ls2t~ccwG7H^FBGt9uNyg$DbO-NPiB{7yMZ!}&@4iktw~FO+ z_ZhNCPcqM3t{qbu;Dy8M#jab8!6b5AVo+FGJWFj}z>cD?eky6)Qaoee5L>kf+MvJh zxWiZDeCiWA1JqrPpK{cD?VCn+7SRK9<0-S|AqGl147GFhzH%oW~gatdEOV%EKlGCr(x`neQM3#CQ zu6TzozB{Ilcq}hIR8Z4%q;S8Ot+Kmu4w6$5 z#|zW2l&2AKIh-_F*(&iFm8yRZXZZ%wf9|M{T{*uizjz>>MA5=!8y30G6&Ato52#na zM(+KF#$2SN$WnBz(xR$R`2P70MI8dySxtyev@F4FBWIP$s=~@2ROK;cnJgzYgzgk| zD;`vxjva0`x>XTyZU2SB43~OmJ+xI^poVq&`3~6%eNe@hVXi7;zvJ-@L!3y2--zhx z^ZCe|GH1UMbF)rH;dx&i=g0cKh+XW5)5q$C4Nsm|M11_FClHz!Q59&B>*t^p);*}# z6}cFyA~~^ymmWr~*7Vr9w0F9&Dh1yeB?e72wv=;zmK)JR33WxY>^Gxwog6_irY6O$)l5#IYef{G=6u$b2jVpu&4!iaoJBn-bss${!3o z_E*8q^qmoct9P+QJ~_1_phxd1u5u79t(t0bgAvp}pZ7C+Ydv|bmM5m|*yseKQ&e-$ zAW1h`=|*!)p%~r~nA)HTvNJPI=MC*ppcvnJhJl&A7gY>nDq(KuB`#K!(5cyf-|Vj4 zP&Y|!{{5df^-e+*^xXuiY681&?_~NzPJGZj@IA#qzQH>At*Pqh@H}NV)GZxMXN|a; zI3sH_o;K_RA^zCOd{f181eCW)j3mp%`y)TLI!u_$&P4d zbgo!t|1o?Dvrar-?b#Iy1_mCS}G6QC{j0W zU4;O{13OxwR53qq1G6x?tQKVm#kUdvlNth&iWUA#iz>KR9MO@zU->qeg);xnLiY{y zNt%Sm1D35B@GfNr^-48m%p!Fl{LHll8h6aI z-|BL&iz<0XU&j`N7@eSpAcu)#*iqPoxHx19vYzMKE7+mzA#&!qZl57=h6;M3nGi4k z1}(#QSbj2Mwo>0E%Nq0T!urjsXMN=$_kakIF!@OA^11vKEL!lzmDo-~BisMYD}Buz zLKh|bK6Bar7h6!pc(WVMDcq4iW&wU(G$2V>9Sn#0v;>?FnDCu=^eEzpgVW(2iJ>+9 zBQ{xH*IhUEVj+%o4cDOCdkL<1DzE3|d##^kioxgTv9WekPD3Hh*N;h_pN5%pMyMWM z`TK4_+d`YCI9%u^9QZbw8pKMyLZA#XeT&N(F|4f9qJshD{;NqxHO zsyvQToVmZSy+A`H6B&Yj6P4$5EpTwNI9Ow&DeKd(q%xVY>vx#Gpd?TFR%YZP$ElCu zV!W_w5fA$-&ABZZ{#z|B|HK!60rGHkbS&oFlM#Db`rTn?g7STWytzFqXfc-gqg4>E zuP2yrRA8ttPkY2PnOhb~_W;B4(8eJ-ZY$|` z4ibk-N!*LTR-lr#ZYe8v>o4wS2mVBvrd8Mhxn z+-i>(ST$9ZAAjWV{fsc{b~3L0X**~+g_clQ_FuGmx9x_rl-tnG?ACr}jv2GqNP21# zcS@HhJA(sKVZpAV$%V!Mb$@72cpiz*Zk2qG1@I}ynQ*M1vWhz1Ib)9;QZ0+l%}!Ox z?&gLa(d=_>Q>52lxDgz0>H38r+VKb;n^)N31Gq9+@tgMx#0@F*IL>|KM4HK%{&o)T z&)>HEq=GS8r!x^;BysazAz4TFhH7mx6o2A*Tt0CPIk66#28CL?FuDv(Mz#(er4|uj030U(9c;6#&z> zPSmkV3aS>7FH#h7(1s-V??pJBsHToke;HJ{G^mb@@EYmkRtZlqgz|D##8`MC@q&>o z3Zl#vdAw22^B-WRFjv3TLkdx%>9c6?S);Ks2miJzuo3f`@o8In?HS|#I z(y75}A=52Om`%j-WiwbP{Nl5>G^y;@Y==Z(bYZXbI*HlCN?k$6PRNoMRI*%Vk659a zPZB|}_31l}M^IpSRR>#tg*-*6(%+BY$S_7LTK}qgI7?iEfK};zXa=}-1i|PyuUEjE z6UZn%o*;AvpTyL;5*(?u4lu|0CN>{5J`YaAGBQWf5Z0 z)lbsTP_7Tjz4-4Z7&Z7OFo3y!Tf0nCN82g3N+bDQX_y;DS6xYSIhgG6%c=f`3feXm z<>MSZaV!X_#2}5Crh~()j=lPsaFj$}?LqiTsykYK^0kuiz=hQ4xGtyxCLf6W--$idkOMYQ z-g?k~1fa^YgR_*$J9q*5_=XlwRjUxR#+}3}+N}cod}$ePIx)6@f2%T}-k1z9C*sCv zyH$6G#zb^E0V$a>5`k>q%#ARp&)>8z7_+V_<-I<`%5v#vii(oaKJqB!(*0ei*>oe? z0=~_uvW&l}=^DS%SYyzC5~i3dDo^fZAp`~p!yuWU15H&?UN+EZb$@%=Y*$VEp;5MV zb7>YbqD_#|6hk`wvE&_{VK%kGWzeIQkV5ycD-yVp4 zVsAfN-gf#`uv!Y5Rm0MXS|6)P<<4(9+7Vq*;C|F%UKQsYy$A`~sr%jB@`Wb+9pPRs zG5i@*qms*t{Ax2@p|XenmX*`EHWtaC&hSz?rc!kGEHe-!QIM<_8E|4k$R?`x$3t3% z!4=p5gaVijKuJ=>5n-x%$nmhP^%!y*F0p}59M$2esWr-9b-MlF2Xftuo^*TC&@or1 z3qr+6*DMRw8{luX^RacJgmcu5bwq(>RaDgUU0iQts?v@(dvb@s+A>+}_^WC@Sa~wA z0SsTiB<-@5nc=r7X}ZvIjrvgwrN?`2<2T@36mQV%3L6`;*m4_dU)2ur&6JwrI;VY2 zmqq9_#oY;Xx<}m@F#iR>XeVOj44k2$^sn#mhG86f3AP-julG+6>Lt24gkQrN+Q%my z47;taz_4FewN+or|5_I`l3fi%B<1PH7w01ZS zKu?8L{!ZZCl^;LoF}bpdDJYyptl=AqtSkA6v0Zk6VOH*7d|P62%}fR^C#xt8i{(DNXSbxtz-%iagFfZG-#SBG(_w@uRMt_|ohU*|Aqe7l1IN|uwga%$W!Tb~ZbvUa5tzmSE? z@$9hO+KvA1acM7*=#^t|W;|OR%R3BAm$*-3A?OI+>_J}39gFQO*Frqlx z#(8%r#u|z|u~yQoOjB?RHkpdZ@)d5a6i?RXxt@^!S$jx5_-%#H6o(Sa`1x1-s!m6 z$+HaB7ttJSiT=e}JG`ICxdTj~oHHNrLapcB^(MBTKQp}bnW|!W-(Ie*RP`4^{|q4X zC(8v1^?H7zM<4mi6Zj0gVx{;m#%NRvuiM?Mn>W|xF^%B4=l5tCNK4g6cVr{2G&(2q zko)~4yxr*m3M{U-!Z&9tBz=p`g0zgR1w`2M6~Grn&TNPowd#uwE;_06W$1%dMYcMv z{cv{!tc$A+@oZ}005qJ0Dx5dpP#!!dT!Vr2(5xGqizGyfjO0nb!agpx6-|zC5EJma zFwT1^+OiH#Fexrv?|YCq7kyp+?50#eeMUnlkxuf;8z#U{Es=AAidQ+_9-&nkgDOTb z_2-b5eikf7egUs+4F@$hoX|V(_}jLWw*ai+7^c2%1!b-Suse((J#J;m>M06oRXZ-p zZ*WuMR0F@;BTdsE^sa-6G94si#>ml-Yao4h8O6=vO%|8A9KZ6;#k6M;83e(Zb{aaA zj9XdV&s^f_mo3RG0oqNUl7B-OiVWfM{rA=G;{z5bvKLdld-n##BSFW6jzdfUw&~~I zb}1`&((n+aqCsXHjf+9h0a8Fl{pG80ZzOtSU!KfO%beXrmb=!gL>m@->G_z#J`Wr- zJbCqf+tM*5OAoFBX?ONrA+ZJ5rJY-Ua=~Pqjb@6sn_LUMRHo8}g_<6@0O2r2ti+n| z!nq2K+!j#Qa-3mgTvi)*CwH9=fvI}xZ1?u4P@L`6X()prFf=7W(Bhk$dDrpb?ll6e z8%foe(-uz4Zjyd1Xi+YZS1iVp*;B01F$+6@7ZqUs;})zcmOC+&y#?pJ$}D(2{DfrEZ7mt}<9JTaTztO6r7`y_|hvK(hhwe1SSMPPN>3-x!3_fc&4GX0rn1mfwk;aUB~~_VYQ!>9r1Uj>GSO6jqMu`>89|=Uwf=E`t zvf$LAbt+P6l?tPiBMXzC|+2v%fNI7{sIcu)^)}0MTIMrg)G(L#HM>KfuOvq$THwb8S1n z6y=lFiPaO?$*3L_bJEqR0Ql-{m(YL@n226n(v$lFi|RhxcxAq=d(s0wvw+-f3zCI0 z*jgQ4UxE$9k{s%L+p&VShr5)FQewA5o)#2c-y|c?)zH8nLh8|H*;WP z?)}OKaB4V70hnEVyiy58skQfWa0xs6lrnR38gT2;3@p{FB`pO?&RIPHQNfn>NxG1m zU2sXiaQ-GT6Lj>D`{W^4m$o2@*+1o1I|<%Wf%Jih7W9MrEciH)(Ha*MrL&nivN&hR zpe@L|R4AP3bn{%O9A;GWdmNdU&+Z&oub-WL$M*jONJ$mEW=aR-qi_V?R72|;n`4jL zJa_rE!Haf!|Z9-=cgcWUfCYZ2{3WJErV! zVJ`u(ph0Os_n2i@Ey#0xQdv@$JO~KNN12?x@q}?F*~g6+xy!N{QD3_ezs3 zb|xfEIKqx&QXV$U9O8CNs0wZ6O<&DN($XUF1M}<`B{VR0v*>}D>J=65J!+C**0@uvEX*ne!O-wNVA`DzlodN`zp0|Vk$t1Xzohk>ss_n z)7XqZ;-@Awd#(G+Uw*BAcCvQB3;>#|F}VL}i!0#M@$&uY$%TS@K56AZc5+T{&lMZf z9j2|LPv_XU6dcuY=FC!7t6@UKRJ@zV8NR!ZTSv7I?t2hR*#45jj_qF460a5*U}&U&v-gxbp__< z?&xsO& zf`BYL3DSKwLX5>#E+=ZQA>7;cRVSn^t2lKw&!hT98{G4*lJ3BSVHgO zQ%~U?Bb^q9sud6ImK;ess}OR8{7B}@mJ6XJA-$9aU#{m*xjcVcLigaqkyBH*dxGgy zwsta{>Vx6GQAlm>tC(78+H5h6%CS#H`;>=a9LFWs)5`Z1?m8Ctaep*nQ7L|hwKFj+ z@Uq3?peN7|>6I0ut(s^mSa8V4E8Y2E_;r4Jn*CwezSSuheDf{A=ToWcohrZ;&4Ve0 z)C9T`whDjjY7wYuF{`e;SW3zPHcc;anNYN^$?))k>r^{=!A3J+M+i*|tSXvDh+nA(P zsrP53ifGuR(0B><9gT`PeDyxU0LI=hJGKH!_m9m~)C+9rmft;D&eYLEB2 zNvAp;X zal;PQ?CjpAS9}cr1R6}ZR(;^;Ex2uZYUue3<-1p{7{cXx85p#uUJ6J^)l9xSO&$L9 zHpdzGD(-kk?aYB_Nyn5Sn4(-feWpqNK~~yy=TOeuwqfH{^^VO3oLA)H%+JPU#7sh%#ICY9;4LF3lWF2#*X_%{zGmkynv{*4@esmJ` zr_@fizWWK_V?T-=KGGHEzAZg;4cdVG1oayq8OC5`iFxddRW{Hn?|?JvhdnQc0ldtSQ zCdSYnW-j$Nd^L#1X5wFQl??`pp_{RxDwc~{wu~FfPz|GI%U#6CO0T2=x$C}s5A|&u_Pp(-aff|tDU{6?q zjU2`JjRMC!w%YZ$6bv+qdRW#Ryr6IEF)I-`AK%}@a#^31L)5ynp>C+j@GNU{Ka>73d#A$8~nAAY@FtPu`PiAK5KKz<&+;>2|sa!R{Q#1X9oTsS4kF ziVBOYKleI5m=afn@9@vJ3lp0Netipjf}FxD-GtAgn{?QLDVw{=Xi>0KvmuG+PgCWw zPLAS5WJ*4kWZ;%lir-GdIIk9R{HZ*#{Do5cjud=A-XARXG2J&=>RUqOuc0oiy~iIM z|3vmXDEm(uX{@`!%6{nl^!}S56xpPZ8AIDc?{^fO)$qt4>X@l?ilI>!+>0cgvdis{ z{sq~kT@c+dU;|lFX?@_*-`|Y>k({paqqO$;H!3mf7Cx6? z>v`>t8h$SB+WsiQMi8f&D6LXxFjX^T$Hl%#P)(#bo?#REjqO0i29E{z#At#1D`l5B z0$m&1JzO}G#^XDqWx~_rj{0cX7WtBee1dJ~ZopBh&VTpuB_yeC7sjpM&?BdaL{_oz zS*d8G3j*b=&IjyMwMIkJ?y(W*;~%j02*r8wgX1{IV%7!Y_lMfoWUvsil^8QNB;QZ+ zY~O0JUW3jADRmSOL_#ZJyMl>Y67|?~-2Li-_#^uEZw!w@D`M9U!1M}E>%FuBg6W`j z65HY$dDKv0pe?r?-7DACJ>4eZk!IiW)M7HtOHH`zu0-sXxhXfdB&jefaZ7pm&KBzl z?CeBfoO(vRCxDd!x~zWBN|pS3ym^yUnoZIe+E~&K^!ul{_H2AWssyW`GDuWJzn&0= zk!^LRAk;Hf>Eo3mu`eox4~mUlZRzCKv$XVACX!NOW+xyO5Mf<5Xn9-p!~r?g=Jx$p z@S783Pba;+)O&pNYX2Sk!X|4)yWVs8#do9*F-vw)J0-_Fczi8yl%=74x5DD@osp!k zN>E$p3E!eg{-#$ZBk48e_pljWj2`C7I$yIwYYmw^Vp1mJ-h5yY>JpeV;2Qbr%QmFS z;DDH{5z<4g^~nkvw*h;pz0|KEzn`?wrg}_^Wn=etI+*6aji#5LS{d{jb&>??Fbb{E zr(TD&8+I~?&kv}?A`SfU4S04<4TiVdkT-^tR#f3g$1+#hXttG82t zB|Kjw$vuKo5H&4i)I7m z4JTHHw_?w|^og=@4@+u1b8NogO;dv8SQPdl<)|FTd^cLBKHAX#(UVleZ=(u`%{-I7 zE2=~7G;o>fVPPtV(Viz5)jDAcs-Zrqvqi!W`5pG5j2^F778yymhtGvq%6uuJ&F2Y& zFDjY6*(5-Jp3xHMQTT2n)zF;DIbl_)Uzjg~oTFP2^?P;iq1!}=Sz<yjvgGN%T%`h8UJEy})J>0Xy$HWtXGd9NdT0aIFc z3%}q6h%x!!4m|H_5#CVC2xs`2UOK5DXx+bdG88?)xdWuN5JfI~jYqXT`VX<4beUCN z#W%$mQC46?(NrWbLs}MTf~*^e4HxfhA!D#th24~2EgV(EJY|hVd`)2lB~{y;Ni|OD zd+0DLNVUB$s3SI=-mB?@F@buzvE z>vA&P+x!zhBC6HaXyafHY+KFWVu@mau8sPqw7n@epqMt>^CCB&*)r93GLQ>DJ1SFq zst=#v{6UW|9r3f4P3FzF$-&Q_Wk~+s9Y+TDC)Ws7aj_my9GH2}9psK*aJ4pnXQJ2r z(XHUBsW7h9>#m+~YLl$8V5)xXCurG~D}1ID{%3mtqNCi*UyR?0oEgvAMu$assqav5 z53#@5g-@Uw1iAM>ahL#E<&=_?*~*EW>@tIMSkGTES}jV{1T`IYMn-IV!*zmi{+yrn zQYdfg!)XNtykeMN_3i*VeuT?sogm$n50H-@brc3(mPc_l(?Yl!Cj6 zeW8We0Eq5DnVS_x?oaKW0G9sIr8e-yLz^#B%UW5ahhs|=3wKtmfI#AFh$Nm%a>S9W zC0WN|RCD3a97RvS1y0Z`#!(osL?{@1ME>{?PaInE>NXlHI7Eb;e;WW}e7?;2E|FJZ z_P#cAm65A&aeX3`-f;4Wi(@`ne>%d?AMmM4a+S>`-5_VA`uP!AS(dCm+y07_$sU2E z&_)Ayp9)$7hc>AJsm=nv@fl@$25`!VxY}o}huC3sp+R`jK~!&n`?#l=E)1BS#KRwb z8LtcnQ<-jQI)LXlnZc%oX~k(qa_7wWq>ndQrD%pFB;4=5saeJuxCU)Zn|hIVSnRpm zl9@!clWySR*>8QeNcP%MyWWbNw8Sk$Nc><+fp;vN)Kxs*NMxkLbXafv+1srQ)FDbl z$f4Knq)Qj-X=|E0lcR703&>=r@YyzLHzJ0TRwfxJunKYzP_ZV1D`Umcfx=+Y> zQPt2-w*rTb>BsL~B7WhujrC$YvS*9d?vhPdYDW3N_$~7b#ST)zdqn8Y3iwMG`}YH8 zj#>KrXT%x!Od2X^MR`q?g})3HC{2lCPay3>I$#t7uSw3L`;T) zc&VEVmt0ptf#X~3!=!-sdo||cYsmI2;A}Ydi(0<)uL~8>k8xIXLKJWr7Pw&BSkEW< zwD=~Qee#b{7SU6%P+S;9!Wl!`cI;dI0x4w2nmMX>vGp;Q36~NcpnnN{i8ktSK7L3d zwrb%^WksLJWh^2_dE!lH7Zqdi1=?_{;+e(`JLv;*UARnrakp;`2kTMu;hI@rO1$cZ zDqlrvIdHx>8vDvP8k_kckn4r)`K<)tRj;E+r>b1iXRX;j%5Npzpd4XEPQk`bpS3rt zh@cNgDTBgIV{G@WMunNDm;|z|2Nu{FO-xJ&ohThmUcExfME_rFq6Uh|e&YKEb`m z)djk{Iw9NM5Ja#p6j<=BkO{2}w;Z=6v=n$B_bm!cO1GKq5eYXuI1|bJO2BQj)FWOq ztUM0P)%pFLH&(<6$GT3!Rq6U%D~~mA!iGC%7ot0=f{ogy#6A}Lb$H_b_LAc4>FFMfW}LwS4M{?7FK+t~I$ z(YxI8cBd>p4L@u4)J*-T9q$K4zHc1zs)FC7))3x;4givG?k}X9Wc7?4OOqG=(xcGy z%xToQKR$i1)H?a3etAN%siXE0hKvc@^E+bc393ZOxs7^Sb?fu9UdJPv!nfQZ_d45r zk(9v9<0N?)kL?Lj_kJQEt5HEKLK^Vsk%>9hGAuiX2aZ26N?*X$px1q-%|s>E4Jmw0 zcJm^IuYPdhf;ny}Jp}P%hv?4cT4^Z{$AOP-H`wa3amiZuI)nzw$!bONom996)uh=# z^rcSiBK*dgg~w%bc*d%JuwWvFfAlyU^U0$?Jb}S{@?zk%MCe(_eROPbnEFxT2ibq` zz9UXwes|-XnpcjzYI8nqjB>DVrG)*B^ycg8yiN^>_zO=3PML~#-ihP_ZN4~F&`8kc zi->|>^L}8gq$UWPL4iD{Fzj5<&S8r!V)Kgfhv%?kwOm_7Tbi67JJ^+xzxUPn?##Z6 z239v>u#ouC{WX~!ds^95zZPqZTH?1;AzTV7!e+7Xeh z*UfZ|te&|1OYvAHYn_Y~F|2>Mc+h4M{AhmT)90Z~1$7hy{kz@=YCf@DEhs3%^$Cki zNogPKCGc+bLyMAJuK{Wo;&|Vj$WlXmZG}$w^vLdX@p?OGhG=$2UCNuRHJeOqst`RZ z&g*VEo6!J?1#l<=AE!c;Q2cKhj7ctQxm&g)l$8;Dr5F zs_j{UAC#O0M8p_3+G*qewl21F`nuxh&loR^ESdZK%K>P$IvO^{N&;P2PcIYMh9wLx zE@F@opv|K{mE0H0MvG}3$2bqoIK>{uV_#!BUk>k)S3dJS6Tf0lA~utphp00&h=;%Z zQo;PB1G6d_k-AZmB8lif& zsMR5!f*>YwNf4l0=1RZ)0WUNo#eHw@*y+$K_a8inp0-=17RVwwNTSE~by%Yzcb+w_ zfn97sU`jNkV0PplO*6SlUm0YyaEtv0}_BSZ)!bIkTsN+{^qpRo&;7;8OuDq z*$VE1lTioV-Nrtf^_snmc42bQ;h0JsWS6OepbXSQb4Q9Efj*?p%+;18nT#4WTpO`U z5&cMZAm1T)55a`cok2ev_eNW$-X+}oL8=Jq%kWi8d)q@@20lt9ItOiN}@qkpm8l-srLoTYgfzX;VQ`BQ8qI06x_4c?H9iS`^utP%=7CAhkd){U+YUL zk$X|C@oUAC$Kn%_kc!iHHFUO3wWEoN%rxM3yAZhJ{j*cVHr8!B6zILDt@K^c&k?U@ z(do~*oNUE)0|k{jDxtGZISTQ!yE$1-yLTDvbP}kptMXx9U?z9yC`+!2`0cCtvv3X zFqYyC#mTK(T!xV34*}+#|?uyYg^=e?U-x!7;Zk?6W?u#{s&RbY|a2 zs>Di&&D!S-J&moc8l7`-9t>VPTD()!qpkOSjCx0Etqq^N#cH)hk)gHFl3~?wT(hQ` zo|m7$aX;TEx)k%py}%hXTLE|k4`n>^#Ivf6>f z+?1ou4^&+U&yfmKEIZ6JW)A2=Lziva4)fuA9~9q&!6D<+nRa#M0dXkyf}u((Qwl~oj)O6zv&UaGmLn+uc8R-R;G zH*zN=UsqFyh{OOOstUSdwE))LvA0Wt)A-&aU8?rc(gcL#@_>mWxw5~{ej#vN06QNX@9g}H z)Kjd-k(UGssV|}kZ(^>7bouem&-U6hFnFk>h>q~fQCJ8wPP(in0Qcx%I5se`K1 z&WU&};~m?HGnW8@v_avVYq!^$HAL@4xWycOOkBL<7oj55n<1>n2 z3K@HRZ1?{!_&`JlbR(jjL@9?wq)`5FS1Ug85{VyJ<%>6ofM3#|vubPQC|pEP1_cY- z21Iblm>8vz5x4dSgvHU$%Vt`usx@&35zrt^beqA_b@j_6{m8By8aQO3^23}CA{DYn zy#LGV$sDSBAdR0KlL>BTL>If*9spW zQ14Cbfuhfbh~5N3RJ=%-TQZm?^14>&^%p?^BfulUG`yfr?Dl`k4W3g-p{*2Z zi4()L8M`-uJ(Yhe%4N5rGU_iuvPBYgs-;Hs^dWtE%5 z!V~{To6#SJdR5%jY~md@bPGv|8>BbqircK-OYC3jMSIVE@_Nx9C;erZi4Wf`;$-v~ ziO8ZmxU-X>9OtEOt>7x;3Vm7B$YDL6Cx`n5%rXN=OW(Oc#Wt4Q<*jAp1s>JA&3xdPOoeRk zF=9UbJusxRNFE_vq;O<4nF}8w#n^6!=T|e3DP4{2@X^Q>iJN7I-*onS^_BR-re2|p z{DqLvz10%(cPpcv5m`uCP~Ec{UY<*c)zbAguR?@HP&O|*nXs-iqQ+y-y=Iktw<~w# z`O<8j_|hauc!59p_efYvd;NA|FN$!8b=>X-k)dB=+M`+sdO!c7o(r95T3}VJ*6XW8 zWPz)BJEBLZ)fojLp0c-NJ9flHh6KZM+i!3&-Hkp7ND-T5O6(h{*O7tr*e7<|xlg1SE(C^G-T z$&^5T2SidT^LQg1{Gz_JJoI~FgCa{cC*-o?keHTlkV^CWao+E8?Xv@nTT6124dG2c zr39R>xx8j#SmSRs#GM^|N<}SeX7FANGLnre^UueM2Fk?AUPy$Yx0I}3X3!FP{RI$I z<8i1>tBFpG`x0CzZ76$``yP5p59fU*GX)sS(MHrY3unhv~(;jh~R$jbxQO$&g z;kMg7XuM(jx!q&2-;)qI@W=uz5}Wt{aF``Ae~)^FE$o zISK)Tt3LK`b(<6s7I9##zBefnl#4*!pN0U?fDDz2gJx4dFc6uE-F0y?SE~uxKR9D= z=n!5R7YYzL4EgL$u1bXgRoyoO3(kK?$3pwV=C5BG{zo&;0&!s1JIB0R1Z_h=Mq_~a z%`_JT_ExLGHg6pvx4XOz7283V$?6Faq5X8$t{=qgr>GJ=<3|0DgVV-zOP{DO{;};C zMh|CYC5xaWox}Q&Y5RYJ|S%Ler}HlV*P8$`M&G@jm;`{$Q_>Yj%j)# zo+U>Ct&P*Vjf(HN_$_a{$kqM&z5mVH$TO-*!0U!t&alFBpqsmyh<=w2`^@UB{?Nh* z_yFCLRBNe{2dk9m|3G^C&Y+{`%Uzo)gLz|Zu5uq*3U>UDN8AP|PS)!YHmOF_h!a~7 zPj;XrTeJijjLMuuo@yCVZHfsPmnypykCV?D-QO~)vn*bCfDU$ zM=OsXOR|XW*%_*pgC_Z>=u^ibUfbO5UI4eG@hPFEt^Ee@_vj{nf;=11A$XS>=pu-8N*ayIdaVHtJ#}(NlO28*`Eo;Qp)S8 zBZ-5Y8eJK=2evT-daJlqMOc392KrZ4N40=X1#l(2QX`!WL&&L?bLxAa)&C{GztT7= zX!h`2SFatZ)!@zsx@uD|9WqJSWBw2F@iU?keol>;2(pZw{SLlGe1Y0L^WByf(f$E? z5slpcST}!$8~P7WhC{jTkZBebrDfGX>qt%S4M-;Nv$%o<= zszHu|jpJD5?qJimvJMdQpFucx+aITouUdP_+smI6qL_!#ugDh zN}&D!wbIJZ=~NM2OaCmL(JE0eo`y7Ps(3hK2h=w5YoGVQc9Ny|U|vqAtCg!0C$0W9 z0z)4AdXeNv_SWR7kuBoE1}-HG1G6qnWAer+f!zL;&369C<|K!+^zFa4BoPtmkV3iF zQ(BqSO)6r3wSmd*%x=@4aJB2a#rzbE@&+iQ{R|4!LT0YNwbSoAM{oxJ9xPNZKtbPp z%w?(0Bx7=65BoY!Rwt0`vVXq&VZlqwuh-SpTg}?pYV-GSg-yDasXuWD0a)kuw zYiJcYPers6>b%SE`M +# Parameter : start_diamond.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : zas 08.2019 -- Initial release +# V0.2 : ama 02.2023 -- Adapted for Diamond +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; +$| = 1; # forces flush on prints + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{DIAMOND_HOME}\\bin\\nt64\\pnmain.exe"; +$diamondFileSpec = $ARGV[0]; + +#------------------------------------------------------------------------------- +# Launch Diamond +# + +if ($verbose == 1) { + print "$separator\n\n"; + print "Launching Diamond from $designerExe\n"; + print $indent, "* Project file spec: $diamondFileSpec\n\n"; + print "$separator\n\n"; +} + +system("$designerExe $diamondFileSpec"); diff --git a/zz-solutions/01-WaveformGenerator/Scripts/start_libero.pl b/zz-solutions/01-WaveformGenerator/Scripts/start_libero.pl new file mode 100644 index 0000000..3e11301 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/start_libero.pl @@ -0,0 +1,37 @@ +#!/usr/bin/perl +# filename: start_libero.pl +# created by: Corthay Francois & Zahno Silvan +# +#------------------------------------------------------------------------------- +# +# Description: +# Starts Libero in the correct timezone for the Synplify License +# Help Parameter : +# Parameter : start_libero.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : zas 08.2019 -- Initial release +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{LIBERO_HOME}\\Designer\\bin\\libero.exe"; +$liberoFileSpec = $ARGV[0]; + +#------------------------------------------------------------------------------- +# Launch Libero +# + +if ($verbose == 1) { + print "\n"; + print "launching $designerExe\n"; + print $indent, "project file spec: $liberoFileSpec\n"; + print "$separator\n"; +} + +system("$designerExe $liberoFileSpec"); \ No newline at end of file diff --git a/zz-solutions/01-WaveformGenerator/Scripts/trimLibs.pl b/zz-solutions/01-WaveformGenerator/Scripts/trimLibs.pl new file mode 100644 index 0000000..efbff23 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/trimLibs.pl @@ -0,0 +1,163 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# trimLibs +# Comment regular libraries in an concatenated file +# Help Parameter : +# Parameter : trimlibs.pl +# ------------------------------------------------------------------------------ +# Authors: +# cof: [François Corthay](francois.corthay@hevs.ch) +# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +# zas: [Silvan Zahno](silvan.zahno@hevs.ch) +# gal: [Laurent Gauch] +# ------------------------------------------------------------------------------ +# Changelog: +# 2019.08.23 : cof +# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines +# * Seen problems in ELN_Kart +# 2019.06.11 : zas +# * Comment "For All .... work."" instead all "For All" lines +# * Allow Outputfilename as Env var or as Script parameter +# 2015-08-25 : guo +# * added unisim to the list of excluded libraries +# 2015-05-08 : guo +# * added verbosity debug +# * changed this header +# * minor comment modifications +# 2013-08-13 : zas guo +# Handle error if environment variable not found, character'pos('$') -> ') +# was found as env var, added exception +# 2013-06-13 : cof zas guo +# Remove comments from testline +# 2013-01-09 : cof -- +# * Bugfix: no carriage return on commented "use" statements +# * Bugfix: more precise targeting of "library" statement +# * Bugfix: "Library" test after "use" test +# 2012-04-27 : zas +# * Bugfix: on feature added in version 2011-06-10 +# 2012-02-02 : zas +# * Write the output into a new file with the name defined in the +# * $DESIGN_NAME variable +# 2012-01-23 : zas +# * Replaces $env_var_name by the value of the found environmemnt variable. +# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file +# * placed in the Simulation Directory +# 2011-06-10 : zas +# Replaces +# library xxx;use xxx.yyy.all; +# with +# --library xxx; +# use work.yyy.all; +# 2005...2011 : cof +# Improvements +# 2005-01-29 : gal +# initlial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; +$hdlInFileSpec = $ARGV[0]; +if (defined $ARGV[1]) { + $hdlOutFileSpec = $ARGV[1]; +} +else { + $hdlOutFileSpec = 'trimmed.vhd'; +} + +$verbose = 1; +$debug = 0; + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $hdlOutFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + + # remove all comment for the test + my $testline = $line; + $testline =~ s/--.*//; + + # Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim or ecp5u + if ($testline =~ m/use\s.*\.all\s*;/i) { + if ( not($testline =~ m/\bieee\./i) and + not($testline =~ m/\bstd\./i) and + not($testline =~ m/\bunisim\./i) and + not($testline =~ m/\becp5u\./i)) { + # if there is any char before "use" except \s, insert new line \n + if ( ($testline =~ m/[^\s]\s*use/i) ) { + $line =~ s/use\s+.*?\./\nuse work./i; + if ($debug == 1) { + print "TEST0099: ", $testline, "\n" + } + } + else { + $line =~ s/use\s+.*?\./use work./i; + if ($debug == 1) { + print "TEST0105: ", $testline, "\n" + } + } + } + } + + # Comment libraries which aren't ieee or std or unisim or ecp5u + if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) { + if ( not($testline =~ m/ieee/i) and + not($testline =~ m/std/i) and + not($testline =~ m/unisim/i) and + not($testline =~ m/ecp5u/i)) { + $line = '-- ' . $line; + } + } + + # Comment "FOR xxxx : yyy USE ENTITY zzz; + if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) { + $line = '-- ' . $line; + } + + # Search for $Env_Var_Names and replace them by the value of the env_var + if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) { + $envvar = $1; + $envvar =~ s/^.//; + eval { + $line =~ s/\$$envvar/$ENV{$envvar}/; + }; + if ($@) { + print ("WARNING: Environment Variable not found: $envvar \n") + } + + } + + print tempFile ("$line\n"); +} + +close(tempFile); +close(HDLFile); + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($hdlOutFileSpec); +rename($tempFileSpec, $hdlOutFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#if ($verbose == 1) { +# print $indent, "Hit any to continue"; +# $dummy = ; +#} diff --git a/zz-solutions/01-WaveformGenerator/Scripts/update_diamond.pl b/zz-solutions/01-WaveformGenerator/Scripts/update_diamond.pl new file mode 100644 index 0000000..92e9076 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Scripts/update_diamond.pl @@ -0,0 +1,129 @@ +#!/usr/bin/perl +# filename: update_diamond.pl +# created by: Corthay Francois & Zahno Silvan & Amand Axel +# +#------------------------------------------------------------------------------- +# +# Description: +# Updates the file references in the .ldf Lattice project file +# and launches the Diamond project manager +# Help Parameter : +# Parameter : update_diamond.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : cof 12.2013 -- Initial release +# V0.2 : zas 08.2019 -- Changed actel to libero +# V0.3 : ama 02.2023 -- Adapted for diamond +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; +$| = 1; # forces flush on prints + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{DIAMOND_HOME}\\bin\\nt64\\pnmain.exe"; +$diamondFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$lpfFileSpec = $ARGV[2]; + +use File::Basename; +$diamond_dir = dirname(dirname($vhdlFileSpec)) . '/diamond/'; # get up concat and into diamond +$projectTitle = basename($diamondFileSpec, ".ldf"); + + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * diamondFileSpec: $diamondFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * lpfFileSpec: $lpfFileSpec\n"; + print " * Project title: $projectTitle\n" +} + + +#------------------------------------------------------------------------------- +# Project variables +# + +$diamondWorkFileSpec = $diamondFileSpec . '.tmp'; + # source directory +my $sourceDir = "$ENV{CONCAT_DIR}"; +my $projectDir = "$ENV{DIAMOND_WORK_DIR}"; + +#------------------------------------------------------------------------------- +# Update paths in the project file +# + +if ($verbose == 1) { + print "\n$separator\n\n"; + print "Updating file specifications in $diamondFileSpec\n"; + print $indent, "temporary file spec: $diamondWorkFileSpec\n"; +} +my $line; +if ( !open(DiamondFile, $diamondFileSpec) ){ + print("\nCould not open $diamondFileSpec !\nExiting (failure)\n\n"); + die "\nCould not open $diamondFileSpec !\nExiting (failure)\n\n"; +} + +open(workFile, ">$diamondWorkFileSpec"); +while (chop($line = )) { + + # replace title + if ($line =~ m/ +# Parameter : update_ise.pl +# ------------------------------------------------------------------------------ +# Changelog: +# 2019-06-12 : zas +# * All parameters given with agruments instead of env variables +# 2015-05-26 : guo +# * update to environment from HELS v.15.0526 +# 2012-05-27 : cof +# * Initial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; + +$iseFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$ucfFileSpec = $ARGV[2]; + +$verbose = 1; + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * iseFileSpec: $iseFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * ucfFileSpec: $ucfFileSpec\n"; +} + + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $iseFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $iseFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + # replace VHDL files + if ($line =~ m/FILE_VHDL/i) { + $line =~ s/ +# Parameter : update_libero.pl <^PDC File Spec> +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : cof 12.2013 -- Initial release +# V0.2 : zas 08.2019 -- Changed actel to libero +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{LIBERO_HOME}\\Designer\\bin\\libero.exe"; +$liberoFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$pdcFileSpec = $ARGV[2]; + + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * liberoFileSpec: $liberoFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * pdcFileSpec: $pdcFileSpec\n"; +} + + + +#------------------------------------------------------------------------------- +# Project variables +# +$liberoWorkFileSpec = $liberoFileSpec . '.tmp'; + # source directory +my $sourceDir = "$ENV{CONCAT_DIR}"; +my $projectDir = "$ENV{LIBERO_WORK_DIR}"; + +#------------------------------------------------------------------------------- +# Update paths in the project file +# + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $liberoFileSpec\n"; + print $indent, "temporary file spec: $liberoWorkFileSpec\n"; +} +my $line; + +open(LiberoFile, $liberoFileSpec) || die "couldn't open $HDLFileSpec!"; +open(workFile, ">$liberoWorkFileSpec"); +while (chop($line = )) { + # replace source path + if ($line =~ m/DEFAULT_IMPORT_LOC/i) { + $line =~ s/".*"/"$sourceDir"/; + } + # replace project path + if ($line =~ m/ProjectLocation/i) { + $line =~ s/".*"/"$projectDir"/; + } + # replace VHDL file spec + if ($line =~ m/VALUE\s".*,hdl"/i) { + $line =~ s/".*"/"$vhdlFileSpec,hdl"/; + } + # replace PDC file spec + if ($line =~ m/VALUE\s".*\.pdc,pdc"/i) { + $line =~ s/".*"/"$pdcFileSpec,pdc"/; + } + + print workFile ("$line\n"); +} + +close(workFile); +close(LiberoFile); + + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($liberoFileSpec); +rename($liberoWorkFileSpec, $liberoFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#------------------------------------------------------------------------------- +# Launch Libero +# + +#if ($verbose == 1) { +# print "\n"; +# print "launching $designerExe\n"; +# print $indent, "project file spec: $liberoFileSpec\n"; +# print "$separator\n"; +#} + +#system("$designerExe $liberoFileSpec"); diff --git a/zz-solutions/01-WaveformGenerator/Simulation/waveformGen.do b/zz-solutions/01-WaveformGenerator/Simulation/waveformGen.do new file mode 100644 index 0000000..ce4b33d --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/Simulation/waveformGen.do @@ -0,0 +1,30 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /waveformgen_tb/reset +add wave -noupdate /waveformgen_tb/clock +add wave -noupdate /waveformgen_tb/en +add wave -noupdate -divider {generator signals} +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/sawtooth +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/square +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/triangle +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/polygon +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/sine +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ns} 0} +quietly wave cursor active 0 +configure wave -namecolwidth 272 +configure wave -valuecolwidth 89 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ns} {525 us} +run 500 us diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_entity.vhg b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_entity.vhg new file mode 100644 index 0000000..a0d98d6 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_entity.vhg @@ -0,0 +1,28 @@ +-- VHDL Entity WaveformGenerator.lowpass.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY lowpass IS + GENERIC( + signalBitNb : positive := 16; + shiftBitNb : positive := 12 + ); + PORT( + lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic; + lowpassIn : IN unsigned (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END lowpass ; + diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_masterVersion.vhd b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_masterVersion.vhd new file mode 100644 index 0000000..0adecd0 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_masterVersion.vhd @@ -0,0 +1,19 @@ +ARCHITECTURE masterVersion OF lowpass IS + + constant additionalBitNb: positive := shiftBitNb; + signal lowpassReg: unsigned(lowpassIn'length+additionalBitNb-1 downto 0); + +begin + + filter: process(reset, clock) + begin + if reset = '1' then + lowpassReg <= (others => '0'); + elsif rising_edge(clock) then + lowpassReg <= lowpassReg + lowpassIn - shift_right(lowpassReg, shiftBitNb); + end if; + end process filter; + + lowpassOut <= lowpassReg(lowpassReg'high downto lowpassReg'high-lowpassOut'length+1); + +END ARCHITECTURE masterVersion; diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_studentVersion.vhd b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_studentVersion.vhd new file mode 100644 index 0000000..f2e46c5 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF lowpass IS +BEGIN + lowpassOut <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothGen_masterVersion.vhd b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothGen_masterVersion.vhd new file mode 100644 index 0000000..b072504 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothGen_masterVersion.vhd @@ -0,0 +1,21 @@ +ARCHITECTURE masterVersion OF sawtoothGen IS + + signal counter: unsigned(sawtooth'range); + +begin + + count: process(reset, clock) + begin + if reset = '1' then + counter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + counter <= counter + step; + end if; + end if; + end process count; + + sawtooth <= counter; + +END ARCHITECTURE masterVersion; + diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothGen_studentVersion.vhd b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothGen_studentVersion.vhd new file mode 100644 index 0000000..892bd7d --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothGen_studentVersion.vhd @@ -0,0 +1,5 @@ +ARCHITECTURE studentVersion OF sawtoothGen IS +BEGIN + sawtooth <= (others => '0'); +END ARCHITECTURE studentVersion; + diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_masterVersion.vhd b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_masterVersion.vhd new file mode 100644 index 0000000..c019913 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_masterVersion.vhd @@ -0,0 +1,6 @@ +ARCHITECTURE masterVersion OF sawtoothToSquare IS +BEGIN + + square <= (others => sawtooth(sawtooth'high)); + +END ARCHITECTURE masterVersion; diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_studentVersion.vhd b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_studentVersion.vhd new file mode 100644 index 0000000..f8b09bd --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF sawtoothToSquare IS +BEGIN + square <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToTriangle_masterVersion.vhd b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToTriangle_masterVersion.vhd new file mode 100644 index 0000000..64b4708 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToTriangle_masterVersion.vhd @@ -0,0 +1,21 @@ +ARCHITECTURE masterVersion OF sawtoothToTriangle IS + + signal MSB: std_uLogic; + signal triangleInt: unsigned(triangle'range); + +begin + + MSB <= sawtooth(sawtooth'high); + + foldDown: process(MSB, sawtooth) + begin + if MSB = '0' then + triangleInt <= sawtooth; + else + triangleInt <= not sawtooth; + end if; + end process foldDown; + + triangle <= triangleInt(triangleInt'high-1 downto 0) & '0'; + +END ARCHITECTURE masterVersion; diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToTriangle_studentVersion.vhd b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToTriangle_studentVersion.vhd new file mode 100644 index 0000000..9fa87cc --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToTriangle_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF sawtoothToTriangle IS +BEGIN + triangle <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothgen_entity.vhg b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothgen_entity.vhg new file mode 100644 index 0000000..3f707ec --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothgen_entity.vhg @@ -0,0 +1,28 @@ +-- VHDL Entity WaveformGenerator.sawtoothGen.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothGen IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END sawtoothGen ; + diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtosquare_entity.vhg b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtosquare_entity.vhg new file mode 100644 index 0000000..a81570f --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtosquare_entity.vhg @@ -0,0 +1,25 @@ +-- VHDL Entity WaveformGenerator.sawtoothToSquare.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothToSquare IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + square : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sawtoothToSquare ; + diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtotriangle_entity.vhg b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtotriangle_entity.vhg new file mode 100644 index 0000000..08c91f4 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtotriangle_entity.vhg @@ -0,0 +1,25 @@ +-- VHDL Entity WaveformGenerator.sawtoothToTriangle.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothToTriangle IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + triangle : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sawtoothToTriangle ; + diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_masterVersion.vhd b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_masterVersion.vhd new file mode 100644 index 0000000..3e6228c --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_masterVersion.vhd @@ -0,0 +1,26 @@ +ARCHITECTURE masterVersion OF triangleToPolygon IS + + constant clipLow: positive := 2**(triangle'length-2); + constant clipHigh: positive := 5*clipLow; + + signal triangleGain: unsigned(triangle'length downto 0); + +begin + + gain_1_5: process(triangle) + begin + triangleGain <= ("0" & triangle) + ( "00" & triangle(triangle'high downto 1) ); + end process gain_1_5; + + clip: process(triangleGain) + begin + if triangleGain < clipLow then + polygon <= (others => '0'); + elsif triangleGain > clipHigh then + polygon <= (others => '1'); + else + polygon <= triangleGain(polygon'range) - clipLow; + end if; + end process clip; + +END ARCHITECTURE masterVersion; diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd new file mode 100644 index 0000000..0cb1a55 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF triangleToPolygon IS +BEGIN + polygon <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/triangletopolygon_entity.vhg b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/triangletopolygon_entity.vhg new file mode 100644 index 0000000..43f0c74 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/triangletopolygon_entity.vhg @@ -0,0 +1,25 @@ +-- VHDL Entity WaveformGenerator.triangleToPolygon.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY triangleToPolygon IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + polygon : OUT unsigned (bitNb-1 DOWNTO 0); + triangle : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END triangleToPolygon ; + diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_entity.vhg b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_entity.vhg new file mode 100644 index 0000000..e7a416e --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_entity.vhg @@ -0,0 +1,33 @@ +-- VHDL Entity WaveformGenerator.waveformGen.symbol +-- +-- Created: +-- by - francois.corthay.UNKNOWN (WEA20303) +-- at - 17:19:13 06.03.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY waveformGen IS + GENERIC( + phaseBitNb : positive := 16; + signalBitNb : positive := 16 + ); + PORT( + clock : IN std_ulogic; + en : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + polygon : OUT unsigned (signalBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (phaseBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END waveformGen ; + diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_struct.vhg b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_struct.vhg new file mode 100644 index 0000000..f59d795 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_struct.vhg @@ -0,0 +1,146 @@ +-- +-- VHDL Architecture WaveformGenerator.waveformGen.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:40:08 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY WaveformGenerator; + +ARCHITECTURE struct OF waveformGen IS + + -- Architecture declarations + + -- Internal signal declarations + + -- Implicit buffer signal declarations + SIGNAL polygon_internal : unsigned (signalBitNb-1 DOWNTO 0); + SIGNAL sawtooth_internal : unsigned (phaseBitNb-1 DOWNTO 0); + SIGNAL triangle_internal : unsigned (signalBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT lowpass + GENERIC ( + signalBitNb : positive := 16; + shiftBitNb : positive := 12 + ); + PORT ( + lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic ; + lowpassIn : IN unsigned (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothGen + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT sawtoothToSquare + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + square : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothToTriangle + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + triangle : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT triangleToPolygon + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + polygon : OUT unsigned (bitNb-1 DOWNTO 0); + triangle : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : lowpass USE ENTITY WaveformGenerator.lowpass; + FOR ALL : sawtoothGen USE ENTITY WaveformGenerator.sawtoothGen; + FOR ALL : sawtoothToSquare USE ENTITY WaveformGenerator.sawtoothToSquare; + FOR ALL : sawtoothToTriangle USE ENTITY WaveformGenerator.sawtoothToTriangle; + FOR ALL : triangleToPolygon USE ENTITY WaveformGenerator.triangleToPolygon; + -- pragma synthesis_on + + +BEGIN + + -- Instance port mappings. + I_lp : lowpass + GENERIC MAP ( + signalBitNb => signalBitNb, + shiftBitNb => 10 + ) + PORT MAP ( + lowpassOut => sine, + clock => clock, + reset => reset, + lowpassIn => polygon_internal + ); + I_saw : sawtoothGen + GENERIC MAP ( + bitNb => phaseBitNb + ) + PORT MAP ( + sawtooth => sawtooth_internal, + clock => clock, + reset => reset, + step => step, + en => en + ); + I_square : sawtoothToSquare + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + square => square, + sawtooth => sawtooth_internal + ); + I_tri : sawtoothToTriangle + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + triangle => triangle_internal, + sawtooth => sawtooth_internal + ); + I_poly : triangleToPolygon + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + polygon => polygon_internal, + triangle => triangle_internal + ); + + -- Implicit buffered output assignments + polygon <= polygon_internal; + sawtooth <= sawtooth_internal; + triangle <= triangle_internal; + +END struct; diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.cache.dat b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.cache.dat new file mode 100644 index 0000000000000000000000000000000000000000..819f9f9b595ded95d3030dba9d21c1593d132acf GIT binary patch literal 5487 zcmcgw&2Q5%6!*IBi&p#SKtp_tO54ylQ0N3mAP`$=wN-44ZPmt3QQC&CD6L15ZYl`z zHz0B4f`kM&j@&qLKpYTfZiqVvB+k5-#%Y!~R;PMJ z8miGumekUYMnJ~quBLCM=906SMpZ8-uV2E(#Fo0JJ*Gx&QLAf)YEc7Qdz{NIoW>TA zgiiN&Rnxp;Hfu$yr_3U@#UkQnPg!0H@?m=#Sp^(cen@ia)xXv)Hnrd2_v8fqmmDYi> z+@iFn6}bhK6G)^Ka*qo38@z$I)97esXBnGmHOeqMJ{{QuU>S51rn+xYYVFKdv^sae zwm$(?*9&&u<+13z`Lv-L8kcQtN(S2nFPmjl)p|t_;kzS)&($I*F=&!Q|G*^Q0*^G4 zoMiI@XH-OH+4BZyW!QI+H46Z=k9)Y?8R zqfxR_MQ>v8`xj6RXO>s*-B+^tg~j!S6=)zayn!4pIq=bO(yJ%40NS zhZhci?cl*30C4u0H=4P_C$KNJkKwm3wvKeKNqp?35U5jnkrn?PS) z(RZ;C$HD*4<8}Y`kntOkDB9sbUcp2Y>)|kbJqG}L{{l*XUV*JcjOPy^0i@_R02l%! z`z$901hW9PuY5;(t#;^CzvJY=JbxJ?G)^{lJ#M{$qt> oarM&SIXN6PL_Hm!48xK6M%L-kBplc8#ct9X%>BI%?yLy;54Jd~`v3p{ literal 0 HcmV?d00001 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhg._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_masterVersion.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_masterVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_masterVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_studentVersion.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothGen_masterVersion.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothGen_masterVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothGen_masterVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothGen_studentVersion.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothGen_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothGen_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToSquare_masterVersion.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToSquare_masterVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToSquare_masterVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToSquare_studentVersion.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToSquare_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToSquare_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToTriangle_masterVersion.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToTriangle_masterVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToTriangle_masterVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToTriangle_studentVersion.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToTriangle_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToTriangle_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhg._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhg._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhg._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangleToPolygon_masterVersion.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangleToPolygon_masterVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangleToPolygon_masterVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangleToPolygon_studentVersion.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangleToPolygon_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangleToPolygon_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhg._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhg._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhg._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/lowpass_entity.xrf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/lowpass_entity.xrf new file mode 100644 index 0000000..daaa156 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/lowpass_entity.xrf @@ -0,0 +1,27 @@ +DESIGN lowpass +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 57,0 18 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 52,0 19 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 76,0 20 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 83,0 21 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 1,0 24 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 1,0 25 0 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothgen_entity.xrf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothgen_entity.xrf new file mode 100644 index 0000000..b4cebc4 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothgen_entity.xrf @@ -0,0 +1,30 @@ +DESIGN sawtooth@gen +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 57,0 17 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 52,0 18 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 76,0 19 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 83,0 20 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 89,0 21 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 1,0 24 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 1,0 25 0 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtosquare_entity.xrf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtosquare_entity.xrf new file mode 100644 index 0000000..c726488 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtosquare_entity.xrf @@ -0,0 +1,21 @@ +DESIGN sawtooth@to@square +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 57,0 17 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 83,0 18 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 1,0 21 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 1,0 22 0 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtotriangle_entity.xrf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtotriangle_entity.xrf new file mode 100644 index 0000000..52c935d --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtotriangle_entity.xrf @@ -0,0 +1,21 @@ +DESIGN sawtooth@to@triangle +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 57,0 17 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 83,0 18 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 1,0 21 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 1,0 22 0 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/triangletopolygon_entity.xrf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/triangletopolygon_entity.xrf new file mode 100644 index 0000000..911ab55 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/triangletopolygon_entity.xrf @@ -0,0 +1,21 @@ +DESIGN triangle@to@polygon +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 57,0 17 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 83,0 18 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 1,0 21 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 1,0 22 0 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_entity.xrf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_entity.xrf new file mode 100644 index 0000000..02015c0 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_entity.xrf @@ -0,0 +1,42 @@ +DESIGN waveform@gen +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 52,0 18 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 123,0 19 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 88,0 20 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 113,0 21 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 93,0 22 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 98,0 23 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 103,0 24 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 108,0 25 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 118,0 26 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 1,0 29 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 1,0 30 0 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_struct.xrf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_struct.xrf new file mode 100644 index 0000000..69bfc82 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_struct.xrf @@ -0,0 +1,215 @@ +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 84,0 9 0 +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 12 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 0,0 15 2 +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 20 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 513,0 22 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 414,0 23 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 424,0 24 0 +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 26 +LIBRARY WaveformGenerator +DESIGN lowpass +VIEW master@version +GRAPHIC 1036,0 28 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 14,0 29 1 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 57,0 34 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 52,0 35 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 76,0 36 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 83,0 37 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1227,0 40 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 14,0 41 1 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 57,0 45 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 52,0 46 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 76,0 47 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 83,0 48 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 89,0 49 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 916,0 52 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 14,0 53 1 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 57,0 57 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 83,0 58 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 977,0 61 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 14,0 62 1 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 57,0 66 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 83,0 67 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1011,0 70 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 14,0 71 1 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 57,0 75 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 83,0 76 0 +LIBRARY WaveformGenerator +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 79 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1036,0 82 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1227,0 83 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 916,0 84 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 977,0 85 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1011,0 86 0 +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 89 +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 91 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1036,0 93 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1043,0 94 1 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 562,0 99 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 184,0 100 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 192,0 101 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 513,0 102 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1227,0 104 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1234,0 105 1 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 414,0 109 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 15,0 110 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 237,0 111 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 319,0 112 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 719,0 113 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 916,0 115 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 923,0 116 1 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 480,0 120 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 414,0 121 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 977,0 123 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 984,0 124 1 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 424,0 128 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 472,0 129 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1011,0 131 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1018,0 132 1 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 513,0 136 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 424,0 137 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 513,0 141 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 414,0 142 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 424,0 143 0 +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 145 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_lowpass._epf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_lowpass._epf new file mode 100644 index 0000000..1f0768c --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_lowpass._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom masterVersion +DEFAULT_FILE atom lowpass_masterVersion.vhd diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothgen._epf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothgen._epf new file mode 100644 index 0000000..fad87d6 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothgen._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom sawtoothGen_masterVersion.vhd +DEFAULT_ARCHITECTURE atom masterVersion diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtosquare._epf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtosquare._epf new file mode 100644 index 0000000..55351fb --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtosquare._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom masterVersion +DEFAULT_FILE atom sawtoothToSquare_masterVersion.vhd diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtotriangle._epf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtotriangle._epf new file mode 100644 index 0000000..c8d1748 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtotriangle._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom masterVersion +DEFAULT_FILE atom sawtoothToTriangle_masterVersion.vhd diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_triangletopolygon._epf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_triangletopolygon._epf new file mode 100644 index 0000000..7f86fe2 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_triangletopolygon._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom masterVersion +DEFAULT_FILE atom triangleToPolygon_masterVersion.vhd diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_waveformgen._epf b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_waveformgen._epf new file mode 100644 index 0000000..c91c6be --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/_waveformgen._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom waveform@gen/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/lowpass/symbol.sb b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/lowpass/symbol.sb new file mode 100644 index 0000000..8ea05da --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/lowpass/symbol.sb @@ -0,0 +1,1607 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 150,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 151,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 152,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 153,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 154,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 155,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 90,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 93,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 95,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 116,0 +) +*24 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 117,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 118,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 119,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 99,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 103,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 105,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 107,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 109,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 111,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 113,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 149,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 157,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 145,0 +) +*48 (LogGeneric +generic (GiElement +name "shiftBitNb" +type "positive" +value "12" +) +uid 146,0 +) +] +) +pdm (PhysicalDM +uid 158,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 121,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 124,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 128,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 147,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 122,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 130,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 134,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 136,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 138,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 140,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 142,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 144,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 120,0 +vaOverrides [ +] +) +] +) +uid 156,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass" +) +(vvPair +variable "date" +value "03/11/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lowpass" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/11/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "08:02:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "lowpass" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "waveformGenerator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:02:49" +) +(vvPair +variable "unit" +value "lowpass" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,17400,36400,18600" +st "clock" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,19000,12800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "39700,13400,47000,14600" +st "lowpassOut" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,30000,11900" +st "lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*66 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "courier,9,0" +) +xt "33000,19400,36300,20600" +st "reset" +blo "33000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,19000,13700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,38800,14600" +st "lowpassIn" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,29000,14600" +st "lowpassIn : IN unsigned (signalBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,21800,41600,22700" +st "WaveformGenerator" +blo "32600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22700,36100,23600" +st "lowpass" +blo "32600,23400" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,25600,45000,29200" +st "Generic Declarations + +signalBitNb positive 16 +shiftBitNb positive 12 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "shiftBitNb" +type "positive" +value "12" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,52400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2,35,1387,985" +viewArea "-1070,-1070,74579,51352" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14600,2500,15500" +st "User:" +blo "0,15300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 181,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@gen/symbol.sb b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@gen/symbol.sb new file mode 100644 index 0000000..ce19a0a --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@gen/symbol.sb @@ -0,0 +1,1648 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 154,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 155,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 156,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 157,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 158,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 159,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 95,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 98,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 100,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*24 (MRCItem +litem &2 +pos 4 +dimension 20 +uid 121,0 +) +*25 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 122,0 +) +*26 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 123,0 +) +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 124,0 +) +*28 (MRCItem +litem &6 +pos 1 +dimension 20 +uid 125,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 104,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 108,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 112,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 114,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 116,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 118,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 120,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 94,0 +vaOverrides [ +] +) +] +) +uid 153,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 162,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 151,0 +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 127,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 130,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 132,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 152,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 140,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 142,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 144,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 146,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 148,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 150,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 126,0 +vaOverrides [ +] +) +] +) +uid 161,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@gen/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@gen/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@gen" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtoothGen" +) +(vvPair +variable "date" +value "03/11/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sawtoothGen" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/11/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "08:02:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "sawtoothGen" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@gen/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtoothGen/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "waveformGenerator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:02:49" +) +(vvPair +variable "unit" +value "sawtoothGen" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,17400,36400,18600" +st "clock" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,18000,12800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,11625,48750,12375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "41800,11400,47000,12600" +st "sawtooth" +ju 2 +blo "47000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,26000,11900" +st "sawtooth : OUT unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*66 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "courier,9,0" +) +xt "33000,19400,36300,20600" +st "reset" +blo "33000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,18000,13700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,11625,32000,12375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,11400,35900,12600" +st "step" +blo "33000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,26000,14600" +st "step : IN unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*68 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,15625,32000,16375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "33000,15400,34900,16600" +st "en" +blo "33000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,17000,15500" +st "en : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,8000,48000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,21800,41600,22700" +st "WaveformGenerator" +blo "32600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22700,38600,23600" +st "sawtoothGen" +blo "32600,23400" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,25600,42500,28300" +st "Generic Declarations + +bitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2,48,1381,978" +viewArea "-1100,-1100,74300,50120" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15500,2500,16400" +st "User:" +blo "0,16200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,2000,16400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 209,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@square/symbol.sb b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@square/symbol.sb new file mode 100644 index 0000000..d04fff0 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@square/symbol.sb @@ -0,0 +1,1465 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 146,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 147,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 148,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 149,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 90,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 93,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 103,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 105,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 107,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 109,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 111,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 113,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 145,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 143,0 +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 119,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 122,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 124,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 144,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 132,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 134,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 136,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 138,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 150,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@square/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@square/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@square" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtoothToSquare" +) +(vvPair +variable "date" +value "03/11/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sawtoothToSquare" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/11/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "08:02:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "sawtoothToSquare" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@square/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtoothToSquare/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "waveformGenerator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:02:49" +) +(vvPair +variable "unit" +value "sawtoothToSquare" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "42900,13400,47000,14600" +st "square" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,26000,11900" +st "square : OUT unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*59 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,38200,14600" +st "sawtooth" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,25000,12800" +st "sawtooth : IN unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,41600,18700" +st "WaveformGenerator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,41100,19600" +st "sawtoothToSquare" +blo "32600,19400" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,21600,42500,24300" +st "Generic Declarations + +bitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*61 (Grouping +uid 16,0 +optionalChildren [ +*62 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*64 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*67 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *72 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*74 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,36,1391,987" +viewArea "-1064,-1064,74593,51160" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *75 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *76 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 175,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@triangle/symbol.sb b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@triangle/symbol.sb new file mode 100644 index 0000000..cee75ad --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@triangle/symbol.sb @@ -0,0 +1,1465 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 146,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 147,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 148,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 149,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 90,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 93,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 103,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 105,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 107,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 109,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 111,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 113,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 145,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 143,0 +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 119,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 122,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 124,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 144,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 132,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 134,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 136,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 138,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 150,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@triangle/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@triangle/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@triangle" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtoothToTriangle" +) +(vvPair +variable "date" +value "03/11/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sawtoothToTriangle" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/11/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "08:02:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "sawtoothToTriangle" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@triangle/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtoothToTriangle/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "waveformGenerator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:02:49" +) +(vvPair +variable "unit" +value "sawtoothToTriangle" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "42500,13400,47000,14600" +st "triangle" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,26000,11900" +st "triangle : OUT unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*59 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,38200,14600" +st "sawtooth" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,25000,12800" +st "sawtooth : IN unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,41600,18700" +st "WaveformGenerator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,42100,19600" +st "sawtoothToTriangle" +blo "32600,19400" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,21600,42500,24300" +st "Generic Declarations + +bitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*61 (Grouping +uid 16,0 +optionalChildren [ +*62 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*64 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*67 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *72 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*74 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "1,34,1392,978" +viewArea "-1100,-1100,75080,51030" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *75 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *76 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 198,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/triangle@to@polygon/symbol.sb b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/triangle@to@polygon/symbol.sb new file mode 100644 index 0000000..55be706 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/triangle@to@polygon/symbol.sb @@ -0,0 +1,1465 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 146,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 147,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 148,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 149,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 90,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 93,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 103,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 105,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 107,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 109,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 111,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 113,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 145,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 143,0 +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 119,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 122,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 124,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 144,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 132,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 134,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 136,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 138,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 150,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/triangle@to@polygon/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/triangle@to@polygon/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/triangle@to@polygon" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/triangleToPolygon" +) +(vvPair +variable "date" +value "03/11/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "triangleToPolygon" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/11/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "08:02:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "triangleToPolygon" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/triangle@to@polygon/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/triangleToPolygon/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "waveformGenerator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:02:49" +) +(vvPair +variable "unit" +value "triangleToPolygon" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "42400,13400,47000,14600" +st "polygon" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,26000,11900" +st "polygon : OUT unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*59 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,37500,14600" +st "triangle" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,25000,12800" +st "triangle : IN unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,41600,18700" +st "WaveformGenerator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,41600,19600" +st "triangleToPolygon" +blo "32600,19400" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,21600,42500,24300" +st "Generic Declarations + +bitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*61 (Grouping +uid 16,0 +optionalChildren [ +*62 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*64 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*67 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *72 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*74 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1,35,1394,985" +viewArea "-1061,-1061,74571,50904" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *75 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *76 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 175,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/struct.bd b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/struct.bd new file mode 100644 index 0000000..35d843f --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/struct.bd @@ -0,0 +1,4462 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_square" +duLibraryName "WaveformGenerator" +duName "sawtoothToSquare" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 916,0 +) +(Instance +name "I_tri" +duLibraryName "WaveformGenerator" +duName "sawtoothToTriangle" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 977,0 +) +(Instance +name "I_poly" +duLibraryName "WaveformGenerator" +duName "triangleToPolygon" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 1011,0 +) +(Instance +name "I_lp" +duLibraryName "WaveformGenerator" +duName "lowpass" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "10" +) +] +mwi 0 +uid 1036,0 +) +(Instance +name "I_saw" +duLibraryName "WaveformGenerator" +duName "sawtoothGen" +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 1227,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveformGen" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "waveformGen" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:40:08" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "waveformGen" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveformGen\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:40:08" +) +(vvPair +variable "unit" +value "waveformGen" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-2000,32625,-500,33375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-500,33000,0,33000" +pts [ +"-500,33000" +"0,33000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-6500,32300,-3000,33600" +st "clock" +ju 2 +blo "-3000,33300" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-1000,6600,10900,7800" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-2000,34625,-500,35375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-500,35000,0,35000" +pts [ +"-500,35000" +"0,35000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-6500,34300,-3000,35600" +st "reset" +ju 2 +blo "-3000,35300" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-1000,8400,10800,9600" +st "reset : std_ulogic" +) +) +*5 (Grouping +uid 51,0 +optionalChildren [ +*6 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,73000,86000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,73500,69200,73500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "86000,69000,90000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "86200,69500,86200,69500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,71000,86000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,71500,69200,71500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,71000,69000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,71500,65200,71500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "86000,70000,106000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "86200,70200,100300,71400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*11 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "90000,69000,106000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "90200,69500,90200,69500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,69000,86000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "70350,69400,80650,70600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,72000,69000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,72500,65200,72500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,73000,69000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,73500,65200,73500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,72000,86000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,72500,69200,72500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "65000,69000,106000,74000" +) +oxt "14000,66000,55000,71000" +) +*16 (Net +uid 317,0 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +declText (MLText +uid 318,0 +va (VaSet +) +xt "-1000,9300,24800,10500" +st "step : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*17 (Net +uid 412,0 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 4,0 +) +declText (MLText +uid 413,0 +va (VaSet +) +xt "-1000,11100,25900,12300" +st "sawtooth : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*18 (Net +uid 422,0 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 5,0 +) +declText (MLText +uid 423,0 +va (VaSet +) +xt "-1000,13800,25200,15000" +st "triangle : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*19 (Net +uid 478,0 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 6,0 +) +declText (MLText +uid 479,0 +va (VaSet +) +xt "-1000,12900,25400,14100" +st "square : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*20 (Net +uid 511,0 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 7,0 +) +declText (MLText +uid 512,0 +va (VaSet +) +xt "-1000,10200,25600,11400" +st "polygon : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*21 (Net +uid 568,0 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 8,0 +) +declText (MLText +uid 569,0 +va (VaSet +) +xt "-1000,12000,24700,13200" +st "sine : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*22 (PortIoIn +uid 595,0 +shape (CompositeShape +uid 596,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 597,0 +sl 0 +ro 270 +xt "-2000,26625,-500,27375" +) +(Line +uid 598,0 +sl 0 +ro 270 +xt "-500,27000,0,27000" +pts [ +"-500,27000" +"0,27000" +] +) +] +) +tg (WTG +uid 599,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 600,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-24700,26300,-3000,27600" +st "step : (phaseBitNb-1 DOWNTO 0)" +ju 2 +blo "-3000,27300" +tm "WireNameMgr" +) +) +) +*23 (PortIoOut +uid 609,0 +shape (CompositeShape +uid 610,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 611,0 +sl 0 +ro 270 +xt "32500,18625,34000,19375" +) +(Line +uid 612,0 +sl 0 +ro 270 +xt "32000,19000,32500,19000" +pts [ +"32000,19000" +"32500,19000" +] +) +] +) +tg (WTG +uid 613,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 614,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "35000,18300,59500,19600" +st "sawtooth : (phaseBitNb-1 DOWNTO 0)" +blo "35000,19300" +tm "WireNameMgr" +) +) +) +*24 (PortIoOut +uid 615,0 +shape (CompositeShape +uid 616,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 617,0 +sl 0 +ro 270 +xt "52500,26625,54000,27375" +) +(Line +uid 618,0 +sl 0 +ro 270 +xt "52000,27000,52500,27000" +pts [ +"52000,27000" +"52500,27000" +] +) +] +) +tg (WTG +uid 619,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 620,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "55000,26300,78800,27600" +st "square : (signalBitNb-1 DOWNTO 0)" +blo "55000,27300" +tm "WireNameMgr" +) +) +) +*25 (PortIoOut +uid 629,0 +shape (CompositeShape +uid 630,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 631,0 +sl 0 +ro 270 +xt "56500,34625,58000,35375" +) +(Line +uid 632,0 +sl 0 +ro 270 +xt "56000,35000,56500,35000" +pts [ +"56000,35000" +"56500,35000" +] +) +] +) +tg (WTG +uid 633,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 634,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "59000,34300,84200,35600" +st "triangle : (signalBitNb-1 DOWNTO 0)" +blo "59000,35300" +tm "WireNameMgr" +) +) +) +*26 (PortIoOut +uid 643,0 +shape (CompositeShape +uid 644,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 645,0 +sl 0 +ro 270 +xt "80500,34625,82000,35375" +) +(Line +uid 646,0 +sl 0 +ro 270 +xt "80000,35000,80500,35000" +pts [ +"80000,35000" +"80500,35000" +] +) +] +) +tg (WTG +uid 647,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 648,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "83000,34300,107500,35600" +st "polygon : (signalBitNb-1 DOWNTO 0)" +blo "83000,35300" +tm "WireNameMgr" +) +) +) +*27 (PortIoOut +uid 649,0 +shape (CompositeShape +uid 650,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 651,0 +sl 0 +ro 270 +xt "104500,42625,106000,43375" +) +(Line +uid 652,0 +sl 0 +ro 270 +xt "104000,43000,104500,43000" +pts [ +"104000,43000" +"104500,43000" +] +) +] +) +tg (WTG +uid 653,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 654,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "107000,42300,129400,43600" +st "sine : (signalBitNb-1 DOWNTO 0)" +blo "107000,43300" +tm "WireNameMgr" +) +) +) +*28 (Net +uid 717,0 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 9,0 +) +declText (MLText +uid 718,0 +va (VaSet +) +xt "-1000,7500,10300,8700" +st "en : std_ulogic" +) +) +*29 (PortIoIn +uid 725,0 +shape (CompositeShape +uid 726,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 727,0 +sl 0 +ro 270 +xt "-2000,30625,-500,31375" +) +(Line +uid 728,0 +sl 0 +ro 270 +xt "-500,31000,0,31000" +pts [ +"-500,31000" +"0,31000" +] +) +] +) +tg (WTG +uid 729,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 730,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-4400,30300,-3000,31600" +st "en" +ju 2 +blo "-3000,31300" +tm "WireNameMgr" +) +) +) +*30 (SaComponent +uid 916,0 +optionalChildren [ +*31 (CptPort +uid 908,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 909,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,26625,48750,27375" +) +tg (CPTG +uid 910,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 911,0 +va (VaSet +font "Arial,9,0" +) +xt "44000,26400,47000,27300" +st "square" +ju 2 +blo "47000,27100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*32 (CptPort +uid 912,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 913,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,26625,32000,27375" +) +tg (CPTG +uid 914,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 915,0 +va (VaSet +font "Arial,9,0" +) +xt "33000,26400,37000,27300" +st "sawtooth" +blo "33000,27100" +) +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 917,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,23000,48000,31000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 918,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 919,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,30800,41600,31700" +st "WaveformGenerator" +blo "32600,31500" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 920,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,32000,41100,32900" +st "sawtoothToSquare" +blo "32600,32700" +tm "CptNameMgr" +) +*35 (Text +uid 921,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,33200,36600,34100" +st "I_square" +blo "32600,33900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 922,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 923,0 +text (MLText +uid 924,0 +va (VaSet +) +xt "32000,34600,51100,35800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*36 (SaComponent +uid 977,0 +optionalChildren [ +*37 (CptPort +uid 969,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 970,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,42625,48750,43375" +) +tg (CPTG +uid 971,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 972,0 +va (VaSet +font "Arial,9,0" +) +xt "43000,42400,47000,43300" +st "triangle" +ju 2 +blo "47000,43100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*38 (CptPort +uid 973,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 974,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,42625,32000,43375" +) +tg (CPTG +uid 975,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 976,0 +va (VaSet +font "Arial,9,0" +) +xt "33000,42400,37000,43300" +st "sawtooth" +blo "33000,43100" +) +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 978,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,39000,48000,47000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 979,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 980,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,46800,41600,47700" +st "WaveformGenerator" +blo "32600,47500" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 981,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,48000,42100,48900" +st "sawtoothToTriangle" +blo "32600,48700" +tm "CptNameMgr" +) +*41 (Text +uid 982,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,49200,35100,50100" +st "I_tri" +blo "32600,49900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 983,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 984,0 +text (MLText +uid 985,0 +va (VaSet +) +xt "32000,50600,51100,51800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*42 (SaComponent +uid 1011,0 +optionalChildren [ +*43 (CptPort +uid 1003,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1004,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "72000,42625,72750,43375" +) +tg (CPTG +uid 1005,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1006,0 +va (VaSet +font "Arial,9,0" +) +xt "67500,42400,71000,43300" +st "polygon" +ju 2 +blo "71000,43100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*44 (CptPort +uid 1007,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1008,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55250,42625,56000,43375" +) +tg (CPTG +uid 1009,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1010,0 +va (VaSet +font "Arial,9,0" +) +xt "57000,42400,61000,43300" +st "triangle" +blo "57000,43100" +) +) +thePort (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 1012,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "56000,39000,72000,47000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 1013,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 1014,0 +va (VaSet +font "Arial,9,1" +) +xt "56600,46800,65600,47700" +st "WaveformGenerator" +blo "56600,47500" +tm "BdLibraryNameMgr" +) +*46 (Text +uid 1015,0 +va (VaSet +font "Arial,9,1" +) +xt "56600,48000,65600,48900" +st "triangleToPolygon" +blo "56600,48700" +tm "CptNameMgr" +) +*47 (Text +uid 1016,0 +va (VaSet +font "Arial,9,1" +) +xt "56600,49200,59600,50100" +st "I_poly" +blo "56600,49900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1017,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1018,0 +text (MLText +uid 1019,0 +va (VaSet +) +xt "56000,50600,75100,51800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*48 (SaComponent +uid 1036,0 +optionalChildren [ +*49 (CptPort +uid 1020,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1021,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,46625,80000,47375" +) +tg (CPTG +uid 1022,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1023,0 +va (VaSet +font "Arial,9,0" +) +xt "81000,46400,83500,47300" +st "clock" +blo "81000,47100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*50 (CptPort +uid 1024,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1025,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "96000,42625,96750,43375" +) +tg (CPTG +uid 1026,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1027,0 +va (VaSet +font "Arial,9,0" +) +xt "89500,42400,95000,43300" +st "lowpassOut" +ju 2 +blo "95000,43100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*51 (CptPort +uid 1028,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1029,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,48625,80000,49375" +) +tg (CPTG +uid 1030,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1031,0 +va (VaSet +font "Arial,9,0" +) +xt "81000,48400,83500,49300" +st "reset" +blo "81000,49100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*52 (CptPort +uid 1032,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1033,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,42625,80000,43375" +) +tg (CPTG +uid 1034,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1035,0 +va (VaSet +font "Arial,9,0" +) +xt "81000,42400,85500,43300" +st "lowpassIn" +blo "81000,43100" +) +) +thePort (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 1037,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "80000,39000,96000,51000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 1038,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 1039,0 +va (VaSet +font "Arial,9,1" +) +xt "80600,50800,89600,51700" +st "WaveformGenerator" +blo "80600,51500" +tm "BdLibraryNameMgr" +) +*54 (Text +uid 1040,0 +va (VaSet +font "Arial,9,1" +) +xt "80600,52000,84100,52900" +st "lowpass" +blo "80600,52700" +tm "CptNameMgr" +) +*55 (Text +uid 1041,0 +va (VaSet +font "Arial,9,1" +) +xt "80600,53200,82600,54100" +st "I_lp" +blo "80600,53900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1042,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1043,0 +text (MLText +uid 1044,0 +va (VaSet +) +xt "80000,54600,102900,57000" +st "signalBitNb = signalBitNb ( positive ) +shiftBitNb = 10 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "10" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*56 (SaComponent +uid 1227,0 +optionalChildren [ +*57 (CptPort +uid 1207,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1208,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,32625,8000,33375" +) +tg (CPTG +uid 1209,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1210,0 +va (VaSet +font "Arial,9,0" +) +xt "9000,32400,11500,33300" +st "clock" +blo "9000,33100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*58 (CptPort +uid 1211,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1212,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,26625,24750,27375" +) +tg (CPTG +uid 1213,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1214,0 +va (VaSet +font "Arial,9,0" +) +xt "19000,26400,23000,27300" +st "sawtooth" +ju 2 +blo "23000,27100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*59 (CptPort +uid 1215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,34625,8000,35375" +) +tg (CPTG +uid 1217,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1218,0 +va (VaSet +font "Arial,9,0" +) +xt "9000,34400,11500,35300" +st "reset" +blo "9000,35100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*60 (CptPort +uid 1219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1220,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,26625,8000,27375" +) +tg (CPTG +uid 1221,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1222,0 +va (VaSet +font "Arial,9,0" +) +xt "9000,26400,11000,27300" +st "step" +blo "9000,27100" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*61 (CptPort +uid 1223,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1224,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,30625,8000,31375" +) +tg (CPTG +uid 1225,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1226,0 +va (VaSet +font "Arial,9,0" +) +xt "9000,30400,10000,31300" +st "en" +blo "9000,31100" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 1228,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,23000,24000,37000" +) +oxt "32000,8000,48000,22000" +ttg (MlTextGroup +uid 1229,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +uid 1230,0 +va (VaSet +font "Arial,9,1" +) +xt "8600,36800,17600,37700" +st "WaveformGenerator" +blo "8600,37500" +tm "BdLibraryNameMgr" +) +*63 (Text +uid 1231,0 +va (VaSet +font "Arial,9,1" +) +xt "8600,37700,14600,38600" +st "sawtoothGen" +blo "8600,38400" +tm "CptNameMgr" +) +*64 (Text +uid 1232,0 +va (VaSet +font "Arial,9,1" +) +xt "8600,38600,11100,39500" +st "I_saw" +blo "8600,39300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1233,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1234,0 +text (MLText +uid 1235,0 +va (VaSet +) +xt "8000,40600,27100,41800" +st "bitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*65 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "0,33000,7250,33000" +pts [ +"0,33000" +"7250,33000" +] +) +start &1 +end &57 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Arial,12,0" +) +xt "0,31600,3500,32900" +st "clock" +blo "0,32600" +tm "WireNameMgr" +) +) +on &2 +) +*66 (Wire +uid 184,0 +shape (OrthoPolyLine +uid 185,0 +va (VaSet +vasetType 3 +) +xt "76000,47000,79250,47000" +pts [ +"76000,47000" +"79250,47000" +] +) +end &49 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 190,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 191,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,45600,79500,46900" +st "clock" +blo "76000,46600" +tm "WireNameMgr" +) +) +on &2 +) +*67 (Wire +uid 192,0 +shape (OrthoPolyLine +uid 193,0 +va (VaSet +vasetType 3 +) +xt "76000,49000,79250,49000" +pts [ +"76000,49000" +"79250,49000" +] +) +end &51 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 198,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 199,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,47600,79500,48900" +st "reset" +blo "76000,48600" +tm "WireNameMgr" +) +) +on &4 +) +*68 (Wire +uid 237,0 +shape (OrthoPolyLine +uid 238,0 +va (VaSet +vasetType 3 +) +xt "0,35000,7250,35000" +pts [ +"0,35000" +"7250,35000" +] +) +start &3 +end &59 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 243,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 244,0 +va (VaSet +font "Arial,12,0" +) +xt "0,33600,3500,34900" +st "reset" +blo "0,34600" +tm "WireNameMgr" +) +) +on &4 +) +*69 (Wire +uid 319,0 +shape (OrthoPolyLine +uid 320,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,27000,7250,27000" +pts [ +"7250,27000" +"0,27000" +] +) +start &60 +end &22 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 323,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 324,0 +va (VaSet +font "Arial,12,0" +) +xt "1000,25600,3800,26900" +st "step" +blo "1000,26600" +tm "WireNameMgr" +) +) +on &16 +) +*70 (Wire +uid 414,0 +optionalChildren [ +*71 (BdJunction +uid 476,0 +ps "OnConnectorStrategy" +shape (Circle +uid 477,0 +va (VaSet +vasetType 1 +) +xt "27600,26600,28400,27400" +radius 400 +) +) +*72 (BdJunction +uid 607,0 +ps "OnConnectorStrategy" +shape (Circle +uid 608,0 +va (VaSet +vasetType 1 +) +xt "27600,26600,28400,27400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 415,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24750,27000,31250,27000" +pts [ +"24750,27000" +"31250,27000" +] +) +start &58 +end &32 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 416,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 417,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "25000,25600,30600,26900" +st "sawtooth" +blo "25000,26600" +tm "WireNameMgr" +) +) +on &17 +) +*73 (Wire +uid 424,0 +optionalChildren [ +*74 (BdJunction +uid 627,0 +ps "OnConnectorStrategy" +shape (Circle +uid 628,0 +va (VaSet +vasetType 1 +) +xt "51600,42600,52400,43400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 425,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "48750,43000,55250,43000" +pts [ +"48750,43000" +"55250,43000" +] +) +start &37 +end &44 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 428,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 429,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "50000,41600,55600,42900" +st "triangle" +blo "50000,42600" +tm "WireNameMgr" +) +) +on &18 +) +*75 (Wire +uid 472,0 +shape (OrthoPolyLine +uid 473,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,27000,31250,43000" +pts [ +"28000,27000" +"28000,43000" +"31250,43000" +] +) +start &71 +end &38 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 474,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 475,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "24250,41600,29850,42900" +st "sawtooth" +blo "24250,42600" +tm "WireNameMgr" +) +) +on &17 +) +*76 (Wire +uid 480,0 +shape (OrthoPolyLine +uid 481,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "48750,27000,52000,27000" +pts [ +"48750,27000" +"52000,27000" +] +) +start &31 +end &24 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 484,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 485,0 +va (VaSet +font "Arial,12,0" +) +xt "50750,25600,54950,26900" +st "square" +blo "50750,26600" +tm "WireNameMgr" +) +) +on &19 +) +*77 (Wire +uid 513,0 +optionalChildren [ +*78 (BdJunction +uid 641,0 +ps "OnConnectorStrategy" +shape (Circle +uid 642,0 +va (VaSet +vasetType 1 +) +xt "75600,42600,76400,43400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 514,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "72750,43000,79250,43000" +pts [ +"72750,43000" +"79250,43000" +] +) +start &43 +end &52 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 517,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 518,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "74000,41600,78900,42900" +st "polygon" +blo "74000,42600" +tm "WireNameMgr" +) +) +on &20 +) +*79 (Wire +uid 562,0 +shape (OrthoPolyLine +uid 563,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "96750,43000,104000,43000" +pts [ +"96750,43000" +"104000,43000" +] +) +start &50 +end &27 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 566,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 567,0 +va (VaSet +font "Arial,12,0" +) +xt "100000,41600,102800,42900" +st "sine" +blo "100000,42600" +tm "WireNameMgr" +) +) +on &21 +) +*80 (Wire +uid 601,0 +shape (OrthoPolyLine +uid 602,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,19000,32000,27000" +pts [ +"28000,27000" +"28000,19000" +"32000,19000" +] +) +start &72 +end &23 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 605,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 606,0 +va (VaSet +font "Arial,12,0" +) +xt "26000,17600,31600,18900" +st "sawtooth" +blo "26000,18600" +tm "WireNameMgr" +) +) +on &17 +) +*81 (Wire +uid 621,0 +shape (OrthoPolyLine +uid 622,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52000,35000,56000,43000" +pts [ +"52000,43000" +"52000,35000" +"56000,35000" +] +) +start &74 +end &25 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 625,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 626,0 +va (VaSet +font "Arial,12,0" +) +xt "52000,33600,57600,34900" +st "triangle" +blo "52000,34600" +tm "WireNameMgr" +) +) +on &18 +) +*82 (Wire +uid 635,0 +shape (OrthoPolyLine +uid 636,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "76000,35000,80000,43000" +pts [ +"76000,43000" +"76000,35000" +"80000,35000" +] +) +start &78 +end &26 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 639,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 640,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,33600,80900,34900" +st "polygon" +blo "76000,34600" +tm "WireNameMgr" +) +) +on &20 +) +*83 (Wire +uid 719,0 +shape (OrthoPolyLine +uid 720,0 +va (VaSet +vasetType 3 +) +xt "0,31000,7250,31000" +pts [ +"7250,31000" +"0,31000" +] +) +start &61 +end &29 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 723,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 724,0 +va (VaSet +font "Arial,12,0" +) +xt "1000,29600,2400,30900" +st "en" +blo "1000,30600" +tm "WireNameMgr" +) +) +on &28 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *84 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 85,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,0,3500,900" +st "Package List" +blo "-3000,700" +) +*86 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*88 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*89 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*90 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*91 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*92 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*93 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4571,-1604,138105,75916" +cachedDiagramExtent "-24700,0,129400,74000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 1289,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*95 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*96 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*98 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*99 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*101 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*102 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*103 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*104 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*105 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*107 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*108 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*110 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*112 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*114 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,4800,3500,5700" +st "Declarations" +blo "-3000,5500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,5700,0,6600" +st "Ports:" +blo "-3000,6400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-3000,4800,1500,5700" +st "Pre User:" +blo "-3000,5500" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +) +xt "-3000,4800,-3000,4800" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,14700,5500,15600" +st "Diagram Signals:" +blo "-3000,15400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-3000,4800,2500,5700" +st "Post User:" +blo "-3000,5500" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-3000,4800,-3000,4800" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *115 (LEmptyRow +) +uid 787,0 +optionalChildren [ +*116 (RefLabelRowHdr +) +*117 (TitleRowHdr +) +*118 (FilterRowHdr +) +*119 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*120 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*121 (GroupColHdr +tm "GroupColHdrMgr" +) +*122 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*123 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*124 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*125 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*126 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*127 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*128 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 768,0 +) +*129 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 770,0 +) +*130 (LeafLogPort +port (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 772,0 +) +*131 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 4,0 +) +) +uid 774,0 +) +*132 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 5,0 +) +) +uid 776,0 +) +*133 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 6,0 +) +) +uid 778,0 +) +*134 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 7,0 +) +) +uid 780,0 +) +*135 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 8,0 +) +) +uid 782,0 +) +*136 (LeafLogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 9,0 +) +) +uid 784,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 800,0 +optionalChildren [ +*137 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *138 (MRCItem +litem &115 +pos 9 +dimension 20 +) +uid 802,0 +optionalChildren [ +*139 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 803,0 +) +*140 (MRCItem +litem &117 +pos 1 +dimension 23 +uid 804,0 +) +*141 (MRCItem +litem &118 +pos 2 +hidden 1 +dimension 20 +uid 805,0 +) +*142 (MRCItem +litem &128 +pos 0 +dimension 20 +uid 769,0 +) +*143 (MRCItem +litem &129 +pos 1 +dimension 20 +uid 771,0 +) +*144 (MRCItem +litem &130 +pos 2 +dimension 20 +uid 773,0 +) +*145 (MRCItem +litem &131 +pos 3 +dimension 20 +uid 775,0 +) +*146 (MRCItem +litem &132 +pos 4 +dimension 20 +uid 777,0 +) +*147 (MRCItem +litem &133 +pos 5 +dimension 20 +uid 779,0 +) +*148 (MRCItem +litem &134 +pos 6 +dimension 20 +uid 781,0 +) +*149 (MRCItem +litem &135 +pos 7 +dimension 20 +uid 783,0 +) +*150 (MRCItem +litem &136 +pos 8 +dimension 20 +uid 785,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 806,0 +optionalChildren [ +*151 (MRCItem +litem &119 +pos 0 +dimension 20 +uid 807,0 +) +*152 (MRCItem +litem &121 +pos 1 +dimension 50 +uid 808,0 +) +*153 (MRCItem +litem &122 +pos 2 +dimension 100 +uid 809,0 +) +*154 (MRCItem +litem &123 +pos 3 +dimension 50 +uid 810,0 +) +*155 (MRCItem +litem &124 +pos 4 +dimension 100 +uid 811,0 +) +*156 (MRCItem +litem &125 +pos 5 +dimension 100 +uid 812,0 +) +*157 (MRCItem +litem &126 +pos 6 +dimension 50 +uid 813,0 +) +*158 (MRCItem +litem &127 +pos 7 +dimension 80 +uid 814,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 801,0 +vaOverrides [ +] +) +] +) +uid 786,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *159 (LEmptyRow +) +uid 816,0 +optionalChildren [ +*160 (RefLabelRowHdr +) +*161 (TitleRowHdr +) +*162 (FilterRowHdr +) +*163 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*164 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*165 (GroupColHdr +tm "GroupColHdrMgr" +) +*166 (NameColHdr +tm "GenericNameColHdrMgr" +) +*167 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*168 (InitColHdr +tm "GenericValueColHdrMgr" +) +*169 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*170 (EolColHdr +tm "GenericEolColHdrMgr" +) +*171 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "16" +) +uid 905,0 +) +*172 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 907,0 +) +] +) +pdm (PhysicalDM +uid 828,0 +optionalChildren [ +*173 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *174 (MRCItem +litem &159 +pos 2 +dimension 20 +) +uid 830,0 +optionalChildren [ +*175 (MRCItem +litem &160 +pos 0 +dimension 20 +uid 831,0 +) +*176 (MRCItem +litem &161 +pos 1 +dimension 23 +uid 832,0 +) +*177 (MRCItem +litem &162 +pos 2 +hidden 1 +dimension 20 +uid 833,0 +) +*178 (MRCItem +litem &171 +pos 0 +dimension 20 +uid 904,0 +) +*179 (MRCItem +litem &172 +pos 1 +dimension 20 +uid 906,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 834,0 +optionalChildren [ +*180 (MRCItem +litem &163 +pos 0 +dimension 20 +uid 835,0 +) +*181 (MRCItem +litem &165 +pos 1 +dimension 50 +uid 836,0 +) +*182 (MRCItem +litem &166 +pos 2 +dimension 100 +uid 837,0 +) +*183 (MRCItem +litem &167 +pos 3 +dimension 100 +uid 838,0 +) +*184 (MRCItem +litem &168 +pos 4 +dimension 50 +uid 839,0 +) +*185 (MRCItem +litem &169 +pos 5 +dimension 50 +uid 840,0 +) +*186 (MRCItem +litem &170 +pos 6 +dimension 80 +uid 841,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 829,0 +vaOverrides [ +] +) +] +) +uid 815,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/symbol.sb b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/symbol.sb new file mode 100644 index 0000000..a15304e --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/symbol.sb @@ -0,0 +1,1936 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2009,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 192,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 9,0 +) +) +uid 193,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 8,0 +) +) +uid 194,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 4 +suid 7,0 +) +) +uid 195,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 6,0 +) +) +uid 196,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +uid 197,0 +) +*7 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 3,0 +) +) +uid 198,0 +) +*8 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 4,0 +) +) +uid 199,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 200,0 +) +*10 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 201,0 +) +*11 (RefLabelRowHdr +) +*12 (TitleRowHdr +) +*13 (FilterRowHdr +) +*14 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*15 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*16 (GroupColHdr +tm "GroupColHdrMgr" +) +*17 (NameColHdr +tm "NameColHdrMgr" +) +*18 (ModeColHdr +tm "ModeColHdrMgr" +) +*19 (TypeColHdr +tm "TypeColHdrMgr" +) +*20 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*21 (InitColHdr +tm "InitColHdrMgr" +) +*22 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 202,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 129,0 +optionalChildren [ +*25 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 132,0 +) +*26 (MRCItem +litem &12 +pos 1 +dimension 23 +uid 134,0 +) +*27 (MRCItem +litem &13 +pos 2 +hidden 1 +dimension 20 +uid 136,0 +) +*28 (MRCItem +litem &2 +pos 8 +dimension 20 +uid 155,0 +) +*29 (MRCItem +litem &3 +pos 4 +dimension 20 +uid 156,0 +) +*30 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 157,0 +) +*31 (MRCItem +litem &5 +pos 5 +dimension 20 +uid 158,0 +) +*32 (MRCItem +litem &6 +pos 7 +dimension 20 +uid 159,0 +) +*33 (MRCItem +litem &7 +pos 6 +dimension 20 +uid 160,0 +) +*34 (MRCItem +litem &8 +pos 3 +dimension 20 +uid 161,0 +) +*35 (MRCItem +litem &9 +pos 1 +dimension 20 +uid 162,0 +) +*36 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 163,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 130,0 +optionalChildren [ +*37 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 138,0 +) +*38 (MRCItem +litem &16 +pos 1 +dimension 50 +uid 142,0 +) +*39 (MRCItem +litem &17 +pos 2 +dimension 100 +uid 144,0 +) +*40 (MRCItem +litem &18 +pos 3 +dimension 50 +uid 146,0 +) +*41 (MRCItem +litem &19 +pos 4 +dimension 100 +uid 148,0 +) +*42 (MRCItem +litem &20 +pos 5 +dimension 100 +uid 150,0 +) +*43 (MRCItem +litem &21 +pos 6 +dimension 50 +uid 152,0 +) +*44 (MRCItem +litem &22 +pos 7 +dimension 80 +uid 154,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 128,0 +vaOverrides [ +] +) +] +) +uid 191,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 204,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +*57 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "16" +) +uid 189,0 +) +*58 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 275,0 +) +] +) +pdm (PhysicalDM +uid 205,0 +optionalChildren [ +*59 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *60 (MRCItem +litem &45 +pos 2 +dimension 20 +) +uid 165,0 +optionalChildren [ +*61 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 168,0 +) +*62 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 170,0 +) +*63 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 172,0 +) +*64 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 190,0 +) +*65 (MRCItem +litem &58 +pos 1 +dimension 20 +uid 276,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 166,0 +optionalChildren [ +*66 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 174,0 +) +*67 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 178,0 +) +*68 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 180,0 +) +*69 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 182,0 +) +*70 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 184,0 +) +*71 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 186,0 +) +*72 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 188,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 164,0 +vaOverrides [ +] +) +] +) +uid 203,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hdl" +) +(vvPair +variable "HDSDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds\\waveform@gen\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds\\waveform@gen\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds\\waveform@gen" +) +(vvPair +variable "d_logical" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds\\waveformGen" +) +(vvPair +variable "date" +value "06.03.2019" +) +(vvPair +variable "day" +value "mer." +) +(vvPair +variable "day_long" +value "mercredi" +) +(vvPair +variable "dd" +value "06" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "waveformGen" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois.corthay" +) +(vvPair +variable "graphical_source_date" +value "06.03.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WEA20303" +) +(vvPair +variable "graphical_source_time" +value "17:19:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WEA20303" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "waveformGen" +) +(vvPair +variable "month" +value "mars" +) +(vvPair +variable "month_long" +value "mars" +) +(vvPair +variable "p" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds\\waveform@gen\\symbol.sb" +) +(vvPair +variable "p_logical" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds\\waveformGen\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:19:13" +) +(vvPair +variable "unit" +value "waveformGen" +) +(vvPair +variable "user" +value "francois.corthay" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*73 (SymbolBody +uid 8,0 +optionalChildren [ +*74 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,25625,32000,26375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "Verdana,9,0" +) +xt "33000,25400,36400,26600" +st "clock" +blo "33000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,9800,19000,10600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*75 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,27625,32000,28375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +font "Verdana,9,0" +) +xt "33000,27400,36300,28600" +st "reset" +blo "33000,28400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 92,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11400,19000,12200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*76 (CptPort +uid 93,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 94,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,23625,48750,24375" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 96,0 +va (VaSet +font "Verdana,9,0" +) +xt "42400,23400,47000,24600" +st "polygon" +ju 2 +blo "47000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13000,30500,13800" +st "polygon : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 3,0 +) +) +) +*77 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +font "Verdana,9,0" +) +xt "41800,17400,47000,18600" +st "sawtooth" +ju 2 +blo "47000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 102,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13800,30000,14600" +st "sawtooth : OUT unsigned (phaseBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 4,0 +) +) +) +*78 (CptPort +uid 103,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,25625,48750,26375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 106,0 +va (VaSet +font "Verdana,9,0" +) +xt "44200,25400,47000,26600" +st "sine" +ju 2 +blo "47000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 107,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14600,30500,15400" +st "sine : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +) +*79 (CptPort +uid 108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,19625,48750,20375" +) +tg (CPTG +uid 110,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 111,0 +va (VaSet +font "Verdana,9,0" +) +xt "42900,19400,47000,20600" +st "square" +ju 2 +blo "47000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15400,30500,16200" +st "square : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 6,0 +) +) +) +*80 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 114,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +font "Verdana,9,0" +) +xt "33000,17400,35900,18600" +st "step" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12200,30000,13000" +st "step : IN unsigned (phaseBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 4 +suid 7,0 +) +) +) +*81 (CptPort +uid 118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,21625,48750,22375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 121,0 +va (VaSet +font "Verdana,9,0" +) +xt "42500,21400,47000,22600" +st "triangle" +ju 2 +blo "47000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 122,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16200,29500,17000" +st "triangle : OUT unsigned (signalBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 8,0 +) +) +) +*82 (CptPort +uid 123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,23625,32000,24375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 126,0 +va (VaSet +font "Verdana,9,0" +) +xt "33000,23400,34900,24600" +st "en" +blo "33000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 127,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,10600,19000,11400" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,14000,48000,30000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "32600,29800,44100,31000" +st "WaveformGenerator" +blo "32600,30800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "32600,31000,40800,32200" +st "waveformGen" +blo "32600,32000" +) +) +gi *83 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "32000,33600,46000,36800" +st "Generic Declarations + +phaseBitNb positive 16 +signalBitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "phaseBitNb" +type "positive" +value "16" +) +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*84 (Grouping +uid 16,0 +optionalChildren [ +*85 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,47800,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*90 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *95 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*97 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "1,36,1389,979" +viewArea "-1100,-1100,74885,50965" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *98 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *99 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,17000,2400,18000" +st "User:" +blo "0,17800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18000,2000,18000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 437,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformGen_tester_test.vhd b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformGen_tester_test.vhd new file mode 100644 index 0000000..52d3ddd --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformGen_tester_test.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE test OF waveformGen_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + +begin + ------------------------------------------------------------------------------ + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + reset <= '1', '0' after 2*clockPeriod; + + ------------------------------------------------------------------------------ + -- enable + en <= '0', '1' after 100 us; + + ------------------------------------------------------------------------------ + -- frequency control + step <= to_unsigned(2**(step'length-13), step'length); + +END ARCHITECTURE test; + diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_entity.vhg b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_entity.vhg new file mode 100644 index 0000000..6ec26bf --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_entity.vhg @@ -0,0 +1,15 @@ +-- VHDL Entity WaveformGenerator_test.waveformGen_tb.symbol +-- +-- Created: +-- by - francois.corthay.UNKNOWN (WEA30906) +-- at - 14:48:16 25.02.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- + + +ENTITY waveformGen_tb IS +-- Declarations + +END waveformGen_tb ; + diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_struct.vhg b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_struct.vhg new file mode 100644 index 0000000..b264994 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_struct.vhg @@ -0,0 +1,100 @@ +-- +-- VHDL Architecture WaveformGenerator_test.waveformGen_tb.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:39:46 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +LIBRARY WaveformGenerator; +LIBRARY WaveformGenerator_test; + +ARCHITECTURE struct OF waveformGen_tb IS + + -- Architecture declarations + constant bitNb: positive := 16; + constant clockFrequency: real := 60.0E6; + --constant clockFrequency: real := 66.0E6; + + -- Internal signal declarations + SIGNAL clock : std_ulogic; + SIGNAL en : std_ulogic; + SIGNAL reset : std_ulogic; + SIGNAL step : unsigned(bitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT waveformGen + GENERIC ( + phaseBitNb : positive := 16; + signalBitNb : positive := 16 + ); + PORT ( + clock : IN std_ulogic ; + en : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + polygon : OUT unsigned (signalBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (phaseBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT waveformGen_tester + GENERIC ( + bitNb : positive := 16; + clockFrequency : real := 60.0E6 + ); + PORT ( + clock : OUT std_ulogic ; + en : OUT std_ulogic ; + reset : OUT std_ulogic ; + step : OUT unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : waveformGen USE ENTITY WaveformGenerator.waveformGen; + FOR ALL : waveformGen_tester USE ENTITY WaveformGenerator_test.waveformGen_tester; + -- pragma synthesis_on + + +BEGIN + + -- Instance port mappings. + I_DUT : waveformGen + GENERIC MAP ( + phaseBitNb => bitNb, + signalBitNb => bitNb + ) + PORT MAP ( + clock => clock, + en => en, + reset => reset, + step => step, + polygon => OPEN, + sawtooth => OPEN, + sine => OPEN, + square => OPEN, + triangle => OPEN + ); + I_tb : waveformGen_tester + GENERIC MAP ( + bitNb => bitNb, + clockFrequency => clockFrequency + ) + PORT MAP ( + clock => clock, + en => en, + reset => reset, + step => step + ); + +END struct; diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tester_entity.vhg b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tester_entity.vhg new file mode 100644 index 0000000..0a4e2a9 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tester_entity.vhg @@ -0,0 +1,28 @@ +-- VHDL Entity WaveformGenerator_test.waveformGen_tester.interface +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:39:31 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +ENTITY waveformGen_tester IS + GENERIC( + bitNb : positive := 16; + clockFrequency : real := 60.0E6 + ); + PORT( + clock : OUT std_ulogic; + en : OUT std_ulogic; + reset : OUT std_ulogic; + step : OUT unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END waveformGen_tester ; + diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.cache.dat b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.cache.dat new file mode 100644 index 0000000000000000000000000000000000000000..ee0c2c3cc88a322ee489976233755e8d07f8192e GIT binary patch literal 1636 zcmcgsOHbQC5MBZy5vt-`6TT_CK9`~=@oFqwWMuFJM&*4#yDlR^p=#W zx|AgqcQn(b6~hRNLoy$OQe_Z_^U9}UcV!JKme8iT8+j8 zZRA%#JU~f^H4{t(BGfSB<{cs;nux*(C#zgPrmkQ#bEm_v7Q&|^WyF0vZa5hK1u9*I zXY1+u56@phQ%0PQ-GH+Ma60;y2JuWHbwtQtWdEF*&?5B>Kl>I(8yGyxNm2go3sG6H H&<}eA25uQN literal 0 HcmV?d00001 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformGen_tester_test.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformGen_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformGen_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhg._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhg._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhd._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhg._fpf b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_entity.xrf b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_entity.xrf new file mode 100644 index 0000000..2a08512 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_entity.xrf @@ -0,0 +1,12 @@ +DESIGN waveform@gen_tb +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN waveform@gen_tb +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN waveform@gen_tb +VIEW symbol.sb +GRAPHIC 1,0 11 0 +DESIGN waveform@gen_tb +VIEW symbol.sb +GRAPHIC 1,0 12 0 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_struct.xrf b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_struct.xrf new file mode 100644 index 0000000..57ec1ce --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_struct.xrf @@ -0,0 +1,132 @@ +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 142,0 9 0 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 12 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 0,0 16 2 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 1,0 19 0 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 19 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 53,0 24 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 700,0 25 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 45,0 26 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 594,0 27 0 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 28 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 29 +LIBRARY WaveformGenerator +DESIGN waveform@gen +VIEW struct +GRAPHIC 954,0 31 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 14,0 32 1 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 52,0 37 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 123,0 38 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 88,0 39 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 113,0 40 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 93,0 41 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 98,0 42 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 103,0 43 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 108,0 44 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 118,0 45 0 +LIBRARY WaveformGenerator_test +DESIGN waveform@gen_tester +VIEW test +GRAPHIC 421,0 48 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 14,0 49 1 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 55,0 54 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 702,0 55 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 47,0 56 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 596,0 57 0 +LIBRARY WaveformGenerator_test +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 60 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 954,0 63 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 421,0 64 0 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 67 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 69 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 954,0 71 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 961,0 72 1 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 55,0 77 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 702,0 78 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 47,0 79 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 596,0 80 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 421,0 87 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 428,0 88 1 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 99 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tester_entity.xrf b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tester_entity.xrf new file mode 100644 index 0000000..f682bca --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tester_entity.xrf @@ -0,0 +1,27 @@ +DESIGN waveform@gen_tester +VIEW interface +NO_GRAPHIC 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 18,0 8 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 13,0 13 1 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 636,0 18 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 641,0 19 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 646,0 20 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 651,0 21 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 1,0 24 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 1,0 25 0 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tb._epf b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tb._epf new file mode 100644 index 0000000..25a3e3e --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom waveform@gen_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tester._epf b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tester._epf new file mode 100644 index 0000000..f982b8b --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom waveformGen_tester_test.vhd diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd new file mode 100644 index 0000000..1363e34 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd @@ -0,0 +1,2865 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "WaveformGenerator_test" +duName "waveformGen_tester" +elements [ +(GiElement +name "bitNb" +type "positive" +value "bitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 421,0 +) +(Instance +name "I_DUT" +duLibraryName "WaveformGenerator" +duName "waveformGen" +elements [ +(GiElement +name "phaseBitNb" +type "positive" +value "bitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "bitNb" +) +] +mwi 0 +uid 954,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "waveformGen_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:39:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "waveformGen_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR/$DESIGN_NAME/$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:39:46" +) +(vvPair +variable "unit" +value "waveformGen_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Net +uid 45,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 1,0 +) +declText (MLText +uid 46,0 +va (VaSet +) +xt "2000,14600,17400,15800" +st "SIGNAL reset : std_ulogic" +) +) +*2 (Net +uid 53,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +declText (MLText +uid 54,0 +va (VaSet +) +xt "2000,12200,17500,13400" +st "SIGNAL clock : std_ulogic" +) +) +*3 (Grouping +uid 110,0 +optionalChildren [ +*4 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,54000,61000,55000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,54500,44200,54500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,50000,65000,51000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,50500,61200,50500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,52000,61000,53000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,52500,44200,52500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,52000,44000,53000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,52500,40200,52500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,51000,81000,55000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,51200,75300,52400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*9 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,50000,81000,51000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,50500,65200,50500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,50000,61000,52000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "45350,50400,55650,51600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,53000,44000,54000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,53500,40200,53500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,54000,44000,55000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,54500,40200,54500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,53000,61000,54000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,53500,44200,53500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "40000,50000,81000,55000" +) +oxt "14000,66000,55000,71000" +) +*14 (Blk +uid 421,0 +shape (Rectangle +uid 422,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "19000,38000,71000,46000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 423,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 424,0 +va (VaSet +font "Arial,9,0" +) +xt "19700,46200,31200,47100" +st "WaveformGenerator_test" +blo "19700,46900" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 425,0 +va (VaSet +font "Arial,9,0" +) +xt "19700,47400,29200,48300" +st "waveformGen_tester" +blo "19700,48100" +tm "BlkNameMgr" +) +*17 (Text +uid 426,0 +va (VaSet +font "Arial,9,0" +) +xt "19700,48600,21700,49500" +st "I_tb" +blo "19700,49300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 427,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 428,0 +text (MLText +uid 429,0 +va (VaSet +) +xt "19000,50000,45200,52400" +st "bitNb = bitNb ( positive ) +clockFrequency = clockFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "bitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +) +*18 (Net +uid 594,0 +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +declText (MLText +uid 595,0 +va (VaSet +) +xt "2000,15800,28300,17000" +st "SIGNAL step : unsigned(bitNb-1 DOWNTO 0)" +) +) +*19 (Net +uid 700,0 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 4,0 +) +declText (MLText +uid 701,0 +va (VaSet +) +xt "2000,13400,16900,14600" +st "SIGNAL en : std_ulogic" +) +) +*20 (SaComponent +uid 954,0 +optionalChildren [ +*21 (CptPort +uid 918,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 919,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,25625,39000,26375" +) +tg (CPTG +uid 920,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 921,0 +va (VaSet +font "Arial,9,0" +) +xt "40000,25400,42500,26300" +st "clock" +blo "40000,26100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 922,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 923,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,27625,39000,28375" +) +tg (CPTG +uid 924,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 925,0 +va (VaSet +font "Arial,9,0" +) +xt "40000,27400,42500,28300" +st "reset" +blo "40000,28100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*23 (CptPort +uid 926,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 927,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,23625,55750,24375" +) +tg (CPTG +uid 928,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 929,0 +va (VaSet +font "Arial,9,0" +) +xt "50500,23400,54000,24300" +st "polygon" +ju 2 +blo "54000,24100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 3,0 +) +) +) +*24 (CptPort +uid 930,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 931,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,17625,55750,18375" +) +tg (CPTG +uid 932,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 933,0 +va (VaSet +font "Arial,9,0" +) +xt "50000,17400,54000,18300" +st "sawtooth" +ju 2 +blo "54000,18100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 4,0 +) +) +) +*25 (CptPort +uid 934,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 935,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,25625,55750,26375" +) +tg (CPTG +uid 936,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 937,0 +va (VaSet +font "Arial,9,0" +) +xt "52000,25400,54000,26300" +st "sine" +ju 2 +blo "54000,26100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +) +*26 (CptPort +uid 938,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 939,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,19625,55750,20375" +) +tg (CPTG +uid 940,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 941,0 +va (VaSet +font "Arial,9,0" +) +xt "51000,19400,54000,20300" +st "square" +ju 2 +blo "54000,20100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 6,0 +) +) +) +*27 (CptPort +uid 942,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 943,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,17625,39000,18375" +) +tg (CPTG +uid 944,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 945,0 +va (VaSet +font "Arial,9,0" +) +xt "40000,17400,42000,18300" +st "step" +blo "40000,18100" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 4 +suid 7,0 +) +) +) +*28 (CptPort +uid 946,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 947,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,21625,55750,22375" +) +tg (CPTG +uid 948,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 949,0 +va (VaSet +font "Arial,9,0" +) +xt "50000,21400,54000,22300" +st "triangle" +ju 2 +blo "54000,22100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 8,0 +) +) +) +*29 (CptPort +uid 950,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 951,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,23625,39000,24375" +) +tg (CPTG +uid 952,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 953,0 +va (VaSet +font "Arial,9,0" +) +xt "40000,23400,41000,24300" +st "en" +blo "40000,24100" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 955,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,14000,55000,30000" +) +oxt "32000,14000,48000,30000" +ttg (MlTextGroup +uid 956,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*30 (Text +uid 957,0 +va (VaSet +font "Arial,9,1" +) +xt "39600,30800,48600,31700" +st "WaveformGenerator" +blo "39600,31500" +tm "BdLibraryNameMgr" +) +*31 (Text +uid 958,0 +va (VaSet +font "Arial,9,1" +) +xt "39600,32000,45600,32900" +st "waveformGen" +blo "39600,32700" +tm "CptNameMgr" +) +*32 (Text +uid 959,0 +va (VaSet +font "Arial,9,1" +) +xt "39600,33200,42100,34100" +st "I_DUT" +blo "39600,33900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 960,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 961,0 +text (MLText +uid 962,0 +va (VaSet +) +xt "39000,34600,58400,37000" +st "phaseBitNb = bitNb ( positive ) +signalBitNb = bitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "phaseBitNb" +type "positive" +value "bitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "bitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*33 (Wire +uid 47,0 +shape (OrthoPolyLine +uid 48,0 +va (VaSet +vasetType 3 +) +xt "35000,28000,38250,38000" +pts [ +"35000,38000" +"35000,28000" +"38250,28000" +] +) +start &14 +end &22 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 51,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 52,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,26600,38500,27900" +st "reset" +blo "35000,27600" +tm "WireNameMgr" +) +) +on &1 +) +*34 (Wire +uid 55,0 +shape (OrthoPolyLine +uid 56,0 +va (VaSet +vasetType 3 +) +xt "33000,26000,38250,38000" +pts [ +"33000,38000" +"33000,26000" +"38250,26000" +] +) +start &14 +end &21 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 59,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 60,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,24600,38500,25900" +st "clock" +blo "35000,25600" +tm "WireNameMgr" +) +) +on &2 +) +*35 (Wire +uid 596,0 +shape (OrthoPolyLine +uid 597,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "27000,18000,38250,38000" +pts [ +"38250,18000" +"27000,18000" +"27000,38000" +] +) +start &27 +end &14 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 600,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 601,0 +va (VaSet +font "Arial,12,0" +) +xt "34250,16600,37050,17900" +st "step" +blo "34250,17600" +tm "WireNameMgr" +) +) +on &18 +) +*36 (Wire +uid 702,0 +shape (OrthoPolyLine +uid 703,0 +va (VaSet +vasetType 3 +) +xt "31000,24000,38250,38000" +pts [ +"38250,24000" +"31000,24000" +"31000,38000" +] +) +start &29 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 706,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 707,0 +va (VaSet +font "Arial,12,0" +) +xt "35250,22600,36650,23900" +st "en" +blo "35250,23600" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *37 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 143,0 +va (VaSet +font "Arial,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*39 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*41 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*42 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*43 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*44 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*45 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*46 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1194,-1194,105025,56517" +cachedDiagramExtent "0,0,81000,55000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 90 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 1148,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*48 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*49 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*51 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*52 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*54 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*55 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*57 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*58 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*60 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*61 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*63 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*65 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*67 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,5000,6500,5900" +st "Declarations" +blo "0,5700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,5900,3000,6800" +st "Ports:" +blo "0,6600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,6800,4500,7700" +st "Pre User:" +blo "0,7500" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "2000,7700,26900,11300" +st "constant bitNb: positive := 16; +constant clockFrequency: real := 60.0E6; +--constant clockFrequency: real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "0,11300,8500,12200" +st "Diagram Signals:" +blo "0,12000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,5000,5500,5900" +st "Post User:" +blo "0,5700" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "0,5000,0,5000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *68 (LEmptyRow +) +uid 717,0 +optionalChildren [ +*69 (RefLabelRowHdr +) +*70 (TitleRowHdr +) +*71 (FilterRowHdr +) +*72 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*73 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*74 (GroupColHdr +tm "GroupColHdrMgr" +) +*75 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*76 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*77 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*78 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*79 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*80 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*81 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 708,0 +) +*82 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 710,0 +) +*83 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 712,0 +) +*84 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 4,0 +) +) +uid 714,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 730,0 +optionalChildren [ +*85 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *86 (MRCItem +litem &68 +pos 4 +dimension 20 +) +uid 732,0 +optionalChildren [ +*87 (MRCItem +litem &69 +pos 0 +dimension 20 +uid 733,0 +) +*88 (MRCItem +litem &70 +pos 1 +dimension 23 +uid 734,0 +) +*89 (MRCItem +litem &71 +pos 2 +hidden 1 +dimension 20 +uid 735,0 +) +*90 (MRCItem +litem &81 +pos 0 +dimension 20 +uid 709,0 +) +*91 (MRCItem +litem &82 +pos 1 +dimension 20 +uid 711,0 +) +*92 (MRCItem +litem &83 +pos 2 +dimension 20 +uid 713,0 +) +*93 (MRCItem +litem &84 +pos 3 +dimension 20 +uid 715,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 736,0 +optionalChildren [ +*94 (MRCItem +litem &72 +pos 0 +dimension 20 +uid 737,0 +) +*95 (MRCItem +litem &74 +pos 1 +dimension 50 +uid 738,0 +) +*96 (MRCItem +litem &75 +pos 2 +dimension 100 +uid 739,0 +) +*97 (MRCItem +litem &76 +pos 3 +dimension 50 +uid 740,0 +) +*98 (MRCItem +litem &77 +pos 4 +dimension 100 +uid 741,0 +) +*99 (MRCItem +litem &78 +pos 5 +dimension 100 +uid 742,0 +) +*100 (MRCItem +litem &79 +pos 6 +dimension 50 +uid 743,0 +) +*101 (MRCItem +litem &80 +pos 7 +dimension 80 +uid 744,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 731,0 +vaOverrides [ +] +) +] +) +uid 716,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *102 (LEmptyRow +) +uid 746,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "GenericNameColHdrMgr" +) +*110 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*111 (InitColHdr +tm "GenericValueColHdrMgr" +) +*112 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*113 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 758,0 +optionalChildren [ +*114 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *115 (MRCItem +litem &102 +pos 0 +dimension 20 +) +uid 760,0 +optionalChildren [ +*116 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 761,0 +) +*117 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 762,0 +) +*118 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 763,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 764,0 +optionalChildren [ +*119 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 765,0 +) +*120 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 766,0 +) +*121 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 767,0 +) +*122 (MRCItem +litem &110 +pos 3 +dimension 100 +uid 768,0 +) +*123 (MRCItem +litem &111 +pos 4 +dimension 50 +uid 769,0 +) +*124 (MRCItem +litem &112 +pos 5 +dimension 50 +uid 770,0 +) +*125 (MRCItem +litem &113 +pos 6 +dimension 80 +uid 771,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 759,0 +vaOverrides [ +] +) +] +) +uid 745,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.lck b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.lck new file mode 100644 index 0000000..d20f355 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.lck @@ -0,0 +1,6 @@ +EDIT_LOCK +andrea.guerrier +UNKNOWN +VS-W60518 +22468 +18.01.2024-14:00:35.771000 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/symbol.sb b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/symbol.sb new file mode 100644 index 0000000..cdbf741 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/symbol.sb @@ -0,0 +1,1268 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hdl" +) +(vvPair +variable "HDSDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb" +) +(vvPair +variable "d_logical" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb" +) +(vvPair +variable "date" +value "25.02.2019" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "25" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "waveformGen_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois.corthay" +) +(vvPair +variable "graphical_source_date" +value "25.02.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WEA30906" +) +(vvPair +variable "graphical_source_time" +value "14:48:16" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WEA30906" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "waveformGen_tb" +) +(vvPair +variable "month" +value "févr." +) +(vvPair +variable "month_long" +value "février" +) +(vvPair +variable "p" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "waveformGenerator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:48:16" +) +(vvPair +variable "unit" +value "waveformGen_tb" +) +(vvPair +variable "user" +value "francois.corthay" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,37400,16000" +st "WaveformGenerator_test" +blo "22600,15800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,32500,17200" +st "waveformGen_tb" +blo "22600,17000" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,47800,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,2000,44400,3000" +st "User:" +blo "42000,2800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3000,44000,3000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface new file mode 100644 index 0000000..e643aff --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface @@ -0,0 +1,1288 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 44,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 49,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 41,0 +) +) +uid 656,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 42,0 +) +) +uid 658,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 43,0 +) +) +uid 660,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 44,0 +) +) +uid 662,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 64,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 65,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 66,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 657,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 659,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 661,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 663,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 69,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 70,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 71,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 73,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 75,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 76,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 48,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 78,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 229,0 +) +*48 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +uid 611,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 92,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 93,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 94,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 230,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 612,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 97,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 98,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 99,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 100,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 101,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 102,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 77,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "waveformGen_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:39:31" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "waveformGen_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:39:31" +) +(vvPair +variable "unit" +value "waveformGen_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 47,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 636,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 637,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 638,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 639,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10800" +st "clock" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 640,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,59500,2800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 41,0 +) +) +) +*65 (CptPort +uid 641,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 642,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 643,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 644,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,9400" +st "en" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 645,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,59500,3600" +st "en : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 42,0 +) +) +) +*66 (CptPort +uid 646,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 647,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 648,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 649,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,11100" +st "reset" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 650,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,59500,4400" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 43,0 +) +) +) +*67 (CptPort +uid 651,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 652,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 653,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 654,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10600" +st "step" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 655,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,67000,5200" +st "step : OUT unsigned (bitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "35650,9000,46350,10000" +st "WaveformGenerator_test" +blo "35650,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "35650,10000,44050,11000" +st "waveformGen_tester" +blo "35650,10800" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "17000,6000,34500,9200" +st "Generic Declarations + +bitNb positive 16 +clockFrequency real 60.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +(GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *69 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 17,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*71 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,1016,690" +viewArea "-500,-500,70420,47560" +cachedDiagramExtent "0,0,67000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "WaveformGenerator_test" +entityName "waveformGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *72 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *73 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,5200,44400,6200" +st "User:" +blo "42000,6000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6200,44000,6200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 663,0 +activeModelName "Symbol:GEN" +) diff --git a/zz-solutions/01-WaveformGenerator/waveformGenerator.bash b/zz-solutions/01-WaveformGenerator/waveformGenerator.bash new file mode 100644 index 0000000..933ab09 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/waveformGenerator.bash @@ -0,0 +1,32 @@ +#!/bin/bash + +#=============================================================================== +# waveformGenerator.bash +# Starts HDL designer based on the generic hdlDesigner.bash +# + +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +hdl_script_name="$design_directory/Scripts/hdlDesigner.bash" + +verbose=1 +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + + +#------------------------------------------------------------------------------- +# Main script +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "Launching HDL Designer" + echo "${INDENT}Design name is $design_name" + echo "${INDENT}Start directory is $design_directory" + echo "${INDENT}HDL designer script is $hdl_script_name" +fi + +#------------------------------------------------------------------------------- +# Launch application +# +$hdl_script_name -v -d $design_directory -n $design_name -m hds.hdp diff --git a/zz-solutions/01-WaveformGenerator/waveformGenerator.bat b/zz-solutions/01-WaveformGenerator/waveformGenerator.bat new file mode 100644 index 0000000..bc1b2a4 --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/waveformGenerator.bat @@ -0,0 +1,83 @@ +::============================================================================== +:: eln_labs.bat +:: Starts HDL designer based on the generic hdlDesigner.bat +:: +@echo off + +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: TO BE MODIFIED +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: Define environment variables to be modified +:: You can change one or more values as needed +:: +set VERBOSE=1 + +:: Define required Tools to be present +set REQUIRE_LIBS=0 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 + +:: Set project name +set design_name=%~n0 + +:: Manually override Library & Tools Folder location +::set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + +::set HDS_HOME=C:\eda\MentorGraphics\HDS +set HDS_HOME=C:\MentorGraphics\HDS_2019.2 +set MODELSIM_HOME=C:\modeltech64_2021.3\win64 +::set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +::set ISE_VERSION=14.7 +::set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +::set LIBERO_HOME=C:\eda\Microsemi\Libero + +set LM_LICENSE_FILE=27001@mentorlm.hevs.ch + +::set SCRATCH_DIR=C:\temp\eda\%username% +:: +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% + +::------------------------------------------------------------------------------ +:: Static environment variables +:: +set SEPARATOR="--------------------------------------------------------------------------------" +set INDENT=" " +set design_directory=%~dp0 +::remove trailing backslash +if %design_directory:~-1%==\ set design_directory=%design_directory:~0,-1% +pushd %design_directory% +set hdl_script_name="%design_directory:"=%\Scripts\hdlDesigner.bat" + +::------------------------------------------------------------------------------ +:: Main script +:: +if %VERBOSE% == 1 ( + echo "%SEPARATOR:"=%" + echo "-- HDL Designer Poject" + echo "%INDENT:"=%Design name is %design_name:"=%" + echo "%INDENT:"=%Start directory is %design_directory:"=%" + echo "%INDENT:"=%HDL designer script is %hdl_script_name:"=%" + echo. +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +if %VERBOSE% == 1 ( + set command=%hdl_script_name% -v -n %design_name% -d %design_directory% +) else ( + set command=%hdl_script_name% -n %design_name% -d %design_directory% +) +echo "Launch HDL Designer Script" +echo "%INDENT:"=%%command:"=%" +echo. +call %command% + +:end +popd +echo. +echo -- %~nx0 Finished! +echo %SEPARATOR% +echo. +if not %errorlevel% lss 1 pause +goto:eof diff --git a/zz-solutions/01-WaveformGenerator/waveformGenerator.bat.bak b/zz-solutions/01-WaveformGenerator/waveformGenerator.bat.bak new file mode 100644 index 0000000..643473d --- /dev/null +++ b/zz-solutions/01-WaveformGenerator/waveformGenerator.bat.bak @@ -0,0 +1,81 @@ +::============================================================================== +:: eln_labs.bat +:: Starts HDL designer based on the generic hdlDesigner.bat +:: +@echo off + +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: TO BE MODIFIED +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: Define environment variables to be modified +:: You can change one or more values as needed +:: +set VERBOSE=1 + +:: Define required Tools to be present +set REQUIRE_LIBS=0 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 + +:: Set project name +set design_name=%~n0 + +:: Manually override Library & Tools Folder location +::set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + +::set HDS_HOME=C:\eda\MentorGraphics\HDS +set HDS_HOME=C:\MentorGraphics\HDS_2019.2 +set MODELSIM_HOME=C:\modeltech64_2021.3\win64 +::set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +::set ISE_VERSION=14.7 +::set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +::set LIBERO_HOME=C:\eda\Microsemi\Libero + +::set SCRATCH_DIR=C:\temp\eda\%username% +:: +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% + +::------------------------------------------------------------------------------ +:: Static environment variables +:: +set SEPARATOR="--------------------------------------------------------------------------------" +set INDENT=" " +set design_directory=%~dp0 +::remove trailing backslash +if %design_directory:~-1%==\ set design_directory=%design_directory:~0,-1% +pushd %design_directory% +set hdl_script_name="%design_directory:"=%\Scripts\hdlDesigner.bat" + +::------------------------------------------------------------------------------ +:: Main script +:: +if %VERBOSE% == 1 ( + echo "%SEPARATOR:"=%" + echo "-- HDL Designer Poject" + echo "%INDENT:"=%Design name is %design_name:"=%" + echo "%INDENT:"=%Start directory is %design_directory:"=%" + echo "%INDENT:"=%HDL designer script is %hdl_script_name:"=%" + echo. +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +if %VERBOSE% == 1 ( + set command=%hdl_script_name% -v -n %design_name% -d %design_directory% +) else ( + set command=%hdl_script_name% -n %design_name% -d %design_directory% +) +echo "Launch HDL Designer Script" +echo "%INDENT:"=%%command:"=%" +echo. +call %command% + +:end +popd +echo. +echo -- %~nx0 Finished! +echo %SEPARATOR% +echo. +if not %errorlevel% lss 1 pause +goto:eof diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds.hdp b/zz-solutions/02-SplineInterpolator/Prefs/hds.hdp new file mode 100644 index 0000000..3a75d9b --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds.hdp @@ -0,0 +1,25 @@ +[Concat] +[ModelSim] +SplineInterpolator = $SCRATCH_DIR/SplineInterpolator +SplineInterpolator_test = $SCRATCH_DIR/SplineInterpolator_test +WaveformGenerator = $SCRATCH_DIR/WaveformGenerator +WaveformGenerator_test = $SCRATCH_DIR/WaveformGenerator_test +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +SplineInterpolator = $HDS_PROJECT_DIR/../SplineInterpolator/hdl +SplineInterpolator_test = $HDS_PROJECT_DIR/../SplineInterpolator_test/hdl +std = $HDS_HOME/hdl_libs/std/hdl +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hdl +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hdl +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +SplineInterpolator = $HDS_PROJECT_DIR/../SplineInterpolator/hds +SplineInterpolator_test = $HDS_PROJECT_DIR/../SplineInterpolator_test/hds +std = $HDS_HOME/hdl_libs/std/hds +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hds +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hds +[library_type] +ieee = standard +std = standard +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_team/shared.hdp b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/shared.hdp new file mode 100644 index 0000000..41c9387 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/shared.hdp @@ -0,0 +1,23 @@ +[hds_settings] +version = 1 +project_description = The standard HDS shared project +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +synopsys = $HDS_HOME/hdl_libs/synopsys/hds +verilog = $HDS_HOME/hdl_libs/verilog/hds +vital2000 = $HDS_HOME/hdl_libs/vital2000/hds + +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +synopsys = $HDS_HOME/hdl_libs/synopsys/hdl +verilog = $HDS_HOME/hdl_libs/verilog/hdl +vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl + +[library_type] +ieee = standard +std = standard +synopsys = standard +verilog = standard +vital2000 = standard diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2018.1/hds_team_prefs b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2018.1/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2018.1/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2018.1/title_block.tmpl b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2018.1/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2018.1/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.2/hds_team_prefs b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.2/hds_team_prefs.bak b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.2/hds_team_prefs.bak new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.2/hds_team_prefs.bak @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.2/title_block.tmpl b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.3/hds_team_prefs b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.3/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.3/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.3/title_block.tmpl b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.3/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_team/v2019.3/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/hds_user_prefs b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/hds_user_prefs new file mode 100644 index 0000000..0a7d5eb --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/hds_user_prefs @@ -0,0 +1,6092 @@ +version "49.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Atom" +second "atom %(p):%(l)" +) +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl %(p):%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl '%(p)':%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Sublime" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Favorites/ElN_local/Labs/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs_SI/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/04-Lissajous/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/02-SplineInterpolator/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"\"USER:Xilinx Project Navigator\"" +] +tasksMenubarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +"\"USER:Prepare for Synthesis\"" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" \"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Update Project\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "899x918--1+57" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SplineInterpolator" +showingHierarchy 0 +openLibs [ +"SplineInterpolator" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SplineInterpolator_test" +showingHierarchy 0 +openLibs [ +"SplineInterpolator_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 1739 +yPos 76 +width 951 +height 937 +activeSidePanelTab 2 +activeLibraryTab 2 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserXpos 59 +componentBrowserYpos 18 +componentBrowserWidth 413 +componentBrowserHeight 846 +componentBrowserLibraryNames [ +"PHA" +"gates" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk new file mode 100644 index 0000000..0b7cc38 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..3d39e11 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/sineGen.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..4eeef16 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..6cd6247 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,114 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "/usr/opt/HDS/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update Project" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilinx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$SYNTHESIS_BASE_DIR/../../Scripts/update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR/$DESIGN_NAME.vhd $CONCAT_DIR/$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$SYNTHESIS_HOME/bin/lin64/ise" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exePath" +"/usr/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/hds_user_prefs b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/hds_user_prefs new file mode 100644 index 0000000..9186cf1 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/hds_user_prefs @@ -0,0 +1,6831 @@ +version "48.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "FinePrint" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Letter Small" +type 2 +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A4 Small" +type 10 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "Folio" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "Quarto" +type 15 +width 780 +height 997 +) +(PageSizeInfo +name "10x14" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Note" +type 18 +width 783 +height 1013 +) +(PageSizeInfo +name "Envelope #9" +type 19 +width 357 +height 817 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope #11" +type 21 +width 414 +height 956 +) +(PageSizeInfo +name "Envelope #12" +type 22 +width 437 +height 1013 +) +(PageSizeInfo +name "Envelope #14" +type 23 +width 460 +height 1059 +) +(PageSizeInfo +name "C size sheet" +type 24 +width 1566 +height 2027 +) +(PageSizeInfo +name "D size sheet" +type 25 +width 2027 +height 3133 +) +(PageSizeInfo +name "E size sheet" +type 26 +width 3133 +height 4055 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope C3" +type 29 +width 1175 +height 1661 +) +(PageSizeInfo +name "Envelope C4" +type 30 +width 830 +height 1175 +) +(PageSizeInfo +name "Envelope C6" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Envelope C65" +type 32 +width 413 +height 830 +) +(PageSizeInfo +name "Envelope B4" +type 33 +width 907 +height 1280 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope B6" +type 35 +width 453 +height 638 +) +(PageSizeInfo +name "Envelope Italy" +type 36 +width 399 +height 834 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "6 3/4 Envelope" +type 38 +width 333 +height 599 +) +(PageSizeInfo +name "US Std Fanfold" +type 39 +width 1013 +height 1370 +) +(PageSizeInfo +name "German Std Fanfold" +type 40 +width 783 +height 1105 +) +(PageSizeInfo +name "German Legal Fanfold" +type 41 +width 783 +height 1198 +) +(PageSizeInfo +name "B4 (ISO)" +type 42 +width 907 +height 1280 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "9x11" +type 44 +width 829 +height 1013 +) +(PageSizeInfo +name "10x11" +type 45 +width 921 +height 1013 +) +(PageSizeInfo +name "11x15" +type 46 +width 1013 +height 1382 +) +(PageSizeInfo +name "Envelope Invite" +type 47 +width 798 +height 798 +) +(PageSizeInfo +name "Letter Extra" +type 50 +width 875 +height 1105 +) +(PageSizeInfo +name "Legal Extra" +type 51 +width 875 +height 1382 +) +(PageSizeInfo +name "Tabloid Extra" +type 52 +width 1077 +height 1658 +) +(PageSizeInfo +name "A4 Extra" +type 53 +width 854 +height 1169 +) +(PageSizeInfo +name "Letter Transverse" +type 54 +width 783 +height 1013 +) +(PageSizeInfo +name "A4 Transverse" +type 55 +width 761 +height 1077 +) +(PageSizeInfo +name "Letter Extra Transverse" +type 56 +width 875 +height 1105 +) +(PageSizeInfo +name "SuperA/SuperA/A4" +type 57 +width 823 +height 1291 +) +(PageSizeInfo +name "SuperB/SuperB/A3" +type 58 +width 1106 +height 1767 +) +(PageSizeInfo +name "Letter Plus" +type 59 +width 783 +height 1169 +) +(PageSizeInfo +name "A4 Plus" +type 60 +width 761 +height 1197 +) +(PageSizeInfo +name "A5 Transverse" +type 61 +width 536 +height 761 +) +(PageSizeInfo +name "B5 (JIS) Transverse" +type 62 +width 660 +height 932 +) +(PageSizeInfo +name "A3 Extra" +type 63 +width 1168 +height 1614 +) +(PageSizeInfo +name "A5 Extra" +type 64 +width 631 +height 852 +) +(PageSizeInfo +name "B5 (ISO) Extra" +type 65 +width 729 +height 1001 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "A3 Transverse" +type 67 +width 1077 +height 1523 +) +(PageSizeInfo +name "A3 Extra Transverse" +type 68 +width 1168 +height 1614 +) +(PageSizeInfo +name "FinePrint 2 Seiten Letter" +type 257 +width 783 +height 1210 +) +(PageSizeInfo +type 256 +width 0 +height 0 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x867+1228+98" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 297 +yPos 173 +width 1070 +height 838 +activeSidePanelTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/generate.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..4490ea2 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR\\IND.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/hds_user_prefs b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/hds_user_prefs new file mode 100644 index 0000000..8d78b3f --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/hds_user_prefs @@ -0,0 +1,6843 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\02-SplineInterpolator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\02-SplineInterpolator\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\02-SplineInterpolator\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\hds.hdp" +"C:\\Users\\andrea.guerrier\\Documents\\SEm_labs\\sem_labs\\02-SplineInterpolator\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +pageSizes [ +(PageSizeInfo +name "12\" x 18\"" +type 512 +width 1106 +height 1658 +) +(PageSizeInfo +name "11\" x 17\"" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal (8,5\" x 14\")" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Letter (8,5\" x 11\")" +width 783 +height 1013 +) +(PageSizeInfo +name "Executive (7,25\"x10,5\")" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "5,5\" x 8,5\"" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "A3 (297 x 420 mm)" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4 (210 x 297 mm)" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5 (148 x 210 mm)" +type 11 +width 538 +height 761 +) +(PageSizeInfo +name "A6 (105 x 148 mm)" +type 70 +width 380 +height 538 +) +(PageSizeInfo +name "B4 JIS (257 x 364 mm)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 JIS (182 x 257 mm)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "B6 JIS (128 x 182 mm)" +type 88 +width 464 +height 660 +) +(PageSizeInfo +name "8\" x 13\"" +type 518 +width 737 +height 1198 +) +(PageSizeInfo +name "8,25\" x 13\"" +type 519 +width 760 +height 1198 +) +(PageSizeInfo +name "8,5\" x 13\"" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "8.5\" x 13.4\"" +type 551 +width 783 +height 1235 +) +(PageSizeInfo +name "Com10 Env.(4,125\"x9,5\")" +type 20 +width 380 +height 875 +) +(PageSizeInfo +name "Env.Monar.(3,875\"x7,5\")" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Env. DL (110 x 220 mm)" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Env. C6 (114 x 162 mm)" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Env. C5 (162 x 229 mm)" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "8K (267 x 390 mm)" +type 520 +width 968 +height 1415 +) +(PageSizeInfo +name "16K (195 x 267 mm)" +type 521 +width 707 +height 968 +) +(PageSizeInfo +name "8,25\" x 14\"" +type 522 +width 760 +height 1290 +) +(PageSizeInfo +name "11\" x 14\"" +type 524 +width 1013 +height 1290 +) +(PageSizeInfo +name "13\" x 19,2\"" +type 525 +width 1198 +height 1769 +) +(PageSizeInfo +name "13\" x 19\"" +type 526 +width 1198 +height 1751 +) +(PageSizeInfo +name "12,6\" x 19,2\"" +type 527 +width 1161 +height 1769 +) +(PageSizeInfo +name "12,6\" x 18,5\"" +type 528 +width 1161 +height 1704 +) +(PageSizeInfo +name "13\" x 18\"" +type 529 +width 1198 +height 1658 +) +(PageSizeInfo +name "10\" x 14\"" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "10\" x 15\"" +type 546 +width 921 +height 1382 +) +(PageSizeInfo +name "11\" x 15\"" +type 539 +width 1013 +height 1382 +) +(PageSizeInfo +name "SRA3 (320 x 450 mm)" +type 530 +width 1161 +height 1632 +) +(PageSizeInfo +name "SRA4 (225 x 320 mm)" +type 531 +width 816 +height 1161 +) +(PageSizeInfo +name "Format papier personnalisé" +type 256 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size1(215,9 x 279,4 mm)" +type 257 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size2(215,9 x 279,4 mm)" +type 258 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size3(215,9 x 279,4 mm)" +type 259 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size4(215,9 x 279,4 mm)" +type 260 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size5(215,9 x 279,4 mm)" +type 261 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size6(215,9 x 279,4 mm)" +type 262 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size7(215,9 x 279,4 mm)" +type 263 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size8(215,9 x 279,4 mm)" +type 264 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size9(215,9 x 279,4 mm)" +type 265 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size10(215,9 x 279,4 mm)" +type 266 +width 783 +height 1013 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x200+2349+55" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SplineInterpolator" +showingHierarchy 0 +openLibs [ +"SplineInterpolator" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SplineInterpolator_test" +showingHierarchy 0 +openLibs [ +"SplineInterpolator_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1556 +height 884 +activeSidePanelTab 2 +activeLibraryTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/hds_user_prefs.bak b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/hds_user_prefs.bak new file mode 100644 index 0000000..b9d6429 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/hds_user_prefs.bak @@ -0,0 +1,6842 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\02-SplineInterpolator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\02-SplineInterpolator\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\02-SplineInterpolator\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +pageSizes [ +(PageSizeInfo +name "12\" x 18\"" +type 512 +width 1106 +height 1658 +) +(PageSizeInfo +name "11\" x 17\"" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal (8,5\" x 14\")" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Letter (8,5\" x 11\")" +width 783 +height 1013 +) +(PageSizeInfo +name "Executive (7,25\"x10,5\")" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "5,5\" x 8,5\"" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "A3 (297 x 420 mm)" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4 (210 x 297 mm)" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5 (148 x 210 mm)" +type 11 +width 538 +height 761 +) +(PageSizeInfo +name "A6 (105 x 148 mm)" +type 70 +width 380 +height 538 +) +(PageSizeInfo +name "B4 JIS (257 x 364 mm)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 JIS (182 x 257 mm)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "B6 JIS (128 x 182 mm)" +type 88 +width 464 +height 660 +) +(PageSizeInfo +name "8\" x 13\"" +type 518 +width 737 +height 1198 +) +(PageSizeInfo +name "8,25\" x 13\"" +type 519 +width 760 +height 1198 +) +(PageSizeInfo +name "8,5\" x 13\"" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "8.5\" x 13.4\"" +type 551 +width 783 +height 1235 +) +(PageSizeInfo +name "Com10 Env.(4,125\"x9,5\")" +type 20 +width 380 +height 875 +) +(PageSizeInfo +name "Env.Monar.(3,875\"x7,5\")" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Env. DL (110 x 220 mm)" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Env. C6 (114 x 162 mm)" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Env. C5 (162 x 229 mm)" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "8K (267 x 390 mm)" +type 520 +width 968 +height 1415 +) +(PageSizeInfo +name "16K (195 x 267 mm)" +type 521 +width 707 +height 968 +) +(PageSizeInfo +name "8,25\" x 14\"" +type 522 +width 760 +height 1290 +) +(PageSizeInfo +name "11\" x 14\"" +type 524 +width 1013 +height 1290 +) +(PageSizeInfo +name "13\" x 19,2\"" +type 525 +width 1198 +height 1769 +) +(PageSizeInfo +name "13\" x 19\"" +type 526 +width 1198 +height 1751 +) +(PageSizeInfo +name "12,6\" x 19,2\"" +type 527 +width 1161 +height 1769 +) +(PageSizeInfo +name "12,6\" x 18,5\"" +type 528 +width 1161 +height 1704 +) +(PageSizeInfo +name "13\" x 18\"" +type 529 +width 1198 +height 1658 +) +(PageSizeInfo +name "10\" x 14\"" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "10\" x 15\"" +type 546 +width 921 +height 1382 +) +(PageSizeInfo +name "11\" x 15\"" +type 539 +width 1013 +height 1382 +) +(PageSizeInfo +name "SRA3 (320 x 450 mm)" +type 530 +width 1161 +height 1632 +) +(PageSizeInfo +name "SRA4 (225 x 320 mm)" +type 531 +width 816 +height 1161 +) +(PageSizeInfo +name "Format papier personnalisé" +type 256 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size1(215,9 x 279,4 mm)" +type 257 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size2(215,9 x 279,4 mm)" +type 258 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size3(215,9 x 279,4 mm)" +type 259 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size4(215,9 x 279,4 mm)" +type 260 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size5(215,9 x 279,4 mm)" +type 261 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size6(215,9 x 279,4 mm)" +type 262 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size7(215,9 x 279,4 mm)" +type 263 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size8(215,9 x 279,4 mm)" +type 264 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size9(215,9 x 279,4 mm)" +type 265 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size10(215,9 x 279,4 mm)" +type 266 +width 783 +height 1013 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x200+2349+55" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SplineInterpolator" +showingHierarchy 0 +openLibs [ +"SplineInterpolator" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SplineInterpolator_test" +showingHierarchy 0 +openLibs [ +"SplineInterpolator_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 14 +width 1936 +height 1056 +activeSidePanelTab 2 +activeLibraryTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk new file mode 100644 index 0000000..43e7dbe --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Diamond Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Diamond synthesis Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.ldf" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Diamond project .prjx file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_diamond.pl $DESIGN_NAME.ldf $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.lpf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$DIAMOND_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Diamond Project IDE" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Diamond tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\start_diamond.pl $DESIGN_NAME.ldf" +"captureOutput" +"1" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$LIBERO_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/generate.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..3d39e11 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/sineGen.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/02-SplineInterpolator/Scripts/.gitlab-ci.yml b/zz-solutions/02-SplineInterpolator/Scripts/.gitlab-ci.yml new file mode 100644 index 0000000..9d1d7af --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/.gitlab-ci.yml @@ -0,0 +1,53 @@ +stages: + - deploy + +image: alpine:latest + +scripts: + stage: deploy + rules: + - if: '$CI_COMMIT_BRANCH == $CI_DEFAULT_BRANCH' + changes: + - deployScriptsAll.bash + - deployScripts.bash + - /*.{pl} + - when: manual + + before_script: + - echo "install additional packages" + - apk update + - apk upgrade + - echo "Install Bash" + - apk add bash + - echo "Install Core Utils" + - apk add coreutils + - echo "Install Git" + - apk add git + - echo "Set Git credentials" + - git config --global user.email "silvan.zahno@hevs.ch" + - git config --global user.name "Silvan Zahno" + - echo "Setting up deploy-eda_scripts environment" + + script: + - bash ./deployScriptsAll.bash + +libs_trigger: + stage: deploy + rules: + - if: '$CI_COMMIT_BRANCH == $CI_DEFAULT_BRANCH' + changes: + - deployLibsAll.bash + - deployLibs.bash + - when: manual + variables: + GIT_CHECKOUT: "false" + + before_script: + - echo "install additional packages" + - apk update + - apk upgrade + - echo "Install Curl" + - apk add curl + + script: + - 'curl -X POST --fail -F token=glptt-0235c37f03e3060733df7d1151555fd0c63d0adb -F ref=master "https://gitlab.hevs.ch/api/v4/projects/762/trigger/pipeline"' diff --git a/zz-solutions/02-SplineInterpolator/Scripts/LICENSE b/zz-solutions/02-SplineInterpolator/Scripts/LICENSE new file mode 100644 index 0000000..fdf8bfa --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/LICENSE @@ -0,0 +1,11 @@ +All Rights Reserved + +Copyright (c) 2019 - HES-SO Valais Wallis + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN +THE SOFTWARE. \ No newline at end of file diff --git a/zz-solutions/02-SplineInterpolator/Scripts/README.md b/zz-solutions/02-SplineInterpolator/Scripts/README.md new file mode 100644 index 0000000..618a38f --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/README.md @@ -0,0 +1,238 @@ +

+
+ EDA scripts Logo +
+ Hevs EDA Scripts +
+

+ +

Common Files and Scripts for ELN Laboratories practical sessions.

+ +[![pipeline status](https://gitlab.hevs.ch/course/ElN/eda_scripts/badges/master/pipeline.svg)](https://gitlab.hevs.ch/course/ElN/eda_scripts/commits/master) +[![coverage report](https://gitlab.hevs.ch/course/ElN/eda_scripts/badges/master/coverage.svg)](https://gitlab.hevs.ch/course/ElN/eda_scripts/commits/master) + +# Table of contents +
+ +## Description +[(Back to top)](#table-of-contents) + +These scripts can be used as for: +**Git Repos** +* [EDA_libs](https://gitlab.hevs.ch/course/ElN/eda_libs.git) +* [ELN_labs](https://gitlab.hevs.ch/course/ElN/eln_labs.git) +* [SEm_exams](https://gitlab.hevs.ch/course/SEm/exams.git) +* [ELN_chrono](https://gitlab.hevs.ch/course/ElN/eln_chrono.git) +* [ELN_cursor](https://gitlab.hevs.ch/course/ElN/eln_cursor.git) +* [ELN_kart](https://gitlab.hevs.ch/course/ElN/eln_kart.git) +* [ELN_inverter](https://gitlab.hevs.ch/course/ElN/eln_inverter.git) +* [ELN_synchro](https://gitlab.hevs.ch/course/ElN/eln_synchro.git) +* [SEm_labs](https://gitlab.hevs.ch/course/SEm/sem_labs.git) +* [ELN-kart](https://gitlab.hevs.ch/course/ElN/eln_kart_sodimm200.git) +* [ELN-display](https://gitlab.hevs.ch/course/ElN/eln_display.git) + +**SVN Repos** +* [CanSat](https://repos.hevs.ch/svn/eda/VHDL/labs/CanSat) (TODO) :rotating_light: +* [ELN_support](https://repos.hevs.ch/svn/eda/VHDL/labs/ELN_support) (TODO) :rotating_light: +* [EPTM_AudioAmp](https://repos.hevs.ch/svn/eda/VHDL/labs/AudioAmp) (TODO) :rotating_light: +* [EPTM_Radio](https://repos.hevs.ch/svn/eda/VHDL/labs/EPTM_radio) (TODO) :rotating_light: +* ... + +### Files +**Linux** +* ``changeDefaultViews.bash`` - Changes RTL <=> studentVersion + * Usage master => student: ``changeDefaultViews.bash -v -a masterVersion -n studentVersion`` + * Usage master => student: ``changeDefaultViews.bash -v -a master@version -n student@version`` + * Usage student => master: ``changeDefaultViews.bash -v -a studentVersion -n masterVersion`` + * Usage student => master: ``changeDefaultViews.bash -v -a student@version -n master@version`` + * Note: use the ``-r`` otion to also delete the specified actual_view +* ``cleanGenerated.bash`` - Deletes all intermediate files + * Usage: ``./cleanGenerated.bash`` +* ``hdlDesigner.bash`` - Main script for starting HDL-Designer +* ``deployLibs.bash`` - Execution from eln_libs repo, copy required libraries to student repo and commit/push it. + * Usage: ``./Scripts/deployLibs.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git`` +* ``deployLibsAll.bash`` - Execution from eln_libs repo, copy required libraries to all student repo's and commit/push it. + * Usage: ``./Scripts/deployLibsAll.bash`` +* ``deployLab.bash`` - Execution from Laborepo, copy required laboratory files to student repo and commits/push it. + * Usage: ``./Scripts/deployLab.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git`` +* ``deployScripts.bash`` - Copy required scripts to student repo and commits/push it. + * Usage: ``./deployScripts.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git`` +* ``deployScriptsAll.bash`` - Execution from eln_scripts repo, copy required scripts to all student repo's and commit/push it. + * Usage: ``./deployScriptsAll.bash`` + +**Windows** +* ``cleanGenerated.bat`` - Deletes all intermediate files + * Usage: ``./cleanGenerated.bat`` +* ``cleanScratch.bat`` - Delete scratch directory + * Usage: +``` bash +set SCRATCH_DIR=C:\temp\eda\%username% +./cleanScratch.bat +``` + +* ``hdlDesigner.bat`` - Main script for starting HDL-Designer +* ``searchPaths.bat`` - Search for required Libraries and tools + * Usage: +``` bash +:: Define required Tools to be present +set REQUIRE_LIBS=1 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 +set REQUIRE_ISE=1 +set REQUIRE_LIBERO=0 +set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries +set HDS_HOME=C:\eda\MentorGraphics\HDS +set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +set ISE_VERSION=14.7 +set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +set LIBERO_HOME=C:\eda\Microsemi\Libero +set design_name=eln_labs +./searchPaths.bat +``` + +**Perl Scripts** +Perl scripts are used to launch different tasks from HDL-Designer +* ``trimLibs.pl`` - Comment regular libraries in an concatenated file + * Parameter : ``trimlibs.pl `` +* ``update_ise.pl`` - + * Parameter : ``update_ise.pl `` +* ``update_libero.pl`` - + * Parameter : ``update_libero.pl `` +* ``start_libero.pl`` - + * Parameter : ``start_libero.pl `` + +## How To Use +[(Back to top)](#table-of-contents) + +To clone and run this application, you'll need [Git](https://git-scm.com) installed on your computer. +This repo is normally used as submodule to the laboratories and projects. + +To deploy the studentVersion to github cd to root of Labor master repo: +```bash +cd eln_labs +./Scripts/deployLab.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git +./Scripts/deployLab.bash -v -p ete_eln_labs -r https://github.com/hei-ete-8132-eln/eln_labs.git +./Scripts/deployLab.bash -v -p isc-eln-labs -r https://github.com/hei-isc-eln/eln-labs.git + +cd sem_labs +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 01-WaveformGenerator +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 02-SplineInterpolator +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 03-DigitalToAnalogConverter +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 04-Lissajous +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 05-Morse +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 06-07-08-09-SystemOnChip +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 10-PipelinedOperators + +cd eln_chrono +./Scripts/deployLab.bash -v -p eln_chrono -r https://github.com/hei-synd-2131-eln/eln_chrono.git + +cd eln_cursor +./Scripts/deployLab.bash -v -p eln_cursor -r https://github.com/hei-synd-2131-eln/eln_cursor.git + +cd eln-display +./Scripts/deployLab.bash -v -p eln-display -r https://github.com/hei-isc-eln/eln-display.git + +cd eln-kart +./Scripts/deployLab.bash -v -p eln-kart -r https://github.com/hei-synd-2131-eln/eln-kart.git +``` + +To deploy the Libraries to github cd to root of Libs master repo: +```bash +./Scripts/deployLibs.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git +./Scripts/deployLibs.bash -v -p ete_eln_labs -r https://github.com/hei-ete-8132-eln/eln_labs.git +./Scripts/deployLibs.bash -v -p isc_eln_labs -r https://github.com/hei-isc-eln/eln-labs.git +./Scripts/deployLibs.bash -v -p sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git +./Scripts/deployLibs.bash -v -p eln_chrono -r https://github.com/hei-synd-2131-eln/eln_chrono.git +./Scripts/deployLibs.bash -v -p eln_cursor -r https://github.com/hei-synd-2131-eln/eln_cursor.git +./Scripts/deployLibs.bash -v -p eln_kart -r https://github.com/hei-synd-2131-eln/eln_kart.git +./Scripts/deployLibs.bash -v -p eln_inverter -r https://github.com/hei-synd-2131-eln/eln_inverter.git +./Scripts/deployLibs.bash -v -p eln_synchro -r https://github.com/hei-synd-2131-eln/eln_synchro.git +./Scripts/deployLibs.bash -v -p eln-kart -r https://github.com/hei-synd-2131-eln/eln-kart.git +./Scripts/deployLibs.bash -v -p eln-display -r https://github.com/hei-isc-eln/eln-display.git +# or +./Scripts/deployLibsAll.bash +``` + +To deploy the Scripts to github cd root of Scripts master Repo +```bash +./deployScripts.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git +./deployScripts.bash -v -p ete_eln_labs -r https://github.com/hei-ete-8132-eln/eln_labs.git +./deployScripts.bash -v -p isc_eln_labs -r https://github.com/hei-isc-eln/eln-labs.git +./deployScripts.bash -v -p sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git +./deployScripts.bash -v -p eln_chrono -r https://github.com/hei-synd-2131-eln/eln_chrono.git +./deployScripts.bash -v -p eln_cursor -r https://github.com/hei-synd-2131-eln/eln_cursor.git +./deployScripts.bash -v -p eln_kart -r https://github.com/hei-synd-2131-eln/eln_kart.git +./deployScripts.bash -v -p eln_inverter -r https://github.com/hei-synd-2131-eln/eln_inverter.git +./deployScripts.bash -v -p eln_synchro -r https://github.com/hei-synd-2131-eln/eln_synchro.git +./deployScripts.bash -v -p eln-kart -r https://github.com/hei-synd-2131-eln/eln-display.git +./deployScripts.bash -v -p eln-display -r https://github.com/hei-isc-eln/eln-display.git +# or +./deployScriptsAll.bash +``` + +
+![eln labs deployment](img/eln_labs_deployment-staff.png) +
+ +### Download +```bash +# Clone repo including submodules +git clone --recursive +``` + +### Pull changes repo and submodules +```bash +# Pull all changes in the repo including changes in the submodules (of given commit) +git pull --recurse-submodules +``` + +#### Update to latest commit +Update submodule to latest commit and update parentrepo +```bash +# Update submodule to latest commit +git submodule update --remote --merge + +# Afterwared you need to commit in the parentrepo the new pointer to the new commit in the submodule +git commit -am "Update submodule to latest commit" +``` + +### Add submodule +If the folder ``Scripts`` already exists, delete it and commit these changes. +Add submodule and define the master branch as the one you want to track +```bash +git submodule add -b master +git submodule add -b master https://gitlab.hevs.ch/course/ElN/eda_scripts.git Scripts +git submodule add -b master https://gitlab.hevs.ch/course/ElN/eda_libs.git Libs + +git submodule init + +git submodule update +``` + +## Credits +[(Back to top)](#table-of-contents) +* COF +* PRC +* ZAS +* AMA + +## License +[(Back to top)](#table-of-contents) + +:copyright: [All rights reserved](LICENSE) + +--- + +## Find us on +> Website [hevs.ch](https://www.hevs.ch)  ·  +> LinkedIn [HES-SO Valais-Wallis](https://www.linkedin.com/groups/104343/)  ·  +> Youtube [HES-SO Valais-Wallis](https://www.youtube.com/user/HESSOVS) +> Twitter [@hessovalais](https://twitter.com/hessovalais)  ·  +> Facebook [@hessovalais](https://www.facebook.com/hessovalais)  ·  \ No newline at end of file diff --git a/zz-solutions/02-SplineInterpolator/Scripts/changeDefaultViews.bash b/zz-solutions/02-SplineInterpolator/Scripts/changeDefaultViews.bash new file mode 100644 index 0000000..be6fa62 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/changeDefaultViews.bash @@ -0,0 +1,94 @@ +#!/bin/bash + +#================================================================================ +# changeDefaultViews.bash - change HDL Project views +# * Usage master => student: ``changeDefaultViews.bash -v -a masterVersion -n studentVersion`` +# * Usage student => master: ``changeDefaultViews.bash -v -a studentVersion -n masterVersion`` +# * Usage: add ``-r`` for deleting the specified actual view **dangerous** +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +project_directory='' +actual_view='masterVersion' +new_view='studentVersion' + +usage='Usage: changeDefaultViews.bash [-p projectDir] [-r] [-v] [-h]' +while getopts 'p:a:n:rvh' options; do + case $options in + p ) project_directory=$OPTARG;; + a ) actual_view=$OPTARG;; + n ) new_view=$OPTARG;; + r ) delete_actual_view=1;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done +if [ -z "$project_directory" ]; then + project_directory="$base_directory" +else + project_directory="$base_directory/$project_directory" +fi + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "Changing default views for HDL Designer" + echo "${INDENT}in $project_directory" + echo "${INDENT}from $actual_view to $new_view" + if [ -n "$delete_actual_view" ] ; then + echo "Delete all $actual_view in $project_directory" + fi +fi + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +./cleanGenerated.bash + +#------------------------------------------------------------------------------- +# Change views in configuration files +# +find $project_directory -type f -name '*._epf' \ + | xargs sed -i "s/$actual_view/$new_view/g" + +#------------------------------------------------------------------------------- +# Remove all acutal views File +# +if [ -n "$delete_actual_view" ] ; then + project_directory=`realpath $project_directory` + echo $project_directory + echo "Delete $actual_view" + find $project_directory/ -type f -iname "*$actual_view*" -exec echo "rm {}" \; + find $project_directory/ -type f -iname "*$actual_view*" -exec rm {} \; + # For HDL Designer file naming convention + # making uppercase letters to @lowercase masterVersion = master@version + actual_view="$(sed -E s/\([A-Z]\)/@\\L\\1/g <<< $actual_view)" + find $project_directory/ -type f -name "*$actual_view*" -exec echo "rm {}" \; + find $project_directory/ -type f -name "*$actual_view*" -exec rm {} \; + +fi + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/02-SplineInterpolator/Scripts/cleanGenerated.bash b/zz-solutions/02-SplineInterpolator/Scripts/cleanGenerated.bash new file mode 100644 index 0000000..ca088c6 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/cleanGenerated.bash @@ -0,0 +1,38 @@ +#!/bin/bash + +#================================================================================ +# cleanGenerated.bash - Clean intermediate files form folder +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +find $base_directory -type f -name '.cache.dat' | xargs -r rm -v +find $base_directory -type f -name '*.bak' | xargs -r rm -v +find $base_directory -type f -name '*.lck' | xargs -r rm -v +find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v +find $base_directory -type f -name 'default_view' | xargs -r rm -v +find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v +find $base_directory -type f -name '*.vhg' | xargs -r rm -v +find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v +find $base_directory -type d -name '.xrf' | xargs -r rm -Rv + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd diff --git a/zz-solutions/02-SplineInterpolator/Scripts/cleanGenerated.bat b/zz-solutions/02-SplineInterpolator/Scripts/cleanGenerated.bat new file mode 100644 index 0000000..0084edb --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/cleanGenerated.bat @@ -0,0 +1,42 @@ +::============================================================================== +:: cleanGenerated.bat +:: Clean intermediate files from folder +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. +::------------------------------------------------------------------------------ +:: Delete intermediate files +:: +set base_directory="%cmd_location:"=%.." + +echo "Delete intermediate files in: %base_directory%" +del /f /s /a %base_directory%\*cache.dat +del /f /s /a %base_directory%\*.bak +del /f /s /a %base_directory%\*.lck +del /f /s /a %base_directory%\*.vhd.info +del /f /s /a %base_directory%\default_view +del /f /s /a %base_directory%\*_entity.vhd +del /f /s /a %base_directory%\*_struct.vhd +del /f /s /a %base_directory%\*_fsm.vhd +del /f /s /a %base_directory%\*.vhg +del /f /s /a %base_directory%\*.DS_Store + +echo "Delete intermediate directories in: %base_directory%" +for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a" + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/zz-solutions/02-SplineInterpolator/Scripts/cleanScratch.bat b/zz-solutions/02-SplineInterpolator/Scripts/cleanScratch.bat new file mode 100644 index 0000000..098bf97 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/cleanScratch.bat @@ -0,0 +1,39 @@ +::============================================================================== +:: cleanScratch.bat +:: Clean scratch directory +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +if "%SCRATCH_DIR%" == "" ( + set SCRATCH_DIR=C:\temp\eda\ +) + +echo Delete scratch directory %SCRATCH_DIR% +if exist %SCRATCH_DIR% ( + echo Scratch directory found, deleting! + rmdir /S /Q "%SCRATCH_DIR%" +) else ( + echo Scratch directory not found! +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof \ No newline at end of file diff --git a/zz-solutions/02-SplineInterpolator/Scripts/deployLab.bash b/zz-solutions/02-SplineInterpolator/Scripts/deployLab.bash new file mode 100644 index 0000000..10652b0 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/deployLab.bash @@ -0,0 +1,559 @@ +#!/bin/bash + +#================================================================================ +# deployLab.bash - updates github repos for individual labos +# Example usage 01: ./Scripts/deployLab.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +# Example usage 02: ./Scripts/deployLab.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +# Example usage 03: ./Scripts/deployLab.bash -v -p isc-did-labs -r https://github.com/hei-isc-eln/did-labs.git +# Example usage 04: ./Scripts/deployLab.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +# Example usage 05: ./Scripts/deployLab.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +# Example usage 06: ./Scripts/deployLab.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +# Example usage 07: ./Scripts/deployLab.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +# Example usage 08: ./Scripts/deployLab.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +# Example usage 09: ./Scripts/deployLab.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git + +# Example usage 10: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 01-WaveformGenerator +# Example usage 11: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 01-WaveformGenerator +# Example usage 12: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 02-SplineInterpolator +# Example usage 13: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 03-DigitalToAnalogConverter +# Example usage 14: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 04-Lissajous +# Example usage 15: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 05-Morse +# Example usage 16: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 06-07-08-09-SystemOnChip +# Example usage 17: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 10-PipelinedOperators + +# Example usage 18: ./Scripts/deployLab.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git -s 1 +# Example usage 19: ./Scripts/deployLab.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Parse command line options +# +project='synd-did-labs' +repo='https://github.com/hei-synd-did/did-labs.git' +dir='01-StepperMotor' +sourceDirUp=0 + +usage='Usage: deployLab.bash [-p projectName] [-r repourl] [-d directory] [-s sourceDirUp] [-v] [-h]' +while getopts 'p:r:d:s:vh' options; do + case $options in + p ) project=$OPTARG;; + r ) repo=$OPTARG;; + d ) dir=$OPTARG;; + s ) sourceDirUp=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "-- $DATE: Deploy Laboratory for Students" + echo "${INDENT}for $project" + echo "${INDENT}to $repo" + echo "${INDENT}in $dir" + echo "" +fi + +#------------------------------------------------------------------------------- +# Clean current repo +# +echo "Clean parent repo from intermediate files" +./cleanGenerated.bash + +#------------------------------------------------------------------------------- +# Clone student repo +# +# Create a tmp subdirectory if it doesn't exist +echo "Create tmp folder" +mkdir -p tmp +cd tmp + +# Get repo +echo "Clone student repo $project" +# Add login and access token to url +repo_access=$(echo $repo | sed 's/https\?:\/\///') +github_username=tschinz +github_accesstoken=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 +repo_access="https://$github_username:$github_accesstoken@${repo_access}" +git clone $repo_access +if [ "$project" == "synd-did-labs" ]; then + cd did-labs +elif [ "$project" == "ete-did-labs" ]; then + cd did-labs +elif [ "$project" == "isc-did-labs" ]; then + cd did-labs +else + cd $project +fi + +repo_dest=`realpath "./"` +repo_source="./" +# If Scripts folder is at root of project, needs to get up 3 times (scripts, project name, tmp) +# If more is needed (i.e. see car-labs structure), can be added with -s switch +for ((i=0;i<$sourceDirUp+3;i++)); do + repo_source="$repo_source/.." +done +repo_source=`realpath "$repo_source"` + +echo "Update files in student repo $project" +# Copy needed files per project +if [ "$project" == "synd-did-labs" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + rm -v -f ./Num/hdl/sinewaveGenerator_comb.vhd + +elif [ "$project" == "ete-did-labs" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + rm -v -f ./Num/hdl/sinewaveGenerator_comb.vhd + +elif [ "$project" == "isc-did-labs" ]; then + # copy root files except some specific ones + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + # iterate over folders to choose what to copy + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + rm -v -f ./Num/hdl/sinewaveGenerator_comb.vhd + +elif [ "$project" == "car-labs" ]; then + # bem + echo "copy $repo_source/bem" + cp -ar "$repo_source/bem" ./ + # isa + echo "copy $repo_source/isa" + cp -ar "$repo_source/isa" ./ + # heirv32_sc + mkdir -p heirv32_sc + # copy root files except some specific ones + find $repo_source/hdl -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest/heirv32_sc \; + # iterate over folders to choose what to copy + for folder in $(find $repo_source/hdl -maxdepth 1 -type d ) + do + if [[ "$repo_source/hdl" != "$folder" && "$repo_source/hdl/.git" != "$folder" && "$repo_source/hdl/Libs" != "$folder" && "$repo_source/hdl/Scripts" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder heirv32_sc/ + else + echo "skip $folder" + fi + done + + rm -v -f heirv32_sc/Board/concat/concatenated.vhd + rm -v -f heirv32_sc/Board/concat/car-labs.vhd + + rm -v -f heirv32_sc/HEIRV32/hdl/ALU_rtl.vhd + rm -v -f heirv32_sc/HEIRV32/hdl/extend_rtl.vhd + rm -v -f heirv32_sc/HEIRV32/hdl/aludecoder_entity.vhg + rm -v -f heirv32_sc/HEIRV32/hdl/aluDecoder_rtl.vhd + rm -v -f -r heirv32_sc/HEIRV32/hds/alu@decoder + rm -v -f heirv32_sc/HEIRV32/hds/_aludecoder._epf + + rm -v -f heirv32_sc/HEIRV32/SingleCycle/hdl/mainDecoder_entity.vhg + rm -v -f heirv32_sc/HEIRV32/SingleCycle/hdl/mainDecoder_rtl.vhd + rm -v -f -r heirv32_sc/HEIRV32/SingleCycle/hds/main@decoder + rm -v -f heirv32_sc/HEIRV32/SingleCycle/hds/_maindecoder._epf + + rm -v -f heirv32_sc/Simulation/empty_ram.txt + +elif [ "$project" == "car-heirv" ]; then + # heirv32_mc + # copy root files except some specific ones + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + # iterate over folders to choose what to copy + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source/" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + + rm -v -f ./Board/concat/concatenated.vhd + rm -v -f ./Board/concat/car-labs.vhd + + rm -v -f ./HEIRV32/hdl/ALU_rtl.vhd + rm -v -f ./HEIRV32/hdl/extend_rtl.vhd + rm -v -f ./HEIRV32/hdl/aludecoder_entity.vhg + rm -v -f ./HEIRV32/hdl/aluDecoder_rtl.vhd + rm -v -f -r ./HEIRV32/hds/alu@decoder + rm -v -f ./HEIRV32/hds/_aludecoder._epf + + rm -v -f ./HEIRV32/MultiCycle/hdl/instrdecoder_entity.vhg + rm -v -f ./HEIRV32/MultiCycle/hdl/instrDecoder_rtl.vhd + rm -v -f ./HEIRV32/MultiCycle/hdl/mainfsm_entity.vhg + rm -v -f ./HEIRV32/MultiCycle/hdl/mainfsm_fsm.vhg + rm -v -f -r ./HEIRV32/MultiCycle/hds/instr@decoder + rm -v -f -r ./HEIRV32/MultiCycle/hds/main@f@s@m + rm -v -f ./HEIRV32/MultiCycle/hds/_instrdecoder._epf + rm -v -f ./HEIRV32/MultiCycle/hds/_mainfsm._epf + + rm -v -f ./HEIRV32_test/hdl/universalTester_test.vhd + rm -v -f -r ./HEIRV32_test/hds/universal@tester + rm -v -f ./HEIRV32_test/hds/_universaltester._epf + + rm -v -f ./Simulation/code_mc_disassembled.svg + rm -v -f ./Simulation/code_mc_disassembled_labels.svg + rm -v -f ./Simulation/code_mc_ghidra_labels.png + rm -v -f ./Simulation/empty_ram.txt + + #search='design_root = Board.ebs2_sc(struct)ebs2_sc/struct.bd' + #replace='design_root = Board.ebs2_mc(struct)ebs2_mc/struct.bd' + #sed -i "s/$search/$replace" Prefs/hds.hdp + +elif [ "$project" == "ele_labs" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "sem-labs" ]; then + mkdir -p $dir + repo_dest=`realpath "./$dir/"` + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/01-WaveformGenerator/Scripts" != "$folder" && "$repo_source/02-SplineInterpolator/Scripts" != "$folder" && "$repo_source/03-DigitalToAnalogConverter/Scripts" != "$folder" && "$repo_source/04-Lissajous/Scripts" != "$folder" && "$repo_source/05-Morse/Scripts" != "$folder" && "$repo_source/06-07-08-09-SystemOnChip/Scripts" != "$folder" && "$repo_source/10-PipelinedOperators/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder $repo_dest + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for sem-labs $dir" + + if [ "$dir" == "01-WaveformGenerator" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "02-SplineInterpolator" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "03-DigitalToAnalogConverter" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "04-Lissajous" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "05-Morse" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "06-07-08-09-SystemOnChip" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "10-PipelinedOperators" ]; then + echo "Info: Nothing to be deleted" + fi + +elif [ "$project" == "did-cursor" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-cursor" + rm -v -f ./Cursor/hdl/amplitudeControl_RTL.vhd + rm -v -f ./Cursor/hdl/bridgeControl_RTL.vhd + rm -v -f ./Cursor/hdl/decelerationPositions_RTL.vhd + rm -v -f ./Cursor/hdl/divider_RTL.vhd + rm -v -f ./Cursor/hdl/findDistance_RTL1.vhd + rm -v -f ./Cursor/hdl/positionCounter_RTL.vhd + rm -v -f ./Cursor/hdl/pulseWidthModulator_RTL.vhd + rm -v -f ./Cursor/hds/_amplitudecontrol._epf + rm -v -f ./Cursor/hds/_bridgecontrol._epf + rm -v -f ./Cursor/hds/_control._epf + rm -v -f ./Cursor/hds/_decelerationpositions._epf + rm -v -f ./Cursor/hds/_divider._epf + rm -v -f ./Cursor/hds/_positioncounter._epf + rm -v -f ./Cursor/hds/_pulsewidthmodulator._epf + rm -v -f ./Cursor/hds/.hdlsidedata/_amplitudecontrol_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_amplitudeControl_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_bridgecontrol_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_bridgeControl_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_control_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_control_fsm.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_decelerationpositions_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_decelerationPositions_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_divider_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_divider_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_findDistance_RTL1.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_positioncounter_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_positionCounter_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_pulsewidthmodulator_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_pulseWidthModulator_RTL.vhd._fpf + rm -v -f -r ./Cursor/hds/amplitude@control + rm -v -f -r ./Cursor/hds/bridge@control + rm -v -f -r ./Cursor/hds/control + rm -v -f -r ./Cursor/hds/deceleration@positions + rm -v -f -r ./Cursor/hds/divider + rm -v -f -r ./Cursor/hds/position@counter + rm -v -f -r ./Cursor/hds/pulse@width@modulator + rm -v -f -r ./Cursor/hds/rising@detector + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-cursor.vhd + +elif [ "$project" == "did-chrono" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-chrono" + rm -v -f ./Chronometer/hdl/coilControl_RTL.vhd + rm -v -f ./Chronometer/hdl/divider1Hz_RTL.vhd + rm -v -f ./Chronometer/hdl/tickLengthCounter_RTL.vhd + rm -v -f ./Chronometer/hdl/lcdDisplay_masterVersion.vhd + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/_control._epf + rm -v -f ./Chronometer/hds/_divider1hz._epf + rm -v -f ./Chronometer/hds/_ticklengthcounter._epf + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/.hdlsidedata/_coilcontrol_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_coilControl_RTL.vhd._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_control_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_control_fsm.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_divider1hz_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_divider1Hz_RTL.vhd._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_ticklengthcounter_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_tickLengthCounter_RTL.vhd._fpf + rm -v -f -r ./Chronometer/hds/coil@control/ + rm -v -f -r ./Chronometer/hds/control/ + rm -v -f -r ./Chronometer/hds/divider1@hz/ + rm -v -f -r ./Chronometer/hds/rising@detector/ + rm -v -f -r ./Chronometer/hds/tick@length@counter/ + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-chrono.vhd + +elif [ "$project" == "did-kart-ebs2" ]; then + mkdir -p $dir + repo_dest=`realpath "./$dir/"` + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder $repo_dest + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-kart-ebs2 $dir" + + if [ "$dir" == "01-StepperMotor" ]; then + rm -v -f ./01-StepperMotor/StepperMotor/hdl/angleDifference_RTL.vhd + rm -v -f ./01-StepperMotor/StepperMotor/hdl/coilControl_RTL.vhd + rm -v -f ./01-StepperMotor/StepperMotor/hdl/stepperCounter_RTL.vhd + rm -v -f ./01-StepperMotor/StepperMotor/hds/angle@control/master@version.bd + rm -v -f ./01-StepperMotor/StepperMotor/hds/coil@control/master@version_counter@demux.bd + rm -v -f ./01-StepperMotor/StepperMotor/hds/coil@control/master@version_shift@reg.bd + elif [ "$dir" == "02-DcMotor" ]; then + rm -v -f ./02-DcMotor/DcMotor/hdl/dcMotorPwm_RTL.vhd + elif [ "$dir" == "03-Sensors" ]; then + rm -v -f ./03-Sensors/Sensors/hdl/hallCounters_RTL.vhd + rm -v -f ./03-Sensors/Sensors/hdl/ultrasoundRanger_RTL.vhd + elif [ "$dir" == "04-Controller" ]; then + echo "Info: Nothing to be deleted" + fi + +elif [ "$project" == "did-kart-ebs3" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-kart-ebs3" + rm -v -f ./DcMotor/hdl/dcMotorPwm_RTL.vhd + rm -v -f ./Kart_test/hdl/txFIFO_tester_test.vhd + rm -v -f -r ./Kart_test/hds/tx@f@i@f@o_tb/ + rm -v -f -r ./Kart_test/hds/tx@f@i@f@o_tester/ + rm -v -f ./Kart_test/hds/_txfifo_tb._epf + rm -v -f ./Kart_test/hds/_txfifo_tester._epf + rm -v -f -r ./Kart_test/hds/kart@controller_full_tb/ + rm -v -f ./Kart_test/hds/_kartcontroller_full_tb._epf + rm -v -f ./Sensors/hdl/hallCounters_RTL.vhd + rm -v -f ./Sensors/hdl/ultrasoundRanger_RTL.vhd + rm -v -f ./Stepper/hdl/angleDifference_RTL.vhd + rm -v -f ./Stepper/hdl/coilControl_RTL.vhd + rm -v -f ./Stepper/hdl/stepperCounter_RTL.vhd + rm -v -f ./Stepper/hds/angle@control/master@version.bd + rm -v -f ./Stepper/hds/coil@control/master@version_counter@demux.bd + rm -v -f ./Stepper/hds/coil@control/master@version_shift@reg.bd + rm -v -f ./Stepper_test/hdl/stepperMotorRegisters_tester_test.vhd + rm -v -f -r ./Stepper_test/hds/stepper@motor@registers_tb/ + rm -v -f -r ./Stepper_test/hds/stepper@motor@registers_tester/ + rm -v -f ./Stepper_test/hds/_steppermotorregisters_tb._epf + rm -v -f ./Simulation/Kart/UVM/uvmCommands.txt + rm -v -f ./Simulation/Kart/kartUVM.do + rm -v -f ./Simulation/Kart/txFIFO.do + rm -v -f ./Simulation/Stepper/stepperMotorRegisters.do + rm -v -f -r ./Simulation/UART/ + rm -v -f -r ./UART_test/ + + rm -v -f ./Board/concat/*.vhd + find ./Board/libero/designer/ ! \( -name "*.prjx" -o -name "*.adb" -o -name "*.ide_des" -o -name "*.pdb" -o -name "*_syn.prj" \) -type f -exec rm -v -f -r {} + + rm -v -f -r ./Documentation/ + rm -v -f -r ./CommandInterpreter/src/ + +elif [ "$project" == "did-synchro" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-synchro" + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-synchro.vhd + +elif [ "$project" == "did-inverter" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-inverter" + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-inverter.vhd + +elif [ "$project" == "did-display" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-display" + find ./Board/ise/ -name "*.mcs" -type f -exec rm -v -f {} + + rm -v -f -r ./Display/concat/ + find ./Display/hdl/ -name "*.vhg" -type f -exec rm -v -f {} + + rm -v -f ./Display/hdl/test_pattern_calculated_rtl.vhd + rm -v -f ./Display/hdl/vgaDataCreator_rgb.vhd + rm -v -f ./Display/hdl/vgaDataSelector_rtl.vhd + rm -v -f ./Display/hds/display@circuit/master@version.bd + rm -v -f -r ./Display/hds/vga@data@calculated/ + rm -v -f -r ./Display/hds/vga@data@selector/ + + rm -v -f -r ./Display_test/concat/ + + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-display.vhd + +elif [ "$project" == "eln_support" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "eptm_radio" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "eptm_audioamp" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "cansat" ]; then + echo "Error: Not implemented yet" +fi + +echo "Source taken from $repo_source to $repo_dest" + +#------------------------------------------------------------------------------- +# change from masterVersion to studentVersion and delete all masterVersion +# +if [ "$project" == "synd-did-labs" ]; then + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a master@version -n student@version -r +elif [ "$project" == "ete-did-labs" ]; then + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a master@version -n student@version -r +elif [ "$project" == "isc-did-labs" ]; then + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a master@version -n student@version -r +else + ./../../changeDefaultViews.bash -p "Scripts/tmp/$project" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/$project" -a master@version -n student@version -r +fi + +# add/commit/push changes to student repo +git add -A +git commit -a -m "$DATE: Automatic Laboratory Update with ``deployLab.bash`` :shipit:" +git push origin main +cd .. + +# Delete tmp directory +echo "Delete tmp directory" +cd .. +pwd +rm -rf "./tmp" + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: $project updated at $repo" +echo "$SEPARATOR" +popd diff --git a/zz-solutions/02-SplineInterpolator/Scripts/deployLibs.bash b/zz-solutions/02-SplineInterpolator/Scripts/deployLibs.bash new file mode 100644 index 0000000..3498c2b --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/deployLibs.bash @@ -0,0 +1,320 @@ +#!/bin/bash + +#================================================================================ +# deployLibs.bash - updates github repos for individual labos +# indend to push libs from [DiD-libs](https://gitlab.hevs.ch/course/did/did-libs.git) +# Example usage 1: ./Scripts/deployLibs.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +# Example usage 2: ./Scripts/deployLibs.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +# Example usage 3: ./Scripts/deployLibs.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +# Example usage 4: ./Scripts/deployLibs.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +# Example usage 5: ./Scripts/deployLibs.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +# Example usage 6: ./Scripts/deployLibs.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +# Example usage 7: ./Scripts/deployLibs.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +# Example usage 8: ./Scripts/deployLibs.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +# Example usage 9: ./Scripts/deployLibs.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +# Example usage 10: ./Scripts/deployLibs.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +# Example usage 11: ./Scripts/deployLibs.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git +# Example usage 12: ./Scripts/deployLibs.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Parse command line options +# +project='did-labs' +repo='https://github.com/hei-synd-did/did-labs.git' + +usage='Usage: deployLibs.bash [-p projectName] [-r repourl] [-v] [-h]' +while getopts 'p:r:vh' options; do + case $options in + p ) project=$OPTARG;; + r ) repo=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "-- $DATE: Deploy Libraries for Students" + echo "${INDENT}for $project" + echo "${INDENT}to $repo" + echo "" +fi + +#------------------------------------------------------------------------------- +# Clean current repo +# +echo "Clean parent repo from intermediate files" +./cleanGenerated.bash + +#------------------------------------------------------------------------------- +# Clone student repo +# +# Create a tmp subdirectory if it doesn't exist +echo "Create tmp folder" +mkdir -p tmp +cd tmp + +# Get repo +echo "Clone student repo $project" +# Add login and access token to url +repo_access=$(echo $repo | sed 's/https\?:\/\///') +github_username=tschinz +github_accesstoken=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 +repo_access="https://$github_username:$github_accesstoken@${repo_access}" +git clone $repo_access +if [ "$project" == "synd-did-labs" ]; then + cd did-labs +elif [ "$project" == "ete-did-labs" ]; then + cd did-labs +elif [ "$project" == "isc-did-labs" ]; then + cd did-labs +else + cd $project +fi + +library_dest=`realpath "./Libs"` +library_source=`realpath "./../../.."` +mkdir -p $library_dest + +# Copy needed libraries per project +echo "Update files in student repo $project" +if [ "$project" == "synd-did-labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, NanoBlaze" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/IO/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/NanoBlaze/" "$library_dest/" +elif [ "$project" == "ete-did-labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Operators, Common, NanoBlaze" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/IO/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" + cp -arf "$library_source/Operators/" "$library_dest/" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/NanoBlaze/" "$library_dest/" +elif [ "$project" == "isc-did-labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, NanoBlaze" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/IO/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/NanoBlaze/" "$library_dest/" +elif [ "$project" == "car-labs" ]; then + echo " Copy libraries: Common, Gates, Memory, Operators, Sequential" + library_dest=`realpath "./heirv32_sc/Libs"` + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -arf "$library_source/Memory/" "$library_dest/" + cp -arf "$library_source/Operators/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" +elif [ "$project" == "car-heirv" ]; then + echo " Copy libraries: Common, Gates, Memory, Operators, Sequential" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -arf "$library_source/Memory/" "$library_dest/" + cp -arf "$library_source/Operators/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" +elif [ "$project" == "ele_labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Operators, Common, Memory, Modulation, NanoBlaze" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Operators" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/Modulation" "$library_dest/" + cp -ar "$library_source/Modulation_test" "$library_dest/" + cp -ar "$library_source/NanoBlaze" "$library_dest/" + cp -ar "$library_source/NanoBlaze_test" "$library_dest/" +elif [ "$project" == "sem-labs" ]; then + echo " Copy libraries: Common, RS232, AhbLite, Memory, RiscV, NanoBlaze" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" + cp -ar "$library_source/AhbLite" "$library_dest/" + cp -ar "$library_source/AhbLite_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RiscV" "$library_dest/" + cp -ar "$library_source/RiscV_test" "$library_dest/" + cp -ar "$library_source/NanoBlaze" "$library_dest/" + cp -ar "$library_source/NanoBlaze_test" "$library_dest/" +elif [ "$project" == "did-cursor" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Lcd" "$library_dest/" + cp -ar "$library_source/Lcd_test" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" +elif [ "$project" == "did-chrono" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory, RS232" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Lcd" "$library_dest/" + cp -ar "$library_source/Lcd_test" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + #cp -ar "$library_source/RS232" "$library_dest/" + #cp -ar "$library_source/RS232_test" "$library_dest/" +elif [ "$project" == "did-kart-ebs2" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory, RS232" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/I2C" "$library_dest/" + cp -ar "$library_source/I2C_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" +elif [ "$project" == "did-kart-ebs3" ]; then + echo " Copy libraries: Common, Gates, I2C, Memory, RS232, IO, Sequential, UVM" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/I2C" "$library_dest/" + cp -ar "$library_source/I2C_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/UVM" "$library_dest/" + cp -ar "$library_source/UVM_test" "$library_dest/" +elif [ "$project" == "did-synchro" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Cordic" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Operators" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" +elif [ "$project" == "did-inverter" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Cordic" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Operators" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/Cordic" "$library_dest/" + cp -ar "$library_source/Cordic_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" +elif [ "$project" == "eln_support" ]; then + echo "Nothing todo, no Libararies needed" +elif [ "$project" == "did-display" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory, RS232" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Lcd" "$library_dest/" + cp -ar "$library_source/Lcd_test" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" +elif [ "$project" == "eptm_radio" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" +elif [ "$project" == "eptm_audioamp" ]; then + echo " Copy libraries: AD_DA, Common, Filter" + cp -ar "$library_source/AD_DA" "$library_dest/" + cp -ar "$library_source/AD_DA_test" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/Filter" "$library_dest/" + cp -ar "$library_source/Filter_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" +elif [ "$project" == "cansat" ]; then + echo " Copy libraries: AhbLite, AhbLiteComponents, Common, Commandline, Memory, NanoBlaze, RS232" + cp -ar "$library_source/AhbLite" "$library_dest/" + cp -ar "$library_source/AhbLite_test" "$library_dest/" + cp -ar "$library_source/AhbLiteComponents" "$library_dest/" + cp -ar "$library_source/AhbLiteComponents_test" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Commandline" "$library_dest/" + cp -ar "$library_source/Commandline_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/NanoBlaze" "$library_dest/" + cp -ar "$library_source/NanoBlaze_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" +fi + +# add/commit/push changes to student repo +echo " Git: Add => Commit => Push" +git add -A +git commit -a -m "$DATE: Automatic Library Update with ``deployLibs.bash`` :shipit:" +git push origin main +cd .. + +# Delete tmp directory +cd .. +echo " Delete tmp directory" +rm -rf "./tmp" + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: $project updated at $repo" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/02-SplineInterpolator/Scripts/deployLibsAll.bash b/zz-solutions/02-SplineInterpolator/Scripts/deployLibsAll.bash new file mode 100644 index 0000000..46c6c3c --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/deployLibsAll.bash @@ -0,0 +1,37 @@ +#!/bin/bash + +#================================================================================ +# deployLibsAll.bash - updates github repos for all labo's at once +# indend to push libs from [eda_libs](https://gitlab.hevs.ch/course/ElN/eda_libs.git) +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +./deployLibs.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +./deployLibs.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +./deployLibs.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +./deployLibs.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +./deployLibs.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +#./deployLibs.bash -v -p did-kart-ebs2 -r https://github.com/hei-synd-did/did-ket-ebs2.git # repo does not exist !!! +./deployLibs.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +./deployLibs.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +./deployLibs.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +./deployLibs.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +./deployLibs.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +./deployLibs.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git +./deployLibs.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: ${0##*/} finished" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/02-SplineInterpolator/Scripts/deploySEmLabs.bash b/zz-solutions/02-SplineInterpolator/Scripts/deploySEmLabs.bash new file mode 100644 index 0000000..b36ba70 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/deploySEmLabs.bash @@ -0,0 +1,32 @@ +#!/bin/bash + +#================================================================================ +# deployLabsAll.bash - updates github repos for all labo's at once +# indend to push labs from [sem-labs](https://gitlab.hevs.ch/course/SEm/hd-labs.git) +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + + +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 01-WaveformGenerator +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 02-SplineInterpolator +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 03-DigitalToAnalogConverter +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 04-Lissajous +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 05-Morse +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 06-07-08-09-SystemOnChip +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 10-PipelinedOperators + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: ${0##*/} finished" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/02-SplineInterpolator/Scripts/deployScripts.bash b/zz-solutions/02-SplineInterpolator/Scripts/deployScripts.bash new file mode 100644 index 0000000..a91e55b --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/deployScripts.bash @@ -0,0 +1,331 @@ +#!/bin/bash + +#================================================================================ +# deployScripts.bash - updates github repos for individual labos +# indend to push scripts from [DiD-scripts](https://gitlab.hevs.ch/course/did/did-scripts.git) +# Example usage 1: ./Scripts/deployScripts.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +# Example usage 2: ./Scripts/deployScripts.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +# Example usage 3: ./Scripts/deployScripts.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +# Example usage 4: ./Scripts/deployScripts.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +# Example usage 5: ./Scripts/deployScripts.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +# Example usage 6: ./Scripts/deployScripts.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +# Example usage 7: ./Scripts/deployScripts.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +# Example usage 8: ./Scripts/deployScripts.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +# Example usage 9: ./Scripts/deployScripts.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +# Example usage 10: ./Scripts/deployScripts.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +# Example usage 11: ./Scripts/deployScripts.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git -d heirv32_sc +# Example usage 12: ./Scripts/deployScripts.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory" + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Parse command line options +# +project='did-labs' +repo='https://github.com/hei-synd-did/did-labs.git' +destdir='' + +usage='Usage: deployScripts.bash [-p projectName] [-r repourl] [-d destdir] [-v] [-h]' +while getopts 'p:r:d:vh' options; do + case $options in + p ) project=$OPTARG;; + r ) repo=$OPTARG;; + d ) destdir=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "-- $DATE: Deploy Scripts for Students" + echo "${INDENT}for $project" + echo "${INDENT}to $repo" + echo "" +fi + +#------------------------------------------------------------------------------- +# Clone student repo +# +# Create a tmp subdirectory if it doesn't exist +echo "Create tmp folder" +mkdir -p tmp +cd tmp + +# Get repo +echo "Clone student repo $project" +# Add login and access token to url +repo_access=$(echo $repo | sed 's/https\?:\/\///') +github_username=tschinz +github_accesstoken=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 +repo_access="https://$github_username:$github_accesstoken@${repo_access}" +git clone $repo_access +if [ "$project" == "synd-did-labs" ]; then + cd did-labs +elif [ "$project" == "ete-did-labs" ]; then + cd did-labs +elif [ "$project" == "isc-did-labs" ]; then + cd did-labs +else + cd $project +fi + +library_source=`realpath "./../.."` + +# DiD Kart (EBS2 version) has a different project structure +if [ "$project" == "did-kart-ebs2" ]; then + # Copy needed libraries per project + mkdir -p "01-StepperMotor/Scripts" + library_dest=`realpath "./01-StepperMotor/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + + # Copy needed libraries per project + mkdir -p "02-DcMotor/Scripts" + library_dest=`realpath "./02-DcMotor/Scripts"` + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + # Copy needed libraries per project + mkdir -p "03-Sensors/Scripts" + library_dest=`realpath "./03-Sensors/Scripts"` + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + # Copy needed libraries per project + mkdir -p "04-Controller/Scripts" + library_dest=`realpath "./04-Controller/Scripts"` + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + +# SEm Labs has also a different project structure +elif [ "$project" == "sem-labs" ]; then + # Copy needed libraries per project + mkdir -p "01-WaveformGenerator/Scripts" + library_dest=`realpath "./01-WaveformGenerator/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "02-SplineInterpolator/Scripts" + library_dest=`realpath "./02-SplineInterpolator/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "03-DigitalToAnalogConverter/Scripts" + library_dest=`realpath "./03-DigitalToAnalogConverter/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "04-Lissajous/Scripts" + library_dest=`realpath "./04-Lissajous/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "05-Morse/Scripts" + library_dest=`realpath "./05-Morse/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "06-07-08-09-SystemOnChip/Scripts" + library_dest=`realpath "./06-07-08-09-SystemOnChip/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "10-PipelinedOperators/Scripts" + library_dest=`realpath "./10-PipelinedOperators/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + +else + + if [ -n "$destdir" ]; then + mkdir -p "$destdir/Scripts" + library_dest=`realpath "$destdir/Scripts"` + else + mkdir -p "Scripts" + library_dest=`realpath "./Scripts"` + fi + + # Copy needed libraries per project + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/update_diamond.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + cp -arf "$library_source/start_diamond.pl" "$library_dest/" +fi + +# add/commit/push changes to student repo +echo " Git: Add => Commit => Push" +git add -A +git commit -a -m "$DATE: Automatic Scripts Update with ``deployScripts.bash`` :shipit:" +git push origin main +cd .. + +# Delete tmp directory +cd .. +echo " Delete tmp directory" +rm -rf "./tmp" + +#------------------------------------------------------------------------------- +# Exit +#s +echo "" +echo "-- $DATE: $project updated at $repo" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/02-SplineInterpolator/Scripts/deployScriptsAll.bash b/zz-solutions/02-SplineInterpolator/Scripts/deployScriptsAll.bash new file mode 100644 index 0000000..bb9e937 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/deployScriptsAll.bash @@ -0,0 +1,37 @@ +#!/bin/bash + +#================================================================================ +# deployScriptsAll.bash - updates github Scripts folder for all labo's at once +# indend to push scripts from [eda_scripts](https://gitlab.hevs.ch/course/ElN/eda_scripts.git) +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +./deployScripts.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +./deployScripts.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +./deployScripts.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +./deployScripts.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +./deployScripts.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +#./deployScripts.bash -v -p did-kart-ebs2 -r https://github.com/hei-synd-did/did-ket-ebs2.git # repo does not exist !!! +./deployScripts.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +./deployScripts.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +./deployScripts.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +./deployScripts.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +./deployScripts.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +./deployScripts.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git -d heirv32_sc +./deployScripts.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: ${0##*/} finished" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/02-SplineInterpolator/Scripts/generateSSHKey.bash b/zz-solutions/02-SplineInterpolator/Scripts/generateSSHKey.bash new file mode 100644 index 0000000..c906a16 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/generateSSHKey.bash @@ -0,0 +1,42 @@ +#!/bin/bash + +#============================================================================== +# generates an SSH key under default user location ~/.ssh/ +# and add the public key to the clipboard +# +# the email could be given when calling the script or be prompted later + +email='' +filename=$(hostname) +user=$(whoami) + +usage='Usage: generateSSH.bash [-e email]' + +# handle options +while getopts 'e:v' flag; do + case "${flag}" in + e) email=${OPTARG};; + esac +done + +# if the mail is not given, prompt it +if [ -z "$email" ] +then + echo -n "Please enter your mail: " + read email +fi +# generates the key-couple +# cat /dev/zero create an empty file to be filled by the key +# then ssh-keygen is called with: +# -q to call it silently (no verbose) +# -N with empty string to set no-password +# -t to specify the type of key +# -C to set the user mail +cat /dev/zero | ssh-keygen -q -N "" -t ed25519 -C "${user}@${filename}" -f ~/.ssh/$filename + +# then copy the public key into the clipboard +clip < ~/.ssh/${filename}.pub + +# print output for user +echo -e "\nThe keyfiles are generated under ~/.ssh/" +echo -e "\nThe public key is in your clipboard, ready to be added to your Github account." \ No newline at end of file diff --git a/zz-solutions/02-SplineInterpolator/Scripts/hdlDesigner.bash b/zz-solutions/02-SplineInterpolator/Scripts/hdlDesigner.bash new file mode 100644 index 0000000..4b54b46 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/hdlDesigner.bash @@ -0,0 +1,154 @@ +#!/bin/bash + +#================================================================================ +# hdl_designer.bash - Starts HDL designer +# +base_directory="$(dirname "$(readlink -f "$0")")" +base_directory="$base_directory/.." +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +command_switches='n:d:p:m:i:u:t:s:c:y:vh' +usage='Usage: hdl_designer.bash [-v] [-h]' +usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]" + # set name and base directory +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + esac +done + # continue with preferences directory +prefs_directory="$design_directory/Prefs" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + p ) prefs_directory=$OPTARG;; + esac +done + # finish with other parameters +library_matchings="$design_name.hdp" +library_matchings='hds.hdp' +simulation_directory="$design_directory/Simulation" +user_prefs_directory="$prefs_directory/hds_user-linux" +team_prefs_directory="$prefs_directory/hds_team" +scratch_directory='/tmp/eda/' +synthesis_subdirectory="Board/ise" +concat_directory="$design_directory/Board/concat" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) ;; + d ) ;; + m ) library_matchings=$OPTARG;; + i ) simulation_directory=$OPTARG;; + u ) user_prefs_directory=$OPTARG;; + t ) team_prefs_directory=$OPTARG;; + s ) scratch_directory=$OPTARG;; + c ) concat_directory=$OPTARG;; + y ) synthesis_subdirectory=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +design_directory=`realpath $design_directory` +library_matchings=`realpath $prefs_directory/$library_matchings` +simulation_directory=`realpath $simulation_directory` +user_prefs_directory=`realpath $user_prefs_directory` +team_prefs_directory=`realpath $team_prefs_directory` +concat_directory=`realpath $concat_directory` +mkdir -p $scratch_directory +scratch_directory=`realpath $scratch_directory` + +#================================================================================ +# Main script +# + +#------------------------------------------------------------------------------- +# System environment variables +# +export HDS_HOME=/usr/opt/HDS +export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/ +export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE +export LC_ALL=C +export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin +export MGLS_HOME=/usr/opt/HDS/license/mgls + +#------------------------------------------------------------------------------- +# Project environment variables +# +export DESIGN_NAME=$design_name +export HDS_LIBS=$library_matchings +export HDS_USER_HOME="$user_prefs_directory" +export HDS_TEAM_HOME=$team_prefs_directory +export SIMULATION_DIR=$simulation_directory +export SCRATCH_DIR=$scratch_directory +export CONCAT_DIR=$concat_directory +export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory` +export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "Environment variables:" + echo "${INDENT}Design name is $DESIGN_NAME" + echo "${INDENT}Lib matchings file is $HDS_LIBS" + echo "${INDENT}Simulation directory is $SIMULATION_DIR" + echo "${INDENT}User prefs directory is $HDS_USER_HOME" + echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME" + echo "${INDENT}Scratch directory is $SCRATCH_DIR" + echo "${INDENT}Concat directory is $CONCAT_DIR" + echo "${INDENT}HDS location is $HDS_HOME" + echo "${INDENT}Modelsim location is $MODELSIM_HOME" + echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME" + echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR" + echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR" +fi + +#------------------------------------------------------------------------------- +# Copy synthesis data to scratch +# +if true; then + echo "Copying" + echo "${INDENT}$SYNTHESIS_BASE_DIR" + echo "${INDENT}-> $SYNTHESIS_WORK_DIR" +fi +if [ -e "$SYNTHESIS_BASE_DIR" ]; then + rm -Rf $SYNTHESIS_WORK_DIR + mkdir -p $SYNTHESIS_WORK_DIR + cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/ +fi + +#------------------------------------------------------------------------------- +# Launch application +# +hdl_designer & + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/02-SplineInterpolator/Scripts/hdlDesigner.bat b/zz-solutions/02-SplineInterpolator/Scripts/hdlDesigner.bat new file mode 100644 index 0000000..87ed140 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/hdlDesigner.bat @@ -0,0 +1,278 @@ +::============================================================================== +:: hdl_designer.bash - Starts HDL designer +:: +:start + setlocal EnableExtensions EnableDelayedExpansion + set cmd_location=%~dp0 + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +:: ----------------------------------------------------------------------------- +:: Define default environment variables +IF NOT DEFINED REQUIRE_LIBS SET "REQUIRE_LIBS=0" +IF NOT DEFINED REQUIRE_HDS SET "REQUIRE_HDS=0" +IF NOT DEFINED REQUIRE_MODELSIM SET "REQUIRE_MODELSIM=0" +IF NOT DEFINED REQUIRE_ISE SET "REQUIRE_ISE=0" +IF NOT DEFINED REQUIRE_LIBERO SET "REQUIRE_LIBERO=0" +IF NOT DEFINED REQUIRE_DIAMOND SET "REQUIRE_DIAMOND=0" +:: +::remove trailing backslash +if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1% + +set design_name=%~n0 +set hpd_name=hds + +if "%ISE_VERSION%"== "" ( + set ISE_VERSION=14.7 +) +set prefs_directory="%design_directory:"=%\Prefs" +set library_matchings="%hpd_name%.hdp" +set simulation_directory="%design_directory:"=%\Simulation" +set user_prefs_directory="%prefs_directory:"=%\hds_user" +set team_prefs_directory="%prefs_directory:"=%\hds_team" +if "%SCRATCH_DIR%" == "" ( + set scratch_directory=C:\temp\eda\%username% +) else ( + set scratch_directory=%SCRATCH_DIR% +) + +set synthesis_subdirectory="" +if %REQUIRE_ISE% == 1 ( + set synthesis_subdirectory="Board\ise" +) +if %REQUIRE_LIBERO% == 1 ( + set synthesis_subdirectory="Board\libero" +) +if %REQUIRE_DIAMOND% == 1 ( + set synthesis_subdirectory="Board\diamond" +) +set concat_directory="%design_directory:"=%\Board\concat" + +::------------------------------------------------------------------------------ +:: Parse command line options +:: +:parse +set usage1="Usage: hdl_designer.bat [-v] [-h]" +set usage2=" [-n designName] [-d designDirectory]" +set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]" +set usage4=" [-s scratchDirectory] [-c concatDirectory]" +set usage5=" [-y synthesisDirectory] [-m library_matchings]" + +echo Search Commandline Parameters +:parseloop +if not "%1"=="" ( + if "%1"=="-v" ( + set VERBOSE=1 + echo %INDENT:"=%verbose enabled + shift + ) + if "%1"=="-h" ( + goto :HELP + shift + ) + if "%1"=="-n" ( + set design_name=%2 + echo %INDENT:"=%design_name=!design_name:"=! + shift & shift + ) + if "%1"=="-d" ( + set design_directory=%2 + echo %INDENT:"=%design_directory=!design_directory:"=! + shift & shift + ) + if "%1"=="-p" ( + set prefs_directory=%2 + echo %INDENT:"=%prefs_directory=!prefs_directory:"=! + shift & shift + ) + if "%1"=="-u" ( + set user_prefs_directory=%2 + echo %INDENT:"=%user_prefs_directory=%user_prefs_directory:"=% + shift & shift + ) + if "%1"=="-t" ( + set team_prefs_directory=%2 + echo %INDENT:"=%team_prefs_directory=%team_prefs_directory:"=% + shift & shift + ) + if "%1"=="-s" ( + set scratch_directory=%2 + echo %INDENT:"=%scratch_directory=%scratch_directory:"=% + shift & shift + ) + if "%1"=="-c" ( + set concat_directory=%2 + echo "%INDENT:"=%concat_directory=%concat_directory:"=% " + shift & shift + ) + if "%1"=="-y" ( + set synthesis_subdirectory=%2 + echo %INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=% + shift & shift + ) + if "%1"=="-m" ( + set set library_matchings="%2.hdp" + echo %INDENT:"=%library_matchings=%library_matchings:"=% + shift & shift + ) + goto :parseloop +) +echo. + +:: Setting all concurrent variables +set prefs_directory="!design_directory:"=!\Prefs" +set user_prefs_directory="!prefs_directory:"=!\hds_user" +set team_prefs_directory="!prefs_directory:"=!\hds_team" +set library_matchings=!prefs_directory:"=!\!library_matchings:"=! +set simulation_directory="!design_directory:"=!\Simulation" +set concat_directory="!design_directory:"=!\Board\concat" + +:: Getting realpath +:: TODO + +:: create scratch dir +if not exist "%scratch_directory%" ( + mkdir "%scratch_directory:"=%" +) + +::================================================================================ +:: Main script +:: +echo. +call "./searchPaths.bat" + +::------------------------------------------------------------------------------ +:: Project environment variables +:: +set DESIGN_NAME=%design_name:"=% +set HDS_LIBS=%library_matchings:"=% +set HDS_USER_HOME=%user_prefs_directory:"=% +set HDS_TEAM_HOME=%team_prefs_directory:"=% +set SIMULATION_DIR=%simulation_directory:"=% +:: Changing Slashed (Needed for Modelsim) +set SIMULATION_DIR=%SIMULATION_DIR:\=/% +set SCRATCH_DIR=%scratch_directory:"=% +set CONCAT_DIR=%concat_directory:"=% +set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set DIAMOND_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set DIAMOND_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% + +::------------------------------------------------------------------------------ +:: Display info +:: +if !VERBOSE! == 1 ( + echo Program Parameters + echo %INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=% + echo %INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=% + echo %INDENT:"=%HDS_LIBS is %HDS_LIBS:"=% + echo %INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=% + echo %INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=% + echo %INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=% + echo %INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=% + echo %INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=% + if %REQUIRE_HDS% == 1 ( + echo %INDENT:"=%HDS_HOME is %HDS_HOME:"=% + ) + if %REQUIRE_MODELSIM% == 1 ( + echo %INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=% + ) + if %REQUIRE_ISE% == 1 ( + echo %INDENT:"=%ISE_HOME is %ISE_HOME:"=% + echo %INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=% + echo %INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=% + ) + if %REQUIRE_LIBERO% == 1 ( + echo %INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=% + echo %INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=% + echo %INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=% + ) + if %REQUIRE_DIAMOND% == 1 ( + echo %INDENT:"=%DIAMOND_HOME is %DIAMOND_HOME:"=% + echo %INDENT:"=%DIAMOND_BASE_DIR is %DIAMOND_BASE_DIR:"=% + echo %INDENT:"=%DIAMOND_WORK_DIR is %DIAMOND_WORK_DIR:"=% + ) + echo. +) + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +echo. +call "./cleanScratch.bat" + +::------------------------------------------------------------------------------ +:: Copy synthesis data to scratch directory +:: +if %REQUIRE_ISE% == 1 ( + if exist %ISE_BASE_DIR% ( + echo %ISE_BASE_DIR:"=% + echo -> %ISE_WORK_DIR:"=% + if exist %ISE_WORK_DIR% ( + rmdir /S /Q "%ISE_WORK_DIR%" + ) + mkdir "%ISE_WORK_DIR%" + xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\" + ) +) + +if %REQUIRE_LIBERO% == 1 ( + if exist %LIBERO_BASE_DIR% ( + echo %LIBERO_BASE_DIR:"=% + echo -> %LIBERO_BASE_DIR:"=% + if exist %LIBERO_WORK_DIR% ( + rmdir /S /Q "%LIBERO_WORK_DIR%" + ) + mkdir "%LIBERO_WORK_DIR%" + xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\" + ) +) + +if %REQUIRE_DIAMOND% == 1 ( + if exist %DIAMOND_BASE_DIR% ( + echo %DIAMOND_BASE_DIR:"=% + echo -> %DIAMOND_BASE_DIR:"=% + if exist %DIAMOND_WORK_DIR% ( + rmdir /S /Q "%DIAMOND_WORK_DIR%" + ) + mkdir "%DIAMOND_WORK_DIR%" + xcopy /S /Y "%DIAMOND_BASE_DIR%" "%DIAMOND_WORK_DIR%\" + ) +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +echo -- Launching program +::echo %INDENT:"=%Waiting until programs finished... +::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe +%windir%\system32\cmd.exe /c start !HDS_HOME!\bin\hdldesigner.exe +::echo -- Finished... YOU CAN CLOSE THIS WINDOW NOW! + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof + +::------------------------------------------------------------------------------ +:: Helper Functions +:: +:HELP + echo. + echo %usage1:"=% + echo %usage2:"=% + echo %usage3:"=% + echo %usage4:"=% + echo %usage5:"=% + echo.&pause&goto:eof diff --git a/zz-solutions/02-SplineInterpolator/Scripts/img/EDA_scripts.gif b/zz-solutions/02-SplineInterpolator/Scripts/img/EDA_scripts.gif new file mode 100644 index 0000000000000000000000000000000000000000..2e348ba6d713a957b7e0ddc6614a9c53a8531031 GIT binary patch literal 12105 zcmeI&a<_Kp7ZPL=h>ep;1t2M3fEz6{SPEL_kVPx+`+q_gnY=2lvIZ_F4O!v)}JGpS=~7y9~|``Ruyk{O>a%9}7723OV&71wT}97#DFFL_g6f<~FQ^ zn80|9s<=$UpXngDKQ(e${^T`_7S(IwwyNecui>|7=C%IKZQsIY)5dT6hu5K-?{mAL zEmFV{C1~3t=vX3QhI;&^Q`n(T$f;P?4k_x?Bj$_}cj=Y*nyhZqC-rUMg?GB9eaCAL zr0h4;E4P$)4yf1Oy>dPS3Vwr1-!pYxphm9!ZvuuigR)FLhP8sT&HVbcg9ko@eZ0%eMCE)ep_J@kQ%L4jM(EOu~mvqJ~W35O%?xR^g+cVn#kClza&uHB0QV zPAK{sI%b#9>y$d`1TFLXfp*RqcTOq)9yj5V`qM9d;%gStE3?lxXVSX>?U#>;N}miY zo{T6TiY@PrFK8?uFo#dFV5}Ft}Xn%R~B}bHka3yHde8#>nodUTYIZp>zix1we9`& zo$bw?gN@xC?C#Mf4!g5?jNL!kI>7B5Z0~LD?;alR?(F>i>>VBL?H=NePjGvu`?%wS zll>#y+2Q`_(fRq&!Nu|6(dpsk$?@^o(Z%W2)#=H}`N`$kHU8}M?Bew1{OtVl?B?S9 z;_4iKd2@MvadY+e{Ef@&zv<@Y(G6H#?%ms0FE!+Z1RfIt0RX@wJfWwFGvLuV5l{$l z1K|5x0{qi001zHFuZJWKm}BpZov#3Otu}b4kr)}lhvZdjFLrBXw+yER)A z$~wK7-gj$vX85eP<``+}_U7+;fSKp0>-U#$hw&O^Qa2pV^yLZrsxwv}Z4H;&T1K`s z9qlX}<2{*gq?*ssE2Bm)2AO!}k8!6jNg|R$u~~r0hMj6&9pUjM+%_Ih1^OR!LZ4q$6+=+runj8v9Rw27gVKq zIKdjvtEzF&iL%rwn5|wtXWdq%|M3MSyz6$lO%+nW)eh0;BWLq-$W`9D8m)Y6RWnKd z-l`T;YhhWpFn-sver3SLqG97C*t~Ju^Qn0gE?Um4`N%*2Q_C4v<5TN-1yZo>5&m*7 zhycuB^6Qph=;Myt0#L^giWg9$F7O-GFTZyT7@mBie`{yheLsNM2{{v`D)g1pHqr^j z!`3Z?6llW;ISO@N>GiW+2LJ4l*o6w)NgtA#4asK7Kkb!g&TuNsPBRByw$ptX$T zzm6pu@H>y|e%|>wVdzZkI++t?Ae?9spJ6_2dHVZgz@crn*4=4Y)os>wFh~TCaoX8& zp7&XovRLrSlXD-kX2gr6g$o*LFGsuG5?hLU!_=Ui^fuaKE$vf{8Exc|kec}M(Wi^e z;-ZQRY+2pz#TL9<;Bvcq!r^kKzSQuI_~=mw@~(;lQ~q6OWc=km5>k0}&?mBYwYliX z8uY{I#9dDCLbCGu1mm`MeR{ny+DrS=bAXKsxfA$9iQ*{fmE|^u$my?+@^erMm7;d@z>sa0tHGaH3tv!7lkLz{eJV0 zYm0ZGlxes?F0%~g*L3L*z5|)LBXh{4LMflXskns?UW!^EJDGTJ5AjkdnpzG=B+T^t zp_f0q$bE&_xDzPCG$Kt;ar=ai2v+5P#^&Cun{3wdY7duArKT}P{uW9bc0FSOlA3kB zCePfDatvgAL;xUsPH!VW9-7B=>Mm{xU;zp{4l|mY5lPc)#V`T@1U5st7cXt(y+!lc z2R{-60LBFVn3%|SPkPiHzR)BQ`Q6gZS9(C(8DAWj`&gF5Pq5HRQ)Ee=$8xjN7Jdi7 z>CT}(C!BXRe31Nvy_zxmt`SGUHKElAAq)CsV@dtbUdS z?g;}v^wr;P>?{C=v4h_|53{LO4Q10){VY9^IU+n(uSH@CCuJ@3S29u+jGSPRdmK1!&+TPRwPp_(4{hP39WP>dYA`jy|E>-f*)D!lX7D9cEacMCp@`8=m_|&kynfz@8Oz;0=5ljYwI%cZ|Gk!lc zFkaPezeeB?%Ys=O^C*uBW2j!#V;|8j_)SicFxl9=g6g9BVlP0MHPiQNPW!!TZpA?v zZ~P-T>$mB|M{emPQ$Qt==8!}bZRn3Vx{BnV1O$R!4Rz5F4LM4QvPzP@Z_`)G`N~W0 z4W$&R150r2)Q41XViJ8l!dFDU7Tzi_7i$F`D%bnpZC!P|bY9@%sQ2gt5x(z;jih|@ zxa%v&e$W$4s{z+{9xq%$1SROxFI4@@rzb^h#Rm(Zy?S4%R`YK=!k=jN1|Pa+E7Ks{ zSFSnEljO}0O8v`Tn5ur}F*BA=oOd(Vz743^pAy0+AJ;A1eiKBwN+*1YvRk9IO4ZgD z{}L=BNy}oq6y_vvR>^_*FyF2+fZ+m_I7zp z@)kk70y;d?IK9Ma$|UdI$dIcYU|W*O?9)X6J)RVnA=P9GPOhKZtf|yQZj>}Xuf@1# z)V&6aHEgjxu-i!mOn%<4kRX-@~gjnW8QG=Fx#S&u}AVtFZgF6sVm_C+Dd|< zzUj8+%hs;Xq^MWF`{do)i7SSlB_Vn5?wLHsUr8i#&!jCDF4xPJsIh(bD_J6`mVX#Z zW|AOswJ17hLC~JTX2ggI+``qpbFw=eD}h3HPJS54`gEN2f95&l7vGA`R8u|iT2j4X z5w34Hp;VdO5TpF|Tu+L<%rYZj5!v~{27g=> z0T<}4yU}*!l<#Oda z;dK&HbA967I=JcVyA#K3Ju1w6c1$c?3h|yQ@LeMI^@V&xeDUcyk*pJ;g4)}H7=+!<^{em&0LKYH2C?Wp%%sau3laecACJ==XtKwkWP>;u=4?chVHnvJ_?k?kx zd>Ck@7JTN|wZau18n!$}?b_}}f(~oi4;#B1PU#ky^f7#3J%s*;J84&V<6=09D1!1d zD3et!Vp98oiQxvv%SOeA%_*v_XO8wMe9~MJ#lE9KMChHA zP!9>~Fb~UnJkjSV{KYA9lkv$~sYxDwDX9S=7TnOO)TYx^x2cqTZuP>=V+lrp%;YdAi6Z{NI;); z+#ip$HCE`v^Ngo>os6N*r<|)#$Dd09bORGO1&>qphHGdW3fxWvOoYjaPga!t>3_v-;dHXomQ z=05j?iea-QrZuG+gF!Oxx9RhHnrQ)VJ@em-r+m(x*23MF+7zi@M&E)KaU_NFiSd5wa| z9b4Feb?u_Jj|_+XN-L{xEE~QJv@t2|z?QPOTO&!!O9ILbb<3M!u(`&$Kp7OYHvl(o~b!-J3Qn{vFc@pkXp<5y2S#gPS-y*Fz$5sHr?i|l6Z-XlX zEy~U=Dlwk$f@JtDFq}-niYD8L3S55Y(sggF;z+mhK3LxzR@GetXOpm|&4J%b$0Mf9 z^krq!H>Rq@*5RBF*@YfvK8^Z!gK!^$?mI>|+ZxLkrbtSe=v;nV|%kdW5EMF4)i(EIU&f8o`#g zP?OD49U`G6HA1r9UcH`8BG6m=y_YS+k4!a!EH@qD+}j{JLQ>-xxelYOfj6?*suqI5 zBUFeH0Jydn?$>lD0}O6pY3hMdHY_x8r&rZ~W6J=5;W)D0X|kcqrV+eyV}%4;Dxjr$ zfdYf2s0OseJVvC?Gz$@s41vL|#?5&!%C^|n5XUC5?`*!Yt#D(q9viZgCgS@ujcYTk zZpLlH-%8Q8ZPt!el3&;iS=tFDVT0}MuiN31rEi1?h|1gBQ9~uj_V!8^Albv(0yJw_ zdpjHlp6e|-0+6vwg6=TL3nOl`lYwjCO`p@qYp6Ok+u<4!G{Sd?il{m{FywmED&!y}I3@-JZ?eUYKs5t8Tm>1JYj-8K{p8_CmVpgAr8S z1khV#TWtX=tnuy01pS^QubvcWPg-*i6w{OG)e}kuu$O!-97m*o)l&>b!J1KJ7*qxH zPi`zhdoM(o^>!5wRS)fLZ0>C?LsdEwfmC}1y?R^p`~G67ONU za#R%@WRMM1S7{(bv+?2v83DH(SwP8Dc+%3?VZPZBwPw;BM-o2(vBuDl`sL6jhE2o| z@P1}gn$ey`U+Se6AvJDP-Wxp?IErClXYfO-d!t|SOUkkmA-4mt0c<|t>YpB!1UGaZc9uH_;| zrUXS&7?hN%(IH293ucH>nG`>SF%lXdZoxpM=*(o%6H=Hea#7Q;srmTXN$osNr>OaW@;S5tCr2y>Z!kV@K<6+rcWYq0 zRx?}Ae-dmsK1Ke_fnbVycZ_(B&e;@rACG>(v|xP)!-yYc#fQ9dcE~oRr4t6fzJ#PKCAy(a=%Ll(T63rV*u$vLRvp1LH zoV$_11n9fm8%Tr1GHo6WY=xL^2DRe+{J9#U*SdPz92h?AF=)?;M1 zmJzV3ck)OTa*#RwV(?@(5OT^ittog)qyphHKK7T|b#FrhvnJ!!PJCaqsWVN5X8@=* z&K#p46mKSc2G4wVIHA%La)Rf;e|r}9P1;KhE_MHlw4vvP5J_acSLxcY2LINOW8@~6 zz!1`c;SDGoauFn#-eO6IiqwSo#xW(a9V!Dt`yBr z@{Ej9K12_+Rutnn)G}YEj(j2m0`yx0AG}>tO?zfPaQCsvvU;YJH=c<8-NsN3PZX0} z+PjiydKw4mAo@fL0?_Mn!{2G|x3nuHlYMSI)Hc6eV&0EZUC7?jt+k(R4bs!x)^9X@ z0sRe5w9#+%zQ*5TsNOa1;MOEUrqiwY!@e`V2xibZXzNJiue2+fv;zQla^Mqc-7YP{xBGlFm z@ne~aT^bb`Ldw^U`~(Wh@R!8QE~P>sNFNu=zYP5^L;uUr|1$Ky4E--d|I5(-GW5R; z{ePRG-#rg}@;=aS-8YamfI~6hnWmX~sI3O*e{gehkZygDZ!%C(G@$Gm4WE24qj|6& z#6MFu$oRjJc|G_IC}cv!U#d9p;rAfVvtTcf+h1G8yZjaB|KM^!z2sdkR|U}rM#Z7a zHPm6Jp|KxBHB(%E>{E`s3$ah6UJEizs}F;w_@S2q5QN$}ZsD+`aKFElOdX!|A4-Ow zN&YxAMrzp=i+sat{gRL#9OHt0U#<6bC?2Th zd><4?;t~y-jN!13ljMveu+}R)!2jSo_Yp{qo#EmU5{pNEh)=oyBO@+eT*q6I#Cx9~H3nqD_Hglzp-%s9MO4(RX%_2#IyCp+Y0}DOU zij_RQ8`5kh(~8g1uB;LfIziPs>9sxXtI6rP_34kM(o+;t`+?zQ+)xT7=;B5gpg0|+ z6xgTa`K8Bi;$FsxSVjt7!S&(*`YSAhxHu!_{+Dqvg9V)*OFD_O)+yxfnG&g)SM>y| z4Mw{j5~&Yj!H=_o-)EhWWJQ!@HT=%n+sx8bbT8n}UR260Pg5wx zJ*i3EXgsrNZF26@y8>f#C>nDtr*h&zxf9&E$qKn*5CEr5CKoD)2kS^SoqKtn%T1q0 zVd+?RmV2rbBd(nDTs&8l-k!P9MIt;`iYJQCCSQg=-$5*Y=y{$heXb;F!O#idqA*9@ zQ(UPra&J6eeYybgG5>{ffuc>pkEARen?hEe!je$HQelDKG^GP7OiQ;=K)gscyfDDR z-UC+j236E9SBSU0DDu-S@~Y1_LKQv?FLne0Z5|crVT&!?t%G4j38X=Rjm630MX9=h z;q*n2@DhJgeK#K15lOL&E-ZS?EJM7cZ2DtG8Z3mqwBuRnGZ-uj>tBQ|>Rv6W^;F?F zftk>k7O*fv`snOrmO{Kv7lS_ z$3|aPDA|I$93E0uPtT6MNZeNTT)wCvDuC&GR=^S~&ghHFuoZ)i<@q+H$MoytDzNQNUT$Xp=t?KK7<>*$)=2B2cP>@`{eQ7Fr zeSs%0!)G z{L8`q(^c#<)kwceBukB#I6^dnEkgrbSYL3V0oIKu{DZEBvyl7oki#`z%+f~*>=y?EJT zadq$o@K?Q@3miD*8^|J^M)ZI<1q}XUocBkg@tbjh{=+vyPQ(S^dM@$$g7n+kC>oKa zTUESGv=?MeDnuHd^{QK}GDD2G|CiIi&$!cz8=g7oM2T`qGhfL8WCj8#=oH-s2_hx2^y1l+7L2>bnIV zF{aG4rW}!JyXw8Y`H)M1m1wuO4bB2?gOkm+QyBG7%)-esd)whGq;!A+(Wh(1fZ9Y8^OM3b-(iG*a= zYyB>HuP#MsmvVELDyB<55(I4j_39~`61-jA>-R_KZ{6nK`k3Eze5BmCS}!3sQ!22b z*B>kBADiYsI#;9$j!mDoTP*tixaj}G(3T9~cg6*dGqS>_xAB#J-T%$ddzYlzRE=yd zYKWNRk65MK9M9Bdi1;TpmN_NMXD-QRtjjs?0Wdp!7}I4= zTYJo}a7@$_h-N>g7B3EXA_Vc^pRL?xa9J9gj4B-Hf99+;KFgRlZ~wp@fm!y>S+$>Y zXPwye&Jki1c5#~B%g8KI6L#;IJ?76mwP*0qn!N0XZtYBYASQwMG{VfM?gUfB0;wd? z^xi@<*9^pLlmnb2$8f7_#`YF7gUQ zUGua0#LYYrSjI0w+Ps%PH46I6q9qMOY4HpJrXw#Mf?u@?`P`XRm_zTeavjaCjEgMO zv2tDTufi2p|Jv_T)T+FwfZXn?5uQI_Y0i|%RhNP-tljvvI?iBgm#%yaDAPb{g!72oR5944o>y~U+LZI&yHC0Mxr5-6X@qcozbX=s zeeOL<^5$Sj0s@borp`XVsX}TphuJ6(Wv@Bq1%|gA4)5%7wn-033?9y2a{g%@AbGqa z`$Fb3>-yFePAO}0v424Q=EyG(GfBVqZg0ribgdxmK*vbTTI+}>{K)8qsCmZ`yYjC2 z{Dj%TE>`WtmU6;u3CO5>_+@a;Sa#!teBbT!GvhnB$_9Yo{OP^9G2%B+$2VsS1{?_j zBVm==1o zy0;`RblBy7RAsa(<+S4kx~%)mDs^zEQ4h$NzXUq76=lVN9-no!2?+R~5Tu0xlG?7! zGFgWmLMgJZMtu3%b1y0HZ+B*0ryV@fr?e+n0MG*PXv0UQFI4|d2LA3o9AvV@FexkS z;c;0<*P4^SSO5rjeVTO)$a%FO^Zyyz|AygzG4!&0^#5k)gGaBD_zhtHkD=$fQr|*U Slcm0H|HIH4Q9vL-;=cf=r)(Sm literal 0 HcmV?d00001 diff --git a/zz-solutions/02-SplineInterpolator/Scripts/img/EDA_scripts.png b/zz-solutions/02-SplineInterpolator/Scripts/img/EDA_scripts.png new file mode 100644 index 0000000000000000000000000000000000000000..34917a9631c0dd368e83da36694991dfa10bf1f5 GIT binary patch literal 20462 zcmYg&1yodDwD-{62uOzr2m%7qBs5<_=~G)RZ^&>;QY z@&DHMo@=>O?z#K!{o8r=*~dstbp;}PT6_=)M5Lq$(FTFgPEo&cv4NiqOf5wLe_*Nnc86-Wp8;X~+41E`L(4HRbKW(|VDV7&HD@7ygd zT&#JW-E32klC&TYGe`;YLf12OZ^6slSg%d^a5GiH=dnr((q1Ps4-4_gQTcPCpFXA* z8L6M1?hif{k(Vw7%g0gK3#4DDVHn;b7Tyj92M_hg_{hnWTYhTLFw^q=Ay#wp4+!pZ%xMnDH~^KvMWmaC{a> z`3(V)%B{%N4lu_~&pRC?&3Zzq5@`{-@+;Xwe9-3d#Jh2;mL_}y0{GAJ&esJxlfwoL zR%J*{$aYkiI&>mR33j!>n*%neRL0ds`-*3tfHZ~%+h9#77QWRf{3bbWVl?&FMHa@H z4Q&M%r0Pj|Ann*=R5B;Rz;MIBZ-lL*X&+X}vC3b7ib0J|PcHlS!n@QEa%9`6)fG1V z?NzGwrrDv55LpwverPKvRIUX^ZV8pJ!qkO?|}S?$+`V(+r1aSf*pTMI-f3WTiC--eN{!aikQ zCll1(Wa_|}vn>s_a?{#b4Rzjck<0pHgZ(gN(~+u>XSg8G*Dr30iv0Vy(KQhmT27W( zpM@uNHe+9b{lWOYrf6%>O%~;^txjl?EIG#&C)0e3SrWt>kEz7-bRE0s3+%mRthjt% z;2L8)xq)Z9&<~k#kG{%b--}!BTaqmVal!yO*iAFuUvPE^NOL_xt_tTQP*A=O?R4g0 z_ZKeq?^9oJ<-D#K<+7(_e%t@Y6w6}X>okkc_buH9D+OslgaA{CgTWno*-xFy^3lHc z_cSuoCaHYR6_m|9fqjS*(!5W#pfifH4e!+7&G8EcTUIG^QCMtjkDHk@k=U9)BuKPq zcLUp<>4|Uby65s5pb=Snfa=kT)1?E7$|sueSE)e63tn&mMa*pg`eO1g=2jv zPS$BBxIjl&BSYo^RWsW1;{`+bmetNnyrZAVXDZWM>fr6LF!h7if*y-jI#JGOe>wl! zhHwsjr~blzkTO|km4}5&2&5EBz9A01SxT%y!=q_o zaEB@dTwgz%g|Q`9im&q>;E`vO?f5_z>qgrPng5 zFt?iMWR+bYmfabagD4ebb{^z$`yLEFkE_`tmh0;QkGRosJazLBCjkO04jSbau!@UX zlavHT{WIXcGAUr8M1qi=gh1*8ptB}g@Ev8VA)<=()BE4Kn)gE-!`6IM-c&{0W||Wi zl9+`fA@@uazarD!XksO7f81&nBblxE{AX;Fb6{ongsq$aoScsP6zCVPrk>uG01ug{ zyb}vc4EP1!epkpmBtt#7l;q3lW)Kv)@vKEM3%T%|5*C28bfgbX0{^mjj?lw;;*0-{%z-W}|38X?wQkz{GtAF&gI;ut5EiYVpt>u4XDogKmJ?X)KGbfjgDci3Is_DlsxLFhHDk<^DYUdBlgBEj^pZwWawPD&Vg*kLHH}=&EIRl6 zbwNzifV$kG+s9w&4ktIc9j>T%g9HYPMxIT*>QHl*2ZtZ!1YT!jc3jTDvB zpy1|h8d|gG_J!d3s>7&Qv%hj4Nepl_^ZQJ$enfJ1f;9+=IRadxxA`-uS}y@@lXb~~ zJjw^@{>ul6#y#Nay)Bcy08D;W)a#fGp_S66ljS!{h>&#wD#T-a(n;%+g9qqKSO;%7 zvIlLSzz=jMsik_=Oi#_T-W^_b`kXMNr<=W4mPc{F_bAkRIB&fmf0ECBI*on0d{oC_ zXyTGWEwTj!WEtQC>zYPH1S086bq*oONA;ltQ`&_H-$kPQ&bQ@&e8rJ?`INWh5auz;7@ zs1QRyK=w1he}@&gf`T_Miv|3hTK~&XgCXprLkGB43sB#sGF%4ZaC;aGNvOe;1jA)Y zpO}>^!V(Um1Oue$169;|Vq3^Yld=BTU2`rh)C0C<~~Z z|5M0c)>w}GWV7%>0E<9M{^x@em7K?>m@YLa@WoagEt2(hg{6;ckj%+}VT>U;Bh~?A z{~{6D207Lqxu*W{@3}a^cV{T%Bc?`(YTK4|~fh4OeTRz`#lo?}?t4-xS-LXGu$qoHhEP+Et zMl=(wH~wd2oB^l{o5D?Xgzv@K4|zaxDF3JoV`p~y@x$!+2a;KQ-70HZ)ksv1Yu6g& zg<|7V3HamGhTd+X{w{I+TQU7D1(>=KmU$ftUn?0jdN}RW598m1>; zf$&LY{S=Gvx$32HsgxS8Eq+tYn^-~eegAK9P?&hg%$`w|Fbf}1_i=*e!9be@EwfVD^8f02~-IS?uU%B&@b+;}}fIx6Q0 zhDU~#=d@S56bC~|`A5_!Yrs7;YQIr&?D7i(NUOY}LZF9sjGu6WHg`~(-Uh3}ze%<9 zO{J_?R*n`A{0U${7ugwp0X&gkCb$v%C~dv++me>Om6GM{;aG~DeRP` zKq+>`xA0;wK`-pCySky9wqJ|D9F9UTVTu@UAXRJjZ|`qJ$PD{C_4=~9Q{lKQdW{fk zbqJ(D#6W3{kK6X?=??Lmq#s;RXP=cE&=cq;GhhZSm&*uU|2MTK;|R6MA@9)CpaZ(=P@qW&!VTQy>U%@$BNE z0N`}>%I{8P2;7#^8E^y+U?D9orp zeGX4vr6Bo}%B(;sfG3qN6@Kj&3eQ<(-)irFf`Qf$iK|LKBNmLhOvTfF)}cakW0> zITQSXMzLJYcRFe92t%*a{0E95^>o6>bt96r;Pcdy=)Y<`LO>Xea{?7S+~zD)`c7;_ zc$~%TPFBV$+&$NU+xCXPE@T5=fqAMdzj4cK9utm z5dzv4l7lVdpoG)<(_70rjN=g@`uM5MR&hS>SKi#$A<(N}K(kP9s@!jeKb>Y@FTALx zE<_Lg_|{(B3h>LQ&!Oyz`5k|r&Z$5>E^mz5hV+3ixSMDSItHC{^xEIbSGY}q%Lu;@ z1=1D^0?Paqh`aZ`cT`MpN}6io!HZo&lh6r2lm+yA;#;bYH^|yzItrfde0Nun>lZ;= z-|m+Mf>lmwAwP$y!G&T~Y{gOUTQ0ypDpq)=p zxOI=C3ku%NXLH^$@KhiUFK#6%_>E^zLkhlDOM@6HaA6Gyk!?pZ&;JV39ALqueV@H{ z>4EFKR3O2amW~x^4}?tN{HxYjT_#dcu7w{C&q?*h6Ncc`-({^IKX7#De=U0<} zx4MX$i_#yiduj2%<>dEcJxqQ_>Tm$reMjeVcy!O)SgZ%r-AN10YsOp7*JTpj(D|{m zee%RS^0|1d+O2zr1b$BQ4GSR`9SPT^-v>?;!^E(uD@VJlwkllbUvBk@R|P!QdV@{| zrb+!F`TpUhov=0m4es{+PI#FgO^%EvmVJ3|qidci-BSyyQ^*{3oLJF zPxb|_Toew-mp>0jZKLf~;z-IZzMbx$#El)Fq!O1Db=fi57PgO$S z!nR_;Jz7*nz=p3xY4S*0oZ&O+lG4UeVL+Ez9rRlB82eoFg zf=*4UFhW-4Y&@nWO0QazTnTKnKyvCyRg;hL?^w9Fp!Sh3zl#!|jTi}2+1_2?Kzo?8 zA5nmp=Nn`&4NZ$A@X-Qwm(=?#;{5{kbf`^9G6iOPvhMH-f{s+2A}nYSIiy{(p>iD zZ>N@z+z-Eu|1r%Dob8juPD?L_;wmq~ngIxM+j8l6yVA1|I;4Rg^bK!Vb$+llPvVQ7Qj~=y&=*M8Askk6tzy!v!TMB4jEvdRg^weq!*nZfw^R!n(fbye z;BHw#aW&}^6E+LH-E=FW^aOBO$yc){fhnJ#33nCzjS^TOgL4w4!uDUnpAejxsNovr zBSq`?*2***d~^k{)s?dpR9&fs_J67$xDp-6E2lj0 zo9^7uJs!3qbnpRcioqki!jV}i-Y&lTIXB$4Vnzt`)Iw0i7#(C67hcX%r|+IUpT~?W zEcv=tccpIJSc70mmNW z#1vRRkW_i-r@N|hs_iK$iv1WuMxWKZ-}_mw_K29K5+2v$nKxHncDou8H03)W^+0v< zPt@u@5I_}}vxQcf#J3VM9PyEIK0>)HYQrhIQjRMR-V@us%Oc=H*0@X3(sXQ0pg4e! z?~8BP=2vPm*@1hb>=YB$60CQJTx%X&?ev+^=Oolkl^)qG6XHx~Q2g?tA6{%(k9bP4 zi#TV_u1kIT1=HoWAE?sa$JiQWaVwk>fM%GtA^BD?W7d9B@VQ@~uz7feba@h8eQsh0 z>7V`gL^cB){-`cf@ZV?#OER)gj3u8y!Z=3Io?b5eRRW+yb*PtqtCFRVolb(G*#FrX zh_)W*r%-c!4Zy+bt#a+Jq|JL|h0{JiaNm0v0f$3>lS>9-eBxbr<`$uCR&Mzfjrxji z97)TK$y>!nNJh3@kXim8190*>@3VEj*oRx97`)K$sTmcP^gv=}n(t-L%XRyjL4U}M z;Z=nm8c6Gc3;bM$g*(_IND6q0Md|WeHiKRy6Kp2s(Pj<$+v);rN<2j8V$Oi44~9#* zQUcm!v1w)qO`h=Tv9b2MUAL#rEG`sD$e+`h7d$rJ;(olajdQpXL=OaYfsXUh7f$Q( z4$AY@Kr=be2>$~g&wZc)eG+`KLAUA~+Cs2mums@9-7Dv+6KN|VT&GD2z zwU>_K2^7_HY;6+bvRMdXCKhrESv{uCj;7}CC_H!@-c@28-^QN0!xq{2tW5{`WJL!( zA?1f6rG)e??o_}E8B}P0njr#?UHFu?Go{TBVQIyV_GOfPzmDK`Yq6w?LX1YsZs>iZ z*TTi&)R^rk1>ttA90a4hpaA2*g)PadF1O}QTd(I5B`k};egTdqJruq*T4wg5V`Hhk zw524}{`fV$R{k8VWZ><5Z|MST|K>UgNH)j6XoS@&m{_dz#Dz-;lZ?{Iooh`Do63qwAy>h6r>lu36AGgEa&*w zez1u?1)`)7$vL}TITyu86uC*{`oMc4+7~mBtyB^6Y{%Q4P1y7n*u(F#`2XhUekc<3pst#Q zME>PwKt?Vw6!R7p3PAsJ8MWq+$usqrKkY$;q2@g zHDY?BQ63H1`G$-=-`0oVz3&y7!_D#9)>}su{%;Q7U%c4cURlzo^JM$f$o+zJw{)#*=hTvPh+4*AwQ<~pgu<^S0vaXz)51pv#+3_5i zCkhUynr6-`x@H=;E^upLbK=5evut+qnoE4FIkA!bv&@^> zvaI!MrijD22Cb!)mZ>+ldKZ>G&Ze@D2FHCM+xbsv+id{%;b)ngtY}15hnea(XX27R zz=(s?54(u+Uwupddex4>dDR(qPR#hz#k}vNu*HT9u$sKA{B+{IJ?UHtc^mCFuTcBt z@ut1%utm0s2OvTtFd5q6`X&ESdfc1UrDpVt32mdEnz@jfrbCgL!}>hC-@mMOXCOlS zMshf#rk-sV z^IwKte+p1bZVF*)X!gH_mBTi$oyL`OABwms^>$8vZV}CIp*}U*%GXVrqm9@SI>x46 zZ9E9TUc0X&Ev<0fr}upQ=Z<&}vFj?d*i5+JVC2A%0$+8X*^{?4=cBIbY7-dr2fl5z zrM&#cXN|Ng-*eSK_4Y(RzH+d{XW{qEaofS#(7`QPmb$4K$JmB!E`f6x@mB07G4=7j zTPj508{jNL$>a}q=GynSKU#Ls_I!`6A#8xX=5`DtK-f>e!@9ie}Q6b{H?WY^b^0a|hUS8B# zZtlx;UGYf<$L8PG#HvAVs}jI+nRq5PaY#=45h1;d0`6-bD9gmlzDT)*Ix9YRf|A)- zk+N&X#n)Ga-oY$JJ&&`SU&is;5*W?*=E=O0nf`TsC#3uJOM(zYSaC-{1Qg+ai%-jY zPxb!Rk$sR;#vHEAVkbXJx-8FoONOuKcUw9QcK+(E!5DZFp9t@L+-Lmfe`A>h%12op ze~}7fp6|qd8tw7#3zQ)FK8y;R{!Y9v5*(hc zsPAn_7fQ$@+~ji>x0`OWFLaRFx{sd|YmqWjWTq)?%tRKKm*s)ZB(V zhcpiYfN5y5V0YC|VGuAt;+pB20@&g&G6i8bd->kgkPLNZ?+j>$_Lc&5Nu07bb2g>o zxj=3D{?nPGZH4lqfw-LFpF>j>k+`@*b>2jApHI z#tqATruj;jLtDP(66c%r>8VJEDOx=9cW@0?NqMEzvB?4+H40_55JffSY+Y^TERWmw zdslN5aN*gOn?aga@c3)zc6gBzX?#E=eD7QxM&~;g_6*4iG|bw#1W$FQzY&}wnqxRW zdmts?u;!CT=w;3o0WJ}pqYX=OV@7;o9o7f5o~+`(Qrd106>-AFZxK0LdAj$4r*l!) z_&GKg54i{+305me#=Xn&V$t&T%iL%)PfPah;ReRVVETI(7G*N5u(O~hQJEIX%WxwY zeN5-y2cMCrW;I8@N-br?vPRi#My$}%T?z(m4XIw6I?9eu-{W%I-4m;qx+`lUwrnUh zRNH@gvhL{X8Um>tY<*`?mzyOK%R9F3l7VA>R>71C?Ke5P+)p@~gViplmEABdq@2%4 z*YF%O)T{fuKFl3bS%!1I|F78jy3H3xSuaNN0DNY$6--yDiA_)gTTSa4Sv_2unv?>@FJTE2Dh2uCngl3a#is5C1QqMhxM!inA z^0|P}bm%8ezeCGLb@hZlH4(2@KC4GVA8f_Ozik>BjaytXjlYtF9I5;f5HehT*@q!= zA}*$dJxe){QwGiMU72KH|I*DEP;lK4=9IiZ(JC>|HN@`m@LK|Bx;vJ#j0cvnY257^ zh1MATY;PW(;EBr0XEFDT4=xhXRcI4vJr5A%ZzL(pGIYFAoZNr;{Or7$ZiPvp_q-yK z^Lcw>B%+ip4@p^Hkvc@`CaKN^HCPjSQ2K)|$8=0>z1-a9H1$Vn>74rX(U|38Gr=dm zY)}Oy@w|yT%lq2}FtuiCw&rWVy{g-4O@hb74kA*f`ywl+($k6m5{W1;%=$f4!%cm? z1p*F+^(vFHF8MM-$F7|qcjav;KDKRD4nd7$$@_Hmsh<6)z9-+?H+9XD3A^G(#H(os zk8K}*vz%a#_CK_w5%xoe#7eWqb5d{g%JZ_L8lrytH5*dIAlweU{>hqLIf0}syjlvj z=5vb#V+ktYv)!E1ymqT*sHxINwiB|-A8 zOEukY9FYATzwqYLV9+;(^M*c`w_do1D2wzNhyS554E?p#`~^UzPysr33R;L(9$(Rr&Dtqky!cB< zEpPoxo)=6nB9|nKr%|UPz%^doG)UlF)IL)`-I0=EyITiISP;fCTK+^#`2H_cp(2u& zi2f;*y;BhxqMqC?c&i$10wsv*wz}8Jg!lR;>7@nz`jOJis4X2q}y8n#zr0ILga4nvBOi2K99g~U9Rs|#A3Z`gH-a1 z3WTfjITU2t5oz%d@#%vA|2*3FC=VPK@%L%IgC$9=+x<}u>XDowjkTW!XeeotYkyX1 zzbWrPj}j$eO*<~*>X_jRpz-Q@iRxDn3w{Yhls?w|6BowO;qY_#y zIff$>z8Y!ip}@k5?qbE3bXEE|HA4nFyf>9@FjqT-KJW>|W~o%;p*)qR)^Y0)jVloC z22)%3AqjNEDGPv0zN~L~WI6YXJbN??l)jle@sYgCy;OF)pe%G?Z7MAsUZ{b?YU0Ta z1yMB0c_>6iYQFs_cbFiN7ud1ey-tl(SX>4#Xu;ucDyyzZea9kyAql@;;8TiGLYk5E z3Ae^hBF0X`{gIqijSuHYGFJLSV~p|X1CNhr#XmK5FWkOJ^_V^bbS?i)MnA)jnI~^_ z)B})0k}W1_0^gGXy}d^V2|16K?{+?yg($pCh(xq90zjy(#TX}OJYn7+VIu{$x0FI` zK->@hQmXMvubm?<)r|wy9<6>UH$yp7AR%w&OAhw*C;(cx{g^{5#ElDnim>QKPj*U! zEeHhi%o`96C?^)4ssH&5jI8JY6s_Z9+NhwA29F-mbUAdzX`^#E96lERoo52)+o{o}j_{~MVQrgr0}53&hiz;T3CRgE1k9lDQ>m*Vg! z@agz0_W4ML1soj@Q5|%6?v5QGZM~II;d4E@dI&ov_`4`M8JQOE9F4;ZAE9kMx&+P9 zTDw@F*)YKHyO-UH{Vdc|6Fv2xoH{cCTGNvWgE7Wn?La{&0I!wG4iFl!@`B%>7Uapk zdldMz?N*7#5R;wxA9q}p#RWtS-gA2lx-5^-=xboQtgNg%BZs*)DJSYi;%(=qCWd(y@iI!eGB;J%3 za|dRVf_$=3;)Vg6Z-}nLz%1sdPQ`gvhc*abc2$2B0KNAWnqYKy>*7~{arA4f%=KRZ zf9zo>a&G+Ot$)l1w#^D-p>>#`EYc1MKqAgBKxbz;ZQOail1cRS7U^4!ctPM)KnuMF zo5VaohOVA7%%t@fSRcHnuEh%=7}c>Y?Dh%0A;?k?s#1Yc^H{?7e<{a_+q?fDLG$1N zA|9I{4WJxzW}r0zd)}ryg(p*+xhS#0`?NwuPWT@Yiz+(0;HMlsw(jx_2ScMo3e?U~ zY=GT?P$`4T`{L-?jx6UKCjHaXhwqpOg5UiDJ|xiodjY4Spnw7Nt#qNL5l8gB&OF)g z>Rf*y*=byml-PR5zfmj zCWkU~Qm-*Adf5BhCa^xR4FpudauZIMXq_%DS35sN#hjlu2Y{qlCE1bZo&D$g^l0(h zL?-OfT=oY5NL^jdb?;4pG7$!I{KRqd%G|OaP!4c3YdjXHA}UOOUqyj$!sVds_y{Gl zemWFGw4zEQHA}AiA8y9!E5U){D?hFx1)&E2seDt!n3O}UU4s+4{fe$tVgUv3>*ke; z`NXONa9NR|zX3=>n+|1(Z`heN?L2D|pG(t=-fbSQQ$Hz0Omv~3@LZ>JQe;uz-T^B0 z2lr5Ns5%Z}I%(@(p zXmFht5vg3D*{Lf%K{21q^5`b~-3V3;$$`uztbkG+#F_c{vI6xIqkjhxT18Rq(%I`R z&3|G*b?iJrzkC!fq~(%cdAPBmSZKtjXXIq}-rq7MSYY>=0>K|Y{|BM<#|}Cz(_gzo zyG>MA(Ldv`&>Xh*VJHT@8Mj0A#dmDVUeoXFUo@G?;bc;J&Y=uTjnK`LC1LM`u~wSE z1ivvcRS{TES=L6(l+ao1ciTJy7Wv}KF7}^DA!*WK@E!Nc1>39BS6OoL(TtP2?i-4uk#v!$>a8OP0{Y zcMgn$iSiA_&n)UPm=2uKxK`QO=TN?{uUc{$@Zar4 z0vtmsp166gP< ztV0-D;lC$LUMw07sF2f7YRd>BbHC^|-eTOi5}`y;D8B?~JrfM=G>_o3qG@|j(aiA& z%=gY$6cqFo=P_W3orLaJ7K)9cz|dlw!4idg7Diz3UzMBx5a}?v3~V1iYUC&d!)Uv! zK-sQ&DC_+y7TQUwVA>f?Gtza^T!-)1J;(GDeVK6^_?D8u#CAl&Y98viIBL_+^}!Vx zYpD(hB~imlsc)gEMlA*&B?20rfo)hUMzB=$r8~k{ zBQDA}BK-stKeHQeS;vsz1pb}w)7m+Oy7MuxdTYSo8F<@8oqD)vXX~h;=E&GjF8|=2 zlwH*5n`BV@JAy@Kou$eD$4{Cw3=>lxfp3nEu`_}8z+3M&ULUjEkJ(c57*nF*-$@0z z==^sH^t0@l?GOc8Bil(nqvs!8v30l5HI7*7gUvmz$xo&URMMiX3+=V^v*LwQ&DQ-mEUS8hc zE@{WQJd6(GyF%+evzh1lj$!_%e?*Pc={~A?FJ6yLkBtR8NsGmD7C>RJj6-W_+MQot z!(&CdO)$ASmw@B{ntivQeu7Yt=9Gp5Lmc*FO0SES*NI^a67LTuB(!47c?g_aZqM-o zHgjH3d5eDpn^za(;vW~(#J<}!*CQIZCki@yAFC9pgQM=NZOlp8j$FVnefR?M(EI|q zP#_t>I@6x_Zri+0kV)O%p|Hs4hDoC5CoG&;)?k@O%A}fJdPAY4q-3T6Ru&G_hHnoRoBZx> zotA1iy6m^Cu!u>b=+iOs6{IaLW@@OfP==}b*Q}u@@2{cJ1=_F0vSbkv5letG-zf>L zL``Lj=~5FrCnx0D#j6R(??e+W^_LuUyNsB5og>(lYH!UP_@JO3r`Qjv=N<{hE1VNX zeti1BTLNTi9A<;l85cR_68hR#_-mXNqA+lXPcOg8(Jh6~GN@ZT5l>bSe>VSx>Ak4= z?vP8*qrA~LUW;oTf$sdj2E~iWi;EyxP>P6i_ucKam=Q<6EDi}{=bxdJUz3yf@B7rs zCJH#o&Nfs>%oT%{MhqGraZO8p76kH7?q5bw>8CNr1zzTv`&zegp$eZ1r^d@aDR$1z z8e06UwD9xu_YQN_coHzA@0QK>N}O)z z;YBA{{49n&nKY26t!u$w`S+^%Vj!$`@7~E78PO$ZyEH8DN9W#>v1E(BWF-UadNmEU z*cOC=^TuELI@Z=y?p;UCqk^2f)D5m~^Q431B80V)5#Argsa6m(C1Y@_$G=nJv-Zcm z103k4wyI?ZNMv`ql+WnI1ZLUvhnpMU^^J|TwWHRxBU#)}>z$C?1TYLHf@o+U!^Iq( zo%QNR92-E;7iKO;b{;*-H2`9>9gGFDv!hQ^eM}~~cwn=IcsbaLDdLKwOcdvM>csJ( z3NBg)zo-v~GWxiX#kYLNi)x3PrOm_P`{D{~9_7L0^f#&d43*hM{@WV>5p7!_LNebe zyttJ@os%2#IR+Jq6RZxdqQ&JUfQ4u`-bX|1OZ|488cz-;5ti#hT$suWF9R!^Gfpkp zuR&kVRMI2RM=rMVDF-u}(J|{B!Z@h`ehZCm9+|tIMX9rwg6s0uVv52y?$ysL;!U>{ z3@!@(h)$Hrp&7Jzqo&5Pf8?tY5UFHu$H;xu?Yr77_4pI{di)i#;d|ZZJVu|Q*7EGai8=F{KNVSO z-RqtaWBOk{N$BgrB(;8^VsSfiAHHyJSS+D53}j8i^f!v^Op24wbY5-g5viEz6Idn+t2rxnId)j;w?TO@z!b-Jms?8RP(?1vG2C!3yGchO>2lf9f&_S_4s6J1W6g zA#=u)_VwsVt2=Jv_{GU$BH0er7iaRJBt7>u2FlHNi&>)MIyY9OFl8AQE$8Adt*?Rl-<@MBpXx)lqsKnJ0>z7kE6&!$HRh4{y;MiVr-^4`v z9tO+}Pak~`uo!^&W#aAs0*0o6BjFXvC70;;)VIKuk8N8Idb8hzv)aepAaq2m#;v;& zhTw5eW~WJ^1U{M8+zFjiLfr>(hVZ|LQo=cWzxN%hk8#gFmadI&E8(gh$FxG0_;D9I z6d@A@k&70A?J(OPCYAd7*d^2DPvegG1JlF>N4H}jz48|JZh zWkhL#8^k-67NX9Vtty21&6TsAT@V7X^~AOehHXU^UF9Y14#!h%YCg4o3TjOQ3k2%W z6duO4(ZD{TWPe?s8&oCP_4pCh)-Zam5~|8J#mVw7k-+Ja2Z>IL&~ILb%N=J4LK1Si zeZpJ0)-6%9PdoqCVg%YFnt?lDFyg2MI~ZC2iSjaKIEpCO7w5WX{U#GmG_lUx!)~f` zlvk*|hd%y&4nWryE=ckPE3a3u?mGe5My$JU2Qv%f*MIZbRrH^Q0l!dizFf)&R`zh1 zd`&isdSjc8qN*e^XA=4%{6H;&>?RV))1y)hZh8Ovm=Wv93j~ZgN5$#Td_^+F2ugJU zjW06bDwViP4Gd1MJpcUPy&JFCq*nm#s-2`p!sY32mYV38fmKa%{Cy(9A_HVvuf%&K z2#INA${dW3cZDh*&9P{fKMZl)oOSXni)fmHCuayfoJCI()qP$5XdW_;-*1@_g0 zB`)S#XN?$KYes0l%>vq1b*vH8UoP_w>6}olE$l&3aukG|9@EuV?E8q5)5lK<7(h19 zd3?xQs}Sy*tBqrk$(MOFJEyy`V2BX2tyk2_mslBnVICiOSYmUoV-|+2?pGL^;(#-l zk}l#S=S9+?jTmdcfqzGgpyW%2M1ir@NEbh)U2qF(3Y)!i8oHSo82amn*-rv^fQ=wZtg8)?dEmSO`7CBD-~*wjwDKIaI7nCc z#~Y3mcQ17HA+s%q@wd zui-O*=1F1bs|*Wby{1mvt*QZB@^!~R^E8`YsCPx~J8TpQe>mJL*!IwHYkViEnn1;u zJn*{&!K?~?(08vKz!*6pHVdmjc{j*KsM<$o&t;I&Xm_je8@j0kk*7l8$Lmj|DKnZD z62AtNFRCX=tt%$U*djGnAbJiVXu>D#|4B~6F`3@J*TAGZmvC~8~qy>Pg z@5zZu115h{k^ig_;)D<)4@BhU;GYAva*@@GXc5@mT!gyoaq^K3|6X8Kx5(sirVMRO zOE&!@O9iwNfYf=J7wByacnKq3kWw?**DbnkfRkRT&8zSZjx?E)BBOJO1;f3M4*>VW zX_#2R2l62f`?SFYGs;3Sgu6{b$x3g&g_ul%fo1V}I%%k5c6qVEpZLod?Nz9z=#?x* zu+6^~IzLu8j^IhpUK0KT)Lvw3*fQzIw?BjPhiePvojo9khi%sSF`X08=j}2t&_Kz^ z%xj-HDD&h=sH=+b7qO41#QP;i#Z()A35E0sAl~1e%n2z))LIa_0;BN;S?RUSB%hZd zjIqIblIy|}bq#Ox9c(F#_;SD(l;zk=usA)4ymjhIepglu2Jxh2F;&^@ z+(hO>h(NsN!(=bGqk)D!<{&Vi1W9-tJCCV9$3+NSW?YCLLYx4@>%*gQVlA%QRI+}w zhF6Z!>KmVVQNn5#n4!pH@NUc2w?LG*q(2W?6I*!!(L?XHvgHXJ$73^d!WB2tEdX!$ zloAzdXq8wr6Gh0Kwr_0o7RCxZHkE2p>z4m|s+@8A$PbFkfi_U$;)1%=ndZlWLVhu8 z{36}Rboc9cHot$r@#88F06!kzP(}_XcK*?(P;ds$#0S(HG4H7@!UG76$Fcx#%NqrX zMj*E~r7|)4Mj&~<$4`oMrTsv-Iia;5dvK}(cQLfNtzK$QPlobVDWD{%1UB!0cl_+b zaBcf9WacWXKM)7~yWyqz_5r5+)-d?H^%H{K5T6Q}d7yVr#@@#qK|OwJc@F+o#anpY zCxJN0)mBe+lUe+6#V%n{xC_EWDMBC=;$@20?d4TPuO)o^P(9&VB^bRs!mVU5h@J-s zimz)ON&@S!P(GWtC^|x_Iz)#RB2hzTO_U_WzaTRQ#lJk7CHkPhJDejo?4PYS#ph+p z2{3@O{jB{<9R9r>V9p6xv1xt-6s1^tX9IUd5^=m@MnHs_EkE7VGyqcCw$}fIQ9@vb z0+PUt76f>LGbp>#n{}8Yje?WMy(G#@deON zzQ67de{r!hoNY8reKn*ZWsUqcdSeDkA4>~6#GMU%=Il*$11^x|%1#Z2iw9_#{*bTd z7;JHTFHJSd^j`mfx@SN&R?ribEj=0?Ylm_)@nJ=Mw8xt<29Dv9`lfXoIB9^&D5$*o zcKWF)!?H}ZTZI6=m{hocFmLYs7v&3>=0Ke!5YXqn9c}um{)z)IXeAMz)BkS$ghvBO z-57YK3^=l=csfxefvu&^{r-;yqGkPQ$HOMiKi?FLfHsC;m@lM0HUsOauVoNv! z#v3?K;=FOj>fFu|U8uMZ<2j|7e8ofa@cASG=rM3*%-c|NhJHQ*7_?gWO2Wem0+HyT z{$Btsc;(bDfu&WrccI= z1?Z^(23+4qIi*KEB{lX#LL=Wit7rQs7mUzNd0K`UxH>_w-2(*bz2|`Sa(S^$D<^po zCFOTTgrx_fMkstthFicZZU9Ivyj3`7L60%j00S2y1p?UDb*7_l(C@oNZxc_@NL}A# zaTNYL4Zli9o0vpYee@@@&Sn{nmLo%X1YG-qS+$q$Tw6obt;3p*X@4w@gJR>x>0Kmn zDX+rZKX=O;_vR&l?*9$N+0lZ)#>M?4TzXn(<_Im(Yt>*2gWrBF`>^zANCe|=36du_ z1LP9xOf!5!6hSF@`4TFQj{ez~jel5h{XRG>M8<7)panUV#`XCj0>cVXAnd5EZ>D=? zypk0R4ixDp(_B8x96ii_P9E4Lu^j2m9@eURuNV!bx+$!*7-g_U8e8oQCv1zxl%sC+ zi3R?}0|C)1*K8C&r?eOkP4I^VzSe73e2noM_CL%W4Vje|+iZvvD+1t#;4Afv3u*gE z4zzWkZn^>vqEO>0q$}1hSV1mIIQf?-^Fn8kNdV`jyXUSf{(TYz!4E z^A;vehrO=a-_wO-`hGgy+qm%BJR$)+p~w!XT99T-|xzSlCct;F38~h16R@XyIKjwQUxSzm(fP z@#KEiZY}l%*ZLKRJLLI+xVHay_Nk*pWcr)-pwhOx2S#%K16OE)Lnz`dhOzi;wUF9|Myg7Q2I&v)fuAeXeV#@*JS(%H7|8nbxiJB+|53r}-1iX|J9Y1)!c6OfeI1LQ&uKk#{PGCQkV z=IDPnXuvd{t;vp{nGiYtBt7=Rm7Wjd6@4xkd$&$Q$p__(n4Dp+n>F)*&@Lu<8ASUx zl1SlH)9=&J#QD&9wTPQk&gh4KX``hH=F{qzLPsCCAt)E9JKPqiZT!+9DRPJ})dMY= zyZcT0hA;3Vl4|UrQ6gmKlv&$2F^7I7iRu;hyL`4p=XWX&2UQ54t$WwZ%}ivt4>h;F zB!PJdu%2(j`WGEjd%c4;4F5s>6N%`Jp#F}0i>04;y-5EBsZ)y^Nj{wa7jX)X^{qSl z_^D(hx=}&=DF9b@59#Gd6Ss!zu+ZjtM5Ko)ByRCnxvN799}Sq94IJjViC5@3u4_$O z3q1K8fKTaeA^o^4NAs=3^r1db^L~kGf4w=j=QZ6u^owzz=Yg(uZ6!#_Cjfk1cMIt^ zmWktNd5+<8Gy}8$g-v9@1wl6UVXmFfxjFI@0CwOAE^b zmFFw0;DhUW%Q^%e;-+OD<3KMEhi=_k?PbgkKzv1a3+a>a(uhqDBJQSjr%;Zobs|{m zulP4xiQj8E+A*NJhprt5dV%R|*tJPW?@0i)x?4z(jB?QzAHsMhp*w|gP{6RU++Q_X zZU?uu#M)ob-9xj71HAyf(ey{9+3d{25V#jyd@M=|z&nXioKEr9VYISF$ zIgvGXtUtbcTz3=AE)Mhp*13A;Mgi|BK-{Rih4dImP2xmy6rSR;Trpca+4^4+j$ zeUqoX6)q0+0{2GKJyzEBZN-Ii;dy^k5t_&*&=(&<+T*fZu?ZL!miZQ(ue8G7orrtw zU+C{h>29LA!+~BTx|_F^tCaa51hxS%bobEw;<8+EkwRdRQ|5ijSN=mN`}a2-{r;%# zCYpO3=tZV;&CcaQsohZc72Pc~zcR|jvG_2?GI8B0G=~;gAuRJ(ycRaC?dxJ4eY$&S z{&1idsf*k2?ch_~ii!fzpB%%fnGbZQ&@2lX4wm@KhpO%H{p(_T{#JJn%|8zGB6fVm zL-v$4z6B5u11QnmL-S`abq;SQ&v03;0QjVZ8+_4Zom2MMOl0zVpLyjO9tmBfIM4|P z8t+|bDD%4l>Td8hx1uqbO`{7MZSN>zaAs^uP_qnmrT@OxqwPm@H&Jfkn>GDH zU2AqUz{7U{Y}VaEbMNHL7)~a+ESGBp1S+f`7WtyDR+xc5Xztx}Sa%cUCJuD^MBR-$ zTRl%b!pF20zLE44jweRoDn4{ew@ZV3u|L{fY6QRC+S~q$?k37@9O!h}x>oJD4FZn< z*r>aO<_?$T^b!!LvO`$plpTs%&I8S{z58@GQGVb+rz>`F&GuiFp12>tr**f`+~Bg@ z{JZoW`64HBpu}(rN?X zhLEVVLSCIO`f}9tKfEs1-l@BZ@)HL-U9+ynwp)}k{!l>uCIDM^56!MqGvnw_jzNKk z(99-Vny7V3GqrZ_); zMy*{sUgsBbT?69;6SHY_tMffr#vjbs(|~Tuu^vq&5kKIh1m2UX1t8< zl)Mx*{iyXt{=3Es?=_8?zgpY#bV7F%6&4P3nllOzU5&f$fbzBqU=sjScN1Nkl$S+s zdio?QQ=b-Az=SIM7Ku z1&HodJ2pVzcW~i>Fk#(Ibor*-6nduzku0KRIlr_}X@}!gR`|tI)Bkj9Z~N=In}|5j zDW~k;_zjWfhs$kF0+EK)Yzfte6IE4 zs-xeJ>+T}Dgae&&)=yS$iJFFUr+~T(7mk_b_w6~%gTmX1GZ;)2&a#{#Br431S8n?| ztL@Tff{-sX_O?&z?jrhmIM69CbT@A+N5=f3r?6Q7p9fE*%USQuOyJ#Q{w~W|!a#)? z^dgq;NR=J>VZgNier-?tuvDAAKyK$yNl>baG+COJJ`C*FJ`boc-|&Rd*2t-Jg(;Wa_17AVmI zfpq|Cb$1n-t67#45C|I%f`&aBkakb0Eab$hxZa(^r2ps4{A1k(cXC$Rm zJ%nn4l1&h*3Bb(&r0%wSmQ@~(#fNb=GyRdjvxI?=VZ$e_F;mJngVH`+VMbmL`Lf;3 zho2nLkV}*oIM9i%(Eb%$Lyqa(BH^xp5X%A716U4V5%`+AYzjbsa!gHSXGR=p{?sq6 zH%rW5U!~=XIiB?vk5whQGJNwPnkD;JYz_Gg`$j3%5(TkTDYZlju~b1VL2-X{uK)}J z=vN9Sg+#wlIO!^{Kb3WV`kCWTe)KY(h^`n1I?+6MX=$4iDKYDWr)s58*LxnW7edql zsun;MfNB5>kY`8Q3LvF`vjENj7=gfm@-QGJPJ2q6_6+y^bLTMdh5n9|?pC5g$AM0i zLw>SyOVqH9DkEbpfK=rk5D`EGN<{%gAP|8<5JHtgz=0Bh3x9?UAc7CSri1wCe-P3D ze)gkm1z-{iX$Zt2R00YqC~*z~aVShGAtnScsenldOu`c%xK`$@-!(`0pc10o@xN|Z VnLYCvaR&eZ002ovPDHLkV1fww&lLaw literal 0 HcmV?d00001 diff --git a/zz-solutions/02-SplineInterpolator/Scripts/img/EDA_scripts.svg b/zz-solutions/02-SplineInterpolator/Scripts/img/EDA_scripts.svg new file mode 100644 index 0000000..f6c9049 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/img/EDA_scripts.svg @@ -0,0 +1,98 @@ + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + diff --git a/zz-solutions/02-SplineInterpolator/Scripts/img/eln_labs_deployment-staff.png b/zz-solutions/02-SplineInterpolator/Scripts/img/eln_labs_deployment-staff.png new file mode 100644 index 0000000000000000000000000000000000000000..5c36d3b3c0c35ada017d12096a804a38bd8c5ce4 GIT binary patch literal 1773130 zcmV(tK(k1vbN1D0#xA5i?3h%wU!<)kU;^~L{_UTb~OP@gnBxxd6 ztQC>ef6&ixS8ZSZ2Z4sEXsfm?{)2M=K@bFj>HmSS_s4_L|DX(pynj&q9~#1w_w;*x zGj037*Iw84PqC)gKkfAIskAP~|DMyQr|tXnKZt<;1HGsIpRgT^>Dt~y!+Zb0(EBmU zzDMr~0{i#yCb0ka{m0C6UxsC-wmY~VCI3MQ(1a>^y7nLB^B(u@7xY~$^X~+1`Tx5i z(Ep(S!0#uYW}Sxj8i64Gf&M{Joc;%1j z$I|xOdS!SQk@5dXH5JXo9Q=FDS*~0AHuZPeJ0t>(6`a_AbNTP5MR~S)2|h*n|Dd0u zP3tus-b>p5&iJK1-9FALir~_~H`7V}kbfH))8W63Y!JdWW*Nr{w4GOj|x1 zdnea3lhN>QIo#;q7L-e>1b*Xi?SlJ#o+Ho&-TflYu2-j06}d+CADj^7SP3tT_zrKM z!PKJDOz!EW_Yiizx5T%8-W)XeH|W>j=;c$BZ!gvP6h^yAyV?ye(63zC?4YAf%Bk;d zVTe+YK z;aljLy&qK}k_^$opdA=HC0rY7M)#Cp#12gwdPGcYsqgQL9;u@5LmBxz&g#@ydcQ zLIRTM?IfEAFAp-`i>kXzcvIxDaRt@G7ukQ!m(^T&LX8WxGy-9+A4cK*R^IA-+{dU# zq*!^R%~T{c zB}}M8XP(B`ev_8?UfIwJN&ox62ab$Nvz_tRv8%11hY=H_pZhJG@^~K*xVrfaIP&c5 z8Q_gorY^Ff=X#V}HF1MQV`%@IC{*kN4cYfGJy_ryMV|^guS|jAbW!+>*pn3;l1zB< zjyJ*)Xa3Q!9Gep(1wY)9$}f`oz#rI$jmn}qKaf#SAB5hYkZgw4D7zN{h0CiJ2)M;% z!Gu9LWWB0_)D~V zc@-N-5fIW=UfR#2awWhloYJ{f1G3&<2Zf>Dt$py5UbG84&^(4AhYxiIHnMCA*;Slqm`@b z^K!T1ey>|`2a4z8bYopb!aPN~skW5|#oMRfKY5v8UP>}yf>=U3)C{TK=Fa70Td(`x z$34<-!6A_2X10DpvjgzYUz+m(IEFjuQR0%-GwF&h#3U>lR&9Y*BvKZpR#JlA85MK7 zUD}Qp*5Sh&9y#jN{(2ZQaT2rM>E*I8IOY$Ld_#@kWICIWo?*Yo>DAO&HUJr3Bgqs^{oz@sQ#%C{+N*__%tP*~4e*c90gR*;}=aUK- zacQQTqXAd>y77t?>)!6pN3_I>y{Gh6bw;BwhY;rg5%#XDKIQHAX*my##&HRR0GQ>5 zjeFsY&2jLjj5vga00^~!rqxX@DOr2^Q;eguz&}j;0*k;&x{FCSFUBpFl)H@P zIzi_~^RZgV$Q#4{0(e_vB;%{6Rryn7fT7B+Kn*a|U76GzaW@n?!#+(vW95|-_G>fM z)V)~r-b+!%&nK$8WeK^O2s6!avawL!cp93g=)MB&{fa05gry~u3A(nAY1@t_M>tnm zr8A!RkW;dh2j2>oj(tt-;l*5G#18_&z#3@^JWHrc8n1UwC!Q?snT%Nx=xAbTY+nPX zB%cE_ge8U`2Ay-AB2aTn>-ihMvs9XIBV}&H0f1WJa_~=SyaAWh$qgFla*7@XMczpi zn4KW@1r^kir0km>@my9INK~{S=Vobr9}L@y8qAlj>^Tkq98(58_1OBvq_1Sy<(`}| zlrNc|{(MiN{7b_yhi0gI`WEAPXy3(w$STC3nE5h>x&AmFwu@+6lH&|==99@eZ5jKn z?>o8tf`1qPURcL_J1k%TJRAp^V5Y`ci1&#v9$p`)`SvW-otEFG{_nTSyx*5R_Q{w& zgt`Mqugoa#%>=fx+NX9F_2THhp&I5^bvAy+QE?yl9o!iG82HtWeufO(LFkshmT?As zfrWW1ZF7U(cfL`35#T3`LHgFnwayh?qeKTP&o^kQVecYGoWD{&2l0+^nNE$k>SCg( z80Ej{qO!ikn2f0=y-a9)kXg~kOox<{F17KLe*#}{8`p$MGOr(t(e5tyqG^5~Y4~<} zU>zBFvHIB7#uuH&7nikU1=eKH=VLug6fW08V&n2V7});Y;65s8(u)#`@|l>bG@xJY zDSkzVjJ(9%u?O!$omw$zC5jiBLsvJ758nDlb(X=;?-jo2l({9dI6Q1hVp?n}k$;lY z=Q11rnMLFq8+@5&8~MMBo+$eE-GS>?r?MsJHDR_-=v#3%C%kHJ|73B?Nw&Tk%kiZX zz}nE{&xuDIUs}a}fSp3fs9o|&e~JD<#K9#Q8^G|1BN0R+-Qb2<8@Q)*Ig$(~$?VNA z40i`pkdby-dB#(7BhfX-A{Tl!{s584N%Hq~+IA{uJ;b{g@>NK;qD8=+xVqKY2Xjz9 z*a>KoGh6(o+>Try74S<+tuai3oNGKjN^(p?XxD6NKen?)G@OlyTxk*~rqED_`e((c z3@$Vm`tYZG{x}67r&#QlYVg6KsPsXou_`(nLYdh&9I)YG)7{vzHQ>TIfYkQ0R=I!N z8fMy~mX`o&j38+X9F>{+YYyGFP*G}cw2(E*YP&p{?}Ed)LfOY6yaiY*EWC@~q72|y~Mg^_SglSj{2;BjWOe++LK>YOm@me%b+%gH}HO2Pn9UexFKV$ zemm!+4i`+Z!68p0eAbCdjLTYY8GlBpg_PaI8H!N)TA3>)Ml`WGC=uTvQ}5oDGo_cx zvNmNUU9H&zX@yV)m>G}kqj+c-kbXTy}utEv6K5lVj< z>3|Iicb_;hesP9!`{JkYtYxRmp?IMD87=7-^ao;T0g>|&tlz?n%n#L%1j#!}_@xDA zYm+HurQqE*y8(UFf5vOShm?;#mCk!_l>9-w497`ah7Vn3*D?wk`3gmLlhWA>IVCH` zpihYBV2AG(2qvSYvVVAVDposxGTXE|;o)D7^<(D5lQ7CY8|qr{By+!eI@Dr7g*Hxe zETr}m{m3)UxDPH8x4t2=C3sG9Wsn)pK7P4KYgqlz@g6Pyo~53cR?lm%x17x!V0w3ULP;8~c}=ntA7(6U=pbznQR)g+G|9~0 zRS;yLpoSlB%mwbh7-fkW>ROWf^()7>ljn)e$gDMcU)64=9=w84SfZ?WU!J=|tIial;wTL?}v9mv<$X(J5 z=%+>OYAnzCZl&^^(#c1|=Xq&kpYcXp*lT zv$lp}XPt^6K9Bjuu`IrmFKz$mR9kx69PQ#0&!jc(sZ6U>_9{Aj{;-^UUsjxll#c)L z3tfBfwSGQ_ABR|~dfoIMb|HX#+ZJsk>R?q{4CpGxS+cR}v@mk26*9%iRTMMc3GT1X zLDrDeS*1*NPwm+V9RW(0v$3=CNG;wInry!GQ_k?~7BA`4-KbLv0pI<{yFvU`%9lr+ zxy0ck%UM2*p)hJcO-=v8)Po-AKynBYbqM(H!eI=LGzhMK#KVgP^swPGX*=Vz5bv6| z=JVlfb?fpdOlz4rM4OQ5S{=LxhI{{Vfqgu!tTTr)bOO2Nfz95q5bvthkI^b8hg{Gi z33QQN=pCBMC!(s~mBAz)iHl*T)K}67`F5z$R3C~?wqe5@ycD3FU2kU zDSlE`W^z%2hpUu)i$ruA*CmAc#y0&3`+uUjX@D$JkC&dc8@W)4=?*(EeyHKnnytU3AJ zbnH8ZjBVh-qyZSM#LoM*pHgPAVNiQTIAZHMF=h5%QBJ9xQBrfa-&L(sxdeZRUuToo zsdK+f1qh~O45@QlGVw1sA1npv|5&ma&~ORrg)y3A`Ok04RI2XJ$GbFIoB&?PZv5c^ zMz^@-i1K36e$E&h&OJdhTYbJaS`(Wy1pz(#?3Wcj3fy>uhwSKo3)4iNhtb+-H{ zKHk6ciL@Tr}m1?wtQEWV)(ey^4rgun;us z3x>FXT)!&0NY*}kc-8i&?nh8ga(`f5CfPRxi_Cq~hP35;N)TDuME)Bt>n;=8&O=Th zOGm!jzfT9xgj)rDKjVG~H#E(%RZ=Kj?zk}&i7MRbLviF8tDPr_Q9;PfCdX(G9{s$2FFFuErdsIXl)kZ3|mXxPfR_k*K*&q znr+UjBthaD0SOB@x>Lm(KlK+C>pLo>mepisWXynf;skdX^C#)q!MuDDH3x~Wd{?+f z+>8?Jr*`{#RioQ_W=i5zjOQ#$P4oRNyQ8l(lJq}81~hxRes2(_1pVH`eQ9J92Olr} z=T)_Xc|WkeVFogbXT7~+o|I!%8tIilHAsW~{Jilrt@!L-!|D5UJYvyBF_Q!H#Tj;a zguD%xR6fw=)NdefWpQZGMsiS(+dm0YIURW36QGZo`S;uM7?4SCc)(4@8?TZr&WQo= z!qFHw>1)EQ33G9`(f0$`Y{$JGA~aJE&D0*qN1e^N|L3-Hh&9O1qB+v7tru=T4!%@4 z@;$ShSSue!1={<4$f3HpvpsmqEe4ucLAZO>q8r7h`BEN{8_QVRH=$D4c)+!I-liHE z1jxM=_m!&~V*UQIm&i*97N|0K0pP|(Ta4~_Z6q)VnL3PK2f7Djn_jU+Jj93OQMit< zwnJe@{oU2k_;!eVj?f!%<7i6vypt5yjet$hjKTZiRvXDH5T`qfPh`xMRU|C&L#N>! zANt#FPsN%a`bB&XO!hcY5lHB>n@N6pL%}nOLO_S{U2(Z2rN9!VjhU-8d9syePi$9FmwXjiO2l8=qym=CjkfY2!TO-WW5;b+oSd9`GTH3%WrAUqD+sPeky{Wj;k)B_*D! z?XF2A6&C|G)c9$NLLNtih$yYB@~uHa{M+);Jrd4EHH{gp&bNbPOM^eZ?;i34 zv+epTEiClcXb?3ZG+SAE%2=oflI@f-|1MM62I>@IknOS7x#?X81d}{!!cUlMy^0xQ z+zSYgc3$LKL7LTJT}rMXw+QcI+c?wlcDsYPnTdCbP@@B=GIK8PjV|XD=>`$>yS#YT zZSOL-gQ+9GSUPL9`kc^?ij^DYHbXeYF3eWbfs9&;sx%ilzp;`Uq?+p4!EPjkRr4N3 zgOjLXLe1)TO#_SDzJdITmy89_2j)R+xl80_uWX4uFZBS%?>Nwp(ZGs!>~2*NK;!WB zh{RHYFHhXsiq2GKVfhTI7WsM`;6ILa$e<@Beno{)!p9|gQ-G*Tsl`=3*`723#xh7) zP<7=%b(rn%^v-zQYF~o|2F?7+U%uA#Wbwyn`7wYC#-Q~n>I}-JLE2#gM$Egmv(33pCBw#`y=<`hY~6r)i3ZMbY{We_ zzfGr>uit$p)nK+bFll8@bP^f@y(5Szd>#xwe%-N{BcHN~az?yilP93l^|vqB$8Gi;Vo?2GpI6qr*fzAr^k=2MjCJCOA zU*S!B8@)RPge8+0NBj}CaJ-CgWi|?rFUhDbrq^NEakEwvEXE$mIHI3J@!Pqp;fTtH z@-g2!IO_*LvQrF5yV<>*#(d4{s~ht!ip90yT4q=8&-pNBI|;ueC{r4zYB4el=u} z4hi0DShS}2lMPpjgJ}OX=;tsf<>;Ni)#7qcR_-_|1~~(cC^NG%bqAmHdF$h5oP$_W zT!7X>6%QuH(B0AAhnn)#G#td{7rD$lia>E#H(}%pxE#+=A1{jGAunj3%V&q8`{J7~ z2@X-u+~#cbN9IXLQ#PNB%22*X4f(8Pf!$u@sq_o%O6FDYyls~3o$~VsT^Q;*w$*s7 z@0f|zF+cIzq5XSb*Xe&1hUWK^2E^f;HTNw9Ny=`d=>GUhL@lZjlZisZDtrm|y%8`8 zQk&@cT-LrgQ!$nkCp@pLvyv1@#*R0}y-9a1w{|_FA8}nwR7-|kA%Ck?>-g)Up*&=! zL0D;zC8F7q2;OjCAJ~O4B+Y|^61=)Ur?wAcSm{2N%@}7#L8MrD|9OOO&+opDN=@=$ zAh)dnZwQvOL=*fY=A>jsrPZfZrlg*(N}{q}#}x`at=-|Kt`OjaeQzD(rtQx9#Vo)t zKXCf4vv+%7HNR{LQkzXL->3>&|1bglp(kYqneb7E(dYus(G3O3fzX4NJxUk%`};)e zjBDYt1f{9qwDYK;U45G$Fd^TAq;u431o>1r4N??SG?^7gKC^%~x>QE+IDOT?S>wet zSFv6}hK4P}h2_TF;}PZ@a9Pj={bOGDqcCOy)t@tH{B9`>PZCWz2AM))?3TCjwzP(v zGS#|T_X~n=$kG$)1t5ACWs}1qXKG?Fo&lZ_Fa-eLoteTZ{A2QoSv?>h02||Xdm`6t z_SGPkD1JF-XH>OoeR7M^K(Org$p;B$qZy06&!uTMQZ5~zUzREo(t2E3qpM-F$i0m; z9b(^4;B_&MijF;4&G+}p!9+>Mo3Jnj_8n|Onn4EBOA(_)w~~!t1DfPQDxJPRh2>Wy zs}+Aq4!VIJw-XT6hI8gb%kB=LQlBZo{h(7${i>NSx83$3jJo}&B9yPdedXGL*RD@T zzg!d6VVrq8%?OsX+S=tAR?H}8hiuoeuN6~jCAdm-NhUe&cM0PAN~Ulu6;eM+W{$6* z7XqIFM!pHA3p-SC{l+v(=cGPgWRj|9&&Gp~QucSkto54`22|t@Gu%mUfqvbLC0eQ#zJ8qS;@(G$Cru}-)b7_gISL(Vy@}?8q@R2B8 z?m+6q`jM8G$SPN`h>2sKL3*Svt+odbmz!@a?}a%t>{8L+wXA7bg4*Os+EA)wSI!6qk3NY z%l-usebR2bhC9b0`#~sTZzE&))>I*1+rrLz!RU+MFo@Ou10cZxfP57XTUccoh8{XT z2MARLV)`(rv=UfRUeqYC!J~x%Sz7e!!G(?KZsL4s7DOC;>|-AAUmZ>&dmU?%>qH~? zY(lxsjFQCY6@s$nA2p-8z==Mn@k0_^30mnEjlZ&J6=RXnm6>=stpun7Tfm-lXhhBG z0T`<5v#@N>L)r7EN%cFvp$=0+^7 z>DBMP3dy)fdzpi3Il6$v74Q`b3a@B@1MYZ)3Fddp>c5tuAZ!ITK(U2FVfeF+B}2Ng zxvI(mM+Yfh4-zO&mmMf#m9J<%BaiKzQ!jtNk$w0iL?MB?LQywgRzHK)0qIF)i%Oli zy~pmQa5@BSQ(W@2z!{D&|!u53)`#S0i02TS5~ z_y{|iN$KH|z{GRgRPW2aPf&KUM(KT+dNioY0lbfT4XkAHDykH36;_dqCf*>RpV5Hm;eOW568rF^8``W)MDw>lxUzpN8#?!9R0 zM%#XC38g)((g8J%+xuOdrFGj0Nf7d~1YN(ao;f`apnA%s0p>rh>aefogM3`zAHj8K ze+VSBnfzDht}VJnj+r}+a=XpafZYh%h0&PcxTDp_!L>}!;5x?eu~Abizc_^dCT$9H z7hm`VnD3wk@$6(;rzrBY(&5pDAqdlj4D5ebh%#{l*I07eO_7*v^`!7Ogevnr((Es%IDnOWjo&qjM*brQXjqSOn}N%#U^z?3?&7K(%RZccC<(3>O|8 zD_`UgIWA=aoY_jJY7$!rddLg7dfTmXeJN_aeC{CX)$nsZFBJUDsAQ918oUXfILf%A9k2@@#^$1$tk6(F3Q)zmxC!2PIj91y7Vp>esTI(yWM`t zq&9dh^o5?E^dK6`S&m=kNr@Tc$7N9`+54G?lOiETs$TW_3ak_r;=t`=M?mUwx_-#o zaBbu_WxcI9^(k4Ut+Uh9-kYMO+pO}HnhR?hiRaS68fz=b=5s*k8DU-#+*Q4*D^#rY zL-WIa@aHCGlWI~&IPjqB)p9gV_-iVmfwa1deKmxrgzQ}eS4naF7*pkptC_BF%6mo> zztG{iDom&wD#H&cD05{e7##Iuxu7P*7T}Qp=&s=rG(^M|{naZ4DZFNJ`Bat=5noR` zyZePUv=35%FxU~}Px1)*tgXr?1e8y{FnNk{ybW0S;i6Xpk;gY9Ph3~4-yQ*uKMGdy z!SzaqBi|XVG}(E#SfcGmx_q~zF4vfW5QHWaZ~SL)X8jnDnAK6-&${Y)sTAlMCH+8m zF99s;5tsHmO^SKpw+v!2)2|_R&Yt*#22?Mi1tdBCicpt$hGgU!J8@@6_~|}OJ|m5M z;a9P0bLUf>Jh$f#$e5BaOIM6&O{<8F0uB)>VZfL%_KV!ANA=Us_pm@c^PbA>FSY_A zz?PIXQaC@n6339EA)#yT!YRdM;k6K?_7oRky34eQKTl6SqEb_)OBGUWVsdaFPURv_ zB{vEeEQF!As&@GlsP(BZDmMaiB)%+5xUEdd-P`ANBM6^r10zS#1*IiVL#<>|_jSyW z2Et1uElszVYDd!r8B#P}bw3-pzWm6&1J&@U5iA(pA^l2Es;sW|B0>-5(|F|r(4&v8 zU`aeN5gKF-9@4mFBxiKxBnqJuZ@xO`^>~nZ_(IanG{aW_+M?iI;;gR?&+e!KDX=NB zl8jdeej?wq7yX~G+A-gHhdl0eGY1|wpUQQ` z0f7Udv=#h-${1UMOcru1+=4jy)o>5{(Q|&gzE!aC-=J9X@uIX#75+?P$jPhgWg6Jj9~2<`#<^ zSF!wMPV5{G=wh-~=!%L6E*1P;RWd&PJCrbDpDKkOq~9O!0ZFuX1>n8gKh1bp5u5() zH-PK0H6gX)5o;e8r7{z5kWmKA79Q8l@$?*+(zf`T2bKEDdaf0gn3e84J{1<~yL zRm9KnD=-TL?XD}$r`8?jF=-1uf}I$-10TEx+XR;9*8^$zsG1YBbyIA#I2dxmzn!4R z585tNMm#nETo$JeW5lv?!SU@cGu576A_JDnu%Oi8k-S-8Vv+;2Td=}^0w4*WqF6GO zx5Ec$rI!&`BeWuq3NdLUB*aZA60^?p5dMr6>?Y_f6GmJXI43{iO5DrXUaw`M ziGv4M5b(aFJ2Qxxs3ljqN~9Y(yU?%7t&e@`v1jp)s?uu6HT6Nn zm0Iix{j! zfgl4w&+z&y6Dax@Ct3AVFZs{5aCu9Szt<3qeXyx5B?qNhrm72)m|w<}r|&YO+atMb zQEO;44bZc_6FSl(jvwj4coumwZG)~REh*i zas|s;Y<{;1=X*E0i+F5V0D_{`HqjAcUL?TLoye}x|+aQa#WNJqcFC;koa ztf!A1erQ}r0~!9-r%;EMo{bnFfWRz`(AgAcX_hB>wVf!Q95GQZopb4ax$VR3LW3;3 zoL7fknt-X+C`USmbcfrN2pLZvPsBWph(gaqa;roHF z;y4pLGeIu)n|%iXd&4^&sn7)qm8YR17Q`LGHCI{Pi@pc@6wsuh79lBrP8P^EFquYc z>iO^LT=b9?=_@*aF82kUYqy@t!eog<&l8R0>ruE4`#ASsnqXpZZCVSOIcgtSfFOr{ z`iZzT3h=Zvt;Y zwREn|q8w^4TL#{XqXBHDz6_EBNleFBp6ATWn`pqkXT7PiJun+(&O=O32;#MTgo>gM zG2o<6#dyFTMYQe|kTu5oE0LOe6=v^Gdc8h~NnTa!2Qy!n9dgi1<{?Y*tAcXh_ad6p zm)>4p*mR&I&8p~S63_aGSm-GeMQOpcNm!iN9cNx)Dfb0%N_Q3&@%@=ig``E4OX#?25rs&X;gGBG+ z7MqMA8+T}ics6LO*$a&hTxemas1RRUQMp1eu8!=hjdzAg$7uyV9_%29poZ5ma2IRZ zyX>8zD$R`|l0pRQT`#KRELw=~Y7~nXhJLXo@VaGA75D+|D{g^gNff-7q`R1k(y=Ey z%%lYTjdpr1@?AC0InX_jdf*>Y1DgquG8`d!?a(vI55uLx>L$Oe+t0?R71$k_V0R+B za)vi`gjyDG>i?5p*fU5BXqVvr;3kacl~P;>W5)g8BNe}IEOd+tE` z#CETp?$Dg-8g&If@@%?L@PrcLowd-~pINuGYUNL(r*yb|bA3u?A^7N5 zt}?Y)ng{@}CIgpiL+qtuR5uM07VId;RumfN(v-jAMn;@Rp0&k{>ahxntTDC>3Z@Lvu7k@s_<3e2D!Lan5~eT1L> zsBtV{L6G9K0)KiX0aEYqz7DaTqoe%{X2TxI!ntwp(b4qD=afYN&D>%yT>t%o<45>_ zI>k(xqeMvIi3L*rX@c@`JQmm><-wFdsYs;a~=3D#R=W zn678xcNc_%mb0dAOSm@GZ3W}g{j5U)hSX7Cyx2A9HI&VE|zim6lhz{>L(0D zFkM)(v5QENwBrAyVq(q5QlJK5(80bUi|#e8AeH3~O!SB2YDJtXN1dlZlDQSp-{_jZ zmEnUto1jI%&o**OizWVtCa&(1q5TqRLvp^!U7XUOp0$wPzD@9+`hn6k_-hQoV3@+__GdN zA;T4d?~K0BVTs#FF{e#+%7{`s;@jivzm?laF$$GvF-WicUP{FAfpGb&~FPgwg{{CkKt5+U>zbQ zSqL@l(pB1!_9NL_%Y$W6YKiNRCxxDhD7t2?mKm zORy>>W5MvMx3M~|9ZB>b^izr8drB-lJwyRO@9f~9jw;Pu z@2X+xm4`U$4abP5OAJsMW(Sv#S#(%5p_i9I-_WGi12)k7W0`7RWom3?{aK{LGX+JE z52_fX!oDU`D|!tT2iK2Qo?@H_u5oZ@e2LwWCWHW_<);iP!J`r7!M8qiU~6j|jookz zP9c#@cQ+T~87Hnbv}WWs!w!1;sYy>2Z2<0UVxBV}Em{e<;LyPpswkZ%Z7FY^L#!k8 zO3)Ej42d{{h2i&wn2WCZBblIXG?c7_FUY~;(^G@|g~lfmFhjPq+qr5MLY(V zF24LcKV{2ZNX~!!9YY~c+f2SpOl8N)(};U}B~KlY0_AwhxRVo}LcWgAi>_rXa2%cL_T zbzBX?rb;*Z$7b12<&49$K-nu?nG&Wv#*Ufh_bFMXN*j)UffbdhW;AS+x*8l)DLk51 zL=;_~4vm|^y3qa0IFe~FbMKj~1@B}v!lZB9$$;1gL23kLY+GFAlbrd5FMP$)6`)4T zSQp{-ay%I>Ws=|&!4kPA{ZzdE4CkPRPucCPnktBD)jbJ7rCtMwlugwwcLPT=}Dr1`mS=(>V5oNtY+Cn0mFS_4lGOqtYYr>d#AgscW$v zi6jjC(^j($D@$a$kk_sl1?jaIqRj$?hI6~NHvE zjp=`VvBLlLEerqqcPJc1IquuP->vY!zI{Q-+ooWDom;D6yZ?`d1wQ}ZFIte`1SV#= zKhtxmf+BHov4Qr%_diLXb#oJUvhtaxi}-^?p{PBqYs=Lek}(*{bL}m7u5Xr;c8Z=b zASIc67gYGi5%_p|HxT6bXZ( zwvrb4=|wm#KSeMOMWoRc$8Q>*Pb)_kU=J{fZfBp9f;WutL9?R-k+EE`=ZG%k`3)7i zy6{d%5o()Suw9~qP-`*!Yr2}U$xA0Nt2*T3O4}vZLb@iJGdLGq$e!(vSkX!Dr5^e2 z!d&vmYmoGbK9BGJWp3TYt0=0=AQN-&ig*Yl6&JA4m_7S$HcEVLgwYgiN68DNim<$@ zQH}YMre7%<93bWiGheU5Ts$cqZH!R4OKn1)%Y%vP(RL3yF4T5?asBF*AUl&C6Mm_OyP@EBaChE)-tEhRdAqc|K9tB!E@zxNXG`EUONj(%wHmNNSn z;r}>QuyVMcG+IdYRMXfL__Q*qP5qbE zoJW_dTz4e%Z*%dh5&36LUU6+oJe5~Jh{<{qFX&C#{*p0Snl4|yDD#BYz$Ze>b2KP} zNz;MR?toLkBbGZ;%|1-a{$7Db!oUmh>+%rj8O((Cq_m{P2ACd4!wkr?E`Xv z*TRwoRsu%@n&_Bmq1G(bR~@iB*vEfMonlrBDbE#0cD|;5Bk}v?*yrBv;rZ(G@dvqhgZEKaA^tm92S< zKs)Cf9xNCINqKZ(%_(Z?B6nE#O<5uUEaGU+7_$1Dqlkq$ zQ|A9;={mM0MRwrVyglA5p>TzFh1=o1_k8^$Z_hc?Gt;LWWJ;k3Nhwg=s#PKeJwWl8 z1oeIfFoB=atl^3P@)_AT8JXNdkx-c4Xn@g^o4Q*V3=DOclW69soj4{x_`ig>Uez7qS43K~VB_Rl_mv_7J)> zsFcWu|9y6 zIfVMry_FMm%cOyq@MsVG)?c)i!dpUzu+ls$I}EYaL(;94y^EN(ktP=eA8 za(GUgozA~eQK4^u#=cjufsJ-N00m~5Q{R{|_WgQB3Sz>)vOt*<%a{If9p>gvDa*1- znr8A!5RA=-tR-?fCx1=prD~z98L%56AU^*x`(+cRCPo)Xtrn#f5RLkm}tUi zE!BA))|GU@hc=A(_aXK$UvucVXWdHsdzt;{7#4cKc6hl{;cUr35cMl__9Lg5HbZ@O z)m502t-7`7;J`y~WoQjPgO9YkxT4AdVFbcowD_ttW#e1>O(_6p;@?GrasmjwdM+0naDE zpAb)d)T63**NSPXM`VL?kLVbH=>hJ8uWq+u1Y~K##s+g0(w(`Rq1xPZ$xxN`wZy3` ze4g5b9SHm%1M0v+=3@2PvTtCFE4^fW*eEGW$6um7GyAuI1c$~zv?EKtq4}uz)rTEHJcmIP_|>5E=K@7b z-4YQE`;9)O4An2J5#kY+Z(CA+w%cIafVzl@EjQq+D$kUEj#t;_3L>tS7{7vosdo07 zEX?|z1DZdm9E{CbZonAF*!c`rlr?Q;vXYA|XWsR3MGwcW{DK?q{m&zv`Bz?9G1C|0 zs~KwXVEa}fj^hKc*L-^AW{JA4(Sq!m(xir9thQP(i~=Vlg;7R2%Y=7cW5^JtLC@^;x)57ZkVuB8hW zWy?P{+D4#50&c#nQQhXYL^SiUYci1zhKbN2l)hGU)MhOep9NBXzmY#iwaw~p+w%S- z;Y_}o7)YytOCU_ACg}rXa!QN4sM|C{c5LJ)Bh)uFWGb3QnlI02HCVbE}V8 zY*koEl~YJl4GTJhUYDF|+1hjQ)V_vRAOvU{vrA0=LIQm@GuukH1bxyHkqW-C#nVTG zR`C^r4r=Z5lE>%$ZwoH!#qL*0U08+cRE~kW4PW7qej#iB9?chIm6}pU1D#PVBbIwX z^S)TwGT&h2uCR5?FQlc1^)b&kCe~8=<%;yoDkTrYj)$fh9h4v|3~X-pk)PZuoQezs$(WC55LTJ$H%*J z>fo=Wlc816?7oG-=&1n~Yx*h!Wvj(nyl?ALDDtfazg)YOoRe|NSo~-t>x` zU{ux?Kav1@{+&)KtGrjRu*r|@+T;GrOg6zh2g>FP({rms4LgfZ_8P~M9cE8 zgu8}3;*=K%LaVm`+SKbMB>`+LAy$3QFhph!=I5IyoQEkKqfBf*yjDO1&~jv@yn;X#X*k)0SGa0%RM}H2h|f_`_s3#C zVFd#{uJMQrQkR5k!4Z3*3)S^}3Hq^FLlGBx5Ilx-S#Dbm?SPVRUj4-~fU7iu65L+} zT&ZREKWA6u7V3}A{jpiK)&+xVa* z@23hy%2}gnH1#xJ6TLt27jv7wxq6+W@-R9?3s0m!E=4zy73V-}u1Lca?kA0QjK9q~ z`9_9dFhpodi$oFCp=8Z5f@(Tr{2afoKqA~K3y2FEyv>G>&rk`uk|Hbp9mA3=ByPp$ z<*xpRtlH=EW%dz8D$V%r)rJ_Pp&M0kHAy}|yDfokDid+Uv4=j; zbzm`MIi_k~TOZW0r4t zL$UM@0lsxDprkzFVg>?p)`JqQ8cNg?EayX1I`LhhXx}V(au<6TK50Le`D2c6+zYuE zbaLu48$-~ZzXD)?WnICRcMlFqVB`1w1K(UBA@X0XtND4?CkRjcJ8aRYRe(-%-dvnT zm*ymcdT&_a^2HPP^i@buYxpzVIG6}oLn`7TrhUEw@e3TPX?|)<9VH(|cdw{X4=n0; zJ!e01!)x+Exz9a|)D@VAX4aSviz6XLZ}cRJz#$=>=!7#gu7ANrY}vcC{hJZ(plUv7RZ)+$I>1)PBIZ0X0PnENZ@cljtTejgd~@X!0m0yD2_rGUH^OhS zl#Zcu2B@}7cLMA-e>}WXfSJr|8;;?6!3$P7>y!sGXak`FEd)j!_Q6%doHWo{_KglR z4Sc^9lXDvr@`H@{OTVjOw)>`5gKs#@>EB_Eimd=QK*+xa+GWt-&XA*g5gz2!!6wsv zUZGs!=DN;=5tN^@$ZD7ht9+giU#7$4-etJh;2TL8bfhf0R#}S-m+~k2Lh)-W4^(&S zS!JNuWj)K`pFsZJEoK%)3uwM!u4NeCfN{N|)L>Yd`mz(noB}+Ota%P$Mr&bpgZyw~ zjw1fzXE|YZmRkcT13x6>7OaZlw)V*-#9G?(On0DpPtfBc-aJEc2*%coNHU+R`8w3< zZ${5iY`bzpg|f$Ta2oUt|BVB*0m-FT}P^ZSn8$frO_R$6^JqfG6`|PjKFZs4{1@i zR>Xr?xMxVODcKnLW}oYq3Kt1!67FaTQu3v}lISZ)<3g8dNl2kfI&$JKnGS%apujLV zq5c~f&=jEqUKtarNKw#v3BnLc(SnpgBZAWVUuItSxv2nLc*_iFAk;7~-e{BHKX74p z(UK#GKfXzo4T;(KR1}6?IO3NLI98H$aHtJ$f!hXgX-X+8SH_17b=BjF&I-(Yc>=!v z;&A#eA`;xfpns>;2mO)x&?D8BIK&6Qtq*WjRg-g({cZL@p234iXvm7G2%)QAqM|*x z^zYN)>S8gBNbAFMr9g2T^!iJR#3Bht77ozWmv9tP#aPiGOz_Q&tu} z{o+rUmjql3sQ}~b_pgh|wYkQ$rbgHN4Mlt9p860S8TrME(RbI;+4bjSbS^+=Puj-o z!het2!{z?7@Xv8-hfSPc>WwtF+zsIu_!UUb246{LU@WBWp9YYWjY>4&A}uZ;vx|nt zVEF}@#HRgqQhxnJRFEgu;;=}pFG`PFV;`FVawDljzbb;TmYWo9&MZMAB1?KVp$7(K z>_&H zS6Ju8-;~T27N;7CKPthsU#g>g^3ZL!lz+)uCn2L7(z`;5A{R2zb^|;@@MN#t_git` zi2^sGfzJ@Lo{u?xb&qa&%JVj)gnodTg zOO7ceLb*X&DDK`FwM5*9Y92+w^a%!B7Rh3E0C>ZBuFdLTI~H{!#EWONBePUhpH;O5 zTK8xQTlgVl*@w*&7ijor+yar|%?Q&x)B*fMnWLOHyxN{TQ`GCO4g`4TBBb_D(eV!j zJ$1_o3^lH2{gCJ1-oh7I{v{dJeF}@>+34q9p>n?y*h-VDXMoxuLL-$4V-t<@pPbb- z4S%;LI90C^t6>MtmD_I96iQIf;P5s&g7l^s8b@`=Sajw2m6%nK$WzSkd-)EAk3#SkW+b3E*lcQy#Q9293>-Lzfg|V@1 zz)&Y*oaWJx9BH@p(Ce+`(w3{m+8+$r1C#H6vn2VI4?4%75sX2lqe~7V#Yi%IcAi9w zjNMiynqQkGwbBAkKdyfxC?gL1+a@x}|AFBn_}WWL_mC*wf$)=A=yVE@*TO>FmEabSzhXBMFn`G@=@j=xCae4|G8cTFRy@%$Q^FVDa zcr2+(lV=(;T0hiE&eLi*(pUE3=kFi$XBV2`k5pJRmD45DDyS1p!k0Ty9pbkpTK7#( zstLZ%59t6f2yi?tKCic~Ic~!7;Z_zBDV)h;#i|S|$dC==^;AaeRA?Xn#*-w6sd!f( z5X)acf_Vgj!g-J=CMeiLtO(d<{0F(wR6PZ^=GV0AD_~XMofe2b%64G3^ElFUK%~+z zJ~5@!-6uaz7b5^eYPK}emSqbijb?W~mKfvjXd3hs8)Ix+|1-B)-H@#;=%T){5E`MA zSdOo6A%w(}LEjx}yfJRiVM0iV3m08u-M&V_i_?H@-$4d>s)k_#O zAiZGZf>hA)uAl&`2sigN5UKRy_JA1ub1)r@|48X0vWfj?x((YrlJ_^V?FUX96x#C;S#2)EU!B_^{>})QNGLcc5ST6Kk(vzi z&iHPMI7xmk71Q*FVVt!25?X#2DF2|MO2Y>o6j=n=O-spZAxdgrg5mrE0-M)*0{(b< z6P8qTn}p{xdBtkzi{Fue=wxhE|8+qv+9oK77TjXlUe{EPSyNbIIkMQ+LUtnz8~Hbt zlfWVrE;Q`&K{M@^!?WOGk%i7a25{sIc1drf`1yjG$n!50w9*n?%XP#w>%$V7oK0}V zuPRx`W67;Zy3`|xN4MI4@?#W8@F%YbeZTyKLjk#*B`E-mZQwvtfN*<1=p>t5L*a=) zVNC)!V<7vVLtH+IB;k>52>d{K@Ev2sNTqO6k)}a8aeVqJ>7nLxC+$uhycJ{=pV?>z z(4$oB2*ie-Ol&GMj2j>Xu;CxGbVp^(@BQYlb!CN00pk#E;9OSX)AjEYxJMK4nuT69 zYB+!0ptfiK^-7XG51EGt6gdm@$o#ES9^|I(f!OB~T~iqJz|H4zQ6QIC7Qk7=1^)+H z8aOG&k~Y?x2HX^TcN9dRB^{ep_P3E6bI$A_D4Jj&%3qWhlf%v} z3a|sqUR`eSTlHSPjOwbiy8KyX0X|l;MTjXu}})(+Ragc zzwib8ailj4NyhaE1YhnXJ)nQXNLsFO0E*VMZt zND)n4@=G7eI%rTMuZMtY-7M>zF_#i1P#OXHn>^vk2U5PMg( z#&}=UC9gt~$xfk?19rog=zKXr4d?^yZ}g^EqX;bQwQ8S6z6@5%zz%0D1p~G~F1H6i z`GDfV8iCEVB`FsRGNF3_f&gBEk^74a^U)D)UDV$2u<7Dxf5MJ!d8bUW>Qowl7cHQ< z2Zs8Zbex$%3dJ+aIZCAAbzW#v+VQq9+JnIa37J&#(AQ>m2T6)RjNv*o;3;+wgKe2V zg&PYT0UGS(BC-9bZ9AHQJFwUnm(_rx*zr` zRIxj>oZCWhWqiKAL?4}>z~XH3`|&qY?yfng&fr4zaMw>WxDMW|s6;xvS5DS1oB~s~ z4FWYw8ool+vYfLAS)y0DM3?=G(hQf^HUPO#g@<}Yh-T!z`wz&sRF>Um{z}%)f=z@H zZ_bO7FVBrsEDa*CIJ@^qV*gin?tC8l#K{QFN}s>XxfR68q}b@o07w%+KBF_dVxIT) zx5tZ~cww6@zYElYh1}mbu#6ACVIb+hCsIt6-)#oTgJDKc^(;wMn~WejEC;^KT)JN{ z#LabCAlpC|R#`+csAM=!06wqL`^9yki}{AlKcXBk&QR90j32|w)T`@cjf#e|i?WCj zx7HW@SKPbY4Q=Ig#r`_~^&@4`-*gUe6eE_RyDx$~P}!8qh`{`mv;N`A^|&SBt18ee z#Ha~(yF;mt=g?vfbq}7_x!odhNsc(3HU-&5|yxU5JN@*Nh{H(h3QzWk8DML z{}KhlZ^HHgn#nybg3QOIY|sm6@PK9z@c0f%N&<&gZeC|k0ZFML^*0Ph4Tw%}Wez^+ z(SI`f#_+$nb|d{`Nx|sTX(#eea@ETFPo<)%(>g`qqe+9RiJtBZchHNL_2Zg`t2*%R zGvxZ2gHBvje`YkuuRwj(D+pNHEXc8R;rclQM;J_)_ZnmUGps9hRU%5J&`Z|o$sv>O zuSdJw=O>@QV-THJB^#IjD7FIYnbi;;z`N|V9?u2)&;FpgKg1|wYZztB`1jJ?z?n&` zLwO4yq?&bLdl8Ap1}Va5Hv8|h_g%d?p#7JXLT?d&_~>6Bax3V3Q}th6LH^b_!#)lV zgkYdG#9)*6N{+cFRvHT%>Q!(qPk6+j1)>%7&t?4jZM`w`j~&P4HvJ7j|D}<|j1DkO zjwKecY0W&2vUzHbKm{x;FMeVQNlN78kA#HPN1{8AN2qcuQ7;0N#Up=(3)ld)94I~? z3C)FRTC|fKkLPFEgMTal$AK|NIEY_(4zzzEIUmX$pufpu(bFUN^!(ZoZUkXk8U1fw zIM#lnkodOpMY&100l!+W-Mo!TUkgWODd!J`DHtSXp83%aRP-YW0}X7z;02{R%oi|y z%0vU11ju`EF5Emu1e<4~5Ww(K<&THgm=_QS0V?%HEE;iQm9+el`D1bl2IQataOvhu z+MBteFwp>TXLZ26iNP~ZG|*xuEo^{!l6;%mr-}XNIa^vgCg!ie6~@d zPco^{Ysy@blo5szNG-3FFJJ@4x4S z(B!|>#$0Ap;j}hX5La#vmx%uo8wYr(4@>-=myaj}s7<}gM!Ul66G&ybFj3qwjh5OW z84bD<%;BlZ`EVk55STz%U=MQ%5)ST?2YEe}jOS(IUWp(YNq_`}aR4}_A@uK%X6qpT z`>%#30KizABmQGqAoC=Rj>-b~KB}Zx}cv_C&6AuJ_;O(X9f^9G^GB$r8hy2R3=XSwMH8tyer%g1S z{vGbnSCj7k9+v_$2Q>)hzE~tbJ;7CLt~V6b=i9o}_pjaYMk8Rx)*p<>;EM8hXMp$r z1?lHf%L5?_2QMWr^7#Ojse}G2Zl`OPDpUrXn!Z2Twb=PnMB<^+d^(a89cE+yC*X6Z;Xa2ujHZ38f=yACVS^oDMHm!=z^}aoA8d)t z{tBD;{7rV^jl*Rh0R|*=)vORL%tz|^y!3J{t)GAA_D5j2_Z^*FF{Kqa%>VbqhkCB$ z@s=KHjP2T}1Ogm*V`m|#Ryv=3x$ZEx^a$@c@8i@{nIX}f8>p7=(1v<{m-g)1D;~U` zN+kK>5eDbVoQSM>8Vulb5<>_Fq{Q8091eQ|+0eMao=T4rm?H7nKZ5=W&pd?v1L}A8 zH-%wf8=HmGRbT({3do1u<0l@9H>;9F3b1jQh|$t{3-%ccYIp$9`&^%t{w$o^DehA$ z^xuOX7EIt4lurQB>KqI?2w+^s0+`zPH&&*GbruBS&AR>s*MQO^@KDWFo%!l={@jCeg&brQmXU$bR}yk4 zRJ?EH_QR$#u&Gi5gS|PJKOQ*zRSTyYr1ymq$Ayje8q2kY{J3Yo%;>iL0VGvcD*Ip+x>z%UpJL8>FC7`T z$hIO3g(Br6!M>4Muew_v#;qPAGHq-K3Hvzsfcj!A@@1(=FG7j7BmyIpzga`x*c2#x zh`=Tcg%Fx!WD4*|8iCeC?az8ns7&ZRfz?r?L@_~BbK*ZRO~$=-9>GRwUfBcT-%iIR z5yrAnz1fi1IHkZyj9QJ2_@F?$i69^VB;NloPAxWrbS|(Mcw$cQg>jGAKj(i0%4D%I zz;)((=lody6@882B&B8SZAgkfAu2zW)~kmK^@0-h{PDOp7G;m01+rWaUA<%HN3a~+ ze@Tnld~OM!+GC*{xXeeG{>DeR1jApE846yal!anYn{)L=<%dF&Gq(@%>UQ6*>?gj;9dnh>eDe0KE@y%!cNsdAH z*q3W*UEJ#@@g`rMc_qtRg2n=n%$RdjFJ9;%K!c&}@xxr~nIB+X>p77MC(=lru0Z%S zd65m~O8Ty?9=v?ZDnI7-awiH(e~#FVUA3*X|McHi!r74Vh#q|#8I;jwxL$)wcO8nx z#BK_dMZ=z}TEFLa2Gb+=4|KEWim)$dokxg&Gi}6#f|LG7NMAMTaF}_Q7REpR zPTZh0$lZfPCNXtWA!Rr?{&N1hqOzQcan6V`Z{9~V@7DY$RC>5IG_9L;hRXW$@OdG2^yVA)Ne60BF)%A zpI)XxE6Z0($5o@J-&8+xHGLc_ftR+?+e!OaP>)5wP?(aN1SE|91f)dR+@N}uDAwzs zM{_n{RL7KQ9CBE!jL{J>Z8PtA=6T2t$Q64tU7nhMX(!V~H=bYIMHZg*E+XFD=Zs)# zc71TN+qZ%--}u=R{}>;;z8{c&on51Cjd*_3+5B$GwqAUccoa~aq+=zlPdINr!~Q^z z;cdyGD0CHKU+0gTETsFxGL;xJy3ud;MEVb@w@{c33SbYppD3_ME7Lx~yoKCIp2iv_Tf7VvrESvdw?R zd;WBLe@jc*e7B7|<#pSgrHPyyAK+x4RQzkve3{+(esAL4XIIz-Gpp(MFsJE~l(Gzd zFmI@S&WCHw7}W;JV^XHCKi#mi`-}{LwqTZ$;{F^`6XD1^Vk!04lg4w`Z{kOiI7z7O zx?FO5-tP_SiCf~togLpg0Hp#JDvH#$#oHC2LbpD__5;W_2oQ{lu^g?xSX_E_%#$KS ziCq0{W{Ul3yj`RqwrCbReAyfl-x!Ol#h1@`Wz(6xbQAv)(f4BAs>+)ynyDoB#4Tg{ zQMiV1NLZH5p(QLLnL^5ei5?7s%*XuS1@%T6E>SOUxY+oWu$W07J)fp4KO0!6G4j}DUs{#aGl zrt-gXLO=HFhpIk*^&pGz{fbcYioE`ZfLjfe9&M~3>@J;Yqm<-|>f~6QBp;r(w*5gZ z`^=>!?}v9kAI|S^rm3~T9mKU93xz9Myf(dQ6d;bCFm#C7xao=9r4bj7Z;C?Go>+)@ za075!@h{axIW5xmkF@x>0;g4~oOk-s=^a|+CE3^#9Ryb)Ml^?Y?xlv~81_?3aSWZr zQG(X~fELf+sN#`y{TvnqnxA(eCL#r)TQ{1rBS9=b809Vq%S2QPjgzUh3tpdYd?`kG zv>#e}{*3l`By$E=a6;3%yL*M4PjDQL>HRWKW&A7-K&036e6LqGOm=+tV^oT9JId7= zc6xEjt9?8bIZB;J2Foy zV;Fkr@T0JMF=P*rk*z2>BtqkIIZyE7D%)6$OK3;n;0<+;#jP;U z|AHjK)HV|Wp_Yp*6e@2z1SDF7Jn1)_&TjXOQo@fO>b*Yj0yUP%HW;M;VUU^Fq~}dI z?@#;oJyyH2X(wi`_YI&ur3X9(&VDZo!vHA+(Qv+ zu@dDrqqPJn`{t8-xrZ=bQuRkh6P((EZZzS#*I|#X^m=(-s?UX$YZ#FzuUu8}=JgZz zVGX2kh~)bzOL{*)+%uQ}%l)h0$Dlz;St6yx@s2pTM$MA{1`-Tofsn6tV^H@S>Ei>zR+@YK*Q5T*}rn;dRSI1S)!_&*pA1~Wxe)%9MW{5jiH$*Z!dIX~H zj=$tGMY!*y8Gk1=qjEjzLS5g!Ezmp z;tNw6Jv~56H7X+Wt2i=NTmeCTnUpuc&kc=slRB81N6`3=yFo5ZX%CWRn3YloZV&rk z7(d}+IuBwmEmgHobNzm}PwGIj;`&6{}E^n%jolgeqq8Tu-}*+b+zd8 zQ(krsVruIn$o_+;VR`I(`O0U&wTbCx{VXg!E){Ab&ORPLrcS((Ocy`DqQSm8v)Z92 z_neLYKJ#1~95ZfK@ZCF;K*`!b<}>O4M$tyBS>#q(f4GG3ty$22o#z!_4-+8p_a~&wD9DEd5;gr3s%BC zl20{5#f5J4VFiNQZT;(y%k`VIBJG{JSM8d`4;1=JKf`(Cq>$Qc_(rpgXCnL4RxKY8 zF2r+!x;ytXnjT%~Q?EUg@VoWp??T@wqW(&g)b%E|V{A1hz23{Ns#xXgtBynTl>dRo zOLb~rLUYMc>}|;5IlMo3oX1h2A+00x4m7Z;GS`z4G}||)pIz!S2V-A0Y)xAG)ajC+x-;mVuYh@1cXFx*4M4vqObm_O@MH2MN?q z;{=`Elfggz+kE*%PFNYL><-`ME=VT70PwtF7CBU8{!43KvZLE^i=Goy=NHyPJE;H8 zE2HY+&e+Sy$)wZGyk|UfE+3?g(0$^1#4cBxEt5k6Ngfxq_@lXPcyW=TS4Yl!1jDYY z7e-j0P)4NSEM@UtPL_o9dx?r_bjomklFmjHq|*p6Cdb3ZMgQgYuzBsqXy3eZn^ff& za@QMO9m&OI0k`NcxCUnf1l0M$9K-GE2uc+GLv7&UfwMJ!4K!$Hn+puu+w;WZl-exd zl*r8N*RtRFs+9*q6ZYiv#N=Jw$4p&9zr@P{fJ?;J7a=H_ge=1@|Auy3ypn4BDW_Yq ziS9CJs`(+ZvqEnabO-M7kN2V8#h#J=!63i1`%n{-c>B~-8JKt){(bM7WA5~2u#LH% z?$Z$59DVVMQ|>u=bgt9a8&xYn`K-34==G`0lgQ7H-bl2}`#ls8o*rKD$8(D1Xy|@W zFLNEw<0R*2i)wA&HQ}&H2t>}IK8)Wo&OQXSg{b;NUmMfnEzyOg~@f-CQ?xcb5c zXCUc=fRbJBOlY-K#%xZQ3glZE{I-u+#Zj5u(BOin5(?zzX}M3bF4E=#af%v6XHW5< zM5Ip_NGWaBHv*(Flf-4Nir64M`}`cO0*T%HhjVfYWA=?~ zbgVws#k{n*kCMq*?;9La#8JbcLKO9$c24xq)cpKt(+1N%F&Rqlr?>WX$(xaOe#0{G z6t{XGgB6Z}PyxWHN#;y<6p(&-qLL5a(1uP_*D>>irm{c%sKU$>NW`Nk#^|!IH)Q^M zRMpny`D74n1qf4|lc3cMA%c#lIh5)4r8fHccKWfd>pacL?R$TtSlJY0d^U18N*UxM zC5u3!Te&kdgHrb5sSZ?w;=i(}HXbpD_Qgl`#C7k&&ykY3Ss5JFbHoXO=Nbv_r-cfO z>S9pI$wj|=^4ta-`*+JkR9Bouh@2=yV!-KXfFQ46>q!Ub{=b@-TDOOJt77;0YA6h^ zYEk`-E|hTyIy3{-ZC?K2VFWr`e;`5FqdDA8548s#KWJq?la`uk^;f&~U#%zT zbEAu*cAa6YeHq@O`lmCD?Nq0`gyPkB1ug5x!_Q%gJMXn_K3Qk5Djb`CK3uow9#4^)62A!|j&o4CPMqiokeK|CKB*v-X36^Q-j!2*3C zjHy2QSu>Nkg~`9n(PcpsrA#7mbP3WA@IOM)MBZO%1felp#;^c9GpOSmu7cP4@E|<< z6oNbO#Gu^}_Fn?d40lP7PP%^ap_}2O*D7@GDAPy%x|IF1cGz*@V5fp_vo2eELl2(gd1r#s zx+p3o$qhsHIz+=LzvAbWw4sN$5KP7~<;;p*3!}u9=lJm{h{TX<>MtfP4`C*r08U=?yl-VDVmcO=_4ou*4VRl=Xv3q^QBW`jzmI`8uWto({?)u;V=G z;aP3>HPx(OLGB^RhlTsIqg=H=w&@S(6<2!o|c*@Hgg zuBX4?E+P!~XYZ`>R|Bi59^{{|Mai>Sbwz@xsrc=rBqiJo91^J*z?cNB4tjRQeFU?e z^QArUWyR+A??K1skMtJPHGP4|1HvEjZ^Cw65ocvvPO$b;R1nmXsAa8Uf!>>8L_gmK zmLwKm$Coe1CKD3Ppz~9^TOVNHZ!8s{ zxK6=#?}AlGldV^P{tFX>?I=;qj`-O5VLYEJ{}HvvI4I{KSPUYb^K*z~lLm%q6~z9* zF#RPR`|7Q4`x7*+(Eo&T(5DbONpwVFDZzjP19o( zBNFD$cF|ByAGGtcP=mXtV4_GGR%tEeP8VOld5tnWSJ8H2yQ=;Tx72aU*YQ(CE(V_( zjL_g6Tiz2@>zr_Ck}h^C7Ma^_uIE7O(uYV}1i8(lf8D;qH%~;FT9iLmX1sj0b?ZS` zcfN-PQK~uk5qgM63=csIr~{_`OZfnN7LaD3s%>Obv(WTlhh z9(ZRz&N2bxBJ!&?ch?_oQn3f>4_T0=M6;$Q!YDP-vqa;AP;$vQ$n?8GT*bxTXi_b> zzZn__P7UZHNR*vCJ#9a{@`*`aF#2I3DtL@f2C*wgVq_8vS@tm=YzGa>&E+icwPxbV zgW)e20kVLBY+MBHB^5`NM>BPu?WUQwZ$l?ZM|CSiy?07IDHDv!ZoaWtr;AwK{LN6` zi1qq($%*qHp}Y7_1~F!%KKYd)VLVjXB0UUl=lhSUvQgl=_l_bn=d1ur>N6AtFqb~X-MB=! z)PttZ2{r5NgEg{NDf(dIX&w+o%g?p_-2=6LVypA#5uQ+(Av`w#v58 z_{?kY;AvP8g^ZaE=#G%0dM`VI3yEMQ_e$E5Fz-VB*>VBFjZe^jYWVIF9ri8!!Trep zK;WR3)9s0-;E}M;t9g+>IaX8B*G^ZC{+mF*93W9uuv)C%b?Ml?Sfdt+WbXOws#zb~Xh)Gk zY>L90O@J3Y8uAwB?lpbDPVbAM78|Q2uB%1=Y};SvOksa{B+#T_yKviXl$fR%q9KJM z9ht3&ALt{u&2RVS-|#h^UV3Ikv(pYag5@b;cr)H8;~U)gMmT?UoD(GVEyTluw$cZ} z=4iCqcNg?Fu}R5_PIh!e{}C^)CUkAd#f|m3$x{@J6NI6bnDaqqXLmb-jN%`>V!vaY!RDOy*YVLv%h`IeN&vJB7G%+!;YvjxKYwe-@Ovu&FKnv zK9Dupz6@$1WVFqo&M{_yER&W9&1ww_AO35=V5@)z!#V{U-CTL`#>O4fQ0xM&0`8Ux zx_ieFS?Ehi{SqqzllJ54FK9d?h?|+p^%qz#&F`ov8?ONtgHM#JFH-Hp=)4J~_bXC? za@!nnRM6VtZ;W8E%g99|%@GPs`|daXWG@N){>U+Ttpkw^^vlDn+lp(8A(Cr8h_^x`)=n>2E_`j$-M3^`(3wB2%z2z29Uh=(uYotHkp~C zi@)G>jSk-Y`!j#A{kD}6mN2BRzWHQBH~viW4Q;8>yzHtP%v$;z_2>uIQ*!gZ>?s!7 z#;`kLF(wzl82Cp#)$Xyn@nyT8p@y5V%ONQCwvn!z0j}s8&O&?_*HVxQBu(ZOppaDO z*pbbq)AHisnjClYjCn?MFHKf#V56ejklYm%W5={UbrQugieK+W1=<=P_l*7AEBI?L zU`d3zge$+W(Pyj)J>njUNSCi=;iuOu?NkKaFp zmq!Z9iK4K-u>INZEA|R{;nFGIy72ic?YqR8G?#UkJjDAhBx=BzvLweG8~TODDTdOH z4-l&Y+ftBo15Y#$``HUQ&!_oVs2vtImtYWs zH&qIpvtM|2d0!6XndjlXy1M@z={Joy zSARTt5APECj>re+d|{f#;yZ=I&+Pb)%gDG7lxPkfWljc&oet+@&30ua%YfLL5Nbv& zViWPZAOSym1>}-Xx|Bm^EYbwIY025omm2=+?MDH%qr9zk@KKx|(F<0h zAEpl-zsD=E$g+U z&%Q?i&t3cY=(OeK&35D9A$}~6SN$cnY3H!KrA_?yIS({amYE0tXj7gN7u)R*Lifsj zggB~S5hZXejE%bu)rB@zgNBMzu#LYMTD&w2-D%$^yrBQqJw=dk5;uCf^E?zGVVS?r zE^A3WD@*!18bSR5-XVmn`o&njHH8krj5HfsGZexh6JQD6UXJ~@#d+V%@>*7QpyL6?$cFcTAhnJD;e^A30*hHX20jpo zRJDN^Q1?!U?wQJ*VB4Fg3nEylU=w^7EfQDK+GT?S6XZ1*75x6UZNad`5c~G$rtAve zO;X2KFFPW55zX>GM-gO2k7sHdda2lUuu=7<7v2*4uFJOXfqgcIJ!*}iLr{&J2xdcD z@`MW|&niynD&<<`iTg?ip_aulIW*B5eG4I@7>c6^z;?u70T$fpTzXS=>MJ*987GJQ zVvA3K56*;P7H00k5nC>_pb8aW^;T%S+=t}~LaEBKzK;AiX;Q&~R^6|DKIlMz` zW{e8MfIoe0PssDL8Weu5#Wv>mYn(r-~ym;n&vEWSuXPdnOn zVEgl23i6WNKGM1wgM5uoP#UG522CSl{(ei#;-3WA18cR^$ZAbkyUAoAY5cl}p^uGg zhEc{xikB|LPMh<9GI`1{{ZMpKueF1n3^r_N`wLrZ&g;BfYX90EZIDFItiq7oQFnZz zwvUdFaDXm*hK^Y=OzwcQxO~^zxXiJ`jfVtb%O^3U0yf-V9I9XQRou)XUb@Z^2b#wQ zf&IGJu?j;y5z$>f;}}n3BUBDk2KFx^{IFR*HthFDoxRR+#uN$xr-H6;t!7h_xjso3t+g;|G#HQ0tbZNtF@tRa z6s6K0V3)z$wcKTRf`(`oO-N$o1lf#zOwdBYNBQE^uBnFSEI#5k&b=1lgxLgIoMZ>6 zZv>zmiGZHPhi^F$DL=Ng2D$@%jP3>D?T2M@ve}v+5#zj~KiJi&vakAw_YJ>PUVKKJ zl5G&tjVv=Iov*c$psynz;OV7tXvR-iCpMpP-jq1uW5gM*s4c*DTw$Q2GuJq(`yn;Nk!#v#wbqs;^VIhRr_EmK81I{!$cgc^!Hh{w39w({$G zjT1i8JTBut$Q>Lk>EnJjs{Na6@90t6ob}IIoZwzsgJPz>RiIv{j>~zI#kSPOeYAz7#R9j>*NQd z|M;1Y|AaVDRkk3x);gtK=sx!E`AJzBq=BK zF6-8ILmTs4sNAnCB9#VeJO`5cn_X_B!I5vSmq_OouR%ECR?-i-Y2v#9HMb#$L8EiO z>hZnZ>!}|c+rNA4Z)x+XokcbC@vbK_V@;P1yvFTX^(objaYZn{0*_-olIie*jAw(0^hq?`WBY{1s>YOxh~LtHe3Gr2fI!M0W zG?t%SFJIMI`A)(Q)KGn25T(5zfIXWk#{}p&fNn zxFMr+P++S2sSUg=VnI3=7L+Io_PccF6exJdYvlWItUAogak~WKz3Mj4*5^<`7XC#w z*cf|FssWdS^KA4Ua=!q{)^yE(mZ5MZNYFAYb(eC5e&zFom_tdI>6!1JJzt#vwe|?! zNT2Ab*aX@2X$}SI*C6#*6yRT(#@66 z#J&<;-sJ5oL{sxI+$d^X=m`iGFY_t)mDK#cACc10UcsC11o9k0f%;#ew_ayV=~wvq zP1_Nw8U@N`uf&QGO!;ELir7{h9n zZe%~F7wiWN9`%3YD_oiI^0Y5Qb7w23#Ssu?k?(@g;KgeO`}W(0DGY&t!s5dUCDl|P zz(8HjhP2q5au|RBv2GEfpH2f25mcnwJ$+k32m@i8_SO4--zM(*Q5#!j;EL28pFSVN zS-NhdQX>U3)R;}wu>17z1k-b29H+U26GyPHQ0pCeEM}@r=$Yp#{ft3o(w%GyaM&nk z=T7qKZxO#>QpHtlH6&sHv6BK*0(b1}8AgVmMmy@q(9Z(bn!g>20Hcir^&%<1PfAeS zAV^KAD>+6y7bMFU6P)`pc~@8xzn+R$1o~|HHnQWQ-}0mH+f2Dwfj(iaKVd$4&h0~- zyp_W;H$V<*|9!=zUMhLLNdU4L`r#Nk2`735>^zs(I-BPTYC=U-;lEaxlyffZHK60Q zT{-eHxb{qSilWlcPCtG#F50H{1y(BSo|EIozAwGEF*gWVFx{^xn{V}! z&>e&6??>w`t^+NWe+fRagzgiYY4sp0C&cG4Q{j$Av`3k-hy^6Qw=Of&$V4?uaIZSf74!KMKw z9apiux}T!r+8MJj7oVt-fvBIpo@yn9i2B36wR+!k>sQy1e?GwZaz836JVI|MvEJ>y z)5uPtQHQ$d!SZgYl;rRm$|L>2%$og>tcBq;_(mxAHRbnQU7VVJ;C8$maTYZk)d`Q0>i2}zx@ zqkXvZi}hTlPzCdEvIuLJ^#rIRxPGSd`=cY*dg0nSAeq%60-`B+Bb$+ESvcGe+>KhPa$_~`~$TKfJ5=PQGBMQRt{#VZnVRp@P2 ze+M*|?uY#@Pr(w-GQ2)!8OgoifwFEBZO8`%2~~Vm)^&edfo80)LCcKLDdDKXpGEE*^Z3vx|L3$QwEy;CL#*mmm++>{*UjjmIj?Q@f-=5>(2Mz>JQxd zo0}+$8SlmC@XB^%rlEo`{`dnV(TA~c3F8>~F@9%#tshFEUXnY387gJj5i+90KOz5r zi>SGE+hz{ZOPc84sf$gbz)IYZo!#24a7*LBSIw*;;MuiQ%`YB9S)l+iK+eA|1INt`sHUm?dd0rZ5xHkP#B{ zyBl#9eHv<~a7Z*?-HjG4B#;tWn(~Y!(&b7?(3br|>A!hzQfm9(SSv3+r{|N{_`PK1 zL0+HLwSgUES;;CeW?6vJMop8GL;%XTNLf4dR|*yo`R-;nB`R?ZBJDe* zp0mF=%A*9j>>Uu%LOw{%0(=KjAX|P|I<(0630I72qqD*VL|tc@yzhmy)tTtRDcw~Q z^kNNP!G>&~rOdkdy%08kT1V!0R(?K8N~L`4DjO8P>GL25n`a+KSbCaL2|e{A5o3FLTbNT;9N@^SOYs9Yg9Y;?Q7|4jJCoPJg6GTNH~CK^x27Z z6moV@!bXFmg}p`Je#AlH^mJ+V<6dBj{Y_ht_}@y7no(+-H)HA(?b?{h|Hg^^Z7CrQ zimY2pQn=KjC{TldQZpt(CoyxXspNI`y=Nuo&MzwH)mjg|WX5#mAUonv|DpwotQ*?M zAbX{p&e$9OG~*c2!L7pT#pW)OPUca^SzXeQ)oADr$)GqZ-dCNIr7k@V%I`*EvP@2yPgqYaADOozgM3=hAC?HUQD7pvXV}JX zcb_h%tf;2dG8hGjROflPAJ8lD%KP8zO*}}0zy$DSWlKK(e1Hmt;Um3=HcTSy$fSdpJP zzTC*6qe6urAS`Z25DZoHg|(@6VSi&k5Nvfts&Kf`Azq(yNm!zD2qmiNMm8w{qtX{f zpqcY+=vi5|WY+~GVu>&=-3h(_iUH~a0q(l{nA9W9=Ct|yX5Ok#pBej}WY3nVHES?_ z_}gF^GGP$ahQSFbL7?W-xmtorAE$tRDYh;qnzf%(vjZBUyYMbvH1r99DEveO`^ZZX zM$ktu;yMW_V%vY`C9-NqS;lJf9ZK5=dSj`4%IFFvqcA}fZ5VG!Es`ym#w^&xk1V33 z@I@JpQ8(FG)N|Gx)^;LL$fUqB*<^^y0O_jUW+U)*PwF^s&=!jbF0(&fcL*Vdub%N_ zbAeVk`feN=h+|;BkkzVX-Bz;N$kd=32H(4dDaEvqOhJjlrwX$k37X|Kbw3P z+ENjE5nU!!9nmx?55f)y-*WAMab&UxrS2)tmG&df!EuAIb4>C3NG#Fx1SNjrH&B;zI+G{d0i zHXw6~ggni@(1GCjb03y9(8c?K#}!O=5dLbaK2+|r3kbJ4GGsKD5kxW!KXgHtls9x> zd$W*^Cv1I&;~p4`8T>owF|cDn@Jqi)YUS?0DI~9HzljY;%py|RPsA5rc8P{8ryhrG zB);^1fObO!7+j+*&c~}I0#?$eg4!cn9R;> zypwriN;CH>P)^jft2Cp#s?u78nGUXIHyA-=n`m%aM7T0H1l*E zvh`%mMQr-(4)$HU7I#S`16$$?A4w;8jOtP-FE@)%X}mZ9V+~28a2*OS`Bk#)mgxu+ z62T#KnnzsH+9zsK#;U5)^1E$h#RRJL5~<9e=WMous^3>7nu6;xyG2{!faQ`GB|9m) z{b6-=EWoAigUZo{$bN!B8&l7`5ptm{v^j@D9N8fy)g1M7=A~2oX5n8NPOMm8II%9z zIbK=QhD)!k4+nSuhFjH^l#mpNY$?eVAcpkO90!eF9v(zy>AEW&lOeEVLLv{}73D0G z(Mhq0dhN1AVdNMN>px#)W}uq>&c!XAb>%!*{zI?l#oQ)yo}ToS_w?a9j7q2T0Lx|> z_irTjPkjXrAFwC?e15A4Mbh8)hWa?+v$d18hHAG(ehP&(?g3vi2$SbFXO{wg*;DYB z-5Mat*_|_46j1ZRU|>jm1^xovawSEuzvyEeMu&0VcWN)m$y0kdsg=b>YB;Ce2iLm- zu@=w(CtPjaQ6iTTq$_x@X%~K%z4mycLY{>|L&WyS%^(*YCB_Hve@5E}(a2s*oyt6> zqywX9jlaN<2vg?BDw`L3hj+FrQn2GVZE6TAhBzc}a*9mSXFo<2V$2~&cs0~OJ?4a4 zH8&uHO+Pe-*Kq`YU*Sv(@nADuGBjmyZ+FAQ$Pg|Ff`JjC({cj#quAgWRG3c69lUh; z`!{M!eO)O#vd5fZ;cAF{jNa!E;7xa=_^%$zjspi&B<^3Tkk?J)<+mw$DW_@5K6OQa z-h0jzb86d4))MMdpPuIJM)LVB$JVafl~T*(6w3><-8)?|?v?JrP^?oF_jsC_pWq!p z+!#$4?mVk(-;I}n`6(|v+X-VAb2MKJ-TH{Gy*&gO`LQAB;#%eW2OH6p%=SQ+jn=n` z^9nRD?o8-HcuGcYT0~{Z$y$qqc)>OHOKH^agI(}(^VuWO2<~(6#eLG}D^gJo0kZ~> zB25Gi1>_nhYqGWl49KGe$KP~OLBvv-&W3`b5$rTzU|_w840A+=RXN6l_#`T#*Qe^@ zWi|~dCXe#0zvl&LgAG7tZ+-g!nyneL1Y}1szoWVDMZg2XATQi80SX3*wk19JJMoDt zE9<>-RtOqo-UiBVi7gD^oPuFY&kH(NuEtDskAkz0>yU9Nhebw~i=hZ`c9P5IR`+4D zExo=K%BIM&XO%de=34>usN0)7A^1|8b-UObxBBb#Y^v2VRphTt3p=9o*p2Ql;E$GJ zvr5q!H-j;MssJ6SX(I3gS4{}Pgo9;~#@Ga8*o`2O4Ha0D*YTSlGQV}aPOu!0#T(Ei zdHB)4CWBi3QUNgtkxR^5Xu2YUcwRJ$enLYlfuDlwrkSs?gKF9A-?R3hz!g$O`GAFj z%&Upg^obs~3iNPvix*MN)$KdWWR-SZIv8Y!jT}*ETB%zeRq{MbC4zkTPHK1SHK`>6 zcGQo?HK(%i0kWDieWDcC z1n}fFJQ8;u6}n>#cAE)}bnbRty#B^58d9Y+p}yx@`4$MU-u>Xyp88i$P~hV^icVmA z+^AK-x!EU0r3h!TB=co}feViFBB#Sm83I4EPfnVKZ%>_VlVS*Yrlh_WoxZJrZ_R*f zaVbKSTn+h~EU$u5F_dM0TyxY)mqcUj{|y~S@;WD^4JDx3#FZTh$Y(@J=psHzG|E4bgwcafw)avJ)J(dlZn1}gRCj8EEr zXV2;u-9)Y-2T3>LM?gxp@Xm@iq9j{`kTz*?z5W=4K(){+DHN=lqH*srcb`J}uUO`u zI7F-RovtK(m7&F0UDpAB4c|v}$E7{d*$>bM7hNO}oKH1~iOFs+QV_#0OV}E^5wJD4 zePb@>&nmA-(TI`_=#g6OMZqDi{;jTdIq0IYj-uFgmGIAt|BU#rBKHGj3K?$}y})@T zBXW8w)j+i;d2x*1mH6*Fly1M}}0<58to0DPP( z;Z@G{i{*c`SQ&=e1Z*5b+T&7-YWmC#5@g0x)8U3#3J4kVACz=Ipk7WO>53(W#475- zUx{JpFNVKPTI>#q$LEQ*RF(2NQ!1~$#J8nc@1@@=M%8wgoiu**W>!ItF(A2uQ!4Ym zDU-IJWXc;z_VLT#|LVMCw%~eBR4m7YdWvdbA3gW>`Zy@1h*4QBc%O+fai9`u-M@!6 zxt%d-)7J5jZM56}L5qVCbf0|c>idfr++Tf=avzdF`hYdp%=wdjpRHfc48F=WHe|uN zMgr8P2>q{rY=O_j0%D~aRNQfs7$qp(m5w{UM|_le;?=3YY#db@NRIS$4z_Z_7jN9w z%W7wO)Pmgpk@;dnNK1!Cai48AorJ(Y1lE>Mhv)cQ8y2O?9BWvudlV4@(h}l?GK}>d z`(63q1r{UzaUYk!a?_rQ$&&Y*#3RpkUxbw&1*C|Gh9vFQ0e}tGFEF4a<2yp@BR5Nu zX&J(Oi<%;uqPtUNHO)v@x^qtQ#$)X9r~Or>qAiIXCvaQEM;_6f>W8_XacM0teJFpU z(GMfx6sUyQ)ZaUvHJ-r^bIt&QR9o21AQl>*<%=d6i3nBJUmLWU#jCP0J^K=qOizfH z5m3JV4K8&TYJ$L?3`SQ9D|aaUOVcvMC~(?Kz!Z5#70TG~Q&9pRWGZiHuiG~~G$Zfj z+xKW9u@Gs0qi=sfJTb!g{h_V3Oa+a&AQVbxd^J?^+I~cTE+qesbecI<9!f-vt;OOF zWmG`$=o>=G@uX8B&KfXw8G_N@ljSp5c3dMg&#oQ_(lnOT#UHsCyu(751Wl-_7hwMRdvAa6=OnyUW@vq&z-DXN(**9nng}4e1m)+Jv5n0A&?~YV?UY8& zmnk}xv<@VN8af=OGH3BDwT!PVLkn#tb1;Iz8U(=5Z2bq+mwPS8erWV#fM>VHW1oPOSin((3VoK75 zCJ(A3RbKKVsOA<-OkhjWw84~Mp%g1E=BepeCAow{a%!#Mxj{6|E=b-sIo`{nRxY{v;a*uHZ@ z-pg|0H3GSg4-M6#;!)l?AwCj824QB0NS2BTRhgGoFI(+w#tpku|RQe+|3*ADdf$9*8 zkplN4eiuFNsjD@srT7MvcO93{UDFfR?dT98P&^nsR?&*9a3tO_9iEu=q1h)$-+Xob zRM;F|6D5oA@9LTz`C{X6sI=gu*fmD;*^RYGa?a10j*p~XV0J`|lz%H8(bWz)s)R?D zGu`xWP+DL;LOjTL%*LQLV}t-}#rMOpJ#i)F>d9LQ3i>p&v|ayd4P`>)PbywMhj%_m zD94=CMkjZ>LEqbPMvsk@TrWyk&Yv0xMQA* z9u+O$CmrcoD)ulszaK6MD(wCF0AW;DHP#spT>?IIH)SE?TlLp(Xl*q@xRJ!`;59c4 zi(iLSdU(z3s=0gufb9}1>V^*j19HVGd}9h%U*g=};TEhL@aVRvbEu3=aWMWWMr9L- zNh>FQi9Z(t`Iw&eHAYHx)iUr>F0kegS@t48=+@w52h~enbGVuu5H}iB3zef;l>vv$ zribQAc1+{kwqO7h#$xf)2G{t`&Fh}nA3X%3lu%IlmljQE;COUh&E%QdEn%EaRe3Q{ z#>?@QNfYfkI9s`E)WF}hS|2(QGRm% zjeN~207a7VZw@c#X6~YbggEmM5M+|CYB!?<<;pZh@dS*lfDaaLLoj4;I{UJ&;DkDx z#Typ@ya}fM1}UQ44P|&!zevM(y4Eevx|VH-zchyz)h~h(76|Axu-r$`8SXEk(7KdM zU}@KT&M~b(!?;2?3#V@urt5SbMjY6=Gz_8d2#d<@_;EQM^dD{cdb3k;zP8_D`;r{h zLRLU1se=HP_LJe@kaY-}c<2-HIQ^HH0-L9(rw9XcT@#7aN|9brr{Stng30^YBk_R* z!Gflo`Jnht?rhzg6fsCk7L>kNSf8msY7Xn1BS;%Bx0_y65uU2^gtf7O(Y~#f%IP-j zS^qtJiOZtVT^p*9jo#(Rbvd&!=7z*~>BYKe>xFmpF*y&cD@z@KG%=uT9G{w2l|P30 z9Ob<0-V0-re$z`nPED>?4g>s4f5AMM>eTwXW=Amu}@tnBiO0F8t^&6 z2r9!pFrQE0Cg-Ke?Gm5NZ;}EE4Zd1;V7*Gd9C%hi)E#e>Sd7gdEBDjcNaP?{@NoBA z5^(7Hd%c`zrz+OCW)9Q}$;c~|Th>F!`E!?LiEan;E!7P}FyN8#W}&raDcStHNUTtwpG3ogQr@F_MGJy84DK1H z{E!VD_n3j~%=SL*kbc_l(2Nz_-T&NeXh8S?w6Dpwzb?c%ELvXIIkdPX?yqp|JB9Q| zZ9kkSsDU;PNr`@O<)z)k>sYb7*i&zUnU;2ScJkHCc^z{JfRJ)prrS%p=RMM29mz6; z9UQX2Oc2}{5ekgyXo%X5@2NNi8v*pU?duWOdTzm((_ZUZ1k@vfh{vT-3@j_u_|1MQ z=UG2HbUs?X9KWJcvin@D<7ZL6!X4u*7NR_L)^&lK{hV8#ZX^<*hESzDR3Pxe2z5TX zd;TSf9V;n3MiTC){;J@%VKLx>taSB2#I6;Z+9y7xod~Js2eJ&8EvVlwvVX9NlnXGx zL}2H!Q|XD0A?a9!0Mus+yLhw*yJ5@Uo^~1Z06~rT1<@%M#N@IfvE|dma3^%9o8hsbHjzyOjpPUUZGSol}uLZwP#F{iJ z9&F~;0byQwU?YLGA|ietz+0;z59P$ArKRPH4gu}%q!sHQR1@+bGbbv`fhgTc`6P9r zPsKPPU3>hNkY<4eED3g1sT*^V(ZtEx_ov}MktWz^UqwpBIb-P>@{fBPOC$+CP; zWLxG}>i504SARa>LL}O+YZ7UIM{9_&K2pt@XJS_K0sgmALjb2{M>vHw%OqVUE(xuE zuzCnmr2<7~8-MI9nFnd4P5heCpM_S_NcntndHzz^#(ICh4d`kDRdL!G1q1U;er5ze z!54@@!oS!(pN}J@G89EZX-0(_v@&y2D8YabhHQKzK}e_9CMoI&IQbMWa-wJ68U2*o ze02a+;~%ze>J0H-Ip9v_9X+8h#IdSq%!{JX#zoXTJrZjz;3DO^%1(**ub-gcs|4)ROgR`mCKWHxtbXnuBg~csD+3Xo)*Z zbd5;&pMyJSV^zN+n~d@Vf4*6Y2zDPrAi5YRNR)P?;@g-6gBn3ILM+U%iNC3Kv1KvT zejXSn*KP!Y(tN)a#?U2L|ALoIT>$ygIu&aP$K!3qeEhb9Tk=!Lh zt9%M-Z)Pkd`CZ_-WSxse&3c^Gm*mrx$c!l~r;w%xz%etI@G<9mLm;E`!-*$k8B!^U zuYQ($)z< zn67^i4gKx)8J$v=8ihwd8LfDT93Ng(GI=^IwjuJt|Z!{CCx|>UsXq8M1a8Q0^CpU{8%Dow= zhj`$nIqc(0_1ld?fpaDqF5&;S{>HH>XYosF2kWKy=00p*XuQq_SX5O#>2>mq_PgO7 zr1GJ!!p3QN-1>q5qx^j`8#qb>*zF>Sn~YfeGWR1&8W2LHy4^EYo+r8f2iK>V8DY6} zl^vuou@N>DpESFHcnsQb0?G?yTj(rRtzVU28Rbi!jSzqsvGqWTMN@Q&&j-Q-2#h*1 z1})WO`(A${;50m3TNsIA47g$n`51~zA(9yk6g`-|sD2GiZskB!h+-4i4CRB&567oe zzXsV{P{pNEchA!!pp zZ1S;i#P*Qrdib6F4?2Rskq*10XNYc-%+QaLOu7$$z2~+8E5VQtrK!|uuvxn~rEA7# zseLj<(cee?jV?R>_-#|1%S)=`hW6!%9sLq$Uj^Y)FW6+l%tlwZY`>&s=PM;y!K z0Pwo+Z8rG2NBYm#LxU<-HPx*xDTi&x*eNs7k|tVQ{7Ng81ex&pme_m~1dif4SwSBC@`Gqg+c^~zm-R=VZ$$=?vrFZd>QEwWeR*9xI*>G59U2!R}p-I=uGHq0`) zwmsd!xsbVLrC{j76Q@l}s(+igr;p7}1lASbA%{O@TSMoFeWK3o|6*f+w?DI}O&WmD zDfD|Zc;UJ$INU7#t5DrDI-Mp#UcRk9<|xE}-S!IUj^s1(g@5WmYBT6)C!%I!@67SB zb?{1ONYc=U4Aq(qSoqlDW)L0f$G-j7;wU|pqMN2tca!Nv3+@W2R61^|P^l3?AiJ?d zB8mi=N%-q-bL+56e`iOsKQ<;RFz zJ0>#>x^^D&{uFr8A2Xc4O174I>7Tf83oP?EaqS_D=o07Boj*KwI*&WpP!UF($NIK- zzj)~4e+pHJ+in3%d(WpNP&1K+a|N8lg|IB?EunP~yh%K!ogCknVth4ra{ZTxeLSYG zdL7Dj!pStLekEx*Xs%}JPKfuu?j!7PoQ|Nq?zY|lr^#9$uIBEb`lg~L7)=gbD_#Pu zL0)3raz_#}t{v|1yX)7R652~NF`6V)gjQ<|fea9k0MR}E8^|p4NjI1LtrWiizx6LC z*Tb+YQ)smb{Q*fq@mE?9@x14>-#8{AE*In#Y7H_35WHk1Nad<8FNI`1nO_r6RiQwG zoyYm?dX=%QzPqS{9juHYe&jsakTJI8e%bz39Z=xDxdF5bF&h?xjc|;w+J?-o0^Uc| z?QeE28n*u@Hwm6`70$hI2d?h*0AS40N1yOv7}ok2VH3PYj)6G z$Gv)gFi5A1v!v_e3}&sn2vd6Kh7y*@znJxi>R*U{_yL|_f>57YA*}pTBSF3C7fbzi z*ky!cEcDaWmoO7l^9o>x*d8E>oKP4^bz{SOGcf*mWDyWm12@3f@otKi84QFD@_lE%$GApG zFG^bgyJD^_lq765siM$=BfNFoLCth9!2-Ilgt%3pVx(W#Lvbw}}}EEs8$Y7sep+K*!D!NywZOKT-wo zBlO=!78m;Q-@PjCYPhk(JDeBMi10ch9#u7W&7?T~iHgy+cC5b!TU=O%ux+TqV1w~= zF|Ih2Cx(kPL4lxIW5)kjx{58iks$a>VmOMS#nh5+VrJIYZ`XJ)ejdMZw^UV`84(Eu zk4v;lL>!Fq$dhLd*@N5K4kr!?;Y$go%S$K7uXsU{zgd43*Q=kE-9ap7NE_~}N1+CQ zw5rqI{E7Lv3@$$%%k>Fp_ZbFdNPbRWNu}spKcaFLu}08~Gp;5zuYWoVdtg=}F^*1n zL`Jf=z_4qtCr9gc#BD;;t5b{y!b+ahFWX2(d`*6YYSEBlou(q$kE}S&$O(PdPzq-B zY=x-gLBlpmh~BPJOeU)oZD3ltt<#<*zjrIL0=NvtQ(RRU7D-;(f6qe5SfN-BG9Lt? zu6i1_ap5O@S;We4YCdzD5!+P!%0j@NrY*3ZBnII_eaO3@wHottf!Xde9(EKrGDc|Y z!r3{}@G)`LCxJy?K=%0k0vFkdA6-}odl}hR$p$`hXC%o3*ujnV#Sh*m1QtQA-Q-~+ zOxi9_qh&S>?DV;7Ay3_xe9wV4vW&4)Mm3uewd9rp;i9fkN>{ohEF0nUj=oUu{Iklt z<*Fmi}}Dr-JuK?lX|VZrF-QOyq8_(TqB<} zbtZ%o_pSe~tk#pJNyqFYxl*XsYnGDH&u!(nK+R*eh(>f6(m1?!NP7cOU2or0W_b; zrrYc0&CuRYh6w=Mg^|De9_7vp>^|%Vhl3Z(ICBb7C(6i5w1^~nUb8Po(@F7Y95tX~ z+C&4bjGFwiZovb206#w{ucdOkpePJEI#;Ac93M&))_~9#+ z`+k_P-CeB{yq+v6APr*Ts#$|etSE?2Njg~{0(pTg_1sd#e;20QlSnEeYcVW!TjXag zZhZWk08S6{zFPu={N6all!m32g0f0wd-gH7vGj8Ld8gkQ^R~~kG2l&3dQW~70mU=1 zfQTbxDSQ7I(oQQ4m-WkHjSF4JCbZ)}Jm`L>05AgO2P(kPPl@%)e=(EFFR2rxJ9L10 ziW1h#(NCS$qTeJ)>!(%@voQ_EM~cGu-j)))B>#(qOi@Jm{(Fb;uSV6q4RISl=1o#V$*(Y%%FQWz%(Ra-Op^Vt<E_DlJ>hkJ^*PS%7r*g)UTJIgHRSy8Z$YFj~mRY_$w@+ zJANa}-xe)xDD=8-Ij&A|sv(hqCNmMJLH(t{%r^k98?j&3VlC$drht9<8A@f`T zQHE6nGB&tHuc2~V26nzaEw13U+5U{hfSk{ssGNR0cPwr;O63D4pDqO2{tC(P!q5K- zTO9895hxpYky6CHB;IegY=_23YTMC>GKaSD*sNxvnTpMm4#2gs=k+{UanMm z@oM!dIo3+a?XJaF@S+4DoIV_omNPn`da)l~yVW+lm^u*cm^Q;flK%{+%ay z@$Y1-HbdFz@vGZFU?}(<%ksd2(%hNBk*`R2H?Mx>Z~Hop*ps5GGF1h=h)q4Ql;)tG zYop)W5+7|Tm#)p6s-*5d45^^{pqof`c>eyi7~VR>%i+%HzJ1c!N(?-GJ?@D5-o7l* zDywVKSD=VyG}8wI133sRH}U$;Huj+({wl{gtHh*ll2UmL?|R-ybSlhN7Ct6%iv0c{ zS7s6qCjQlE%f$n74&JU_>&tC}nE@2K?)``0YneT#O;v$_4;Oz@r`K!2oE zfG=6!=8jEzO16tL#Zy1X1}ya~5eEGr3LM7r0*A!jLcRKd`o-CalQ!4j{(0gQe)Vw* z^8ntv%dmDJPGQ(x7u-WZ#yWcAyfHXpkHl?#F@JgvbVbgD1J=&!9nws=$QMyGcJSe% zC+9LgGwcf~J`LueGGfh{V<#p?%BG80KpEw2j#G=KQxp*NuJCt|@ddU+9uP`T@``Tj z=FEee`1d|%Fa;J4oWS?&1kh9cR;=Pp;kF!~OL3HZzx~MJE&6%ljGU7hb9`A|h?h3b z9Zd%`QeR=(1m2$~pneqP2kvJCT>mb1#A#E%;EJb9OvA>%Y$0_}`)&;03vA-amlHk%Z5t?;wk)ji zxtPNLS_JA?x6E3<&+F?r;m!wUVzy~Mc)g^YQ1j*_Q-;W!D?GY6KX5vaDGz`KxcP=A zAP39vblOV}DLEd~(Gw5Cy?NG+K%ZMvBr_ANC1S1Uc{QAUK7|gYw_^i6#~d&y zrGGzZwuR4}h_v;J2VF*BCPb4593al;50sas!ND>OJbtb2`uP0GWWxHF2G|Q-MTo!E zk3+?|QC*M}?jfhsKv(5uKV(bJkwuZ@O+8Y2MRhsD-;7Xr+V+Ha+$bOb*JaRx4`lVa z3ae7$4(p0wq(I9*$p}?$&dnoxUtZ=d!QJt!J1k{sPEIA^Z?^nR&=XaoBFHn6A7 zt9WqV_5@D?vf&ju-EPsh#qM!eIny|%P8_A{F?Gs!`PU-y2qIbD$a9lXga0>uzw(*~b59c3d@?%bwTe3I^>A4uBn|w?q>Z_@H z<`ynqr%vm#xSkQQOF3SQ#lu6eg?C-4In8ntl%f9kn3c9u3KErKiUUtwL!W|55Jv{iHRFf-7}+x-!q&o{ z!vU($4;Qc-zSYYfEBxP3y$dbvAoV%_E1Od6FplFA2dQ3BRT(Yd;z{n~x1_9Ilm4Q< z*CkVyYLXcEI%c&NI8QQZ#&L628tRmMD7N>7|Jh~!&gD&%0#%jmL~KAWP<-2CFo_Vd@Y=T70F4*7Qvg&&&- z^7?vY>Uk0t?H`gpcMSAcwYT%peG3O;>2ysyb0}DvD+w z1nl;0i4e?dloM4zRLHLhs#%pRA(Y~M{|<1lLcV}cpSl(1V8ST4i(od1{rWJ2*A!p0r)zH+>tNHTc_%!tFy~`D3(U#mUQs_84{S)PPysJ4CyMym zu%@&7N~iXfG8Pj`_3wUSs%4M{VnH-GgFVxE_Ah2eE_scer~WowFqbK+Hu3m{rnRN{ zcOO9?Df^e8Kt6hc;bp-Pv=Cq;@mTRgg2|xbD#w@c0i#hc%+T1&*lZGc^XC(aF(nTl23MOhn0h%*_vg@ z0hM?j|9O%VvV9$pGF4<&_7)RVCbVP!f`aVA#}VU!oPxI^Ce^Euaa=GKTRf@a7gd5E zl=;Ev-_J%1>)}~s?6~14fFD&)Jc~C;N-dcdh~_1P5arGU;8C5x%%zVl`6-OsIS_pAin(U|emnXY<2pJxp#_GtO(at@_F(9&&|c&+S7t zvfLNq6OGWUb&Oz1=kM>t8$ZHhho?QS=>6*L_Tag+tD(fH>``QihyB8hv7z-)dP4uh zZe+lS-|2YDDL$o=PAt6tgq7{W5iI^WFOmOd`K**-9xjWP&lGe4bmdFa zyg;8*l7)NN;d*GyF<&6XPJi~h-jpm>O!be)%h^6V?G$F&poL$W*6A+!BOW-ad9R2S zapL*K+pxNvTP)cqe;T&;X^IJrG&tb^uPFYd#qb>>%9lnT3Vy=XfQ z?ULw1q+-z5C7xi+j%dv9>Gt!dMiKn)Q{DK=#9^x_o1YGUtndi=}l7Y0aFm zR$r4rHk+^y)_(wVc+0k3Ae1Tn2?@CvjDB(#;iv4KpWXq8EGDf9gkgSES1ZE0`kp-obrE@t5uCH0>Bb&|n z8V^Ch!hHTBbxOLpG4dOCy~pEXE>Bg(-RV{orVH%+c&}*(ioYA?xT5Dbow*Ug7_Zwv zhZIGjRFPjDO4a66hd#=gA>IJSD8UMY>o>2`;M@~|wqBi6z!OX2Z~+pn_m`1}Xqs2n zdW#c1V9UT|m2e)UEve-U#oENm#?1TzK!gM_KeS(biY=5sn~NiqjQ{yAVJ@FhbGbp; zFUra16;jiODE->_7D)}9jd;r9E+bf-JuRuq+D&h}y;))?=OaofdNIq&a_DP+RC2g| zvkPA9D}{m_5&Tn$`g;kXfl$jxsV>a=QE=E3Ww)z;AZ@g!rj}SscVD1uaH&TLm$)3? z{b3ph*jSVlS?@m|Az!_lVFIrGyqag{1m#I!57eY&U+{6sj_U$E=ea66%mf_{vqa?BUz_&hM zO}%T>_4GH#&r{Cza$tRB-8Zlep8=*9$SB*DAWr?^K3UJe8T7R3-&C>1+ST>z?-{;~ zeqJD9#K;i)S$EiFg$Zggy^KRCW^ib;T{=Kj-gi*RPWnf!8@B-B$6-UtG?Z7_^Azym2FbD{l?8_C$kFz}} z;_9%iwj|mLJ&Q{M3M_-YeBkwoi}7DA2UyTJ^)1VBX*Ir?yj}(=eVp@4A<=KU0p!sb7KOvq;!k>>vQIkIB-P@z1LcCiQ@{`AL*eraI(SCZ z^O4Au90A0Tn;x9-EP+&(Su^zFU3(?fVjx2b-}IX zMTO}3SNcS^laKb=_(X9g z^J88@e`{C14~#Mcm5I$u(M&(_R1)VA*E+`67dhb(?b{(Na`1Zh#7g7pEp*zUeH71} z($df_q-P~W&}!CL5{g5X!qH!HI>dWPqkj(qAJ&rrajbkvhN%a}q*z%VAW}TPn`9~@)Ao(lwAcJ1LL-1rjD%c+=GlF0kKCo(*8|L;! zjUk&71X{W@g>nUfa!2IhAOSrvQi+~ayMeSbqRRUPiqx!f~Ps1+M|bI1~$YJ zH!b*dA#Q2VpMiGUhI&e>g~ydQH5=dWs24BWZY*&8XRjYVRBAK|B%{21i zl_fSS5qrDHbjcRb_B8nBu7mzO%OdTZgyt;xV)iVJc~1n!dehzCA~vSL_F#+GsI;Miw~xWk-#C+V`goOFdC#O2e|xKnV;RmHeh7- zKI}Exv~H}kD5&|xvp--?T+n)+bW`4}=OsQ!gCdq4e^4NcvoBqK|H^XPqwf}j2+%u6 ze*nHJ6ZO7cte7b3#~DH7D8>_LyaSlrd739%mJ1~@JV~Xw3?4iVQX>s;TYb2Aduk2zrEjX&{B=x%WWS*p&14rc{)rF=9os1WffB7Q z2Tvc!O#HN5d7n877$aU&^k6|P+={}>Ase7qtdXrLN$<9yB08-Zq-&D-6bz7y>=s>f z1=S5;hYjP>@B_2vl6Dh!2U7>xz=XvXun*M`!2Ak4H4|>7SmD(CN&4B4Z(kf0-N!-rvYToksp>(td z6O!03IJ@LuKU$!rH-rYL(BY8SeWflm0n;f|zd}rd(P&`Vabr5g5(_I4)&8VW)#uzA zGw@e>l(-9dTqyQaMMW-LeqbS}QplKiUBo!Szv3%Um_WA(h5Vd9CP_)vM~aT9D)gM# zrN!%*T4ccP04qS$ zzkC>RS$))8RTHO3U=b7S$UUu}nAqlY)@CJwWqiuDq0Ij_`#I})K#YhBx^-7P3y{W`lKD3`en|(2NAPo78OlSt_J>pr{Sr6Y1U?Kw!a+Ll zPf2t5m*I7MtW;7SweGI*Uqws(PkAYDo+9ddN+s|K$35jp5aVmhV01WT%@4-{oymh$)f?kHx}8`2 z&Y>O_AceyhBz|b$z5P>mxkX4l;fJ5bA!w%aA9)I;I2aTD_+Ucye4pn%72TaOX#M$} z<+~nr=IOwNScX_050`_JFvl1w$cC#}7kzV_mv8A0ZJpPjZtx28BG2R&_O#b}6WB3h z8o`wz&|&4MMgEO7wWjs6KpV_7O?c;2rEf_jV}KjdaTL71(3TzhL(Q_*L>^&9hEVDi z@coL?NCBBsw|zO^k9al*NR1}i*IKZ@dMtVLBjTk_n^qkq{Totatm{WO7OH|GEL)B; zRW^`m-7JO115+uj+in1W`Vc*}mj7V`q4rhfA7zgSGzdk-l<)C}mG_3gLBp3X10F1z3 zD=~rrm9eZJR+`tBtqjS35QCs^q4-vJVc2F?O}`myOq=5tmzWPAT6Ib7sqczxLI z0g9p1^qO{Y(b=BJDFH(FFd-CPeYy=Bym$Q1Nex?@R_^wtGs*ev_z1a2_X4l8fANQ~ zDi4+XY4r<(~0%hA(|1DdxZBA{@+j&rP!wCul1oOrC<^8td!VFM9a{G$cn|fzAiNW?4U*h?z!F7$hs# zJT&?KknLVK)2obJg{7BmQj_E7i|P-DGe;-Dcx^mV)($$4NchP42Y!ARJ}hJ_SuF17 z-+t8IQUT_r5}ew)-?~4~f<9geQ|+M|a4$M0bN1;o#lOhLzA;*4buqIr8pC46=8xz$o-}-(tXOJ5ej+I|RWs~0|4~@69LuCHbw-YPf(9$F z&>niE~_%a1WpG%SMG3DWHRDvt1!l z{d>2SFwY}BI-*2SF1`?W14brV4ExH^Lc=Z9~ zuhLAB&>AN$g2lsFm800<`292QqYDC32MPjSsM5r-yqkLWi0&rrZ^Vopf6=6W0oTAd zm|5W8+)utYL^V$SL5n~e_1_{2IO2AHQ$eQgcesvRVQpc%Ld)jTvszCFOEBtS34R+9 zFPAEbIqf0Bj!+iz-_o8xBXxacPZq#rG2V9Vdv-PMXlyKc$r^8g z`+x7&m3zZK>&yE`#$tNI4f(h^(97!Vz5gALzFHGK+FlHVqG@MAOi*fw9^@*obfHpK z|A2(W3{H@sVo`n~9o02;^fa@f(n1VPOfS*&q*Cs7jXAmy#J}pKdAbglsEzB;S5h6Q z1-PKBw()JsL@`t6IKC?tR2xt);5(T9*(#RA@Jk$*SN@T#Wc7Vwi=17#Y8~_#o6$al zNM)`ExHd;;^4OBM5O)gYTlxzyC-C?yQeCu+(>kG%i%yDh`@=ckfEcy5j0YKNK%;EX z5>}rEwBesUE$hi)BwCoUJXK$hq6XTwXdiT;t{=m+j=u?h;kP8%R|p-r&oGRy+_0;E zw&wl(m;D&I#oW^iF?;10XyflOKEOxu*F*QBC)RNmur9$_4nE(nH%OGx@q-^v2XMHF z6xF8bEprA^1~^k)@~im@7|+3Fr}H6hs$gS2rW^Kmj71Skb zGjuyWmgBnjba%`E7Mp`55ut5;YA=PKWm1b`{~A<8ry$Iq_c}_@HYMxuf~H~i{jp4e z)zd)@JUOB}1bDE3fGh8Lq&+_R0yDUR= z_;D~GF{_45%%AU-`)fWO1%=`^w}E__JCNu24rmS6f2kh3847eT#*Oq*k&2{=CNrPi zI)f_b9E|N|nbc7+BK0fj`MJtcda0&(YVim&g$CdHmkGxDP$|h}k0Ydu|G9a2I$hm+ zJ~N@YOal}obs5z6`)0aZbp>;(uN41izl&vOz=lC5w`QeoziE2NpxH`<%>Y8gKlW#qkQ&u z78YeAr=%r~5SH;>IlA}Saz9J8hU|aqhmJVd+DH<<42x25p@@$UIr)jI(>Uz*%5rjqW;Aw99OnA8g-&Y7G81gAy8bv9pek5W8!pQdsG7nN`5mX-5&8ow{t zv+$(9K(KJ?J5#q~ufw5q zvW-?tuPTupi8ukyL*05oE-6^E=~P7)TuYx+01 zL&zGrB47oPwtXzurEah8k&Fb?jZCg$qp5tm0rc4N-(>+1RxZEgSu}FU>b-2YwNr{S zyR;s{PqT8VNqfFKheY_oN4d?J>S-m&6jb{r0*QF|E?Svu<;IMLp0K4sojhWa`L?moV#MxR=7jZ>pI5H4=#ckF@mpLG=^_00*I zW%ljsIv6K^u;p(;7nLewHhx4SH-B^wa4_iFi4}#zAKd>1CzuMuA%BkQQ;?6XCBsmU zHL8El%`N6FbN?#8*}T?{R9w&9vgg=)De*^nqnpI+w9jNH&-{I|Kie;4Qg+R5l+pdd zSSp;h{R4;3`{U9t86c^}XXXAv`Z~077QPoJ9lkb_HTaHQmJcI`W+^X(A0k3h8)x*= zdK7qPYi`5hu~*(zf|&GSiO&xW6PO>{L76WmGhQ}5ke_|xhNt`TQ=;ctD$Vf;F?2J3 zgL8WDV`V2N*`Mr??N?pU_-#0ZIL5meozd0I9ZDzYG=u!F=uV|GB(nRGhlVpBQt*IN zUUMICX$U(!R1V0lG6Q~N;Yaevq(T}S8{nC+{jvW!s5R7(ZNbE@c;LQ(N}wi9r0Upz zBcDT|I?t7;Kb-%ULSSf%lU;d?8L6rc0>3sYeJ!YZMo}HAY5%)25dH`!inQMoUd}D0 zJ_wJfY?HAxeb6GNLtPy8Wt`f?Oi2D5-3We>Pv{N+rkyOS ziJfNnt=nTH%>`CbP%FrWbxW^p{XH&Ef#k9DwiBAfMZzh^FhmKTt`QjJ<(Q+3R*c96 zbRWS$?`9)2ZYy!JId6FQ7!)eE*AD{f$b$Y+)DpmWlJpDOjhuOE-(p$$3Q#)TtO7FL*Q`Nu2Q|$04-YiUIW|x*2jPtZg`Yu z{dr?G7rz27s;j($*Gj!?pz_xP^#^W1oy8Y8Uc%~_Fq-OL?3a5ppPd!G{McAi;yLCE z1YKD~6QcNj?XaTQEHuSRT|y;A3GA;qVI{iNwt$~TQ<4q&zwz@l|AGe!TyOT*)NI&b z<(Dye77dr58M3Vp>*iLjCA;~)WuSt#KENd+Cz|Vz9MK~@v5`7zLDq)hr>D*?eW^Pi zM`~>T2J<`yx{~={^Fq-&YV+>G}>AJU09IX}}BQG(rMF{w5mLU&t|C)ejE&@`R6hi}F*Yd%(Tzur{h4s9 zGM33JVtkJlaL*?Dz(qjHC=1KmqMT{hi(kdc^f7t~YoOq*?!n@d-;P;9fk)JSfADks zT-U=yZm=}ocoJ(Aspas%M>$a`O-5xEr7d~{2=4=+^m&+bN=|*D%DW8zngvZPUtEA>L?_|+a=*KxsEN`o zyd^K!^J+fdnV+SgpiCW{R>}#hg2v%oaW+*YSUbIyM_nw^k(FrNGS6BT8C7&rr<_s5 zw4V9XN}|?SevG%Qn-Q*?`orqg8@fd8LerL1toOuJXmv7oH7_#oSsGmqwVdGt?Bb$2>BSaptN}c|e%{|YTTuhP2r^tf5 z=JaHUIpak-_(pg8m1uvnB|Es#1IZCFvzos!@Xvv9QX&WRULHC7a zZ=k;E^O5KU=tRN$<~Bk@!5I72v2nL9XhoYjhDVNngVny$egx_CBj?BlC4NlYv>?eE z#nJ6Jf8#kDB*bRtC!LeTDoZ?aH z^cQ}KOjl5qaDvRmo;#nbg=eF}*JKWjL8_z$cg2W8cp6bu;>P@=NXtp;IQo%wSFVkP z@YQZ#dzoPct4a@7?uolqY6{K%CX@W&|DmhXRTMX&>igkRU#Yh5XWF%J8CGh7&vATX z4EyG@b$8#MY|9n=$L=gq15PTcZYP>+yB~jbj7xkRdde$S|5+Yg7_#4k$KwFEc*yEI z#xk)pA$^DPQV3AW4lzggD=|IJ??i-h@mxpUE38Dm%IO@=??L`fTK}{F27b2%96IkH z#P^7EU`2+3fYCgxp*b;oaRjky6oh91mTT$H`vQSa(`1^^`~XDoTR*CWO*GNxOT@NL z&GH4RdhZF!g3*_)_=;v~ZFd8`kT6A3BSzQe2|Xa0NWn0D06DHOb^!F~=z>Y)58IQ! zkHYcOs^Gv=@@3Glg8WZrY+~G>pw4;`Ql6f3z3>L4GOT^(><}2#6wp;3WN_ zTomR0e83CFX0w06I4^_9)zh%_;CBA@5{P&UifSOKK#Gkg;(%^?KUe;-=th4sGuc$@ zg#Omd)bRCMczOESDbY~I-<5Wr=R72)Ac&bu?k>rIQ2m_GkReSk~4;C4^9TP4J;YZLYrvXVFL$U zh5nw3^2`5;iSgeqi*fc=vo;3iMm|!(sDYw69;g7B2I^RwIda@^u>rr9s`?bAB`Ee%Oji- zd2@%r93^=^i;RWJ2x6GSp?_e)yjFPmP7!52VtXjn@U9V%BKpG>GeLb0q+dX@$Tb#l zshN+-09@d$z<(h*?}7yv#e@bcW^s7F&O^^Vye7i5IQ{kLOYD!Va5Ce+0LKJSz0sTh zWexp$YCokFQz>YyBVP||rK>`JM~C|Kpp2-0o43{qmO*JeNiGD`O{@mk^XvV% zUj0|RCmkpiePXxz{K*r*7)+Tk+@mfS_iJHZZ__Ok*1PBbq7nu2f9NpMrS`}zu{{*@ zK2N2uo`j}ct;_)4vU}G2Y^(Q#aq*lVr5&{Ft%mIv8rhR5fLh;YH11%sNWyF?i+$xu zevn{f2;K}Je96EpxH3Ue`TR{7cXoDzlI-XXV-(s z7%>nTDQiWc;tQ~P#cpxf7KqCb`CT*Ik(2q*ww1$o=|##!B#ML@aI`y?O6pICt3i2_ z=1l_8@bp65fR;QtMk%H`!?bP28YhS3uAvk0uh614nsxZOZ#KZ% zhQfItCL74Is^lDDoztM#IE|z?kp@0F_x=Nq%W2K`6Gs8)uz-V&wf-fULqh}wF5E3C7p>1NSWeOhnCQ z(*5mK(}Ubrrf%W^U*re4A-?AuP6H5J1`w(+oB^sS3ePV={mye3>MM$r8&2^5JRmV& z*a*|;q3p3l?1rn2lgKJ%JHkOM;Gkp%`@w)urY_oH^kTY)FBBjV4?eE*)xOv0-dloyr{OtYx{3oCECzxQ ze>d~i>W@1AeqO$&(>qCpT#414TQJX=kK?bD98}?#15OEC^E9qoW(vg+ZEihTbL!nY zgK9p}b-=<^Q_*XD(|=po=$xiJuzA@9xt)-r^U5J_+>wiUQC& zD+!SV3tf+@ezYno0%8~~Y-u4fTZ^9A&B=}q&~Q-%QvyK(q%pToyvsynO797e6% zJmlE1^__2R1AG8nxCA;y19qbs>o*30wA++76J3=cer>E`q0sTwZ@)^0)#-3mHmBj{ zj3;B(z-xWtTka9u6uWWH=Crue6emR;{xzKs5n^$W4Ry{p+3ey)1-ZjVEW@w{n&V%{ z75AEpMouX30hn&qD3mt99uQ%FMbQzSoEOWRNY{cDDcEasZO2dAx1>A;h^*Zz>du_u zC2J}RhviM1GkHD@6`qwTfOsdN!;)rz%&BG1yCOLNrHRN-4vN1LOj|`vp5ac*O{#5S zQ!Vf}md zmIhZO^X94;bEFHmUq))V=Ik)R@M3HOH$qKZr#_SIVnoRoVsyZ z0ng_C~B7D_OZi@-bu19~|Q)HP8esLdk)(?(&7ROi;`Gn%TR7{UAX5#*jM z#c{Fj-QgC~;igBmqqGCy|pEyk2Vt4y(j1Dk^bekCgeigxA<&X5tK-qy8z z0U9_9JN}aQlo)vzI`;gaJob+hZ{+vPC{WK0Ea5|-({84J)kB4OQVs>wU=xpT zUfk8+A{|nN3=mm`i<$fzC41aDpBIDG#;}g>+M)6TC4HAK2z~$-huVLzr$RI^2oO9N zgvw}=9`yD^JHuDJ><7r-U)k~l@NBUP>fiiO1)`Wi*B(9Aj`qN55f z47%*WyAjSqZPZiks1=Na<-lrMgd(($i}mNmjY{s%4bORwed>38VM`w7fq{tBTc zZ7kusjnZn<#-R{hqH(xYhN!DiMc9z(kC8xsGVnsdld`m_^lU8Iw$`{+ld`0j;;Htx z8fR$uC*LHrH!m$2UJV8}8q{!4o7jcmYn!3~xG%-O3p%EzHdePJp@sK+e14>zQ@HqR`~=as$r&jz zTB3d4d~uT94gxoDWC_)FJt}EfiOvGWot!7kaFJgRI-R7P&N^}FFAd{@i?2(&0Ck>!$r0DnVGcX6b#a|E4^+00~h zf2nY`ei*`;`R$t>#;?7ohtjie63Eg_@L8GAe?#W~#_SwaF5vF>A$|)68bAg3D--JW z(gB)mo={5uY7HJK^dNmRy_ul4LVcuRd%>S8eUaFwNUMWCP+V3=&?AZ#- zrAF$5o#;vc$PFrFQwj+F0~hpAMZYdBl8D4vx z9T-vIJ=mYYe0l2G0sh{uo{XgUrBVZtT7KMt?9b(b_k9g{C*e2y9wTU;6ebuojsd&lFGjU*Z=?Xx7KSb{wrG+$Q@9{Lpqe|>EaWI z5-R7xxnx_6*I%m1-5{5()qK$WrDe=wxh1F=Z^ZTU+U0*+-WWaoIsW)lM-f?u!^+pO zB8vEB{Uyq!oan9xhyIX7K2bR>!v@+r%yhvoUh7pcq_%AlCIOpyh_B5OcFgz;>{j&# zc3kb%h=0g8o@Q%|k`%KFEMXDt&q&ncBs^F(mOD2e{Y=q1Y>$1C7B`pC-Ei<+T!L~w zXEl6YGuIy*cJ;nf!>YfS7RcG*+X7+*smTz3z^O>Vi3Gpmycp)Ou)f?3V7Xo*oWDXI zani{1adtgY(v)*qGrFq$tjsj7aY@$vEm^k2VmYYGO- zU*&mjB?w1Y0c9$2);L?2lVVHT5|Q5=laX=f?qUJAZYai|`2mJSj*h<(9A3j`n0ws; zxWiv#lJ+AZEedT;rB+cC!73Hw+e*Dg;OnY3T3~uU{8;9M)C1K#|%M~wlzPz^OOX` zpO5idYqEo<(~&TNo)Ru3GplEBn*Z)81JocOjOuk*k^@K|OGmD!%7fJv(>h~12bj2T z*2arN+%meC5%gONQOqxhYETKd@6-QMH?Ub;GsxVJru3XcB4aGv)ZZ%_h#yF=`{C<( z*d^ol!#(uFM@~CcNi>EcHD)LE5}%6AKwLyil5x*uV>(!1y>3?5iZ2HcOIa=xQU)d^ z2W$B|!fim`v)@g5SJT@A2$Lu<5u%&W5rm{VY6;1>gb)^M#zP#2r%eQLIIK?-^jZSP z$YYqVqdJfmdMenUXZ1ge1zy*Zb$`$Bk^3rym;lMh@1x6AL|Q-*4Kx&C+LF{&+Uj5{ zwTa5pb86vA)WAMCpM0_e-`6t`pkq(Ih!BJaR?hy_U6G&3n8V5BA0jm#zk?fiq`^S! z=3|Q`N!SLSJJU)Ox={|qE}@1nq~=ImT>kvkga z{_wH-+#Kt2z04Y&6XUt8HVg3f8!^V05GLvg>J&w9XM2Xh=j62GlMl;U-#%82G>#^l z(t^?^=M*0bFCP|R%glOIO{r<^T%8X*UpIlkGFT#3W*KAnSLA!ccuXPGES=CX|NJda zQeY}lx){1`+F;ItLA^%M`_5F37u2DxMbe-Y?`a@G?6V-Yb7!On!%2AD@ z7eL_Zjn~*QH{Hqa@$5rhNWbuS;Gc`d?-_Mf7vb4fr$5{LZ%6D z{5LRp?`CWy&)S{7RFQXWGRH8Xn0WF>a77wSymqTkxx-ZLRO>2W?S`EYa;3t>Ug)f8 zByoD`U$#Z^RDim7{!z2PLzpxj4)nwQq)r zbQZ*y**5a_&25UGs&mnY<<;O}o~h}q5^bL_a{wUuvEC8mJEI6{lBNZH`Pvv^K!RoH z(L=4}F}(ym3fM!ZjM`C=suo@-RZMq<7U$dHPjNckJncY1e2{^UO*u~kWIzhOCmPz?Rk8b)TsBxtPv)R($ zqB21Kgy)BQOgAHOyI4U|qu*C6FyJLD%|jvmtM`O7Z-MM*ArjE~Nbln3NXGcw&gAs* zMW=@I24PPB`^_DYU_hh13Cv+0j7!?M?Qf>&OUFuBEYw0c;J=ZbHO`j`6cmCO8WjpR zTS`TbgcB$iT*k)y;Ab8mE-k4w`jqJN5`*Iv-+1E2js{r6fncmpE@C;`bt? zdl7zgad$54!ON0NWE~Mfp?d@=cRMDeKtD~W<@9f0CV&<=l4+(1|L*3~=1w1sbXZLb z6cFxpI`DVLehMI=eDS20cI;qm3sb7C9)6Vd%Ry0nKC6Fy8BAjpHUp{b0Kck++$H^i zzvCzKYjni1^aLx#82a@lCii(wT=FAn>g)Zzhg#RcTF4Q?KX;m_!Q{|*M?Vzq+4bY| z;s<)t^4g)q9z~YdDOtGiKDt3hlJ7=8)a%spZAx+wROWZk1M%-Q+NB@+wY zSCcPKNEtaXsw#W>#2}G*da+i)^q|x4RS6K8afe_|UcXsjsF>lWJeA^KX&QLlNil07 zEHH`n5P#aeVuImrOVmsak~=&uI=?-{UV@FhbY=9I`4DV1x)JK3hdlkUdYD6JAMZ}t zh~YV|3TlV;SEXdS(jU(9LB*(Gb9cZQLeW=viWtj7on2bbN*RwQCsJJ-W5y*zxLb^t zYsCVc@Z}fR-*?$R%l#|V*8dhnwO}d+H!x}2@sb1sVAS|QzibKqS34ps!SP?j2_~U+ z&hRX$sqM%!c%>Am&>zcMXAeJ09G52cJ6wIOlI;ae8bZA1Q-J$Zj>b63w7O>#F%x>pucfAqOD7!V4ZyRuv(y5tTLga)3^m#`yhKS;;dJ=Uf{c@b4F8Gw_lYF(Cgj zUxv=xg0}MJ_>1QNIJwZ}eqh63iTHv9E#pflx@4OhAgewr45*N&Ivem36X~6tcvQ-p6+W z5x7_I24L+Ed>Gc^oTM#Pp9XBVW=zJ$`cHL`aI0VAPp)4|1+*%-bQ$=$*59=qQkDgE zCjPvy?5{boDa{L_?b8`PX!-34@P4?bdQbe+Y728zBnX5`I`(#@1FivdH6?=?|2*u! zYdlHS*L08vKJYI*SpcFB@d+ZcDDA?N1_CA6>;CN_<9cx8lm3*sFmAu}dE9YobPWyu zcKK-FN9}%w2-sNx=vals4ZEgh;_D1r!^%}bFSZx>rp-dZ};C6-W`*0 z`}Pe-y)(K;7c$WH>TifpRVa4-YJi{4l502<|+rTY#>${&a-+5pcFiC8~D(6sx4+{w!!T z=!&_Tw{3weG}vrygF@LcLJdHshcs_WJjp%u@rJk3YNMCR?xX^DTg8%ab!LvqGAuP< zBN&2os&_a@kS1_7<`atN+^AI&vh*sh`K}x=8bDo9nd)_|9>H1U{rr+*KR8Z1S&joQ zyCHYvKvy9-mELq|fEz`^>K=6aqB?Fak8ekDnK;Og)@v{mF6b!{7L!E$zd%=PV{9Dt zbSGH_|0jxK)f=I)BKGbo|J3j0TJ6>874~8f1t+K06s;n1sP0CPv-rJVUMM0oFIa8q z2T~cq!{px-%`A$1`gtv|4vLSM)lNME_>{=he(x^w)ch3~a;MZ=d->D0X|s%F)%C<4 zh~J#>(aY;FIcQWlj%W4LpQ0fXNjO@Y5q$j8s$#xqDY!cinxoT>im9n0`VRiKY+dE+ zawlJQEh?0;7CM=JJ`iti;r@nN;i66Zmd>iA{{26CVc95vj z){gd-+}ne_Y{DH~Uwcq>{(iD>#Hz}i9F#cueT04296?)^Lp5wnuNQ+MPDo!^>MK+0 zM08E%-Fv^+-uFTh7I+=6;&B(^us!h`y5Vz(mCz8QclXj^OQ17u|z~W|a+hH64p)P{LWFsx^YA zWIw=X1TtxT@4rq~Z=zzeBQGu*XgTzb0G={*v+Gz%>HQzAXevLp{6xZ#p?hIwJA6g% zF94g+b={o?=`8+2Z`N${eFtJrmImxW3RSuHlzj7eK@1}Kj8+B&0VfwH{zV@04`O{Z zTun&okyrE6;)U_$r91oOAsIR^MT3gYaN8w;evN>p0FvT3a1+B4M3J7CE5zQ2TA*++ zQ?3pHFi>PEu0xBd$N>Sw+pB5rZNhqS#QTVg-o?Prq&T+t(Y3nTBFwv~kV#%hm=;&gG z*=uE6nj#32P?61WsELk^$C8J5DZw-)=8oWOspLe_0ZmjSox+K6!228(y3%AY`!61O zNKLKQ@NwYtiGi2u6DHg_H5H2Q}UmDRpa<#a&*e|oBqzhu3 z0p>5T{VEI%Wb0w>{J`GX#L|`(40c&ei6V4X1W26y4T5}-_`i{XO*}S4admmoc#qDl zc?u9|KH7J^JVUHt{!gKY!G!bRA({5+!J=-#_{$V>MV9={fZ1BE3dg&EW&eI9Y3(KO z7FF}znq-KP(oGNK_ic=lLF*+vK!+S-eFF_4$qiMOG1mnWwysZO+v4XJ(%^bQD&>;ZsP2P+l_{qA^Ut3Dv0MdS%c z?jA=2kOEwTzB8vAQaAxQGv-I>mMfc~-CXvrB)_piNv{u+Bcb#CU+q^z{A$>qjx2TU17)qR_BBrAkI{;~nZdVKYx z5_FR)u|ouT-nql1Tk+3(l_BqMpDR&Y1;H~}4sDx=_RnUV49Vdn;eWjaz5Wfffqp@n zG(IzUNNG%z7mSrJgx<`WXHdorQ6>*EwJ59QFFGCCE4Sh>uk;BdOXAO0L5W4ql7Wye zO4a@J{Q16D2D&~XI+UH)m%mknRILuMbPn|A3jJX?X$z(mFnq~Bov%{5L6T}Z)f>O& zzER9wL-KUsrQ@P>OB}Mdv_;w&;J^H^8#3798s2-Jvw>R&AL*#*@W7^;K46&0d(vyR zPg~c8x0mCz_XQ|rxA-&hZEYnbj1D`v`XT|`Lf=oqADl8k7ztUgMRj=&(2^F)bUnj? z{wDGwsG<5;uR+Q>pmMYvM8je!q8Wmf#cDa1xISWB?~EW~M^2cQsah zUC#==2PA$Y#|_zJHeJnLb|NDu3i?}e_Qf|MsEGxEpFMa9n*J;1ZS*)i5NEBgJgsUN zBKOwq=32d2vFOXI;%W5g)TVb#NR@cDGoqM*PBqpSZw}tb z`}jSBJK{J<4oJerXSQI~Wl&uq9k*UCG(|^Gg>wx13--iAN4?6MT7D6{jQPbULK+!| ziyn6x3h>}Jc*9xyGnVjBRK8R!>z3=0%)E`-hlGom2ZUZL21e-*{M2Y82PUgj$YTxH zBM$3Cq*ruGX}W##*9&%qq~NRNWB=y4m~JB9gGWx0*wRff)M`X*tF{1~HWLzh`{4DblpS;P%g1D)V9O0J{PSH40OhyGA({Lj~7O+bG zfwRqM4X7+GSH*8wwrYtH;)ZLB*PuDD#tcbXrdE7{Rw-tfj}uSl=p-UEaIawd^1>%$ z&->vnx_HzKZyD1kCn3*dJSS5!HX09J?bHCqNdAy{V!Nc;?XbB6*US{mOqp3i@!b#H z7mTF(7dUQV{d#+ZhRCHp8bsq?`M`Pw>oX>&6*2Q+Wmk2|9zniI{FPeVs*$JJP^&se z`ZpKj{TquBLC`TK&6tv}UrrtbvOb_73peB5?ia9i1k+L;cG(Lb;C>BViVPEfUQmMh`N?!k&cYB)BNGX29>VdotH5jhB%dpEcrh(4pR`?eC-ZRa|NFm#Na z@#M(*6-f)z7*H3>v?@Vh09gIF8=hkAYEfnEqMuVX{M8GY9UZl|hP$|@W~dOjfPwfs zBmbz%ym^yc`2_m7f^^jpmlC<+f)#EIp90jt?5$7l!3HCL@(02n07Wk}@}Ad5##Lo* zgZnA-*vZA3Y*fqRFbO6S=8K#;tt!fJ)4nk{GD2j~f#d+E3;}}w^z%hRr_yUI!TyR# zho9rcV3fM$D2ZKC3qa@ILk--AhoR_Oe+^aS({2`H@%vRYRGO;!A!Ww69$r3X;!Z<0(yEm9x~rZ|jd(>3 zKnZZa4cm0_SDt1)L(?iDckWP(n+P8Ds|Hh_cNz}dt+i2PZ=Zd&{*_T$;P;iRlbk$f z7hTq*+1h*-vyr$h+gqc?%R4RW(DbQ3UCJ=a?G)GV=F(> zlaJO5lAs;t_9_ln;~XdC%x{w_Yqi`9hkiyzZdBqi4wtC`G)@KZl^Pr?Jn0rXUmU>M zG=34q5mrPaYj@3-j_!<-mm=py>*9?Wpop}`JSFIbad{~A9{XKfmBNJI67ADh*o6Z< zgq-uz{e&0cu@7qpDkj#Rgk34o^s-I4`l5>7uV*F^zy(;Ct^m>&Fvb#}#RnO4DV~t~ z0nvbiJ-@}BgF<48{z4`|u8FT*3 z!+d>k1%K9+6FbTk%pOy=fzHgO>Tc!ZJGVAi+xs{o7~NX$gFl#!?CalZw|+F!g#OI; zZ?}`qf!N1E{8mK9-e6zpC&6_WB#q`33OVPIdxmypq%TDf9=SLCO4O(ebV2T-k8(@X z_z1wm5T6Er1(2UfuFT+XtCd~XSJ3{<<%#0D1~)~LHw}~V^40#PD{}$dRD3BNQl8WJ zC;w2aVl_mwamu_9XwrlHQ8wb2-WL0KBWx`OeZXQRY3WLPI|{MX=b#b!@;C7A=Htv< zRfb8YX`*CXZu&VvO>}^IR#{{9bG3Bf`jDi-QC?u5C644hap^QuW`PyZwb< zK@MN&F%BER1_#C$2E!psoFDllt#hLfeCc;oat9g*!{MCA^6#(;a-YhOQFmm=>DQtJZX%MD+8MEA}pa&sTUs z|Aov{o>cX|E@UL-chr zJbjfIv<$yKP&gEc&&@(SAnzifrv2~}_VmGp;sq_Pi}Z~EV*Xw1INL53n*H6eYt62e z(zdtrT#f7yd;AK;<)AclTAyPrK|u7ib6k$zB$S*YeQZR- z-rDo6fBdwX zAeYU#d{L*d?b^e7Rk%`7S~Yo^6mfN43RK+cBPH)ZFxd8DrB@R6`&Y;pZ_3M2U2LOn z60_ol&y>&ejfZx1CSzbVq%$k>rPpDVhG*PRm*IT;}PmU>hftU#6_a zvPgef%U!69Y9Ep184IUdlqlbWo4Vfuq`g*7VJi<*2nGh@zPGjqcw!NYq~l~gfJ$uT z4kB)rBiI@P+lL8%%RoDpT6#2(LrkN3RT_=Yw1#(o>L#3D7+G#WfC3SLAGPfl)ZxPW8wRo|PMw+K)V=rkVtZ}Qqd@lV^eU5XB z>Y2~7f+VbZ#C4_}F#>usN&=X;@`b+gv62>V85L{|ba(2JjL%U~(c(F=14%7o$zC6O z$->ZM`82xiHV;)%p-kuL^b_S6q6^@l)&DY$8@D=(;nCYM8rV16yNmb#(oI(>B;!7i zPyaVFNi@_!NS5Oua5E>7>agQA8#hPaji(SVI|tLe7zk7!|4`!GC{6jZaOSg=pTVb0 zUP}9{<2LP%gnG?u`08Jz$s?3yS5ZFL$b$ak&ym%~-E6 zwj0L;F@udP|KI~H8(#jM^Ay!;<44XegR3~=!dxc1@B%ka-RoPSMK+YJ23V~-ie6gp zChexkl&n}|{%w=7WIEj1c~O24na_S;_t~r=Y=@uCnmezRgJ?4WG0nKtg&vU&O%Una zm~N|Fp*p~77_>8_DJw2#Uh5oj&78n~OzCHdNJBl@ZJuSg?-x?6?9<5VF(5!mo)7Iu zA|v)G|5GS@KM<4KDdcBzZlLyjL$7&yb$-_JmGFbaoMs&oE-{%OXw=d^oj)4`9N+2w zc|G_0dE>mOzJ)(y?8`Y?F)m_6QtZO~!j?U&S_}vWFwS#78g|wnxwO)IljZET)MEK) zu=Lj|76Fc(u9=r3-p^dUJQd3#VqNE6L2fUez_vf;=h%!8f3TAo4f)lfX9_KThRmOB|Xd>qPC&2HM z{?-@1#SNI#$kd-^aXE>O&!2x0rZ@UctgABwKVD|mMcOg;YeTmYJid?L?-@yZ060L$ zzff+nq!~0$N$|5odv4;}YWUGttk)82X;OBFiE~$#A66bwt#tJQalvqP%Vq%k7!9xb zV8vEGQm<}L5jVz;wR_GeP_CKcYcUg#K^%4E#ks@lmMxzQD-$$EH*w$teUviRS`%#4SBnYN5J2%dWH{Te+a>2J5r>dp|#QeU4i_6_r&KeVZb(f06-! zLM5?X=x<=bi^sOEl|G^=NuynPZrsF=54sGzC@Q>7PX1={#zpX&=cA)IS`kuM0_6uN zty!*J@TdOQtRTOd0ALS{V-_R9NV9?`VU-CEgPmu@kj>bxd3x{sLHbvmnjhNZwmKil zB|}zCMF;9j+O~hkI&!~*roxX-WJ!=W{O|b?%5CUz#RPxjC;sf=`-2Fo|0Kj9zZU~u zr?`!A8WVIVQ_Kw;c;?XbevMeTms}FdwJSDVfu{-1SKg4F$HQm#asrL>9Oc{Pyvu<2 z_umAwN7AMQ^UB}+kvMPZXTR0j1}<#H4n8eqfWl;kybn}dhqH9SHfE+(!b9usQ^b~8 z8>iEt{Owz36uV`Gzeu=K2JG09sX04t$ZgzBl)e*wn?o>~;C z%_aEI5h8Y)d)QIBm2I(4T_VS!a|=4DS$y(}h-<>j7{YEiID3vu-1qtqc;wq`NWy8B@M|hGOxkPJ@fd05QijW zpH=i7lN8oS1)H}q>PcxCQX<$qxz-xwFVlviz&bgG{!I+*S}ZMmD~RKzP8+Cln}U|@ z!5OE&es$xU%$B%5WZ}3b43E*X@Xge(E3R`JZ%Mk=@S0PPM080mb)Y-ct^*pKjT|l? z{OEWh#eQaWm=@`Dr0K_i(7W9UO*vDP<-AWF+^us;7Dow=iidqDyD3XkLQMDaQn;8L z1M*hnk?`Diaf1v1I-i`TG4)ONnbCdZZ;RJ)h>RUB_C)Ujz@*L^qKNi-;oykZ*tBrKQwGHwzLfX`_rfa_47msn<}h>q+h`l4#yktn_d zrf`z*;E&%Pw&)ao)g-G)@2Mx5(54j3d0ZZ~BQbpZ_IpP(q7OYYMZQLd*;>1bpa$yR zj>{*4VYM5};vu|7r)Oq_L^2=2j%84?)BVEbz=^#G_@ssmr!(s|EQbC^QECB6YrBv= zhqQz;no$PSNV(ir7EMsZ11z_<`Q`JOsHHS-8xm-I^*^$uc?|b~KnECOpgBa}ia^U) zGmF^!f_9Pp4l`>%AK>7o=VZT(^BZ+YpRCyB89jSW=|=U}ihhjM#Wi!4q}Sc9y2MMD zyI=M#Yk!HesBg1gQ%jl5ILiP=7%Dwv+D_H!DGd`HQd)hd_<=Ho5Bc)@I`A!Ld2RIM ze@&cGXo+CT@oJwPm1uB{^9Z(uYzLD0C!z|;irRtcI!|K7&vObo_mC!kC@9mw>D}XlYs^&xcW4| z?BmtGsUFRzf%ue??3mM~RcJk*Oz6Qu29H*wy6UCtp|C4ODLee?gY}yzlUaV|U!~|r z{W__H>tg^+Qbg#CjYPlB+>#dB^4hce05X#d9)7#^Z1pV zI%|#u`3aH$)VX31?E=C+^xXtyV zk>Q9v6|)E}%VdVmTqZt~Xn2zpez=4* z+3KyO-zi~bPt2ee{a^$QDUkdkF{r#^WyZF^HB z_%iKP@TtC0TF!Ud1-SF$@v_Ary}^P~`iw2cez=!Ke(-7scH?Vi!Q{VSKeY+^#)^XQ z>iMgqL{`Y;ET3`<$u=xJz{jk)-k1BMJ<44W&;vhX8qsNz3}j=OlvN8RZJ-&LZ$$Dz zWbp*89g=1y(|`87Da#k5fiO@ec2!m0S`me6bJwK%Aq2R`5GQ@Ep$ML<10kCGvwTSF zqtT=!15~OI9Xk0GG+rj)dmdJBtUe)PE@DG^TEA1`l!v0+WlR(_b zLJYWR z^s#ICTjoGvXBaC!#jEJw5Eod&@cqt5hC&7qUq!9LF#bIgE(CPSV{FeM)K8ZET0jOp zS3F-#1+w?rud`op5p9I9FB+g$I{QFE*+tp=dB$}};JTA*jf zN%SzAzqTcGNqncj7;N!NqtPaG&o9ixutPZ)gstuu2B3pYJW@BnkBtg2RSll&MY9Jh z!k3eMXR>!?qSKD0>Sgm2je5~Z?<0D21C4wsGD0|YD}%Kyg4dkPxeDPb=Irl}`kU+(WU6D@&~9f0 z(vbaM_DB{N!dfG5TppBjf4<+k>t2Irg9IzRZ-vJ~ZY6+<;a2VF0*9%L;^O~WY?HN$ z6XnTU5FkWDT`2n7b!YL?|0WV&<@id6jq z*WM>Uridtxc6#&|?USffEOlsDiwpycmm~HsqLLvy$Y{NM zVw5G~@8{RbJ(Japo1!X@IXNM7F8v}~PLsP&{l1a+x3-WY8y{e)y3z*sZoWRi>O3$x_ww* zxOX81J`vB+JU4}>IWwyM-C~EuTaX!k4aZ-_R9RGzKXPM)$$89Q*ufd*n*`=$A-k>P z-8=~-HZHm@6B8?WjTKEkEM0~}ofQj6=K2G@MDkEe>haX=Dl39+iF{sO$zKbaV*hGx zi-7am$KDUw)ZKDxBJgS?#Xn^?Hm$_=p=efgrSw0(g)!9M(EBf!;qal1qpP1X$Z1Ip z6BaF8(H_pjMNcCfQv^&|S)P@&aKRR-VyHzuK+OiOA8xbZq6~utr?f;^S?>YC zl~Xd5>t}qq2R-6c2WkUCEhQlv+ree~LpZ_drVJ7%MO+E;v0rKR8KAk-gGsUA(e=i( zhe&7=NM=|H=Z46!WtV2tBC( zZAQ5IC(5f0PJD-Y%_9mzL#N^k5HIvY4HYr$KA-xv#cg&IUU^AD%Yq_|hU>53ZhvLq zAH$E&klU4Q0=NVa7CcLEr;w*)C4$6KoT9&8I8Ku5ag?m{ecKZn)OZRE6zC`kr`3bq zP0u95n8{WBb6JBUW(Ah*9Sj67SeBlWwDn1`2UBowStVEG1rfv^b?Su1(Xfih`X5bKvF$e3 zET87i#V{0DY%$No%w*~5ulC9J53*KrlGxIQ?y72{;~Z24(txVjoDg=uPA4ybje@Oy z>`Kn(du$X;tTbK840TYL=GR`e7MEctC5%}a`7Nx9n-zbJgeXJaEVOT+DzEsawgEN} zW2Gogio4vlnj1Hxm0^5up``-4ajhX(UOd=d*V=<{_HSBZ^;Ta@j4*3>KlyVWg&$l;d)iTuDa8>o99a{8Ysui;Ro#Yr zeciBA*FT>iOb;%=n@awAg60U_O>LuNn-LWZ3$wMcL25Tl;%N7kKP|?HLPHk`e!X9@ z*Q>u$6q9|n2Fe5xQ>)y?swIkP?hjF%DGJNfJghZeuzkUzIR|dtN@&F}&Q^rhhQ+W8)2Ksd$^<%{^I%!(D zF8?k@vke2cXV8OqL~wo(`!J!#1eTrLzsCNR|LCS((>a4JV}?GLPo^IC#VP5g8atGz z;e)9v2`b04M>fy73F#qCyt3rQQRksHwqt-=^rUHI8;}#^z7FLyl8?)@Vsiw8D?KGg zEcdkeL*j>Wg_oj(p9huddGs49RFC6MG00IXf=JT6H!88ly9v$fxu>gK;*2^CveS6lC3h zQtyJm4f=Ya8T3b{%J&@#6!Rr9^tz&1#SN+_ztu zH?tIk;Mzd{msxZCe%gC-v;B;|zpu6<0TR4Wq^rtYgj~&sbN{Cy1jo14@Q}@N7hAAyd<_)ougiJxzC->MG27jzxEjyX zvv1y9v4Q8d@M;nv{41UgmEoRB_6BPDR4Hhv#L(ZE-|ScB=TKM?@NVwz#^A^X-@Go_ z0zXN%lvsoM)@&kbpA6k!eBlFnwC%hKy^MM{bMkrKRF^U?Pkf^Xq&4`U*tgwID-SRHUwEDlY ze5%cyFJ%cQBbSS5V0hb+?QV3=H?w`QBVsC+d1=Om;dUHFDD7zqGg_i+SXF>Yt!Z%h#eOjtTQ|7Dhbuzh)aRYBlvC`=zn_ z>6$q6LBr}iiH$9wHXj4QCQnsxSs5oExF?1pM@QPZ&%!%thJK!{@n#M)d@A_C{tiNt z+c-U@NK-!o)IIOccUxO?LZG&__|J`X5L1R_GO%Bm`K)7eJR=QR{*Q`yB53XHC&7nX(A_b7<-3Gx+3GC z>ugWqq)FeWSI5COB@f#zyJ$&n06yxtLSSB5C6SLm9gNMVq?g#&B7A=t0pi!}DN<6j zIuF@;-3|~4eAd}sXOlVfC|S8Rd#qksr&U-GloVN3_JUw7R^$zl_w(i+IctZ}9)4iF zFL^b$4LoJw_a}aR1Q(m4m#mgLR}?=%{=6pw9b~jkMv)K-h%$*n3vAb{YG+k6ZknJJ z)F%T9k4t3S(P!I`hsn?pqKnvM8s}JOtm9t~@zdH1<)IvlX|bn7m6gJ8SOQpMP-U7u zx+vf3)n5QVVL~^`C=>tOAi;OuP21yss`4mtGkzDnpI>-wL!#~iT8HiI5wD;HLi~6Q!p>N&luQj3oNVc@5&9I}PNBjm<@(<@f#D5USPkr4;~v zV4OF{cFAP|2T%F9D!B*ZV2cI+4FeJ8uVY&Nh~i_s`*Pb7`j$|L95tC>_dRPvPz~FU z5Vt=el$^aFf4#^F-B)sP_Jzlatb1uTgyyfHDB!#^lTiEtG=Db2AhsgFi^0!9IBwA4 ztKMbdc>aPlikwG!=ypi8!)n|ie;M13qiYpE5dEi0L+_-m`L%cbF~9oiq!BhU@ zz?#NJ-NQ2*UnT?-;D)K9vJxmu}6n;TVB7Hf%z>JWw0a@MrF} z6K1G0-gF*c@BK&o?aJR_NVtdE?h<0$h?Pkf~gKP)nWmlm2b>8?MR=c zT-2NeDa%JjeKE(o(4$Yaq8y3-_>{inzsKG0)`9bJ=dQpogj@Ax;hSJT>e%^sO6y8~ zJd)yKgV(k9=pWgK|9np|&sc^Rg>p2@BMc3FFAM5dv8D3;JamAzMzDvJcSlWiA4DVO3`%FJ&w4Q;zH}B;H%0_TAoUi8}11afgD%n%Kgjcn@9Tqjxv16f`wm zr82oqazM?`;mT$Hwt8R{vAm0}{JWiY{^(;pV!xT}ZHtc*l2z_|f$0RIk-o7@xZf#e zr7~Ki=0(p|o+%p80-Dufu$+w|=racU@~NuGX2iK4lT0JQ;1(x*J@LltBAOtBZ~^;n zCz8nFif2RamumnNa#y_^h*UWb6Mtg=VcY`=T~-4_ZdgveJpS0JWLkgO^66rAPLT<| zn+3w}BqLDHHqdWt`kM;e7K2NukU2S1k+=}YF!H^7rnr$Ku?%lTkCc)FwVO&GFk!xu)i{)pQi-S?J1jmlYVnp#LtSAFd zvR-~Vg>V7%N&&a~&KqyXpReILoAg_M)(9nIHmA^4* z{OS^V$1qjxC`(^ri>Y8n_e&Vo?IHEv--h&yTd7y_x$%TB?(4SU!-UU%UgSRxS4Fih zT=W2MN^t(oShKb2jMcJ>7uWkjB-e9fLfo@YyLr%sKY@L+uxsyXBKqd%{iNB_TatQS zvP><`7x{ksi>|T3yJf|O^ZIDwZdrF((Oq$BgWslgfb+Pskp@{*^HE=ab!ReMh;^qc z9&Gt9&HJUQ&|qB0VdL8mV!pM{JaO~K=GwIH@$l=C>d`4yMq}MAQiOHhRUpPxlVbTp z?Xmu;^PAm0a6&%YT<=WQuM_fliA8EdiOhPEbuZQyQ4(H{d355x<=KmUcpQhWpjD%s zy~U*o`qNRU@a0xW5X=8%Hz{^Cq_yjEK1e>`#@`5Sx{|oUTQ6w)k$ytPj7NoaB)W$!eEBC9li&Jgr&?;&DJ5#&sV&) zK9#Wx(khe3Pi4a^BEzuB!)@GVEmk9$88U-S0|(j~eDnY=Cf~LCmkI%-wwrC8w4uqs z^^4RE>H)NIpTpK7gan0@ULmP2r0%IH(r49)9e85nc^GE21Cnj0n;}uqMu26TUPE~J z;DoGKhb3rDSvo}6+F3qxL(fU4{aj`G8r`;s5z*yL5JWPS#89w9&m04GP5<`-Hv6x0 zRyHqQjhCefU6MgnuT>V+#vFMdX`MD@}>=rUua5K>bnz9Orn+G zUm5?KXZKf{0B$0#cj)j;rW%(ho2HxbaREb+m&60o)o>rVan#g5cpiWx#3_WTHOXd% z6_Ma?ZYciLHTU6rNe>6o0CBb^uRB>3%z$4#oFLDEME zxcs5VW6b0{90kjJ93n}blTu5+FykBx8oOlz28Z6W~`fHUt=$z0dI+j zyn%?B5=>%A980w^qeHRZ?R!Ikhz8v#)|=aB?H7CT&~{I4b+UXL-JQatc7r5-1OzM= zPNJXbY5dFV;aLnm-_|M4r`qm3?%bEoQK3u_Q&kva^(8xpa>F53zcB+yFg-DD8<9B@ zf1#@!s1@it7KlAn)s?1AA@3~FO4B_jOW+f)MlD{Md zRKpJlVH<7~XK-Cc83wJ@zr@HxBZk7qOXQ<*bz=s20}A&^2mU#YcF0ajb_^Rz#?j%L z$*5?K&hcMsQ0B+BW<){R{XI1YCX?i*N^Rg&_=`Wt(%maK z;XbVUe*?(CsuLz4lXa=&5Uh5z?6LguQ~Fi&u`q!WG&oKFFAGGt*acXd-l1NiVd5d` zu?VRw@;;QJ-wv5u=`; zJDls0njq46p7h@YhQm+s=gXG2JMG>#>o``BXhc_emB>Q?bz)UoT5hVbzm7Tug`9sg zR~N~Y1hHy2Lka~Bbc_eCkK2JZ3Spj_TSH{*{?|f=ChTpI!SvFl;d}|1EXZ8BGJck` zr)l!Tk*#lO&D>K)?l}uEByd0z$LdaTAX89t&w?YMkVv*~8EHMQ$iq7D46x!k}0<4*=$(?^Gcd&w114H z!ajBOy67{6H)8Op&=5J-lJQ9KF)IFLy?;T=txe(Z^_R^wmJ$lA*Vw4tUxRE3fRuV` z*u>~)k?Z2bn(!p1C7>D_eN_4SyW>|Pw4D?pe(-q9i3aoG z&{N47sc>Ai^H800SLm5A(9$CTqplw)H_q;9sb-?Dc${kYw#KIS*UtiJCvrAM=r~@R z^l#~97=W7Nvb@gurdK)g{-f<=b4e$gs0^ET#9@&sC1z*rXHTe(oQbfWTIX&}MtyDySfk+1p)GM)QfZG!$I5rkD9OyI3+LFgNlo2b z<6vLcbM;&L(UA&pz%`YUxG)u9r7WsNfa}Kn)$J%6JgGi_a4T>m1rUk@Liu&i$ynSl z$WKNy`*}-Ka0;|6wzV@TndpE6NNdI}pyM_83J9)QJSL;V7qX=9_6(Gi+gz^<^XK{AJ6iziqwVA6M>KT$F>gC8T9Nl$B*aq)bgk5ozx7P)xaWa3kVX^lV9KAT@2 zotQr}I>jc^q*07GB)ks71&uNKtq&+^Anh@I&Rn31^T#j}Y8dxe68)y@mm8U+pW!_O zR;bqY`V-?IE=c=&bMUNLvKh6HufKZ90n$&JAs5XVwj8a1b^wYqu74dycpsl>wfk1e zudYlGa1l^@Q;+aE@JNpRxR5&~%h=2sAjSbQNn|xJ&(Z1Q^9h0*o0L$*!F?qyML%B& z4PJh&BhhGjXiE(DyEIR*jw4Z7UeOUyr{$NGq%RP5L@a`n$yvwHVF3p@)6$_9AYLK z7e4>8cN}6GkbbwPcHr)N%PuDLF2rf}$j5Idi~VPZN7~sW=Gz7;T{6SRC_iZG8}4&k ziFc`vJj~DSLR)x5mDm=;0zewvgnM@p`Z~FT_B7lldN264&ILpj57}k|)j$vMYL5EV zJ7UW1yzh^c_PM~Vj;ZRmTL-5ej@n%i!Zuu`*{Cnp1tS;h ztR3mAenG2;nHEO)$S~p2Hz%IPIma3DJEjz|uauhZnx4VTT@XyaRsw}t=$q;c9d-iw zL}6oec?8WtpcW!on%LASa1*Nj~+8u-U9w)c7e zLQ_uc^E@!!Q6Etsr8KU_$X2T0b?vmYVjZUxn(t2qgV<(W)H8RcLZNI2`OkL!>38^c z|5eA58c*T;f`_jk56skxcIX@n>^1FNF{L^fMyrEkU)Oy{Y@zXBF*ws-W(q?zV{SJp z+Zjj*bVXCm>X&(yGfX4HhhdLYA%A33ubC4-XPvVIhSwndxyfqnZZI&Dg}1!%HXi#! z0p)wxHlmGye?BPMxgo~hK45Dx=aToMy6FnT`hmP%^{2U*F%!XwQL+tQuE$q_WdmSv;N?Pklh#72))#;bXgXL z?m_%$qq#MHR`OW=k;)#6RWV0&dJ;b!!BDo|^F5W&Q#!XFZ63D~>QwISnoA5XD(If>cI)a8=WjW9 zs*J_XA0#s2$3~S6o%%s`+#*ns-3? zk^V-7dSEPVO1O#d7g|wargl2h?;+_@pwO?kLU+0G03_vx@u_BAEVFQ!lv7GS|MDzZ zzm~QXqontV3l87?RRa`|vW$rqKQ;7w6zcNT1eR?x(5@)rvq&6~?R6{%3qn=fgc$)g zSdxj_H`6L-d0#N{vf$j*a!e<`>uQ7;`$J8J6J8@TX>?>JLZ4zRmyi+9^+@@M#|*!O z2RLh@cnHqCX^D!bA9Irre-b3VOCRVnR^G!#nUc=*i1K28^j(jDIw_$OaP;TD!1u#e zq+~ZtC?Ph7GJK`Hj$+_vkYd#GYGCEm{eJuduACxcp3y|q*RjfXS*gGSn#l)BqhFo{ zDsaCiS}Dzmwdyqtjq5cU<^R_rvtLMkH}QgW`|E{JU()iwN`aQgLO;WIPSTI%LA{gb z^Pkq=(&yjURIAFO+TbPqoF10cIQ_2~a*^aZDc!~bm)sa)8`U=OEumfSJG+BrDkj1? z6&3>#6ogW29e$72mrTPnenw3vT3S^*AMIQ?=yMwbxRqgn+w%iooh$A}oO1t$CAa{y zc$tx+54Vb;2ZVEw($2M8^Vlc)*f{RnF@}x@qsu?$w*u~H_NH^TC98R1LfG6^^jp+#oy@oVk0k>u#ub=(OSEsjSAz1x`$N6C>(MkkQ_SEUtN6Q zWKnko4G;sR%on~s-^Bao=xc;1*YE#CQxMzPgHAPUwUC13Uyd;F~#baRM;F^+00Z|7lxT zMxX|{{^itRzV{N1@?*?zH5gua$FG*vC&rwa<%4671n$Af65n6R|a7=A*0g<6LVsWK?eg`oDQAi%+@)g5_XNwSH*H6+^$9niIR8?l}*Xm+>>;-J)NfX@`KW_?}I@=#ywCwg(Bb$E>*r=Du<_B=PdJpBEa z`T@Do3GGP!9UtFM`f2omJh)ai;WhK!>EJY*xBelKmv76^zl;A@+xe{hGkzls+RHgt zOY?_klU&FWD|HZ!|25245^O6hWboXVQ+z9+%3+_L*7l6@`qzKz?`d}(wkl<9;iq+b zDaZYFw438Fjw;))R_Hk+L&PP+3gaJrBT5CwvmdeN{DJMQ$pM=a4>SJdDi^$&e4; zFN~8>(_dQ6W1P0caCV9#9`QGPSJ1LQ>^`Zb--WIu{K7eCem!?4e08+=3aZgQDH=4= zTo&WeO&JS;^~96-WfV8-=wcJBNxO4l&Jj3S`hnfva@}Fuv**TLngzeme;Hi{A`i=L zi{B8Y9<_uy#FOe5x!=VPB;vCuz-s#$C*{&Xemn$$Z{J?sT~O(>#AJ&~6VQ#A`=<0A zv`=e#O@RVlR(O@u@8&5>DMN0?1RqOGf)m27*23r!cy($Yc#@rAt`!XZ=vz`nsZYFptD5L1+FHb%zD6AY@PfC2mszj;y z@+M)@c}A{k%NM%9eJ`K|Fg`z|y-V;av0Kc|9?xhY(c_^iz`4KtaH$e~xK=h1>jIfNYZ;$N3Wax#RL_;2<`n_LJjN)$4yzR6AY(lb#}QZt7B(-oPBXj z?Q5~Kr?1**g4B;ge=HNH%4DXRRw2@p@aO)c;*u?`aa1Lg@#!b4(Jf-Eqx3^`|1%!k zmsg5e@2n(|nKYOio2|S~b@;2ks13)KnAHzyJxU)dz2EEjlZki>$N@0~U6Mit@ zQ@vPG=WB98wC4ioSg*ATkzXMTFkGq2)9^Cg;Ixw>hV1U@jbG6733oW$NcWmgurh*1 z<3Dohjt@FOokjj+hhET_yYh{91pf>E4PUrKqc~W(d{Px8$^!6IiW<;dJ*Di(OXKNE zw48gsMH55co8_q-rBtP~W;$BdrbS}*vXKGiYo@bgcB&E3^f*!ry|!5{ZikG3%Rdv* z73$KFrLHlFvbv@t?lAH_q-crRwKyxxlTs&8$c3#&&-)-I4rU{zxD_wpUbM}=UiztH z(e@SFB9uVt&N8atdZ|I4iSvvf1B+sM8o6u2f2Y|vInMKr?;H?K>T83$tl(1oB%Xhy z*D?>z;}8s}e&r9%)bZXle;Xo`llU$FS*|!5+Va~Bda2e5NjMB9hYGpz2a#@4)R|dh|<5hNI zlh8y=Z`1Ei{`LH`{>F$yf%J{6-tuf!1q3_`u(VipT2z@5jKtaT5SJ##E2zY2R(3|r zzofLOU)THfTJuhC?pHwIe$&(O8Ta{Vk+MHFRC9Y1>2oD6tgQ73ssXrTb=SXHPwcPy z6DoYh(rTXu;t>xie+?ez8I=DY=TndYLsAUP;H8%E01~jN++Nf{X`%*ScUp-72urd} z!tf&RiP*OfVq16XF5>we?$^IEde`>v;cu!5CmXs;+v8o-9|EjE1Jz&A%=A_T902yn zQTJ&o^}w>3{epkRFKO&rwP#>{o@NP&DLlG0^!&5s&_X7zq+ikt3FUeuencHf?3dZN z)pk@n1+QzZ0}8XO-{GV{j}z#0%S!rRi#7M-HnJt*WVLy2A-ccj@K(65qH35qP5Mg5 zQ$Qsy%kkp&{#j#fzZcrTtc>TDx6R8~6_>#FkC|fVX}2FMR2ya9ltW z>Z=0SiK_5hrvQkN{2O=gCE{_gXFFF`TTR&^i`~vb+OJ)0ElkBN5IAuKM}}7N_udlm z;Bz3{a2G6Tz5Jt`|E3sW&tFn@*U!%y(zn=E-KK=l&0bTo16AKir(C-ZZH{JjiNqcs z@6RHuRrUeW_QcB4Q<~s=sBo|)8+U#e32(wwx!HI)lYtG@pp|ARr{xe&WAe}7U~JJ$2TNASVoNk2cx1yEF_^* zV0Zl39YgFvU+iq4aAgK`wM2G*tP$~w@!nCb;*=3jq8f$vXovW&)O=e<^_+u!JJ|dM zdzrstV1#X@u^r&RlIJUu6L}_{MOZdl;(3h|SfHvw)I_$_>C?S_eEHgCn#9Y^o2^9n z^!~hgcRLmDXhXys|2{vsrvjV}D*1*$B zgGEhFJt%3B_A5?%`vJ(fERE=-YHIMkcqNzn7*B`6C?BRvxWEv}`0~ZYBoz+7{FVJR zr^KE8AA?=n+k3#$a2BW2>CPgwJ9N`SFmM@~3NV@<(&ML>4XC%T*Z0`&xk<(c?tS?9 zY(5dnW|2{B;$N+}X@`cPU|l$-MaEY(T5v5cwR*RTZCig~*<41!Uc?r+fulnd{!0JG zI?jZkTVjRBVht(2nz^uL@?mnOT=k(N-C(@6h-f}Ww}jbhGqA|L3+i9(9Vv_i2h+(yE<6Tw93fl6tX7fFMG0+^l>`a zpCDmC0-OKzysyvYcmwWp6yNudjv!kCr0cL6d-iubBe&YYAX?6H{Op{Sn)``M3kcNr zv`a=c_8`Ca4L`)BUFaPRJjGSP55>WtKEaY+1_dhqS>{DfHt3Jf{tcyA8=%h`bdb3y z+9A$ghR}SBuIY_km%V-%;PUlW<0*{MhZl&}>0V0GnE?uQ0%-wQ{{m!3Y()02g*HwB zu-6MV?a8Px9x&mWw1un9P zZvB)vYK{edtfp1rFAG!hkTd-&UV$=LH|cD$w3{ZFLU!5?wlQLtX_TsyyVhy5{{aS1Rs`*+;$+U;r-qBkBO^DqJ z@ag;hO?lrbHUI-;?Tp-^k*_;ICWJO!m8cFNCAD~dgG>ZD>9Y(6%EeRsPEPwFJk2Er zLsplxpV_fFc}|d1&U%Hw2Lgdh-BcwuNSWJbm$8)8F1)8*e+zzl93A`)w#jW}-JPKI zbnB`l6u4j24qPhaNYx>C|AnQS|MDju<@-Ub%3bCm=#OGN10;$8zz+UrN9kRK2uUuO z{IpU=h4JrD>#v)Ptn`J={%bV8_a<^S3}sDN?;no&d=^yT$}fI07=(<2JOf}-xrT#m zb&X;HKrdItHmW^Fo2@t+Fafo!&PDu$A3!+oe*F2a&v%?PpLT+!C@kZ$`eVh$3fdqmO+k?Jd=!GQ9x~l~m zjU0%IgMYseSXrVj>ErI=ZIB+)%<1-b2}DkwKs&1Lc2BZ|XG)9Hm&&+26sIC^GjE6s7VzD9avhz_`i#uiGlf|u0qRjo zOVN3cOyxiiMZA1KEPS9>&w;hPO|OW0vWv6RITbW#xp50;lwpC<4yQpAc=2jTB11gKW z9@Gf$?a#rp@+D_7|JRa_H6Y(j2Fhn-X%`=nwN<_01fOSs=mt7Evnq9QDp0v-Qe{Z2 zp3VRJ-%xeTP$+#whV&+&?@x=kk4H(AV@Xs)Ve$p9x#4kI)r%tgW76LbW=DWG!t%h) zYK@Dm43?ifwfF1gwVtmpe0HqBXorng=GYwsj0}l44pK+6z7P!J9;Dk3{3#cm!(0~2 zsVlE?@GMq4%f8PgFhXBH)zWb4?Dl)p5+8#DNMrX1tGAlu`q`R zL;ewnJ(oWd&i{7i4^;$C#%@O|oD;=)sr7|78zFuN?Uo;Nlk4^Q=}cUGAtDA z6BVOs{F_gnaR?8y0cy_)CKpS(d&M8~5kzbayvz{@6sa8d{vA|<#PBe_Xd{rwb98X@ zi|P*wGJys4Z}ciesuAc7JI(J6J^a`_f(GR?B9)h%I}?Y=W7B(`hVXe=uRy0~%_Z!-X@_Tv{c#xny|~H%bq#5xQpcnixBzeU7hM7;o(w$ILgKjZA&g9yWsN2)haW3!lqrfWf;A>r`7(T$hKdQ_3*p2NpvQl z@~Nti^S31h#G~Scsy-ayLOEx<=YC&A7@9~-kSJnHuuS%xXDie??#3xT>Zwd$N=g`Z zi#|2O0oWgZklL-BwjK@C=hMFoi-lK#EEB!7>D**z4v#ZNjUxpM!ArpnwV=NV%j&{W zc_nX*sMjYGhHnAAkxv&a#Q;nWauG~4dk>5-yLl_jxhd`euTy<=IHZboF#!8;qYtTF zVoDPhF63%X024Zw?n>r8)Khvt#7AF5=Yv6ibEkH18?0n%mdb=x()rs;WGz=-nkxx9 z%sed1ykA+$+%jcUdY?zFvbBC|mnL3_S>*Y&`W*Bauwq z%K^zbR9v~?T1a~Ga4Nc`F0SA6oc^`9D0`!8yk2Z}T!$CFS~iye!S)w0f(Pm);Wx>y z!|-2SP46U3A)Oox$!3ShI}r@z%d)2i3=`SkNDgA8I!a!T4YCs|8vQD`vmrLlHE5xL zrN?~;Ha*IW!Wd=vh zBl!Hx#uEJ@bsmq*#NeFp3@_2#tXxMcfNCs|oXUt}4~t-lQM~2JQFwlvTI1CQs-epn zFIk19!Bd4+EJ?F>y5?g4DW=gRCdHSJw-jb!?Rib8?omydKIAWoaC~81`LYRP-h=Xo zS&%$jV@P3toe!QL1USp&gg&8hA=g&)mYL3>p+`csumcXj-Vot~HH&gArTBDy)@tQ$ z^Y3E;-_0^DN=#_#bZJUU!(}8~&t!%DOCI*U!H3{;#@rS^qc&u?jS>E4`=aY_N?7~i zc{jaeHcXO%5zUs`aw6`TW0pSZg(BLA4QwgW!<6H9UE;ErRR zN_UWZwo@@J&o@}0vG_|;u^-i*Q!q1ptG-?9JHqvF45=W%u~(9IP-A&Y=|#3-45)KRGhi4)NlHX2qVZAAZtgmkV%^q|g|g?Djf8@L0fS%>NShyp(E z5gj}DH5Siju08EjjLoSYw-|&4RA1>=pkKyWW6o(5iNXSu1~|IvAsJSJLCSxkKx9vH zb#OrZ-!iB;Oa~X`(+E#sWIISN)s~Q+@OsXOjc;idxswuWD;&cM@x1b0%c?`<6{*dv1tlk*I*wb;Px7sZl(iQf=G-O56; z-7jxZjXseN38;sV2y&H6>-8y{zhGg&<;V9pngWSHQfA1p=U4~L9-gY{iP18op3R0q z;QzG`zVOg9=_yCs&bs{MuSFI6S8uHM1a=>m+lrC0No8>U$pX^eQ7}V{v<8S6SO#|f zo=kVNS@H{*Ge@1RMVho5fA9I*;wgN5rc>l7 zc{v_#h&=0-%@toT*_5tqyC#ADOwuWEXT<#}KclAvjgadlH+Ey=uixlBUD#y7?#;Hl zrI*Wi*h%It$+WBoK-zOvVBX^@NtjhY_Bnz|k8tr@J7V*dXJh~`7LxF-brQjFqB-Lu zYF(E2H&FX|2J5ebJr;?@$eMsaCZzZ;)s6kQd{aON*0@$m)a*uNG`QZ7l!G_bxaFIo z=MP1!NLc#VmHjqH!myu_72*j#UJEw+Ye*@8FhtlmNbk_Fq4n#x-BHr#K0`1iV)WHg zyf|ER-q$>aN)jax+PCpP+XE&8d4EF6sR2i9x1FizbJC{g{QD&P`FRsY2JcBE z%6c=*R`x>k7HDl;-cM(O&%6jeFSF`K6Kh^*tju1Rt1TWiI9mTWJFeNz4tgs_e$ig(~l zHpoUL8A>El4hX0Oq&W!((mFH*GxH;COq|=+MgN>`V2#5t|?NHVHN$#FDLTAwl^M ziT@>%|Jpt=-R4^4{&_$Z83=!M&bABDkr(m%7Ye36<~%++}ZKs5tzXDNrO^CibTG z+KmI75cjMa_=;q13kzi73V9)wbF(ZM^316Oe5~zLFDflUT`EPysnp|x*2!$=hig_7 z6$jkYJn+PUzU+sZxOvT^=|KF=;+}nKD%Z_azTcjh6q3OP#jXRIh;L+{=kE{5g`_7W z_kE0-$8qI&WU)cKDpQ-ZDtq`r*u4)RG>OX)qGPt1+FsnTW;LF9T-z*`B#lG9BE9 z@Rz-LpP{wWTkgTp~q+h@A?neWLdpUTf> zPk2Nm>$j}4Nh&PA*Na8+NzXjnmtdS>VsB_w+`_aY2j`gm9M1BNtj;M@VlSpsBp`ZS$}m2w{BL`}n)=}q_WbI~sSXNG2h zEnu~&u-cy=Vw2e1Y?b#0Qow%PVujO1dAc3;H*ud^Yc#r0iifuz0fv8{B)=h%j7L;) zI-K{?SD{~B-j0Q}!dzCPpF+G!XhdPmxJ-fvI<76|y}06KWOVqvkz+YtWez|*Yd~z5 z^0$YINL8U<3X1takAXN*U8m(*(~n5s)Jk{ey8S#yj1pcDl_x-j=+c%XytjA>bKnbm zK@rejIu^V|4}0H=SYrdq6ko%yjKo+SmDJ@xz3)aIBCPLt2fl{}n_~37+0F_R{v+k(*X*;`CS)xaNwjRO0#_jB_>!;oWxTaE`ZYlii;5Uqvf)tW zLR;b-zJGqF)=*jw@mSNaZy#Hx1nB)cAI~fjH zY!5?n^E5qY)2YhwH?p}HyErtnd%19OOCRXnzW6OR(_A4N((E#I@Gx>8UVW<+KqXai`m0Tue+IkKg{v<{np;AHHf z=WpK+d{oiO98WY9%2I9VOX8wSxxdF7=IL4g&p|1;_1N zmv$SbUvbSX6jm{R({!_L_;Cvn+qR>dOTLw_WiGwsx|NLYGTOBQdZ=Q4!Pjb~u`uE<@7HM5v!eqE zjz-wqh^D}a%fr?~{PtJ~!!{YLYfO$COmr?po5c|EQG!lR6GvwL48V99ho-*9@5Rs1 zi79G^vOp07Na8+<64G80FU*gaIy~{^29kZe74cd}Ze0V-$E>oqj@B=yFcj8InEtOI z3V8Ly?I!Xx#I0_VZ*2daG3ZPbSgpemGKDLR8$6N9T#5FJ<5Cd6h^Xdw`uzaZj`rZEk&1LD=zjV@`7@kS=Ta}aE0J>(};kc+d z&3%HT8N1m2QXl+av-`erI_Xww`|mV2_5+oLbu&on`yPo8afAhXwtXxW?2r;vtfhnb zt3+A-Om{!?CXe+L_?=~+_nAq&D|79mD)S1>j4cNwKYyK)uXd{4pYC_gS{Jnq9h|NW z=rdd1rX@<4T;MRioVUyINVYQ+;Ga!fh+n4vsw~~7(iX2_fD^_-zkihw*L~8_&Qop+ z*oaWML25kWf*yA*)Gm2X_>eE&9nw2^ErpjYf0@3Wt1Io5?B+-HI|C3KN!Ud4$I}mvM3)W zVVD`3m@Bb0*)6EqY*0&hDuFDudp>LE=-9km(&t25>+!nab^zWlA)Dc0z}}l6`sWgn zgx?_^lu_8Yas~3rFLOwi+ZRixSKUaT&*el<`>=I8l^duB5y zklS7j=v*UgI&GV4NK!TsSeCslJFb!;Dma~}-1hwIq*6y|pY!wO*j!}Dtv=#w0+!(j z)!+}ORtRf0RWR~F9(k>qlCdkaRU+V*;BJ!m^H0*rXq?(l>b;o1CSujjs6qSIP*Kv5 z1U$CIFgjAAZ{Ng!``q7vQ;t_A_xi7<_=h?7tkz=|+-TlJGDhUM)M10Tbl7h*Pv-XM z%JZ$z%_7XFbHdJaOVJ$8cVpfa^*8kLoR787^!RwQIcf#N25tVcLgg&er|;4#AHT$vFvw?w_bX=vhqhL6{bwo7ZZ13l%D~j!W5P=% z^GG#{{`WgcQ5YVFMev0EjwR}UG4hS@CO2;@@GG7RE-^W03&JDp{A;N}R3tSYtpxX` z`BccH-%vtBzB|YZ#f5*f^Tqko2BNvsl*lXgBU5XLN*F`EV=QJH6QmZ>a42uk-+Qz( zynT;^1q1yAlaQ@ZzqW5i3x@fG!UB|23SWXa58bull4 zLC$C*z#>4FvPPH$dM6?!Q`=^#!Fz~HR~M8CrQG4lEL?Oj0+~pNt3Z52Np(UeJ6zq~ z!j*|W{i43+*C?}UoT1S)M|Q7(CKPr$qmdH%Mf-;5sG!hCos#h$Bn$pVR{$V%x_20b zP)>kH5D*cjWUblxg3Pk=BM0h~JzEbuScQjSeG*@9B=h}s0n!=Y1IADL_)%r(yGomb z92_M*lUx%KQp6~3AmX-58d6I{s`YodOs|Gzs^0Wtg;ox|&Dp-s#rJ-08HbHS;zt6g zNNN{XfQ$Da#%r4=Dg`pg+T+3$H^>7WIj04ZNIKRl%bV>q$czWX^SctG(>~Ag`>9dU z1neegib|L+2sl@T%)rDriU@{X#` zvXkOxbXK?F{snvITZH?GLWFOCEe=iLJ4;np&OmkW(}0vU11k zE;CEoazJ>{uN#(CIEm4A^6Xx(R5@aHYCzGk%cB6I+n0q|`o}D@JH(eLvMKCjjw`Pg z+A%Oi1HN<|Vf!MNh?--yDT)I3eqN^a0uVa|tv$*x<39vrRiL!tpyp@!7p&PyH;cWR zLg-Oq2CzC#4&7G))zK3K{s%m0GlXRv z9S86GfSu*6W;`c$tm!FAPf!CW(y4ztdX50~AVfq{$geA_DkSZ#+A#~br%L(Wr@&nRH3cT%7%ad$Ko3o-57p(V53pNjG z@HK%g!Oq?zx3)9e>ERKmc4_K0uF5m@<)6X=#5 zqnku>@Wiu*#wiI0Uy|((WW;w*_ojT-VmXpCp3)||Ap{xRW|p&YYW+cCZI7cWGu8GZ zSToW#OQ@QHqfhtAWoFUF@Nj@q7Lw#M<)iYXr@f-1DEKIb~i8SB( zTU5e6Tkzy}R>2@j<`L3-nfZY2j1+W!Rcy=wS|EaxJi&eT$Lt$pQ+{szAv92jp&1>S zncd>wW60)JL9+rIGB(GszL}}5(O1he=*>Cg_+cemdE3`}ZNZ=YHs?;%;n$%WQ(99K zz^FSMf%p#IcFPUB`>|COY%Pi`cP{(SW(A$sCQ^X~;E>_mM}?Z*fnG;4uxiU(}Y(YTN6|0Qr@d(nd=8hDn>(8RPqW_Z`opiE!t;%)sZa{8Mx^4`D=-=*+2CkL zRjNdwusxn>Kbe84{{~$0=*DXXHCtx|TU37Eps`E%63gi9!a@l5n20O;L!p1Qa6%2H z2ntkflDS*v5WpuK?XUJR?HUZ=Geb8)N7VrT^{PjhB1f^cdTrZRPQmh z%n5wHDx|~oH=ZBHHSuXMwbkPE~>` zgFyaK{NN*f?O8xz_#pF^d6qC#rs@7$M+R;B4EfvPdrC)4=v2x?7!ZcZ#hi#s}=OICq zz?0*nx2$J)`yxk61bKS;z25bs;uLJd~_A*i|V)h*>mDKX=Cb@P5pnADgHhzT5(^2gCq zE-)vN9ifY3n<>#51eowkc6AtN!CsfTCB65e@7Gwz;w&Mv+RVm!_pA8Vh>%PaC0vy;1Uy z3ZGbM5Y|M|MaTYaT&OP#lH5=8x#}^TYLO4$SSq$aEPRIip5IJ0u<`^Y%0Frh)2GtruLnBnVwD<(4UqLT+|2U{stdQ{KWh8*x6x& zD*0cRP<$PXZ$=`!85+}DuEqXvH<&}mu9cX~`?GLfP*ZfIrg?`%eB788Wxb>p!&eS{ zKO3yXB-SY0XUO(S9sEUDkb*fX16<#u)K?IlpIhAlrxaVWr1vlWSZhE;U+<3Mu}8h1 zF94rHzyl8&6~3t_Q=LU9G^kdQK2r7-X_RvBbR)t^mk0(Q>#;q}pU1KONm1@2<`2Z1 z$4$XtUI&}^KayooafXt?yx+^r_s|LSm$6vTxL2ZQ1jla3?uQ5D6TJaJ*H6>XrihE3 zJ5M%yiQv>1$KWM)beGfxdX1p&%Y~9uL1k&yqiaq;%azM0GB~=fZcO?yJRk+b!8-|L z{Xc4?q^-tyKHt zBW+rRoQd2^u*yd-Q9ci+4hVLFRK-S9RAa@q>7Fvs?!D3s5IV2XlTRsvXgSJYaHrBE z)%n=QXAF4xZauytjq8FwzefP@5i3K_F7o*1TVu4n4fHoBR-?<0Y)7PsTgJN zi=JCZ7Hb+&E=nvoLbym5*mMy`;x8r_cEZTxlq#8QmtJXxA(w9#b?>HpYb&EaEQ@7evw(<&oA@Q zzE&lZrz{|R&El;^&CPRHEQfi7%^WFho6o!gR@3mx&7Sza(qFe!p5@1JA;tSb$$|f~ zW+wJWy7PAe`mp{vzj|6uclNuv=^E$NXD2rvihDPGIN?T>s|7)=KH-&EJL^YBVrOqa z%$EP8IQg3tHW~;accV<-CP9iP1pbz)J*?lioJWV$IseV`CkwCz#Emr1J&R*!A&$QC z`oO;aXVS}9bX61mLSDqO#K(~DpGznL@o#+B8SXT{AqiCHZ7GFFN_^n@dZ5A20@sDI z-~as{fyC$oCdYRuj_##p_gYeKRh7y6MFb(JMqGimpj#9JaraVb{xSwCr`L1twM`{k z*-F0$7AnN68#pSF7M|$N7VlZ4@db;sO%1JrgT-G3G&}W8dqkh>e&>P1Wn|Ji^etmT zo<3iNs7NiWrL0k(Ct|m=T`L~xsIPC*QE5jDyZU7?(xYzrQGx{(@vxQkxf4M6s4LD5 zG=gD8jp=nZa^457Ys&>@eKc4NdE$JWZ6ogvd*00|p2%A3spNvRvyH0z;qma9MHi~& zEyS)wyvGbuqnJM^z4NUS#EtZc0H6I`8KDY^cbX+D?vS%H{Bryl6vFXwbU-5~-~B%;TlP&axrBUMP7J(ZQ7ZrP z;7%mV7KwazQIrW2v&s`gSJ&Fs;P3AXpa360uJYBF8_P#TR<&*Zq}jY4S!r(7&jUYk zm&67Z1OsnEz~$!f3l=W0O?#3Exqe1$=GHKtrf*_*5+e=Mh}1$Cz36JNQ)kH;A*%13 z=^)o+1yuYNG}56?Tv|UIp&W^sPgE7q0$a`2mAE{`>^04$^N25=#KLN9aL3iH3_-k_}W^$bzSxmomy3??W4s?XBogT~h|*$Rj>nworyhbJK>XLVg z)lu!kD`mx9pPDkK`XdhUmzP-kUOQ6cGW4%aJjBu$uV7DueiRq@mIa}Ah_w)FSx!nV z%$Ww8cwUVCImbHK59wP6jhaBfTPZ9I#^wPPK?4aqTJe|qJ8EcFXpWDSY1h`Ch}j{A zr{&#!M>JjN8Me*UGPu-}pv`WecUUUFrA@-Wanb?4Ys@epsluICpiOP2iJ*yXknlW4 z9JTeQDZSschgIT!>Mz@fRplffuayXOSh()8G-hTT0hZav?lNH0*r9QPac$laeggi3 z>4t9VVCYkE#ZGzf%KKg}(f)~Kciq|rf40GF?DYjLuTNZq#6;gTyv-sR94ZgRA^17E zEXP(aR0qfMc#G(V+FlVBwj0B7{v7 z-{Q>aJYJFOFUymR@$5j7b~J^ZzlXa=%zjCxZM8SUq+)=tUv-gli;4M>H9jj@npbrd zgONZ=NPvmQ)3#Ww2L&UN+?V;DIs!<|S80ZZZ7-{Uyu4?GU3V0wWhMuXW=aS$Jy6Jw z0i&8&u!gPgwXK}|wZDmwnTj&$Z&=y&r_x@W+`XIS_se(KT&)gXH1vi&Dc<-)zNv1KFESo)jHnfw`^Vl#xewVm+%-W4mB5i6tc?A zX%qo`6{S!g{UJf^-kmU+fU3xR{Ac%V>3C%mf2Sfr3!6qm?hg+Uf@^bRh8C4_`%EJI z=(HN+FDeUaLc{AtLt{U(BibqTJ{+y7;?7WiSCmAfB}j>x7KIQfE;p`TD;weh^Jv zxaaAk*wlZV(H#hn#jfX zk~od^!`ywK(x<=Qf67jZy#&9`lw`&R6;^hyl8QYZ*8VsD&SvAa9o8Q^5`JWGi-4%l zUbeNpD2@~2fD?QSeht%vu>8m&2F>bf%%q@-$sP$s5A%(H&`4fO`ura z@I((}m93$DXzCRm1msCzl3-a0EAIjqkF!C3+@P?so4CW^J{(Y8pkeUwN6x?JwrI;> z?ob(Kq^7TetD@J0vg{fM^y|pF&9MTsYr1)|A_KU;LfSgp|IGKEQNmb)wx0n>oX5xV z*$YXYF7j#xTnjbt^%gyd;T=*>v%5hXtw~M|89qe##OvNz`)xLPx*Nz=L#4^D(jO56 z9%A?zFCZ7FyyU+?@cnpI@y)Jp){6G~wCN=tPOoRH9o2sW?2gu%(3f)>@!889atg zUSx=W8LAy>RzskQALuixPS*dpVr6}f`Ji2sS~v_A?)^c&)_apjTn^Vx~$-g`D9Ow&JTjP}BX#9Z3jQMh5!N)`T>Cnf2+RX`d*Sfo+C^9n}haR}2_IHtXis&9B$ekPwDVzCX z)JHb6quJ|co!xk;WNlpQ#L%Q$UOs7}#~Vq|ybz^aH~(pZ6RS^n_$4MOTumNK8e~tW zW`B?}2r!n7RG7Ua*r>cb$5L>+wjoof0| zu0_%ehjt%OAc1B>qDW@v6)cQ$^bs9$h%^$5^Y$~gB)d5Sj9i%?3y}^rRSAK4;;pyE z1rzHwuSS->Ui>U?TwKbA*nR*{lBe>SKHTw|5DbD?7A^0F-Sn3+HkV~0ZzBapG$dIFMQ9_^ewf*%bt+N`ew zmD3IgcLuc{G`c|z35;uVr!?`(pVQ0xDvt8bMZykVaw>1SY2xHsx z^W6PLJ-ov7>`3q?DuE_uw0$WKqH;_{w!7l1Rk0^3{brip{$L{}wf?tY>^GSYeMdwR zp9R&U+A>jW=thr9zLmnSm&&VG_|U)pgde2qXE4q7)+iCv!Hs7b=o{1)N4Xtzv3j|7 zB4eU9dUxcvoexYNMP2^`*MR!g3|D})^Cj}Xc_z$89Oo_(VnT% zou4MxCk4XmUSGiPjo=n7!olbvRtN7^W9~Y%u_w2}*YPx3Ymt#1D^g-MLe*!wOSR5u ztJHS`A4$xxV66tw0PJk5OnrWc!YpJBIS*K#yx~(^TC8Ucr;jq*Xa)pZ&PjFYM2b|2^;*deIDjq!V)lVMIp`2*nR z$9puj{6IgbZ*Y>!2rfb{A)naW0l?@n=;F>8L&<{8`jyR`9aYG%>^^e zkk0SEwwLz)l9q`rukhSS6a6)4UU?GxXCXzcE4%Z+e=1_QO;<3Hdl!LKyMnc+Ct5}C z+H+rxD>xs^R7=^Y02BmZ9+nbghzCPr5eG=}lo+3fl@c7av)ktJgBFItS(#2;Sm(f- zu9z#avgESocER0`>!S#FO~-7OAd3YwQ7?CN)0o^K=|LRDvpME*u*ODM-NZqm_)rF) zm!pzw=J~P)wf=;>;l7nd#%i|FvSCNDUsY^sM(YHKZibn;ZNZIf+~gq+%7)>%I@v$+ zp1fHPUoM@a6!ArDEX7YkQji;Ev7dzCkg-y{=m{31UU z2;)^sA0H(bMV3H4^?QcemMKyFM}hR~r5liv(+7`B%bSAiK|g*vYj8ks1byipSEvn) z`p)mQOyjW9co5jmQ9@XTI>=ltMYccktuUM}!T%SfTty61}`yIg&_i~k_JLDxLMB%V~G_JqJ2^M1sJlG{{ z-b+&EDsbKb<9t;_$gGq!?2I=W^>`9XLx3v&(Y?o7v5vYuK=R`I%EbXIL;a#Q32^FI zljK)=Kh`UmsXcJj4**R-3I_X016r$MsRwcsxI(n$RlEo>_si`;N_PgfTO%jc~q4j)cMpI5}Is+^s=21Bve!J}ZZ4i+pZ^4bw zy`gk`Eb5Yfc+}qlDvf$<)wSfm5&`N4h$# z7$RI6`3y4R!@{y*`W%nc`k_7@I$3t*DWPzyFJCOf+z^Fc&Qp>zVN6BEim)ejJl)2Kh~Q#-YGze+>!smKZ8v z&nhZ-D-*^iCb@>-pIwJjhS@E4F6lG(lHhg0)oY>BvF!TRLOObDrC~{BiB9#&0B7d|K2wMEnYFRxC(B zO-tq5=)afW{Edvqwbg=asB6M|7k{zwyNiz5(^g?TCgcp_^!|PVa{Xd@^dwq(GU-Z* zrnctag&u-Oe(HD2(E!Us^zfkxMVI~XNFi}j%lxaY46WtUD~K%k-S2G)N!lnEkw?Lf z{WYtCRMUy@8g(+eu)J2PR3B$+uL}5Xe0fOfTRcIyOh8>=b2ofY4vpm^Mr+DD)dKm)^_BK70V|{T!%>8bm=^crPFsCj?Lh-aDH%Os(KNQIhw#^PeFRtp8HaXu z#c8=^8NnH!6~BuOTm$@*R0k*YTvJ;2u)ME3^ zRwH7-OCW(clGc0PKQ1)!6eILt!5#}Io;)n)m5E4LQ@{|gi+ahTX1scz{ktx48@ybn~RS3(lr9I5^dG{U4d zn{vnY7Ys;oR5Vf^ME;6uirCG|5oYz#>Sb&Ar5&Wz?C0M1`3FfjF<)@%@bH~_&|4r?~`ln_;^H)M9%Z{#L_ z2wI>e=7Mviwx$)l$ZGmUvP|!@F^SYI;|3>}ot0s$0}{2QivSw-KgkM^uI1=o)Gr7z z%LG^^(;>?uSSi(NL%UK7VG}?RxF93%T7MuuL~BblK>Y=Gw?*$hc0A=v9k^ie(4Iggev5%Ncuw{^j~aak27;9=6B2e&5(=O#ddjwFxzPOR=0&D7i@OruUR?jDD`o<{DFrFW3!{ z`-1AK2q+QkhjDV~(WrbrfLqefuv5}wx2kIIhSevEHq)JASwz7Gb`IQ-(-hpQh-Kg8 z%iTU4TJzJ){2(L$Jsoyj>1@XS2D;!SU$$)T5ZVwGGD)5tt3O;oA z0AK{LBO8jnsS&d;K@n};jqZz}#$sLU%`UaYrU%tM8tsJQqWpn-qD#f9^skq3z`sO#r) z4m&Xvfv8+UGA8o@#BrS%(i41-w9wZ%eqg@38sU~la&-c*!JSQ|feM`kc*?3N!9xq| zu5C#`b5xaxMDSjXtMQyh;nOV+z_cDCaUkk`PaPh07MOs>nU+LupJuc^65I!!rmFC} zf#kAMTVbz&e2aH1GIF_BJyH{Q-t2-uyd~a3Fi;OF(=M(SHJp62sLe+|&%e-gc z$Am?KPDt$d#GW6c%#oQf^wFpD`E$%D0j>~ZZd)jJO2{OyP`EBByLjxyfx*Hh9Yw62 zvC`( z1G3I*?+p^ji_Yh-^jWImQhG&Ks~$qaqw@_KdMiU@Puzz&IOUWcvj9MX+(|yBNCBYK|2_ z*%MM>Irq!F1LwvlPJc6zJ&~`oK!4jFGL(-o6Oy1D!^)o%)Gj=0zMwN~3#8Qh5qTB; zhtt~t#KFz$-yy4&g@klxeZ=!3cpwjdFf7#@7^N;jCUfEk#zCNCM=m2y>9tG3YUhXo zLI5ll2S9<&E$3fkGuj)klg%{mj!c)Pbpb}LuJN}JIm=P14Jt0jzln{-NK)a{usxBrQ^fO|zV!#E!LrZy_gtl0M4V)#s(@JO|19LG@lXPm7u|RH5 z;~*zp|3m6ub2Y4FC=~cPf^=1fxT&Hzf%yS5EhqYbQd0&^aRayZOc(N$m3^TV7I-$K zuPHI3uC}FArjT830O5iCVqVMnBm0AhS_gTG?9?WvzfuYEc5N<>!QV_O_OIX6P1_-p zXz=dw;TTyE&!A*RR?x62q;tNZAaw5)hTLc`2iPY=n3JGCj0!*N=3G>*Ah}e&SMaV4 zfu2THLoh$@+4pWwcgd&Vf<^2e`MT+gu7gE!{Ew!qSe8`TqF?joxD0W3XNJ2JP}tYM z%F}T>;!HZq$lS8l)(8-kWQ9J^pUS`~5InjDq&4o8@1w_a>)UZ+2*K*L59R3ctB8%U zU!l`SGd{?4UM4?n-*1g1A+ZjvCtLptH@CkDby@!mQ4{f|kDcd(HYj)66H@K_vmiU- zWbKmbv~R?%b4t@TrSNj8{tiN?zdi;pq{l$31Mpv18#-cuo zCP5VTm<9Oj*B-r6ga5Zd3!lRf|+VSe>S_B}6+&Kv}#q9$IqeZ#5WEn#%M@qN0|&IGM) z>WF6SdET{uUL~+~zoh4{tHg+%jp$SD0!D9_PUF<`GUDU8Nb!5sQ%WV~U+kyd7-}2$ zMx<(kwq=7fsq;Jjk+>=`9=uAq#s}uE415s_%e7^Q1F!id9HaE4geZ!KCAoLW=p}h8 z9YI@CBc0mVM!P<}ogtYEz{~T^|GXVt*?8*+DpnpE%Sl%ux27S`*2ZbygE z@h*bUZagkho-mu2ph7~FMoLMKOFfMzj6sW2I5-dc^I<}v(%-^wblh;3=LUrkvc=PRdFCr*vVTT9r>hrWH?VjZm_e7xbHCAF_CrA5;|O+o)qG`HQr+UZHdn z26(>h12Qrumoe51)aPd`rQKU6qo;Zzk&-wd$8%_Mgd2j;~r z4_!B?rOl5);`E=m`s6b^C(Af)-;)e(ia@M*@L!h;Y%`xm~8U5YK+|==JQD0ufU>N)$ zPi?9Z8$gfHSW!X}%oV(N(rrdmmc0=X_3(j$7roDK9O5Ft@_G_zkfGv&pEe%6Y~r`w z@sTa+U>1ylLpfg&Jtq9TqpCq3ep$6hA;OV+GnKCgk-*;M{bY;3&v_VWZ)?e%^jJQc z+RHk&z?Y-BxQ3;gtyE;Rh;Dz>fkOIXTg~V`rEUi)7Z!R!Y{0gE@*ET24=Hy5D?rr0 zbdzQ!9XX$(Kh4Deo~j9-GpVqgI_t6nngd88l)N*xTUs{VVVvUH5dv_s2tVNzWQ7D%?q^G!2NM z1CUWTKX6!E&Pht@&HuQ_#}3m%QNbV@F}|-9R%Q-3w>uYb-lDby&a-vn%9Y9k=S6f5 zws}v9ZeOY6*UePPy=ZZ>-HP%0(TaOxk8$c%PG_`+f$e?d-fTLk~j8EoJ^z zQUAzpC9SkV^YPc*!g07m$?0za0s?x3oAYN3tP^_^!TK>Mml`;d!Qk%amftx0L1l{B z2Jqs%ML#i&@?=Wo36dh!2KL;6Sfv$AAuoAX82(I#x#f;r`hi_{LZu_IJ7DoYeUmy` zUP@?NkNt&8bkZQKSQ5>q2=Vu>y3vpEEXTbJsp~R4&IUDKoY8SJ>{9GSS z9+HnN3>h0sEua345I|tnw}H$87^5}85r6Te znovo_Sa%H;2u2-ReM{$g#;f0<(K6-)dV`b8l*IngQn?Yi{Oee8NF71XNR;FP>(u7^ z+XdZ^EMhI0oqvPptZk}ed%o|%g95XAv{qlh;;}T!Z>dj!lSxTTV_4h!p`0A{f5z$# z<9dp}lTM!0w;|I!hi#O96lD_Zfe>?9_@I;veTmUXD(#ygNfFkQt?{EE6Zbf9y+~x# zJN3-uCE75@9UyyRofk#)H{tlqb@6t+3Br7>RKorZC%8CF6m1WhrnT>GUtfZylEC7U zvxRJCUpC(+8EBLZB&tNAktKKN)lcfgrnps`l`B0vC}V>h^WB`z3LGJ#@!bA^Cv?s) z&1jE-)%G@<@DKFF#i@los;C@pui@2Hbgxy&U~y0yMrR2ajy{M&KV1KxDJ@3++@zx-o!O?w7YJ z=DW$$->6{pkks|2hkMnaquVeT*<$B=@nyy%JIC@1Q4zuyFPg~5rS>pV&EKF0Q7I%6x2Mk<9fe-Qw1xgBN8OW z4Az@B4U~95EW0_p)RjE(w)U?|8>f4Y5jap9q@?xKDIPp`V<2_R|Rps73QyrMK`%Fc)0xb{IRZSzNXoG2rbD4P5;h1N)^iixo#L zE7U;>%)DImO3C)3g=jIBEU%9$=pdtC1yE6ILT_ zqd9rK!`f}V(f)3zG0;}C6@kwN{PTAAAYAS~c+zcAkNo@DAqf8$AZ*}NoEC4{c+HCJ z7cP=pcHNw(wjDUsTALzS&@`h7{JfP`cK}a{2O4{$a@r@{{j~!DzQC@mJN-2&0wK*& zi(~U!-AwTMp4_C2M1CR$D{WRed(Ha5`0&mT0Q7E;m9`-Ntzaf;msA?*%vsA)z96;p zxf~k$#BsL!^{pqMJdmjb_2#^%o-eO@I%fG>XBe^gQ?l}hNV9}ko0Ea3z`_>adQr2f>1J` z(!_%}-NKpB#??#UES!W4n#xEN8^q8{0E+n**+5wv8$V~a!0W(CIuCfT59GyB*F0?`Ji@wIrdn`CswP0hHvYFid|g zd%}^i49G0IZSj1A-nolEmU(igMiC=8UqB=PG&N1|C~jRA@E+C6PcRO>(5Xf8Ema5< z`J3`>?l1Ygzr+ByC825Kq@P>-gMa#WfT8Ktj%jUYl5tNqXTi#PBDXa@2kWY8{E+WTb`Hw{1YO(B@8>TtNTNZjy7GRq6Pr|UOtL6q(j zOu=w(D54j;aSoZ(YFc^|$@KMltk+j$WgE+S|DwbqzZ}Fe77xAE_m`9qfta;QJ|gA$T~CjDWVhTnzur`fV=%f=u?CEaXuGj!-4C!9@U@Y#+w3`S@S%PF`R%L z8CU2vA(ce`3R>aLg2c>*X5^K!Fh1g{z0&HLiLmjI7`$vTsnBxNX_|>4$i+H!oPTS$ zHeO$EU1F#F)liV|~DJYFGivie?)c!7>lPF;&khiU{ZPrWUm0nh-W;Hze$rV|Uzz5l#R&C}GUuAp(2gl11 z+UsZQcwTT<&GB0MbAN-8lb7B+2^L+xiY3nSh+X|oK2dZzHtBFtk;i`tt7I8Tj{Pef zc*@@umSQpJmF#@mO;aPY0PQ(!%4}G`#7XZiCWb0d6MVH@ExMwg? zJ@Y42l^(gUvMQo}%=-&t??S&K1@Ah6pdh1Fl_@Q-VG-~#W@xHaqvP|l+{d8`7|->( z)CUH3@r7LxaKXec0|f)x<@o1WU^M<5z6ot)x4fWDoT2FRUt5Hp(|SRs>sI%&SK5gJ zmZ|ww`8Ng*6Q?~ZozpOSUS&S^xL`r7P{nW1!2)WgB!__MDV zuHz}Nhy165hQ~`{xtmECa6apA`?6^EoJ2^oiF-Dx&HmzEW>!1!UpF`Gb4s4H8nbY2 zP&yXnd@8iP7*09-K=rXie?IC5*SQ>WP+|0>ic!IIhU&oGVsOlZWg8yR2iNt!6h&x@ zq4BL@;Itv`Y;pT9B136?H%`O$1-U{N*dU)Gs>YjN%~#8Y0KlWQjxP9E51}Aj5Y037 zH@f8!$Pn5>v&pz>Yr*;z766bq)HbVmP+S)wGQ-wnsK z+~4$t<>w{-Oi7ZQQG*CH3d3XJ(+@<%CChR!!bDK@rQ}XCk@)**VpqbpQ9l_E&I-4w zY4Rnx@^=A#E?ktze6_4y;!LSD!_OfEJ_>90&^25jLE(p?qI$lCX~ zsJ{{PV2E296PPs77G?2ovr~d8^&ko3njAo-S*+-D4AMGs?7x-7GhZvh*&|w&j=!nK zGu+1p1xupKYL}5hVMDQbv+2tP?aalB$Gtq{O)NBh`jE;|cgwFQ4KO;%ZaGuZj@*C# zHXau4yZjW(+~0-fI|HOyb_R#4-gx5r4d-``)B(ew^B|ENkVHmC6hquEB+l&&KNh{sNttVS0irsvE)1rlhgPD z61UiRN%(+=2`sJKNL41PC61U7Pvq&HeIEtXQfHXPN*E?>#qtm=erfp+4oW}Ja!CBK z&Y@r*Di?i*ocB}+E%9o!#-z6V|P; zKJH*k&OzcvK%Dk+*rz?~LiEHe)qHyFPOXu+Gmueiq!x+<#NVk|05ju$c#z>F3)YMu z^idnDE1{1@BnUi&hAQ(WxVCY5}-^VCL4t^J$cg}&I|4zpLI7UX9zy$m8<37&`p z7-KnLYdXq;O&oDMP^@{ezNr7AlVRq#e~gzr%+}06@Tt&vdtyOAa+6|@YS{99I46nA zeS4=v*2$*vik3g(HR;^j2lYpq)wHhTz5Yy|3C-6k#iD9t)mPn6$3P2Cq30+axzaz2 zNWIi3Y>kf*Ph(*fqGCZ^2JTIL&9Sk&nI>EUB42YsEXa;9#->+RozQ!SUl&JRQr z%!Bmv5)Wut3BJVPBQLFm`~xd9YIRzCzr-OXr?So-{;><$d;eMkTSMBRJH9158cU+_x^@or<7&Ofoe`vu|< zEtlWeJynV`Hslv4mpIEYw3ea-ECdrd+tw$BAlK}w#UnJbC>Z|Y3Rv?kPg#X`JLU5Y z@oHULXWHs@P51iipn};#W>e&}=1CtKQZYsFmj>1kOXO>S`Zdh#jXeII72`=YXr^8O zzp2jGW1FivIa##XZfos~w(^biOvCCEVykwwM}N%312JQRWRid)B42$@IpS~2{yp6u z%Rww(V z%83@sqMu{UWfR2uXTtr!A{}t>G8Yl6&&1y>eDtor7Dj`~gkqWBblq@Dcq=5cx6TC* z0i}K3+t5Ba%i)(P3)RKPDp9b0RQN{tw>T@*7bC;kI=i22|1tf72Ok`siwwb}ec;r0 z7u5NM7w=1g02rRGc=}DK%}B4vNCXP%ixVzp{X|;N6eVYOggDIef&J>N9RWi)E{v`S-zvR`2bW&i%|r1t?&GWPUV(3Bf8A`pJ?iA0yA*O-ZN^=4354 z1nBTlHqj>RrzFepCSZ;j<2!%La%%WnkXkTmk3y>> zb>W@{J_L>7+YyfyiM)IH7_@>*uEo7(B$WrLP2M=vPHC81O>ueY!V?kD$ zxk=AJLCnQep)F&7^M!MyN7`0bLEbbT;KV)uOxW*hpQX@#Ci~cll+8d?1*xkqzE|=B zpn_w{=S`2ipe_7ev(*jWwTQvW6d&1|x0JV%7+oQotSQ}ccmJZsj1zC8B!7|`bWYn7@_J%h+{}O>7_p}z4-nYT`yt+llq?ulBchQj z9D!-f3i-EMU_`wkj8O7#jRzo>fA>$DZ+@LW_(`CBO&t4F5)$x;B< zUBiEd1$;@lu7xZ#2nZoE&MT=n7iEH+18eVWPj33Oy)6&w*cqh#EC=-L@5S@^_irpc z8UJOX^HBJL>donPF0xUvCj$Q5;8a^lHZHb=@l?5&d;*qSoN;KG#r>w-OiKpNQOm#s z64`%TOH9gJ!orcq(?vuN@~P3|+v1X4%7jz7X1a{d8@jDJ>hhWxd#D;V>p18!(8%D? zMhKpwM*tAM=&9n|K$3)O<8v`e@?PLftPzvL8<{w(k0``l*j8TL8Psj?Zo|lr4 zm=%_E4d79Q>kU~k*8fQbI`b}P0jGYeN2WRN+pQTpr17H9&U$yMW7*KC-s*E!=#|6npmaw=7ICJF-PwA?p@HNd038n*vLg}85uADb` zDCgq|$2K2+%`Xo5YFSS7nKG)VO22I!WaqK>4jMeo^F#D+J3QmqFKr8VXY6Vpe>cy5 z1CH^qV+adTfv`k8*tW+3x$KWL0&g5`mBcT(Kg)glcQEU71Zm>#?`ZIurs-i}`;HD7 z|B4~lrgM%{1yF0Xb<;k{Zw=v$)B21^s1(3F6b%~z`1#6u=c-)%*~*K4wuy$oxfKVa z@S~z^@PJDL4p9iD9T+P&UpHqnSpNDDMjF}dSjB~9p)kf=R)L}Ejzz}bB`}TA^_qL(I2_Z(*Z@{zgv|)emh1=t_Z{s84_7Vrx5F-M8~;6E_r8h zu#8Ba63%`e;z#-z;JG=Ty_o_PPY}DJ84Jcqqd`}MQc%Bat;NY?Qu(o8u$#fYk^{ov zosS#I`vIf^5<6A`d5hkGUdtu~II zOL-I&USD=m>Oqb$F3VIyRA6g(JB{_^{;;@1et}E#2(qZqPJt^Fwy2IlKEY_|hJpC` z5Zx^b{Vf28gteO!=00)|LwaT2SH&@8e@~GTtRIv;-qSjOaWFkoMTGw>lBzL1xJiNz z$%w;r_7EDY)#}FVOU^`gvN$4y0=*@LPxFUa<5gArLHDIcQlSapSu7;^cosGl_DIY6 z7WEEEF`u75-y1U^`)`a^xDe^}SN)Q8k2T4;wQ8TiD0uTUA5}eRh88#&>Uuzfx)J|b ze4um@V=I2<$t`Q0aprU&b$i5AxlxqtMrA-!KZdZ6)?JIev>ZoKb72bBmx$z$CKc9k zBq~@U1wVtGZ{xRp_l+waX2OT>2;x}BQ?bf$YIpWy(NX86{A zD$~vbUA6KmE1Scf>sT1q92sTK>OS`u{YbXK{f#cI{~ERNhiVHUx(sT8j+6Fb-#hLK z9iiw<@yp-Qv9_=IE=l8ov5tn+D7;L6!V2NnP zza4V-wo3bxVmaPTiO5#cN2WB(_}zpL=}lLVHav$6x4#9X7K2*)E9i6=hXfC26G6!8 zgnnWPIil!&@8WO{D$2d|5~cV|QGyUx3V$?px@fvZeTjFs4wBOxR0x9Bu)-8tds+wr zXzT)x?hSZTydJxve+&om^4!ImqU1~UMxRzB4{Ck{<;2i(j4v(?=zfqe_AkWJKN9}f zGyhVdp6G+tp$SRlpQ7%^)Rl*gAr;6l?mj7-d|sgZy(*ceP1zqe!>k-rE8;ZzfM5wp z^X%U=g4pRLrGx%@&`%27$;x-2D0gFiCwEKwkxHrr{LBGN+AN~__88*IFCR*`Hc7I>VO7!X%?u?{D(f^F z{D%u1&G>ms>TcXS)D*EWAxfb8O7`vn)=&GpdCcKa)}=O-j_GZsH4Sx_Iu-k#$)=0` z;NO$hr$oF7XH{9*40w89+iOdX6rb3;);Ov3Uac+Emr0EN(fk=D_ z3@X`E192_36Zk^YC^wvsUVTOpl3j)!L2Mm(x ze~*l)?p{UMUg)o_28WL&Rdmy`CoST`t><}U7WkGJ4Zfb){@Gm1B9))B;oQElNn`%8 z$B%-}-s8}__jq+>`u+?z`U_edy-d}bqKMC*4cilL#}NN7Hj+}`y<>GFRu$<@3KkR9 zrfV4Qan+wwh(E{+H<4vxXle#PQHYZNE`nC5^1pfi>_bGx)FGsbbonx6ao2&}^XB`v zXoMo#s<4Kq@K2EQ8$h1z#qGry3L3%9A-&2~gpg}!F}Z0VEd7!0NNeWIn9SQ6eAcJI zTa46bvn_Prn+|gEhpU~+Jn~s%Io|H_>DipC`iR{4hEzn+z_jwohL!zv`R&;(a~)Ae z!RB-h;n55~puQ#DVP|`rFuV&I7IagtsiH_USQOD0_hs;1eFZ8pwT{p}e_QX{ z_1$c)n@;SZkgABkS!wvA`a;wEH>;#|v^5>QA5_b^^l8v$wqXWBPjp+sst?irq}Rzb zE&tT`*@CPZ8?G<(0`lHv9AWu{f}mW*c{=nkl!aBTn5v77kpgkM*= zcNFKh>sBGxz$dZhXNdM&`MK~=w{SM4u1`@4?^YrxGu9>pTjNG#Dbj#E(G zceZ<&rI0K2PxJubf15JeU-;_I^Xt77V=?3XT2&TDKH>48vbMlbA$wi`{eu?<@L3`IF6CcoLH%{^fr zz_UQ}p-R&ni6a`bt$~@$%(rOALZ8ea;~~LS_$#k=OBbOb(*Sz$UzNzga7FPla5}E( zADx&9TnPnU?U_Kfa?TUgB1A;zs%=sww?9fgZc>jxiA5@b;FrDxir+^ zB*@(oKB)WWucp@vvuRMj)vbMR;k)slkjlPv1XW|0WF$@9R2R_}vUdrf+3)cq?B4~$ zfuEDFhy9r+!=_tRJJAawUZ!FiRm$VX>2?$&B&-X=9w0rC%^HrHJtB$d0?aqRy*Xnj z5fu{bO92#o29!bapM-NUm-iKEz}YZwuk{y&-Aju>J62JHz_SU16alIc)qTZdyNbc! zC!_#@#{O@u8J#|~^LQKAc2I=fC;PFkzy4bHzHA)Dz8|%A$czD}A@ZA)HgZ^yAB#i` z+x`;J)La1;{9UeUs7XBy@2oF%KQo{B(_m5YNmPVi8)9Im+t$e<>ziC2df|XrtMS?= z=ZfzJaur|hAe;z)3qoG)4;9Z_fdQvE{%ySRQ|kBJageA{{#A|K*W*?8gp%Z%^rxyvH` z`7p#Ki;YwKm6_?a(r6ET+d&4ZoM|Vamx$<-1JQq~abnQp@zu*KURFIPYn5ZLOZidd zsvT)Ax&}))zqKZB{UzaDE8)bxzh4(b74AozgEP1Z4LPUagiL$V_76|!5e)b;<?;XMHXwO};FJ{+01cP`V2(XROJBjm+6flPnD8!*sHzl?+D3DS@b zg876P=7wIqbke8D=h!w#X^MhxNI)+fO5rwfzc-tc4Ldct_HYcM8lgUB-;gXO`Y(Q% zurvmb&6}=&-D#-z;B{)CKTQJbPuMzWEhh@|P(0TgR@}82lBL%F?Yh%P^e;~D*G=r! z*_IBq;>|j0Qp2V{MgJgh?&|SZns%CicsF~gAK1HPtBA3d@ z$j|#)SD}oxe7$K|?N7ohaPj?zANn}!sA@`b8Q(u&o1lwB2}@T;KN~>>gHt=_l$aL= zCGPh#Fkk2@Nh`B#H@A-)WrGneI`BEIk+6Qb{@~S<{LNWF@6|f5TSnun^fTI~VtS3e zFI-)g0SV5s$+dTOXUUO?ieXE~jnPj|fQRlS=M!D8VA#bc0Q~Qe=O)DJ%&O8a)?jPg zR%2cq$)LK1s&qC9?Bae86GiO?CC5LEFEdGVAmsiuFRo@=5J=!mk z_b7Qa7P8?K^>VA}4+=n;xcJah&Gc7dpyOv){kJ!Xu)c%wolc5AtCXj%Yr2PZCACAlcGTq7r`M3mMzem&`ylzwj>JIYLe+}tl0e$QT5zGi+BlN~2`Xinf zmjI^)3@dBbReIJWTtMwkHn&SqTyB;v$${G5p8Q)7ZeyoNU3wrp8yRq;eErTo7ISxF zR?a)2*Nk&!$gX613ijky9SG>-i_DvEXy=ng%fNsVfA{>VINocV8wW8OU$WiTW6@5g zs5=*0TJ_yf!S<-U7!kSC<3x1k3m7>dM7d5$5jCfcD+qY8rV%ZMEd<$72CV0vxu?7Q z==bfU8 z-6^^$Dftrj6rTWtb6)tq;fN>@6Jx|7lLvJy(I|MTdV>_6E>iWc6z_HCzV%l>Y(f3J zw#um6m>~=aXKW9-R&yD#NU1L%^P`2Yh#*z_35X1}sP?avg=gxy#|N6^%j_1+UWgaN z9fBW~BS(0Ko!raYnY4R&`)Od)ULv!;AM+|sm|iSF#N3lAuy#Mm^#|H%z?qXe!Zr@P#%8 z$SK211MNXm3cigTrWyDB@9QC9#VUDU4gGf>UV~h+BKiNY~AsV@e&Ba$DiE zoq6=mV?I8Ltp^9hS7yyzbriedN^4{vCtzJaNZ6?hWz-^?4t_cYrWDeaBTHcV&s%gK zZ>~*8f5F*cFgghGA@8}%s3v`vbR9Z-yO2d66R4^-bG~8|J3sx*XiFc<#d3b(u1yg^3qoE6v# zCCVC9F`z{G#5W}W(vw&Kv=n?Nrxf6sgW!2yTGR(Aj@5xY&zCWJMM_X_`Qfo#(J11` zzN*4PHt8uZ-0t7H^!M=2NT1lurqHaTNVne!(l*_b8;tx6e!At-Y^4P<8s?~x<>U92 zlnmcvHf$eQf(JiOqrR~G-w~%8|oB5Zk#@U9nBzd*#LylJP*G(l2lvY%Wa-c9?4&{PZgk7`su_+^-;)FNVkL zWs3N>CPhN*5TT*IrMlbkXN>Ck&o1--+-7F4o}HVYAGeUVKu+hBFdXqfYNoIVl4OdQ zhNVyYV}p}@pzH4%?q|>|ir!#+JQ6pgY0}K}>_NCjZxGPJo7p3%`4Gbcbf7}?_IxnK+gaRv|c&c{vItZ&zPkEB{VHh2f_%B zwIgBFyz!r{L$BpDw`_LCPe5uWbQ7|tJEyl@ks3c7RjnaL9r|*&f9tPtrJgPtqsm-G zH*6Q+&tDC(^S2ff9~T4SV&h|hSVf;sk?ifa?{ttvU!ci~krxjV=sqx0`5}4? zZe-SFYEg-Qn25;hT;P9Zanfd;Vgi?IL}FR(`LA0Q$9g#`OyTHCa{1P-fCSb0W`%=M zW;3gO zRH{n6IY7g0Bi#T}v7nhP_H5}(R7giO@&TvR>n(GZ(K!RJKY)U1woQC$^sn&xGlGcO z(-=^mhuAj!t^WFJ?R$MR<*1Q^x^Nqp9p z7r2;Ir6utJf?xGhdsgk-tZK$v8!86Hnv+v33Wz4{Wc~RdKzkZKKcTc=C@J6}6*|cR zwdbpxqaVmzS3$_8&yVDsF0u2D{IkGYbE~0K@CHLsE_58G-mcU%c)im;&d$y8-B_`y zGpoO`pZy9^H_Y+DR8V7Jg%3yk@H}GERb2}8I~#vt1Z|R9SAKV3#OG^0Kg+g3@stfC z&{jPrt&h2p9W|W}n2XZ=4LEjBdIR+2$sIH}sF@gcGF6|Ncd%`x6F&_-?Q4+TVZnrg zTDQAz=jgV<7iu;&;n)uX(R9GGI>Z}U+#w5|Ojm@2Bm=?AP*#qu{Z)uVVfm(liG3hV ze^x3X{L&KdvYaO^zri|2@xIavefv;ppFUr^ZtuHXK8lww>%q97&vl^)okaJ*yF=dC zKQ31tkSV3TS5C-6r%OUIdm?V}L%4lHLLlBNFWb~i>#4Gqq5rgW2J!MqXY~)cE}JKU z6M*?_V%EzFF1(UYO|&GI;8!9a&JRvUt{XvF0onybF_$T91i<^C;U6DVe(Xw48_dKR z5pEP8`Yz~UEDAoGBX=;hL~#QNr*iafvmL7hu|B!^mN<%guoqWd9lVfK48hyX+JiM2 z!m2~p=A~@Nu+|UGY$S~ZG6w=aePSW=DGa?%w({wnp-Z7E^Ya7Z4$`;Ya~dVVWd04s zi$xbZGx0xPFUQh9HzEz`z(?e3K^g$<2X7HaQn%#_waU1_KY>5`eRTo_K&xI?ekj2; zMu3UySipHy;GS}eQQ4(#fMgF1=dU##Uc{9~A_TAF&ySfYUsaYI2l*TF2edQ~+)jGP zP!d$I=9AT=7la7^I?J{twgYV|SXdHs^mNx8#?RZxr=@7^{XH^BctA9{Tz5=OJPR~Z zv*Tq8$McuNBrIKnWUV#j^ZAP1mvDs`8LZuud-aLsf~es9b=w5oz^da5;VO+Zv4m6=uwdejP|a)u zYhFn8VX8$K!Xi44^FM#^X2FW@VY*EjjjA$JCA%qZ`v{GjPFpIfaw*>T)+|< zdr8qP-wR#sz6VwA<)LD4Mv0L!pE7ll*Y6`}w2{GM0{=w3bh1iSVbcN%&f zkv@JOHgxF6dx(w!^TnAUp|{4t8!1_b`EPzG^yx2k@IPp1TEMe|PkmC^29B458~#Jr z<`s%&5*H@jWF{nDsp_49p-Eq=KF+jRX}y1U(iCAO^?=dyT^#(D*8idMCxwOLWPNeU zyILilM1-l1Yllg&!No(WfNz$d;6q4Om%l~%-SomL$&g*q3{XkBO&T-E-O8^8=Xmlk zN&l48<9Cn6GBCcl4Wak^RdtD(9zymIWr*0j8(~TeUls7-*=6u|m})0*Qj47}med5c zhaLL4F-q(|X?c6hE@5e=$jZC4(3Sa3xJ`%UAFvJjVhPv!v>|h28d}2#!@m@?SDg*{{$6TWUzKUwh1GRPkmjfUV4iQm zDgI!PZ;z^Tj+Lzb{`fZrE*eRg*_j}$g~6oU^%Q%*T`0<+^{?)eD`OGxSrvJ>Y2>$))RxSpERjY50^>q% zdUHM)0^e&coFkfdUsSk261+ti=4!Z$L)tI}qTt?a%VJ+Ikf=I>!tTjOIukNDkw|&0 zM0{JO93DbpJ+H`S6nT{sCC=Yez{w)ya4xRTEf{roTm0-Yey-Lf2m|ItKo z`Hl}9QMvH0-M;>nn5H?+wQpBVEJguqln_6w&eg(vL~4ITKm~YpGnj*ul1yqBo0zsF zwpI1z!NqSz_`q!UMXn5MfYt}QxzAT5sFC@*r|5n4@rOTPiT%@tn+ra46YVMzX(S4U zy@J&O_}eOHN~T=8KOmeq@#3z6DGa3Atl;&himfSCALrP4 zWxbLDIW3+b>!bQ9uD-&pw$bd2r965A9-lfwD0V+m4zUKC_pt%S-MXphgVM3pO!hC| zUBDZgQ6xk%d*_MNNpko&DuCo*p{jtu&YZ;J&1kuHk@R;~n#pwej7=}r(AIp);l`_V zS1#mfu{o*UG~{Zv_)=Dsk--%m{oCu>UaBE|;c#Vd(o@arN87)J9Soqbkb!omZcN9A zI!t0g+FQvo&lDJVz*5 z#OEpfw^F|n_aRW_N!jr8BOXtH@#33uc`O3&{K_vCEv-J%6#*HA*!@iS54DgO;X1lY zdg`f76)S5MTTtl6b{tkxyhFdhT~-4!4Jp_yvNk`QGn6Ls<>5VQj04rERH<4yBt^a` zO)C2S^~z?=M!@Tf3Z$|X3;$bdKU5gevU0gorA8cs?zo|gSV(FnC22{DU`8ID0w<2t zjCx7E85*k&M&SCMq`mB|skNUa2*5K$>Ckp!H*#Z0#gZ(`DYdBZPWrqC#eH?mkZ1lisL6XdVhs)nf z?lnB~Hi?w1!FIjzOpsEm#wA_jhlU!Q{5yu?8D^AFK6(4rC7!0hI%$Ue z&7oNjf;UGQ1OvaY%UJll#=FT<=k4uZ69J<=gdaJ63UB%xe>1sDs6o=HPl$`1zrt*XU8(@6vc}P+iYv#9=)TVj z2n@3q7^+_7R%(w(rt}F!s!U{ge+_nax$qv)ch?5`q*#9DF8o9*Pdv1%imrV`$dktM zq6(^A-G2p+mPj?<9}}ZylB*x#3T4OqcBH`M3+;sdUg4>BP)=$)ZU zzoKDZz#Hw+zj!k{cZ1Zpmw~21%>3H_UW-MbX~~6rQ(GN?d98Vv2q(lVr++luSBl%T zyj3YxJDgaymt({%aZw|uA3#qEfzYL~@IIo1CYS%rMsiM>(t~`@8C({hxWfqhyCg2ry3^%j>qL5Q{T_u5$owRxRv35NG-1n zAw;9;35()r#2c--S+8V-9^wgU)l>fJ-DjA|Z&1xy5xab}hoHa8k;1zTmll}O!dTGq zIseQUq~Gw4g_`?$85?QS^2e-2#7%w_4cQYKTIjD-*1|M09&|vG+i8MD_Af*r%1lK2 zVnZmo>34?4d)&wL!JaRJ@;$2&^x#V>3RsSy-A;ssNTKn5s^C$rRSHi}^~;r*P%^y} z#M+;qX|vxNq%yDlVj2Ir_@8lFaPKnFY&Wav#|+i{EQsG&Z)yYT2WS-2KY0GdX)Ugr zFE?wIF|1u;yT(opgyE@lMhbX>|D7+GF%gBny6gTRanYn~dN%{fCg`HXm~h9>Fm2I+ zT`g_`plHb6j?PMSeOoueC+n@fKMv){l3mMrvFKXA8MBcVc{9t_YOgeXnEZGJmZL}E zWwuV3{_H26n3nYwW^t`_gkwQAEpMh{tTP%NQ z-3H?9$Np}p;N@3npxOq7MrLY7E~!VJ{C%Z319L^Z6z7&}WHldQntVKPSs84IBuefGW7Ymp`&oA2{l<w`0BveI&iwP3KuB~X*1R5#XB1T#>!Whx#<3E zc^`+qm%p-uhxM75*AHN`30{!%L)@Jt-onmCa&jTAa_5QN(a*$vGxH9)vTKOH!C5%> zrs6o8u$RF_6gmBCPrUbo!OU(p8HbVVeNT4L`7wEJG)WqQbL_CZqKTU*1B&lgu_|=` ziZbJgjq310g$)Wn!L0v-^C+AL<{s&LDj3%#k+jHH!4M^x?>_xk7qvWG%#=W0WrWBq z+lAyapC;&G$jHtw{w=){NT5vxLhCBRsRp_b;2q0ciL5!3bZOe7{`?@(Dqhu5 zmyq6~{d-o}O2S)4yvPno#W7?Z6hx;#g}f^S$6xb4cTbws#9xTuzz!-~kQS1_(j$E{6oiN{B) z-BBv05Dce6iv>}2(Q*}img_!(!Y!Xg&970&`-hbL1^~R{huL|YZtd#ACHRf`9pXg* zgN5NSPYia(}owGrS~C+viPJVA)+Gb*qI6$c1bs!}9--)>=Rz6yo1L^cD3_qMq8;;#d{Ls*d? zI;yx;Xhc!4h(ih+xa)Un!-C+!>5t)Mh zgbBD~n6c&E|6$Le>V29L{6!uCC_=<$-mA>ht&;}@No@o}8)QVfKWEbmyRuxZ50Q0_ zf0VCeklOV(vsct`V|*3B0%M0oAO}SmqcjFPAFja76Bxm{F2vkaR?S|2uTDXuk0Ufx>JO* zzk;cA4C3EzNq9bA4USWLFaOmqafB(9!uZ)G@BE4#^D~YtVr)fk5htnQCuU0k=hiEF z*H)qYo%%qnSvy_v$MIAoO)-y^-fdYB=#ZT3hi<#_d%}?fDX@dr@-6=zJ@q;6!_S`J zjAq&@YwipEuKl(Z&k%q8h=BMYd-?eYD~d>gN+;-!g-?n&YT^%KT4^6{FRiY`hCVLZ zr$YdY8lCoxebFHF=$z*ue*!P@2`E@8Zxee)&HWt8AY{KOyrF1v>QE3fJiKi4xR>se zT1%h@m);M^m>{w#Xf!xI>zxuOZAU4!o9%C-j1-b$g9G+LW3Qh<)?g*Rnlb}Qrh^XL zc#lL5Q(4Y{pbURJJV55H8E06rNm97PoV{~z3>Ptw1Q$R)RGn*S&@M@h%?rWt`79q zbpgTP%qA`o)P1K$GKqA7-rM@ZbOz&Q{0h%n(jR~nx&h79z!!^KaD)r{;-u2JKzI_` zm5XJe@D&FCsu>0!)&-nBlBp&Br(b^E2J64B!gLst{3w2pyxxBL4uuM~f0G5J>HMSb z>(q(%idZAp!i5JLrUI|tU>>~iPgL|cn{--r0XuiHuwltO77NA;=kxuLwW5h8fdHT+ zwSK5;)?V1YozY)u=?5N$CHDbQW-_bzy+s}R>+pT$IfUka({=??x181ndfk8Lu|o|9 zn_+~q=dYl{YY0SF<@bBmhUw_2qW%CtJe;{TfXa%#qPLS{zNPejotluhay&H&DOvWf zrLf6)Xo(;T%RalWvWnx=xI+`@ziR>4b`?PFyYGkn?CHNGcpAQ-XERe=+FkHoGxF%e z_BPjTEO=wU39D6~zUY+K*8hKr>2{ORE0$n6#-q%(2!3Rmgd;ou(%ZfZSo64)Y**&r zp(kMBA!sWzOD4!#psCJ=?9O~On8Y$*m-G-WGJEbjaxTJDTi!cg;OhEez$?|`$Zo4J zJw(&cA0q1P>$NJ&^`pk>FzQ~{9V&eluWtU+etuEu4Wh0PR)&icl3sKe={`|*9yzqj z!uF+1eHv6#O+XaxZkyt#PlJiiJs*7A{D`4hulHxlGUFPin~+a6rW63$6$cd26%}3a zHh2;ylo`gx^Y2so zO8g|JaensW=L8DErb?|BAf0u8kbzW;z#y&t6Fs7hL#=!8g@g!aPSlmh4_USx4bDx1 zmS*whO8M8Xg5Y+wLX`pbq3)KN+|anSn{jb2FuJ>BI%ptzlrCeJrR2%_8IxXrfP)QV zetUK2g48sLR?hjMAa6G~@l(N5zr3+Pf$la!=!)>ByjWJL-#x%c1>}ZygQ2$6a``V$ zAjp7r#*JD5rg+KL`2<6Ln~&Pd%^|y-n!I#Y>0LtTFm5TQhSy38ScAMWwK{{f`zu3( zJD^YE=Z6Y8boDseJ}L~6GIwkxb?0$g$mrNfXG@Nkd>yzebw8F9I*c{wF=Q7aVSS#v znipPv*JJ1sdYY3jeX=uK|Ilx32QQ%_Imv(#f=vGb(*}S19!GIO>d>HHJ%|T`1BC3* z=((&4`6LBsaT8IZghS7LX~Sv9{wB|jqyne_vA)W~9P`6I1N1?RV>aUX4HJ11Bj)&I zrkPKFn@-p#r6KO#lIm_+{iy#%sIyZV*aid0n2z($$BJRfyRRRhSEjBf_vd_uHBZvd z_%r!*9&_3UnBFic&3j_!5?-Ks3c7EuOC|~7t5VA8X@9+Wspw6R<$cjTRrQ=Z*~mf& zTvBNHvt3fpL-SwJI7@jQ(piOs`asz9i90nyuN3^5!t0_je0Q1<{{p2{Leph4pHw(M z#@kYS94NF2=7hrNY;fZ9j`CINDIc`qw_HU?eG2->jH*rKGF&hXX`^?G!46_~-hMBu zYT*EYD!bl&&oXqLdOx%ef42O3P2YOb*ZFHlx~9R+v{~^Hx4I6Sf%$q4=ElF4gR;d=-Fic#$Lh#NZw@5F4oXRK6fkXqdNqD<2<& znvVqNza*>((PT-*NjB#Wa}@SPMfp)Yl=6%;L7bT!b#S=f~yRffON6=?83F zkWs3AUbqOu)ItgQ`C7~S;kci(uR6I@B^ab{1PvZ-2DRAu7tx^wNaJNK$2y4t=CGJr zd%}O^;%b6h)Sw;icr9yNJmh+s9MBu&@`dBe!%eAK9K5JdA+`P(mdiVr?J1hD-k^Pq zM*$Y}XP#?cdAoVg)=1!aEG$a#Y-AmwS2b#0*Q!CSk`R|u=|B=A8`k6;UG+jM8U#Hz zQoHD4GYe32T&X=E#X9mgl^Rr8J*BUN!fdLD&*eVtf2#>q-$=%94C=gp80eI6FbYHv z$6Y?g-h6y}GF-WQUD1*V_6=Z(q9u-SJ~YD`>xX`k$w7K!ZdQAL{5U)LE2Tid^&`3n zY_a(yUlrPy4o!;hGVilhghF%c;WA^2|INlq4l^x>BU zTLafQ5ZN@v_dDkoJMGTG8Fs4%a=`}`GY;yM#;k$qa9-FeNBv#enfx`Y8H8+;9^3|V zpG(5|`^ROFbAU_mtNAW`o}W$Fe*D(iirtg;83>ZJX|3mSRg8j(d*;6qg&8ua`Dfpc zM3N18gegzoqc?5sz%L8P*0`ZC5q&_pn%B!mr&tr zZ+;r<{yVeZw?+TgHj~i9q>>)VuKJi+Q2}8QP6PA~4zMT4&Hj5jvz2}_2wlG20C2BVGXqPkP{Yx-T_kKw4iY@-c-S|Zrkg!sU8YbbBA4#^K`P~-C2=5u3 zB*$I()w2st;OqIh@*l9dsZG8etaETb#$CuWpX37uIt#dt)J<$Bsf-1bQPP7fdp|0% zr2Hd89kj$LUZ_s&hBxkdca$D`?JLeLaw5vv1p*?eAqPqW&FG93wu8g9Y zE>viEZCY{TG79O8#yHl;$P0es}iV)`~??)uY9%_^d84*jb4JTcOYAiYGTc)UT zuWgmF*_RA%S$O_>a!q9(6wYF!LClPJX;;WVr%w8TMz7wLR7?PJLheu5c>2&MU7+2( z{J~;2F2G zDp`ugFZP)$MZYYKizC*iy^kq+6D-aSr#xWp3Bq~3=3WH5+=)VxQcRJ2j~=oVEcfDb zi20;#ry-<8!+RWe!)5+pSzQ%fbqpnF(nmC8J3=^{u2*JnY4tiah^C?Hz7T~X;>wnq z^DU?{@MTxy2AM*6>DVs7gK|r7?j8=mXvz%5-ohE}KnCQW1}<@uP6C!1!94+B2@K+M zNa1$wyA3F@IL2fA*@c~PEfqN$*4Fq+?Ym5sERXB43_ep_Tmy&IFtGM@bjHp~A5NnM z?q3v34VEJ7+|31U3PotIx z^9!8jqr@t;gfVfnqZ$`gs(PmPPQn)z0CxCe+x0#^e!_MNd{Bj+@uN4NZrmdKmf)hF zk-r-SmqgyQ8?|a^yqsu0_v!bKYU?YU6RJTmN7KtmsuqnAmZ3UZK(QU z>H#R0asbXnGRk6cmN4*o?&wT~U+wFTm$D?x#Qw=G=N$gn2J38)+wlqmJkM-o zoVoMX-iDoi^O{ymms!XCu^flWslpYy@M}=b4T-d(j6yFD`*uHzF9%j6D6SxV_QfJ{ z*eLDdlNl_{>^|jz306V|Tqxs}x2{%Vr?+e0vIiVx>;0z9S|}l^t^42TqI!ux=|_13 z_s2})J-x|}dR5|+U0rkT{Y(jQRetnjPmu^s4VX~?v!Z!uQORM|Zw0*9v!Z=oddG_J zf=TVgI_CZWPqgNkipZdbRXDc0KC>lg57AMXFK09(vn|ALbBRoMTNnkEkA~&<`u_Oo zK8tK-zt4+Fr6|-Z@e)t=CVzP)=W2f5P?{>-b}wjg9NkmCk$;sx4}1y;MD!T-(lnb| zA1~!nnPZ2U1vc($ETL*^L9`SpR)2j9p{T2e%lg^2dc$1ZjN@o>JS}1|!)%8k#Sbr6 zMn*K^V(Dx?Bl$igy!6rD%iXu7vpIkbU#*Ey=Cly#s3<<0xR{&-5dZrSnZ2*`_%pD0#NfEX~KgeRUXKEzLq*LW{;fb=FJxoACzoPG9v%IqLO^_z;XzV(f9g{9F*LF0bS)!EIzmfS!b z%IF5X5+VzL*BYui4j8dZ2VokRx55&s9E5vu4fV9wZUn(6nDm^TrC@63Fyt++9ex42 zf`S4PwxQo78lPiTKDGXtXnjiCL%&1%*ur~-M9DWysxtRJOfb4_G|MAHtU30va*|x< z9`m~-_QKIC_0`!8Fmi6YI4)c-gD8v7sd-IrvR|$l_){C(6kf9D#I$5I9;9p$E1V3# ze3jo?zT{~o3;)-t9F1(_Eumlm+=smAhf9z4{E`>(R`A*UO~Ct3&HQETix}{uK9$FtyhKrI^slbdb+b>Q^TK<_@BZ<~oZ*p|33sI%rDCv|G%Ma~u*p z50-m#rfCN-3I+R3>v^F4YIs!mV%(IlkYYGR8=pEISn4FT`15RQTt1`JF27xIMu8*m za*EgAs%f5a?w$)IQeE6+6QLC(y0m(C3a!~ZRltD~#N>&oa0p(%vV#GZSA3cFN0f9R z;E2=b)qPS6^;~;#bZ^_s1fmPaG)c)`>0$g>Ef01*kI9FbKvzx6F`IoH==-spXc*OE z&tB@qh?Jw*!iorOM5Xe5t$#ewNyc-S6v}1*ZHq@%E<7}cCenePW@#() z`G+u6KGX=vmIC`Z((d#DZ&0bfZU@LpPsCpI5#fYYikHSiUkO;f2D!DT;sav8irg83 zFZa^&11sY49r97t)5H)@n@j#`BnDT8ccq18mE(|4mUU3uMPPW9fV7JN8Q+)*I-IXR z8NnrFugcK}O+6Ad_!<9bPksp|#sPT=elZP%HVlDf6KIT?>1iiVHV*Ogo7FjFLGyUjGM27TKxbRLxCh4uRl0_aJcquE7 ztd>K+gVhP(Hg#iYHJ%Ryi{~wtM@08SLL-LneCTJ*IbR|gvTc1mWWv=>F|Qhxcn~d> z4Gg+8Y>maR0zdL9=_lG{xMjwje1YCDe$gZgBR`lg7%Pd-K-cQ}8qAFu)JrrPk)Swp zp&q=KbF@i({uUx8h+=Uu9?JXbol7vv>G(I+pJnQ~!BupMG~JhdRh{3zu~W+YyFvnk zP7j?E9N+fn2ncqE47a4T?LjHNGSZ9li<|lvYTRH<&m;1`s@wSfjSoWv_4SQ$mi~$z z$+|JpLgW+kKq-&w9s@q7gwv1OlePocK@jky?~Y!uof1hDhH>T2%&_1-QI1@$9GN-T@bnL=h?0aGz3bJQe^#8=vb+f(!}C#F@v`E1 z_=S;DgG-1S56dsNJJ3E%vZJ3TAV%k}~eYmUNpWe~imam_9TIXw_Gs+WrJNdtz~(6)Q`PNhOvBys5(m^rTGeZR)**IuN84U5q6@w^d-emHxWtOL zU0`R287))(oRBP$G3}?xe3V8khT@NM3-$ZRr12%K>pTuGi@S+o%7Xo?>(CA_h)!G2S4B5NB zr3rj4gB^+CA!JI|ef^6lW5uZl%xxO!q(LM#Ah*v0C^2C7oEQ1dX~+xdp2~X@&}N3bTZ4{cktmgo z6L2k~_ON@Q3q5rInhjh!HMkvHwyZ6NF|xE%Qc%icVt#qR$+^iIrsMW!@pzD9P`n~z)8w8FL#iPlS z&x{n4e2lZ)gmvLD*{ zR1<$N+ssUIoz_4hmv8ECwuNPrYBb_TG7z>BPt~XhCW>;-_5RR$9dzB~g=4p3VH)O) zy=EUk76sukIu-3|$%ZA)2&F_&PKr2f-ZN-_#oE#ijH4@Ok|xV`!>sg+(!<2fa1PM7 z@YM{*0rE#A?R=|Mu*FS?c=fIxa9cM2m-l{{ND!xlXp<8di&Ll1Ws*i7MOq)>m^mah-5c!seBK z5%tdkvA>BM0=7j+eDDRPMi+i)0-|h3u$+0mz6@T$to^lIO(T`~T7=NIX z#UP;mF)Pre=$O-xquMSy?yEm=5DxhA%_ufV!O>)rc-@+_#X`<6fz*CAi z`Y6pLuqv7`mIL!47((`Q(*c(8f%~XLR!Kn-qd^CqDspe4@Td3*&rt;yRj};sx+!qs zsY77_wS06Pl7mETXC>{~wL|}7L1F!33Q$FI2d4b!%n`b_suXv*nxF`Pu zptzJJ_W^t5JH#LExiAK^NQ}iO`jyq;Hs5dB^%zRu`x$2hNR|!q4V~yW}tPlr|$Jg7Kg=wP;U&yN~l`O@yCi^LZwqaN|J*^i1sJe-gr^GEp@#lAl ztaX~=>R+hUKNyiMYPrmvlE@=-s}#glEYh*Xv#5pz@m?$WN=Mo}L2EVnw; z#2@NA8*e+6_u;UNlI-#!Aqx_Xp%A4*D`i~tmr(H>QrGX4fm!w~7dZ>HYO(ILC1xyi zaq8l~-Qp0CH!-}k%Z4w+ zriS7M6)}NAnAdoLb1gpybc1vVvQI1Zh-+x4D>^0mKtA4Te&2h^6m%MJs+`JV0S4+Z zSs=(~ky`zxUzOI}RAEXKPSn-gWBKtFym=*6ktn~Dh_P8Z*X?62r2*uSvLYqDDeb%o z?t9$|t3pc6C|6hwBez!1y3i{~kf}Qyz&Ww-HVggg3`s3dfqMj4Q5(2EkfrX5$s=u&w>Yo9jaP=*RIkCFd}p)*6ds?p_^xO|gDNU6k8eobMJc9+objlZ&-L z4S1#oYpzQCi4wN|o&EDtj7XPpEzyn}7Rfb1y`m0pX;e}#MdR0x$!gsCe6FJY zupI9u#g_udmB&bU^KLxQD0D)Xv`ErFulYw3OMXcVYkO0C7Y+2pAJJ&U$S#%+7fjX66Kk6mrSpHK$8 z-^g%YeN2(FKfQUb8Quvp13kXW^QAMg-0OF)2@+?Xfl6-Be=Po)T$arT#$f)Ga3E$Z zQK1ygC&-vZ{;N`vI*SO|rnypUc9ISV6&pZ;W9fZ{gU*l_G#uu{)yZSVOsn+MhsdVO zV?7uP(GY}6e2P^J7P>Wb{jAK83mPfwsiJ)Gl@hx_)(JBtNt5@+zfO3ks1+;Ze#lyU zn8UIYjdpdjAxT$I#dnI>=&x{$Xj{_{M^y3ZIw+k-`?FgmzjFYkFLQ|e=t!&|8i&PK>wn>Ui*7`3MATv zQ(69%!Ew5C;g}ZmFgu&_N*JfzLBIU~6(I3-$_*LoY^Q+eWIa0zs^<|h)<^D6}vKn7^>9~^qc|h9V?pQd{jWL<;hp0};tg1CaL2hMw zshrUAlgSFs*x%{C<(904Zj=Cd1k();P0E3acP%xWyk2yUO>$16#vEYk4P(;c8Pwff z2-e#X_P}`9bxW}8w##>8|d44_P z65&C#2QP&bk;;v7i(%-n+AVk0pk#ro5D3RaMZyW^{!?11WwIw`k~e*}#1Gb!?rE8; z_w(W_R|Yw`mwNW|v>7;U&`U~&jXDyi#|q8!2e6*#kbhKe;v(=IeMm8f@Q9W=?b6jzR=2nvL4ENuz-ADTT2=Gj z$78J;9eF%YI{+e6BEtXbIP@unGyj$J$RF+NzCxm(;wPdP3dwmpYm-RfA!J;Q_(Ju=&&dvW^xsIonH}J~eco_3F zZy`5OX#Z<;;t8l*wmt^1WOD+2qZ|qHijkbAvFaLuwn>gr{y;=Cz+g+(8p}!*kH#KC zRM2{ACGcfErVUYaF1h7MU%~OeJ>f#~g<*B;X~hHJ&a0`Y_!5-82+Zg(!1c{l~7cak&CHKJbwN?vI@1B$Eo-<>q4QUi-L zGeqji(pR7FjuYo6q68Gj8iZa9gb&AUSI8+Ku)JXn;qJ&G5e<;Z{2^gjB*Sn=J`a@2 zab~w-y9$$N_B*BgHL=>QbXbNjzL$tkx5%!3&B&F{tLIh)W)-K--toeQ9_F+nyNHGS z{*R`s*m4}nqQ4}DrC7F@VJEhj@#{yC)w8JXI_WIiigNKH*~}9E{oLxwfjP1c2x7{Y+@?aC z)9d}piBfSomC`IjCw>q@Sb4Pnh>L7QLM{JQMY5V^ni@Ao&y|&GhT!R(5jkn9$~{HK zZ@ez+%PJ>BIgg{Ka`einx%UfL z2(7VcA21dI1rR(#_HUJ0Dwks{j)m>mV8)iC^sWFYEOofR#~Ed@z^z|Cf_>7wUSDwF zW);So^~kudL_%X_fx6V86Jy^P?XX(@#;57%AO~^3N`tqlLkhpRD!$rRCXx#^s9@IB z00I+Ryl&H3BRe15sn>y13!|&kLNZNH9w8K$BNW%6Gga6MTo-Y|V(r;;{{&A)bkT>; z#<-YIl8iBuvoO{AhDgagQKf{?3!>bq@R`59Ai=UgI>n(r(KZr)x9eJ@DRR6Vbkwu_ zC6`el7UI7b4eYxI+S=1(*HuNzA!fhEY%2S&KarIk#KRu&gvstf1GXwvTk!4!9F&=Ku*|8OCo)x)fRc z<#{%ntgFJJ+cEw(yYmF5lOvG&_na`~1N(+um`k^aX<+zdwZ8mFqmCK=d`c86Qx^%@ zkBXDY52sJs_^@8Q{&lV8~nB>5~#6u(BNl+?c)Gq49EUg ztwebbhM)gcqrwpbFA%4XnZciSgl_y_kB*}3+3sinHM1`9g6hXHb{(k1sCVi@U1>hO zJPdgnne@!z8SX`_y1cBHEQcym{^ja%@qERXgb1-g&tB@?;ts^+sO;Z+1Ij?r#61&t zc{r-~BbCZJ)XZ^tANwCa7S-HbzwP=PD!G8-Xy^XrnZ3%tL%eCkS=j*-3QSm)IwKV7TtglJ$4Alri|JHt*&C!W8#34 zDQybL&1+=G6t98o!np_to(u6gMUheI9|Ak+vV}VxA5dkr}l-rTlI!XaAj=(#qXq6x4>FIWdSo-@sCe-lOv%Bh_KlX*ki< z33B9=dbi+M^?1Og1B+9Vmd!bwE`1*?q7?P3){gtq#tSL;Z1AUb8?7}+jt59tvii{vNK$oxT*C`_ zIyV2_thoww7>%E)R16;NiRWXQ5N&IGwkNd$igZQE7QgHl+FtZtS6hz^d$ih=WCWc) z23^bvIurl;3GMenveU&8RjNZYD+dO&K%6>KXs0-`BTjsz>l!~!Z=n?V6SVGGIH^wc z^UHmGd3BEbWB#}3l*aK3U&ZmREVPOKQ~H<<0%4n=5R=nMX?NE8S<#}iBn+{Bpn>$x z0X$z;!OQxiJAM0zY(r@gJ!w_j{iOp5@|NQIpQoUpo6$qp?9+$?sF$r>h^W#R1W8>; zDjV`rBFX7Ozh9v{rM6C;S|-Kide6c&G@iBfBG)}?!U98cmfGlG_EfjBgR1nf(3Po| zol4WG4o~WaFz${?nZcZse%eglL14It9RP0Y*2+P6r&!^ao+RUP^*VEc@(Q|Xgs+JX z+p0R0X}WX{9By`omAI**UVU6t46PamfVFowLB`lW({j{(j2NXS>-UcN8{gBuxX1?h zF#o45U5~viab?k{Ew5)F=bCy`hJf4PAoZn^2@@RAgj0i&TxeIVS29!8Da`E!k zLlS_S!}^JTlqk@8pKSbx%dLa?T@qsd1F@v?JQ!{E_>pOUth^KxlaRYCdA-IZ zXx2_P7W6Z{dL{q2cPuD{#gw~E{fw`nQ!t4Bbu_xl=U}I69iow4(@_!2O!D$*^OE)Q z26?L~eyKGrTYK9~sERYh=OOjMf6Z`(AH(9tI)WtM#6dCOg$ZAr8XtM?tT6Z;Z$VI; zMFIH=*hg?n-F_W-eXpcY06HPk9PtsXIu~(NPCPG@aX12KhRp+dKs`-2 zts*~xAaE*geLn?nQ(yVH>_~{vQvN0ZVq_Vl+S-abnoHUtxt6v#DrJPgJkxt3+E=wf zF0vr(`-?(b>wA{BGC;7fEWc)8vL&-j&*4jrbp8&^CBpveg!6?_>-Wd|Q5R;?hxsV+ zH%VL_z~|0Ni15_@(o_uuqQFF8`)Bq-At%Oko#PxhqFW*euP4nY(*-;hkvkKoYIV3C zpWUMfY+3khsk&3d90WqqM~OscvUVX4Uaa3i7?z66+?^B~*ciq4;fe1Hm7;F{o5={G zi`m>fyTK4LOcw2(HhIZ_N<{ISeWB%9i99FL;U19Z^$7|e7D?WyDg-5F1PE&#RW!pK zv)|+&*^vUKjoe)l*!)xTYTR6_N$4$E?V<$#csKUuOe#6J6(CG;gJF0LQ& zf}|H$>emEMnTa~Vw->=S4>s52oTpy7$`BZRaDV>YuZ|GdGO`c`7lI0&#ef!++$7{DlH$!vliejW4@SQIN$tt%kx7 zkBoO#6*AvT&40jF-j`>v{$%=gH{9P)U828E zkb7hKb0(eFL!-}N)NF%5g5?N!LwFmu>P1w-mn!VTkmlqU{hbNI%VN6aih4c;=xYZt z3dUXT-)Dwh-_XI2YKWYsjoH49G2u|Nx7jrWC040Q{_taL=@r&59Fr3br1rYr$c*)C z2c&dAS$Rzw)>BF6F{+ioR2>o$CJ<+k6=L?SM%aG;KOYVvU zTG>%wzKRjl3HT9cVmK8ibZWHFGa%aOp(}-#c$L!|Lb4?ta5S)NDN>NFNKVa z%ydp)G1}vY|4P6A3-f*l$NOvMyuU4EzA|IjBPD#4RMlqcX!i6eFI5s0k{b-utekE* zG!7K_ipmVqE9G6>B@63_Pr(*Q^5lO|JyHI)3N{W?yb^x5b(7*MJN~H{WhYvdt(8;`)&@ zsJEaH)E~%E)ba&unEC1FI!V2Bnsra}Iwte#cp|6$ee`c~!_03IkG`@kXxCF}vXz*n z)i=Oc(~m&dv<~x*~R4ekVxw$S5ph}Jv(OU8)}|ME`C)#aDhtXU3Z+6KOCpDn?+O}%9MSm(_%>nW zIF%ehZMhG#ZZd3U4nkw%Swxf|w+R$nF*vvEC#kGPS8(zG--ZGaR`VC1De9oWKKM66 zbEFh0=<0HVtTnp+W#sJ7NVX#Z^JuJiQmYn+4Rp1lK_JiqI&kM_GS~94#&SFx9n>m* zPGd3T=hl}ZzkyuOPvRLvvCw~<&`>%vF^Y0;We|>UT2tjwXPXPYMIvnx|4O^5n6ps5 zSJ4(_5lnlUOiXDQrk#il^3}aL**KsgQNKn4=a2bUT#8C53%7+b*x-pDC?n;;S_{O? zq;iwMno!a7M`D*x5KE~Ec)qSlxmcb1B32jS_wphNKqAXCEj!$KmiB^u#+UFnE~=$QE|q+SkUwB%e$8Jaik64WpO2b`m8YXx7W2qHR+l-MK9zP!;djdCd*| zVAT01%7p3+;%gn4rpFF#b70WslD@l}) zM+(uK(9j)m_L)!~IpxZqE0wICR|?19@R7PK=oe`cm;9z92-t1lM;6Ie9!d1CDdbkw zI`0(|pV4jF{@mS<>WK%td}sz^?;YjgoW~8&kQ1iW*V5#XQ_Fl&^g)eQqeKComx3^{ zw~fs0!UtA)({8g~$6a@t4|jriwiGvAjh#ows}LjB zRoys!nbqf7l9HZWqbk2h5Jxvy*v*ayayCR5Chz~4wYQy6B7-E9XZMBVFnSRRZQnel z0RYf%wFUzFBoa zUn$4ekFSm$5Wfk{lKE`+Y%g*m0t}iqraIE7hF~&n(;fJ)>HJoo7FW15BEWfq)rXXC&djZAxH_ zdhggHO{32Cv#*PmkYVDesWkBYqDTl5KC&eQ(Q)gSW<0L{-7oP&yg;uKc#=0cf!_rU2ZGQC z3+&iorp*lcE%PkLAkhTlxkX;s7^qaM^t>2kx=iKw^GJ&k^>7`Rs{d0If4cet)Y5Hq zNEbFgwz&7##8V?uM}-)isC6d6Ik`i;Zv=l5rbS0K;}O;WpZ&}uYriI_* zs7ZB=>ks}HUr+`q|2C;fCvZq2-ERY~&tmW|7omIci}ZHsJSsbm?w8%mrnCZV{;g93 z;2=>KT|;2^lmD(0ohPvYLq}P(ib^z}<_v`C#vibbV#6*LcE>0_UKhoa{H+(vJM)1y3g)HEW{Ays zL@AqnGyToagkUgX$bl)_{u;9(*up8U4i<|j+-r@Z{mg7mak>Q6YNDdhS0p!3y1RMQ z+=i$gnlsTL;wfgnmv~zBgyZW~b^nXFI!boE?{~hgHLJq*@@iD1QHQkXX6MH@1?g|Q zmOaL+lgBU3!X*gNehGRVIIxYP@wePP#4sABO}X(GH)|CdaUE}WCxc_#Cy0LS)|^7E z3u#&E(Cz1D6h518%JJ!FTYx*}<2%;q=y+j_)`zs}O&juXM6>M z#IUchr7e5fdas|cpk*e}drFOlW=))+=a|J-n5lE>E0s{fo3~xt2(clgaC$Yv^I1fW~xzJ_8%$^0`8;3X|sf->z^Xc8hM4 zN&3oIw`HSnZe-1U-b;MCB{)pRgz*!VcGpMgUJ%IW(E)E2+xfeB+flXZ)J8L+g%3Zq zgGFWrr?iIbL-I;^=$8g~|3#Q_yWD%W{q66P^AF^qiHC}6v+9WDqnZJ&7TeG`CU55% ze3FBZ9hARv^UOB$>G8;%8O8d}tK>9z*|0U8yC17Ax!+Y{6e*jTqpoUfTfx)17RWw0 zP^aLrZ`LCV79c7j&UhyVSceP{a(Lu7F-e4sVK<9?R7Ep8qio@cYK-Fg3;oeYX+VLe z6KU*@nTC3JuIOe^FQolB!Q}Kn zcWPc_xh2GLCGe>Xcrz{ziNAAEy0FGmBS`VT3D&H4?To&$FHR;~b3m!Y0~@AT6<}NS zMZUT&=^+R^s)pV6nA9o=5eHJMuyZn1{1Kyz@6><6)Rsxc?`JA~-r@K8x zgH7NO3P!AIJ5`tu?yC8`*n1UBP|4zTi9xFaGdx#X{*i&aG)b3hhAtTF1t)01vDO?l zp%@;1(WT}etC^?c)X@FBJ-oj2RZq{}&XVFg{}t@u#qn`5W^n>99X>yR{fY*1CaYT{ zhzMz>LkZhe!|aAXk_rC}a$=}rBKDW9%gt+N^jO3IOF*>0=tI}x_ke64;C0v~5&-2B zrEgzk{NDUh{<Sh(HALemY`CHll$vVDzaXLMOv`T$O0SM;wJ9G2VnPJg3sj`$sHak4-&|3t zhc(T3!RNMwSpvOJQ1#nBUQ_Y5tmS*ZUfi7pHgfzmY&@zzF}xqH8!zBRy{%@0JT=Wa zAHbhQg4uD-fIc|0JQwuLA=3R#>}URHtzWMI!6DTW&P&)FDhK1QEGmNVeO)itMjdr- zf`A=mDj&dh$D(<+%RcX)7d^*JFoG2PhRyvWF(p?3)HpRAzeaf)jYHQR*JT=3OGWJd z7gb*_c4Pxib8A{hbn%syQ^^D%Q*%Ok8jT2W^V4wmH%mX|bANv9FF!PkxHMM`Ddx*W zWFC8CkE%Y!7OrGCnWR!okeNm&s^jx3Z|s}ruCbUR01RoWlSb2iTPeofPX=c7eJhc# zQgaA`W_kL#;tgAokQmR4ZhxZRG(o~BBlm@@-&kLukO$pufH$&!*CAsot!^}AjPtJF)GEJ z9V3t;l?ELks1_Eml74kcf3Afc%@6)Ceo1mfUYz2T1I<1p@vfiQ3laz0x??vwSm~L7 z0ZWo{=Wi&nkU^jj5;U;_X+2bkyqY%IHv9S6wY3CbwS1I?q0xQfTv_nBi$ql2KIjs%3JdwFg6S5^crpg<(`<0lLZ#^6EI-Xxhn7CBPsVtA8yK zi5?bf!C>5=xD3VB%PKX?6u;L*XQEiG-C5N!()@l7^zgcYB5HcO^4aYw>eYrimH28f zLimJ?=LLm%;U7eyoQH!G zAe>;D64Ib%HGx~oQdlSkoro*(vOc>;ahdE@ey*<&z4gXfXXlY6cm;PxPOgwKwA0jS z7^OYQuQo4Q9n$Cdu0N|Es6&p_+m!eadSoS-^s!hsqx{7Vqm#)WM`id05)Z#Tq5 zr4ZklYAX3;iC5^yd)eq_JHL-7Sy}M?B%=}W4v8Eq`n{HH7gxUf#Rj2Sxcy`g)B`+Y zg4yxx{ZJ~N_+!*zjx)C7_lOc9@Fc`1zU8{PzV73_DXHU1quAN1Jtn@CQmOD-5ld4V zMxJiszZ6m6?5`JyeRRe|D5?-Tvmi@l36k(0(TaZXmMjz26r)lZIBJQ=V589U^~>nT zFYAIFNi21?yjj1sGQFDq#^0p*hEN}`U`zlah^B>n=cf@z7?;fLDF%|JRo6IIGD&hl zpOsdmNUA}ArFHD5cL??(zPF(5i`}Oiy(0jP>{$k4Mb&pTRVNKY=a&^zWAFFP0jg*! zT}|rP5vsIJ9;tR-yHetd^ZTxQaV*$oouMU+hS4yjgzG76F*iF$CSaJpru^wN9TaZ7XM*446P!eH-K#nQD;e&Xbe$2OoBb? zM*)rN#EgT>mH12%qOZf!TzZHQu#;U0p2qMtI`*DCeWQ-P3kVZ`tDKs5KoNA&3j4Vv za2k;dQ`2a(bb24kAEG1jd^B3NFPIk^$QOhjbAw6?27m>khJ6%&tEXDb5?$i;KKbv) zssl?0maKlURGxiUrFJjR7>NSTr{`Z%PhReVOtT$_dkR8`DxSy9DnZ@@K^+Mq)q}@7 z;*E6u-;kjo-mYd$0jS9c#Je-v6(3$>2dWR*IPr|1cBMK?KOCEt$oTmbB-zz+JY;U` zUg<{QUuxk5UgP;Iu?C+(W<750t-TH;iX1Teer+O6j{7Kd6EGpO@`T^yfuMhtIKsNV*(Vc(3;vE_6hsgw3p>P-iHE?1%PbQPPQQ~Qy zYDs4Jf&WIfHdF?}U=2l^@|}3|YTQ=|o=AtMDn*)a+20+fUDd*W3yoj|&<~aR>o`iN z@>lq@+K-ixgb_KQ?h5v9<>UE~felDP3B7Fd%lE)w_-41F?(Q4AnddKcMy0Xk)>GMN z@!t_XYWFYjn11LybLsvdDG2jNzIqOh_-Z704tGg99+=JO-ZXu9dgTYs$m{diYxr2G)9o?F#cf4lbW!jdut z`m(^m-617!aI~vwmy~isv7dzpY`;jDf&w%_t4qdQL5e2Ad${CB;?9hQHx_7WY690g z)+jH6mR+}N^!jI#a!nT58fGouEsxnhv7<_u+5fEcCmGa~;}R5=RUE*}Po1O6ATV>L zt@!#bxt#UXu#6@CNlJ`lxKjGaxzdBvocw_R-wS4>?Yc{oe4^#d>9UO_aj1+ri|qx9 z893nz2T0(HPp_3$TItq|S$k4>1;vOWGoHV!%8ENaXvr!8+blQ9^02RFqsS-z zIf(z&&Gu2`;=AFw6!~Ng8`panWN`oO-NV;$?LmdASl1)n+Y-B^^I(+Gf;6)KTOCk- z4Zw|?mSQ5(n>|{uy|-wyjdV{kVZ|UE^frCvQIvtpPcM^6#@1GL(MV?CP{jN&6hBgt!S$}@5;SQ#KnhPv6@YT`bbo*RuMFnfib!xH8DgeC zS^cm$X00vir8FYxz6e0#f$MiSIZo$p4*oYm^$V=Z@&hyWAVX9(NGJFXlQD(_5#$#R zOwlFJKahW z9FMQT1woJ+{bSAIqS}hB3lT=XrWttPE+w+dk(a!83CS&hoz6jsME3toBnB-OjQ>c( zzq^p2TO-pERqC`&{bt_Tm4EXZ_H9+Eh$ri68Wy)TcSzglPCbcqz|-Tg(FzNwHfD87PG>x2^oGF}o8$8aQ;e;#R?d<=7aEn==0 zy+m*=UwLhkDb8?5>$f-n1Rv~qiDKQO#YF~84hy-5SPikrsLkE%(H7Cmcc1=z2ze|v zt*#N zx52EPpxZ3C2a+-~vZZY)RPnbC8F0gkhxZ^TfceBxOabNx#J83xI0p$A6LymS(qr!* zZD9DHE{s17h?|B)L+o*3xuBe^J=&b>V5u8fcGUB?fr$#7K8(S{KNh`P)fL?OsTsjV zWE}b!)y9;fM%*W0|+%;!2OjVvqNx6fa61ab})Yc6=+lrh^PrwyLFBK9xfvBuHxx&&45M@y62 zTz+#4qMScBt`^SUjSs<`{TzL(&4*nSW$)Axa__#Ut;`cKxre2bWBOe9VRD7 zb#a=VQEFsP0YS>MC!5P{VwDZ_8F(WfPfeT4&LQ7}ILX4LDK`u>2s4kXykxm5%;NbW zXH0v8r~+fJ=mGoZAF=ns$V{i?EVEkbCdf3W2mof*==$B`6~&}|@kGpp%JWlXH0Z`RD8HvMjt3z-M9;~aIT?@A3CyiR)_r_2 zqvJBq%Q2a6M19IUn@p7QS6##PEDkGUjI`(n`|Fnlfj>G-gk9#wy zLq<;`FUTOnuX#q#@zY{G~ zzdRq!0lP9peI__s96B`qlnN=?gIR~-OFKEUT#WRT9nQ0aCDMVpPiG40(oznH=4WCy zq{Y0LlQ2%Sq4VOSgrGX8^IW?hCdo%V4wxsJa^9~iQ*id4ETZBlQOPqg@3v`TnAkPw z8RsA?aTLh+U^4n|h%1D`cjM$K7h0v&R7^S>pSCJ-WaLf7CzHDFee#8F-b{Xq9Tu7| zw7K{dZj7D$t=^2@J9(Mm`_K@&`Ri7(UpAoLFJ4EF4=$2`il+NUyL=;ji#|}C9N%x- zkR$=TNF;`6ysW{1ckC`PlI7H?TylYZRPVGS}evN}MTj8Q^6tB{tyB*tG>~tMf_}~A^!5+^RA5S0X;^ubd6e&l+ZY}xL5KQN=c_=ut zm)XQkhI}4d(<3>09n#mtrDVC;rJHUbuRV0s#|Vc;nXn|W{jN$X$=77+F;-_1H*Eh! zJ3Y5R;}R*TNxnGN`)|)8n7b@efkezRFbB#YQ|2|u-dL4;zL)}n3Hn!VyMX%H0oqkx z0o}%k*?ONE=ONPa4wC|qpP4wqJks$X~8o=WQwcOUf_TBwf3c05UuqQol$7?VnU0=cAUR{y*T-yF3&e@ zX%$No$F?}%*`)zQObrlTeof%=cS&!b;u{7)6bF?aL*t84C<6p3XH)&_ACD{ph?|}D z?3roYNI%@n{fbJTjB8DUu8>4_lPw{D5otM1MdQ$e!~>mvn5UEhh-C92cMJv9v`Vphnw+s>42AA@>7yaLyOc2CJ z{J(laI>m2~Ge2V{%OBZM5AG}O1WZ1{Cp}PYv%4zg)6*9jTN^|Nz2(ed&ugg!3sVYK zJTaR=Zj)QH1nFZ^1t#SIrlCVqY5jb4>eZzglzmEOpnp$D+F`wo1EBOssMvY(6HLqY zcrHZ_XayarQW(5%yc=oz$|&!YR!IzrPCTvDIm8xIwe%ImxK9tYvB~ugN7@8sd#=6z zk*PHz+hV9e%>8D1WtYFA`ZFYWCbWp4D1D#t+OD(LG~8DcN%d^j#l6p4WNcrh9Ow-H zO@HGX@a7qA*|-F)ADy_Ge_Of)SGKB5Fx*!@u9L5sS*AY7l^13A-Gn7>EHO;MP*jDD2P;PhsrKD} zkn{DahSEhg%WAhe+fCniNR?p1C3H1{&3+a`FM@?oo_(5zjb{wMnOO_F>XUe}6uvgMLavPSx*{h@Uj9@xPS@D;^S7(3UC^ zgDS-zW=MeIG?~H^8v8m)7)O<~el)?hqY*LbPL?mSgzs%Gycmss&@Wa4+$K2WXpATS zSpkT@(rxlZ!YewHbhwMa%B_iCN(WlPDaBKZr1VT7hvG*uEyGWE^xXx45VkwVvnHb(84t!w0#l~*9Y~? zM=6639FPp3ls|$c8lD)z8mC+-B)I^#gNak?4%f`<*6)Tlzr1-1hNB zaA_#Ku2l$B5XUK~UqukaxWKqd<w48B|b({6H)kGt%0P@i~{ zMwFCw{bn&eVkpW`8we(_2Gm$ClAUc^Tu;+8yr$`aw-(n27}QO8uz#3IVf8SM%~;@u zpU>Ps|4qsx#(^Q@UdUxr<|T%B|GHzuE^Fzhv!)G;!^#j}DyRjt@Y!(%aB7e(S6~3G z9`?S0o>o8SfOS`9{t(T!U5M6lb)Bv`oh%nowC>jvi_>YoqIsWod&V8h?ex<@P0u>C+04$Ig#wz|_lx-O*M?)~{- z;2zjj#X3UwEWBE|E&bjpyYtHN_ZFY;GXwxYNZgZi2Grg6OG5hkb@a(WFsc5lAJxWH z7x;U`!7_+jl;EJd$f8&Os$7(m9{5v=gUlU>4DcueY(nWLFFbnx;?9?tyTf6`_Yzf= z^#qXlH~jEB=UGWzYh3Za``^5-hmWGc`QBmuJOd-`f+g81m$KhB9fokP~u-C%C0%#Ny8X8&?eegX?@k z4ht_;-pj+P4hFwt8=0;P@sM0Ti^~|Pa5D9rF80@X0r|2c`DgA+xuDhTy4Ss<3~N~z zkco@fr+ZMdJ3I<}dx8aOeAd7qv%jg7>0XO7Ro9F&nkTHB8*xSGS;MuVi%UQi`h9l! zzDN=}`&+lU%YIs?`#kr%sZ7GNJzFL3==ss{JaWSvKfb+o5QQg50wtI8UyrEGoNI&L zgPEjWMJi_Dt89jef?@n1iaFLp3o7M`hHIwQV{gUwZ)t)Q$!k!H7Ds`eu_)hxPNX;i z?WeP*6m5_aNQ>YbHHh^P+&HEOQvUP45z|=ibZFAZS?-TGfw#I8m#b)6C;QKVpde&7 z02EcNTI;38D~~jQHiv%Iqgy3wsi>(fQxG~YVjpybd!H35pX52K^RK+@ zu;xTI+oJmCP=N|*%+YDG_Dy1W9Cjdpx;1i{nnGk2+~ZUZ_-XrU#!R2kHiZZ$?Mq9G-R}` z^`Uv?z!t*gZ_^A_n$sk9r zgIxB0{1RKt|J8IAYjSe!_G4LpqS?g6x=ny@i z74ONQP8b&gANiubnqZFOrvNY?4;rusjX9vmCGTA<_=rB}mvPIV1vGRu?RF-4BmM;k zOJ)Ys?|{93U*QmiEM67*2TV;R0D&N;pDZ9DJ_Z%hd6L!CpRcpVb0g?79r=3t-b$(J z^eU1Xa5zE!ZC-9CZr!aUbQoA6vBu0R%|qCQ>uZ!9{Zuu?^hpTL0oHr$;?Yn$rMk|q ztT@C5L7=btPUqi3is$oB2Cy5Gv;cwabo3;Bl5x3`+!M-BTx?6Bi(c>L)ih^E3*XJ!jN@7io)D6RxmDUP|DK+ z09?xAa*D-9WdQy6RufEuMe-N+$-gDJH8RL5%)@m}r-qi_d;p&<^FQ)eKU-6X^=r9& zC)9qzL4)mc%=WG2Y3WHH)6Kg7TW-V{9YQ_t7u8`r>Uy6-lm#y#0!|J><&WWR#qae7 zABiM`zx|cDQ(Bxga96)KTP zo+Ep_RthL7LLL3U5e&jIm$iUjJBZ8cIWp&w;N|-N|(MiPZRHO$g0_OPZl$UMlY8iLV^qciaApf%3%I)0WtQ2-hK=zG|l}@iXZj ziaXs^YOdazK({zhA+h`97pW#lN-s%gpYD+J&y?I-lif^VeuAA&KY_uFJBhmJBj2{mP{zja>GF!Ys~gZw(-JC?1s@=u1{; zrk%8CwvBG$e=ZyLsgIKa3{kws0a4v5vr4rxNH|c`e`un8D(=|uC)#?5&2PL#O~R+( zSZQxH?I7$C^-5#t8jocm{VE3AwSF(XL_RteBKHD2i1{Q3S=zE78g#h{>&LQgMiE>v zbmv(&#rXmpItL+X(LI7$!Fq4^SQ(GR?;(GAxVT#o1Ra_2Uaj?!dWaOJFX`TX`DKLX z@@^t8hn!qFO-H^I{q42jsvLjV2w(uum)_8jnG#-kWs!;x=wxlUIfgY44}T@$zDE_z zFi)?%#;5uDzO=;cKErs=d%jWsdPG2`l|iNf+cWymR4B^;!BXK%%B@cvSLbWnqaupB z7titbLPF7$Bl|;w@`};S@d^wD;e}17zxi77KZdWBrt24OS!HrYc|KCZ`IfMP3V#!) z3sS@1WkOKOoNZVEqI?OGShFhrzU4z~I-`cwG5SLrpIEdBMp|{*@mJgcsn=$caC)Fa zG2Y-)GYb;x17)I({o%M_$KQTB$R+=JP(L_^ftAOo;g9ycSa-Xv0A3ERj6kADoJ0sA zpb+X}#vS8?HE&Bih-Qzlh#mlkidT>3EIxxrmSFb%W=DEL{pHrJjR@cCtOuZ^bD9_{ z>S`Zg7sC_*?Q4sn0M0Yd%{nNnOi+c>p!A?wLe?dCxCPYD2u7XhuAM;EAaYr9MtD#} z%8+r?m=28}LVxEU!SmvP)8m!j)CuP`>tWf~gO!|U{D47(2MeQ1QmXB2Ny0IoWG&Ya~?61B>fEvANj%eVG!^L51%7jVNw28sudSW(6?wb23st=9XXgJfI(V|=Q%g03PGPaVHX-8w z;=4UPX6_&aOS~l{j6G=f8WU0qBKs%smpE?L*EEq?5<4_SzOo%OB(3OxRYB2OmgkWp z?9ZbN>5Gbx>V4=+lS=)EJK~E0qQ5=MMA}+)Q5#hk{*pmoKhgC=p=bm$o<`!UQ%#X; zwX=Sc)$i)W%bINJp?e&DSoN)(E4BX8S$+tuT`zJ4tO!F=SPK2UzS*lmlu=`T_2P{Z zpz7ABluS_n)*G}Gv4q<8DdZb)Z9!+qtPN0NCc@AbfSmigDwKbj~a_ z_+T0;C@f}O+F#vhsS=U$0tAj;85gX1eWpk2WJULpSWZU#e#h1G2a!xJByVC)`29t@wR?lv^?=Oivf$7 zB+=Z$Xn=o}KWdeCY!|L-#zfuIfY%gRa+4-=(v9eSZ29YaTCK_=;m($@oa8t4pfQfg zkA^Fe|A|P|;2KzlKZ(H$MA|E#*R4q_>tW2w8BZ|veVy4id;`(v6j&w(iO1a=25Q2u zGi#AkVeLKUm~c1fwB=S_wpfs)P19%4H8+Kt4@m-E4nkpB+vD~H-LO$vy!@i5Z4V8L zRw6evFflfg*+%E<|g{gZ(WO@G^3u2hB}zf<0=(!UJBRc<(R+@$mw4f)r@HR zExr=b*SRSnNO5Nu$UCGQbBi{v6+?odxpF~nA<{qskI<+s);!_&U5 z#*+R)Bz`?0q2IO?Xd5=;cu@Ynlhkh|kbZw&vH|+D5NQ&7Gf%+fnAp~u{#q-qN|Jmc zKRt2_UrEDWsVNBuWX5{^FNya40B@;Rqmn~_IYu{+UHr3t55VX-!4W6Y2{C zwbbYO2Nsdfk7bZ_1zGM?*Yt}Vis{P@DZTw0XQ(N~=irb4+`MDhw_^v07Tt995+ z0ctpnyeN7`zr3DhTMsHSrj+lK zsrT6wL)>h!pVAIOEvE7WZQA>5=}@Ih8b^%EN^N^AI=?XJKjJ#(1iu{g5xX%T4P0QJ z04#5uiTX;(9Zg4V3kL-ZM6o4;5gmm^aGv)RhSYY*lF?5zD_Fyh%;bgnJ?BUbOk@ zri~f7up8^YK-0-_xyNUeDdle5OCLp36)8tk351&}gNpMc9Af2C zZu5uZWxGRT`&C(=nxAKBDo}aJ&vmm1ub{VnqP_;9hE~Ho@d?{zD$fvII8+Y+o964% zq7|QCBR6;WD^=6k)XoWiAo$m(#C6nX zZ7g*m`;k=zt#w=xXR;WDo_Hs1>nQNeb!w5-VU40D7fag3qhm-zUX;O?Qb*lz1Kh=q zC;nd18Fg0lHZ1H}0vFxX;Y}o2a-K*22`|+ydOpjn!N&6yaiiIZm7GP@68lHTxoLq4@`%PAbAUkN3sX%J=)`sumRbg>`rz=e3R^k&>@7x)@(d2APfH zVB-0g7&7-NpFg1Eq_?aVw=k?6Eq^^8(I3-nyXTmp>y*=>F5r~?DSaepCt1tR_wH%Q z$P&*btzzTCbY%zn^8qyKmFq>Ls4eCMpvKk&=?~$(zrv51$yKY5CM)bn1RX^6LCPAX zz#KPTRa+?G%J0-y@m%ruECA}i&G+wBj2HfZ$SbM0%gP7mX?I`GRQ1#BLq+n`(HFCv zW16EK(md&x8&}_#j(M74UZc=Br-gQSgDNIdTwg=@Senq60Jst0fw>m&#d4jNjM@&I zY6miCg*{?$4OK|xK^=h)sdfEOtnRsGPm47^g@k?C~Y{E03I^~L&`lFUbr4W5LAgz4{hkwo z2biuzlwp)PzT56Il4#~n7+9tP0t*YdpPQ&7e>*Z%lBf@9Ogux~(P!1H4p)Z$r71?b z|5D}>zk&Wsxs4zwdsTA&sSWAKVOmK)Wjn%}ar7g-@ywGVH&WvpK?{|(%77^RBDR#y z)NSYhaRG#TZvy{@Sn!PMQYB`SJMA)hX1qrOwUjXBx3)`lO%5|wfu;Y&#F%G4w65rl zMrD~@^_+Q!xQ|NlO-S{c)TSiN%MihwLJrnV^X%^YZ5ARV`_l@aWgL>W5(KsiXOjNz zY-B&6qMC71F<8m9XA0|YWKaBa;6T(oR4kFnDDfb{Vh?75c+j2ZnBNy2$yX|fUu(vy z`c2L8AiV|>7x<%vQmu#IFCe8ja32QMHug6zzzI8_o<{Q)b8XhoXBu9W^9ZK}ARqE% zzxXLEdy2?KPAaB*eF#Z%;@u$qTyKa9WE%KiM$sJCdNa1?bn2VsC-kBtHj~2-1UD64 z5)ZsRbPq7W-^v%9JcEt{Nql5p1bpJt|UHxhp(+!*QR zy)dsm_q5=2x(N(Y(S`uM&pWXC>!E}a!$0$Yv3ZW$BjR-Jm#1-ef2C4&yi_&TCiDp1 z%YCB>5IF0H$8Lwljh~z*#}#r~H}7?tL4}O(0zutH`53iC+_7o}YA>RQZ~ z(8|%m?V2^rwqN^0Kp8QIa)|ip`^^&z@l49(S0D(Kt;qgMf)Jfsj~U5>EJLB*&&hs+l*`Bfsi-C+af36piIDgqJa6%MEfndxL-edl^ z#)3({I;7p$Bx*#%ohdYb0-9?K`p92wedWAKkbjlS^LPcGTvqO{|G`K>(`q9^e-q-n zQS3fqeqR9B4Po8Si9aM*+4~uu;ykzCix8RJI1YnS*>mpKAnK2E>s+W0|$-kHEV$&2jr2mqhNfisD(evOl zR>|vdh_H*3m!h)?w(#1jA43Bc5ZZ^*(0LmxDsz@SFAtXf)acZMmeD&?UDFFd0Xv^h z3+tDEJBo*Xj7m^i5H~)g3^o`x%D6R=2;QMXeqhUqf3@C$v+Sd;a-8aw<9167GY#Lo{ z{rX44CYmSy*mS@b1}h`Gke6u*2j5+1Wr}VcTJdcYgJBb#052|XNkie`m%W#<35$mR z^p(?5g;V-pwVp-rIm*s2x^Lml>PuOB=4v{QQD`Nz@E1wo9N$S#s&C|Dosae3*?^cSN^~M|y#~shF=*D#kYtg!l!dAk)B{I+!qN+xnwQ z6=dk2sYYK2C>8vt(A*^lvqbr7cNHJc9I2$)jO*7=D#=PQ^1)96X$86*Ga%%Y#czQ| zs2l9`WchloNNLsd@_$4P)B;Qmed$2XslK()gw_RhHnLs{m9>tI^G*6$7u=72p{F1@ zQ}A7lCLZ;{NnhYJ)mg=YCEa{iQYR%)=N)ZQSRSFh0d2;|!ls1yD{8J|(jx9G7JEsE ziHvEdvtzt6nZR$q@nSH!F6q|7sB-2VBjMNPrOWiBsVl};AjF}F3!ln+N?zY(Ey;ys zB1B26UwV6|aM%kCto2eR(zQ3MzMbXo`AHWpiPOGoCqcPib&5=~oc&eSN)NZPabZ48 z2Q~!pQf47J5V>$aSz^)`%mW6$FH4D>6D-<)MBvebUBSRK1-h2#aLuKWL*IPdo)6J& z=y4;6nG7R}5?QtRDwzdbXPMW|n7~&g6SoEJFe#EAeJMB7AbexV)BG8BXBV%lEm2>V zvPSyjok?v~zB~-qh|W47K3dtzX;!CV#O%Pk@59t_u`4V27q2y@tZ#rNJ~bB$k(wLN zXH`&4mGyE80M1~YidDLeM_8%o!d8a?vX3mD)Al?HSf5s28jMlx-#mA@3dUIkQHX&d z3oNlp@LfOEKcYguqHc?prv0=vHF@vb3ol|ek@Iy#8As~E#a_k{w0G>c@dN)r$`q|O z;>loFL7+d+xQBu3>zG~!M}%x&alv4K+)C`d4y`6$+ywy6^EZbaa7<5imNXa4KoL=1 z^EVJTVoQ$V4`XBs8aOnZwA_!|z`l8sxDJH@=36=FcS`>);baVREt5U3u7YXF+7IQq z+ku7IZSwhn$EqJl4*veYT`xUpeTPuL@`ujV%GLc`kjX6|7YwzaY_C64aURSg8P5sw z7_iYX8s+OJ`ME`oZvm-9K2q@lqz4jKeO0*jQD1KsD-QAc=npv0NR~GK>ktb^H*_-+qBA9&*y+SVkmS;n=Nq!n0QY6c%n$YA5<@orj#XS!ITL$s`wn`(c|H&`&F_Hji6l_H1wLyrd{TPP~5 z6J~cs(KlMPj)-)&eyV%I^}Vi0Kct;bVOiX^NPCr(K)tDWLDNJp*9xI|@Z#1n^|VC( zhR5rT_l%XLV)W*ozt$6&4*M7wDP(DTxJetOaJ-B$B#HBMW%7u*s)Q)t&DU$!+A}T` zqcv9jg7xC7hVd=$x%3D7tKyWQ`fbu!O(9gE(AuNb7q(EOeU&;6%Puk~O=4I-bJb5O zE|fZbWZNaX#9cf8?vQr)>%IAuVlRGE9$ZP59CrEb(AV>k)hri5Dk51CG?md!pTk^) z@o#yp+lC<6CptH0Zm5Cn{p9cy^TDKiIKE9zim9FrF(kP2>Ljv_%&3CZ&SWdpmmL{4 z{L7uBOY>lV-w>jbIvqiFD_8YWZn=h})L;fr^Fky2)p}H9pG$F8xiVH(DfW2Mv zWnS5VJR@$!&HgF~kt6YBF!FhitbuZX10nB4wvJ{blbp;&SMC}fc}3p<%OH0Ice(zM zmmm+{vR%&wX>xug#x`mbHt3a>#GXH=qdX^|y~NGZj3@XvU;aM8icY!*Cmea_+Du&q z99AzZ0{$3yH1BbZ7wP_OO4%q4Cx$)om4%u~FTK14LzupLs0X>XTTshq%b_9r(9DeY;qmjbVau&&VwmMc zkN~$QMTwbU$uMIr-&yx5I5S-T#U_oj$rJKRSB~cnpL(_e^6KI_m}FFh6I0xo`bJjX zU>GLCHj>1(+R*oEfT??XT4VWGIc*>EJTRaef3XzUW!j^U&(Ii!<3`e<;Ig%NAL_QA$pg z$ZT#RRNFg6k#j#?NV-By0q6VajTb5y!V*b}VH?aOJc?y~ zH9fswtenvNu$jfvsv!%Bs>IoxJ~Co071oNj=`&29J21EfkF-N&8(lergMN{-aWV*E zebYnQ*Vb0AYEp@;{hNxhx%m)f|NH+Ewg}ztA88(f!~b<|Hx$P@Bx8mFn{;ei&(;c} zFA+QP^$0lUKF{Q_%n{Nk2tS;(UJq)0WJ=iVXSo0wjkU~2VA_E{v%Z1AgyLdkb^W0~kLStL1YB&}PT>qq8?s^%?2`n1zCx?dL4S)AW$8l>F{CYci*^rV}} z&1EF{c`XSK;@urIHCmU`*5~z+p}OSY?p+ibw~q}XJgME{e@g>?nb00oR4DMFenJx%9$)IzYc4D{eN+P=Kken*k}tsjqpxg#Q4WTMVm0( z(8Z0KNxa&Ppx4JJel#f3U!cQmK?(ikwWDpzMIs+Dofp!7j zg(WZ5bD_@@4@eP+D}(iJ)RR@V(Ap{NpLTX7LpwVY5`S3pb*@MItmegUaDbKS@AQ!Z z)`RzN%#iZc*6xjX{9B^oM&kvlbZqEu;_4IUS-TwBSL_`)GVt?9Yao0)zPQWI?wA^# zYjXM`s3EQ37x|V>{=#3Lub}g(6*B!f$>Ux?$S_J8C-@n#6NPTOG)u_##UPq~o?Grn zU0&f?T^BL#N4897wWWsneOcCqQxAVEm^jE!LM@-G)uUXur<=H~v>0|uMJga9&u(w> zhJ-PMe9~y7$X3LrIp02YNtE^o2?h%7Kw7XAZU7aL3%tCeZv*|6kQYkgn)DZ?p4$zP z>sR|zn6c_>K!OE%u{dC7=v=DHq^$*OiQ~s6NTU^Od_}j#DXr7p0BGn;D)SDE^JX_lf*6=`F&=* z^RE>^EyOrG0bnm#jfB27j*GA9z;R|o+hdH9f*g>}nspR|;_Ah|EQ*oeLCgXIlMK&; zwh+AK@ubl-my!9{(7;_U>+%BnvY%gjF~-r6pw4bO9-3Ax zZZVxS4{T+OKFY;I*U;G#6wK6YMm+9wsK>0?vg1{AprT(CxE5zvz*5&O21vY#mRMz` zGJS+C{xP4GT!Fu%CleM}DF5wqUa7xxC-0pJw|JD{e=dnNqmvwr8RK5D?*YAi-KtWj0-_2=`#9cv+rRXp%Xlt@eq28FRNota&M2=Z-;L0H^6q0CN5`t`s?idK#RUJ9(UE8 zrf$sj{`O;GGoWbkh-z>ysfOvgMg@jgh+%Q32Hg&k^6@G{ z=1pYa>5(D07uUFo!-H4sqyB{;{^_Eu2$iwFY+({!0BI z<_R;%^7cFRSk;aGW0n8L`aS5z0Gzk=s_Cl+e#!#K_#y1#ga-wA4$?G`zkYY!(SG6;b+aJ&4ZE~*}nV=?k<$p3Z7TIxJ zR%vbPPkMIipk}t!SCNuC<5*v7$E zHx=3M%Tyi(k_XL>PWg4U5sQ#$uS#XH%RcvwqaF3qj|1!j3`r9}7TOCALgNWiSa`OU zRUeuBjl^s6KHU>ePP5E9Z?EY_;RHm>MB(zows=Z&Lznm|6eSxgMZ8?J4c0fvN z9$+F0)+6$a6K3N0obFhZ9PDk&=gWevuS{(}>4L6N^+(2Wz#g9Gh$J6t60XrztlR#U zSp#bH)3v)+-M)6TePvTzUC?cUySux)JHZ_WcXxM(5M*%I;2vCp28Y3&;BLX)9dhS+ z>%MjGAIP`)Fjc$G+54>SUTf`cxEK~&MGEw5bz8F*vDFW5sp&Ve4;7{EjxdeVw3nq^ zJi=Jo0FW~iPh2#ML@w&~_@jdGz`HA*(BFldTRMWjM8_$YJj^-?;e^Z*)P)AGNSSj?8+1n-~pM&fmIF0>V2}>wl)v2FI zUprXGeqU$XmZU9Sx_reN5$Yr8W127gmMT{eevKpSk=s9(PF-P640R2B7oVHPTlN(?y<|tZYKIFNGPiK-bklDKQ;)I51Vs-c^2>2McSGJA57(FZ`r=p|YlQ>|OQOyZp?!xJk8hd`Hs*EM zl8X_g@f}&+F3V5ts}{J zgyvNTj>%U=k0O7w2sGa)${?xRoFdmUsQtK+kIu^l6>d$@nYNbtHB>&SYF*9UroQ;$ z5vc}c`NX6*gkg&W_}y^-PEt`c=^R*&+?HvsbN)FEs}=cMy#A$r_SXN1cQ{_bv3kP@ z=@@y(Rgr9zJi<$Yp|JpW^>nb;eL{g`Bw0<~b*W13&0n5}`DFPT79>2nlZja7$-`Mq zAl;SoIV@554Y8Fz^$o@G_Vah*a=6e&uRvlbfoljGtL;mwdvOBgfghP8bY1z_3G|9~ zm;hJF-lNLjqtdsDs)Qr8i0%QFFW9JZ9L0+}FrVw~W8#*)w7t7|4ht(499w) zJb%)@jsuuZv@;Qg$aKyjmqWvXvXh>O=;--HWE1Q(54D zXewFMd9wJY8U}F|nSHdMO3qNP89rmz6=z&R$27=z=wS=8I{D{fp5o$@qv9g`eOM}7oyjA%vS0vHNbSHMpDzk_X3KMi&5oFp{q`jWOW83Z>$|gS! zJ#YOeUeq8fo`(ezw$RL3Sb|nJx{CW*AgDQ*eC6YQSu2JhXZW+Ax^I+ucl@TD7kuIN3MwN5OntSR4E<_Aag))#@1iA8MgC2l+t*N;x2XbR zZFjLKD0lUHy-J?bPNAv?JcgjvL`|1_nEJI}Cmknb*w0|Zb%CmaT$O>sdHeYFZo6@$ zuqP!ySUH7&!)tTGRT1T|RGK`yhFA$XzEbCU1`JlLbyHK`$qs~2t-QTfv zGCFgG4lm%EQiLh1HHGgq>Tb<#xHB@^Z!+Yy)rIrELnHAC3b($_1fo6iZvjZANx z%bzd06{jBVQ;Tel3w=AcM+y|L)Cxv87(&Z*B9V<`NwFLe%mbzP0q}D}tH5zZhix{IT>H93Dpo zDi^;#9Y=;#E2%yxDKk&TNIjGOW-&b)-{9nz((^|(sHmNeu}9}^eK@9PvVk1JIttL# z*As*oxTqY_z^T-01%&u-xAR%othyTIFrPe}f8%V0@^SB;#c={2dr$XGY@I&brO>kW z{g64^)$xmZFvSZs@N;mionW1E2(RP>(9Pp6))(FWSw-fDQ^^K zokK^r6qV<6_**GFr3qo~MCdHSzQ*St&DxGC8-Ek&;dCCr*W{=hoFL%n5Tq5&#HB8U z06ZZe+5dWNtmb-x5u|l!^w*1^pO=j0=oc2sWtPu3oNd!1)Ha&^?%$`zdiGGSyV}bt zOm0V~pB)yj8(H`l%7I7e@Mgb?oZFY@n_sh!m8}T3(`gLf!)8H@kTICgc!^W>2qIrT zUg-MNU3};dS1N7}s9KV^n#+hJfD2vTsUo6MGdtoOh`;`9&+gy;p)h!={{VI;7wwiG znv=wQ9%^Xzk`X)j`B9GA_#<_LFoF&TeVoxYD81}xbuYT`Xq-fDLO%s<0K>3#UVUlG z(CIe}Qx6h>SpRmUh^VDZw@3R|EO9ukrWjJvaefvZb~9RXhY%C2`ZO+?TAP zgBBsWOYwb(^^IcWJBog>^5tGk$S**=-)Kc*AJrh4!hMMQC9C~Y2&ojVs>X)2tspr@ zpX`|e-iTz1KkjYQ*Dpxj(NvLfihV*Is>Wn3n5yHXA|RPOA)C2dgn*Y&Xw?ksNC zI3{wL;{Bj(*UyE-wCZs~OG?Ix$SbbE3$!V!8{&Ern?> z*chTKb?QbUu?Af;=0nc9G~Mp=D1oYh@RVn7GusN|>Y{~giH$xr^jIRyCMn7jE7bTj#s$ol*Tt?V(~8T<-#w7I6=yA=EOG5Quv z*_#zLYea1%c?k#tZ}iA5fk>1u)QZqGTXnF0qKdD_lYs%%WH~!X_1;JvEJ=EMi;+TO zID^@}8^v#?tWck;q3Kf1f|NOZMg)QAQn(kd)_d-!su;NsZLcZ)PAMS1W z;pnc-%~)FO70R3EUbF`vt%3(Dz_;@xZZ-bpa+6O&`WSClDU~zH4QHsAi)qFU>pFoA zd3FyZ@{V%f)nbXf&yjQ#o^fHu9*TKP7QPTgj)A4yJr~6)SBebib&xy0dx@YW@7F=g zKx>|QC4?$bMGH5t&1^rtXddXEO>4bSI z+ejPd2*l7>Bz~1kRp`X#OY#BX&#cGz_B0I$R2}$iueWHmq1;<*K(#UJ^6CC$_Y9@! zuT7%xoDL%7J`2>vT^d>?@*iMkQs;iM9Q%RwqheD@xcG2v@6;PcGLPoY#$#P<5*6j1 zd*=In-?!;o+>wH#udz6!s}}c0%ZI@3nM7{EqMREWkV%BS4%&|A3nqi1Ai zu4R78Or{hJ8c>Wx@`>34u+MycW=5VD^#AN!NQ2bP`vR=p=1#y@{S_Fa!CQm=YWb2|S89i$iwc*%iTYL*A ziVKO>FETMELrD40n>NA9CA$WF88#o*$Ot53kR^mlaaGcIk<=he1#=2h{;#km-Y}SD z)pF0M^!KlS7sNh)|5i%HLMOuZI1e4MYF}$4-75anT>YM)#dyJ*e;+gamu9~PGSaar zp57Fz^nt{Q#XG61HsY70LA;`i}=R^X~qlT?CC7@93pyD9rvveg1fD?-~2SxXJn#HM13o`qL+}PjZrC z8a_s6UAondYq|xzT0LIgQ;q@1-O`#Y2*HIT5(r_DXi(%*l2K6PIFgbf1+sLSyX4Xo zCXxV2dGtw0xg=NxRVu0_g|r)S?Q{&85lL!FG&)pruEL)=WM`+RYdP)@BK~z=GC{lt zqK8=>{O|m)0pk;b2Sgi0e+bQ;K1?SL`<|bleRp6#!+qIi$FTXRUe3>0%+Eai7{up- zBtXiF=rei3lX*Go5ZH1$C1ZJY4=_SnFQ8(}GUZnz$e~K>=4iDRor@q8vnq0rZu4qK z6v6E-wcfgS97HiZt>vB5HYa=SFm+aNGYyRh{lW%w^qfs2-^WG(?^lujZ{~qS5916TVgV?IXCkR3CPop4pGP=$j z>=4lDCAFRSzj);TZ}m^XGSuG+u2kV?Ng&Xc$xYPSZYf4hOa{|=>2As&Lw&~fy+rYl zN<6zB4T2U4RwEuXw=OPYgn}YydBTt8*7PHkE_K5*Xt6I7U43Ob{-la@&77CHp#ceKkBY5KhHFGyZLg!8z@gx3?&F z@_4wVENk{}uAAYDkCTfYA;^?37qz_?B=y9J8Sg)?zTPm75&pCD|9=mIj}bf>U4W5x zxU1MUKV>~5ant9lCg;bcc~t%aMhMM;GG=cA%inp}R$_(1*~8QO?uSLNO#(OFw>3){ zuNqdGS)Bmbtm>Hn5Av?@_k}iFYEOm}Q4PiKQV|l&Y$P8EeI`23<<&C;`g-}KR!J#o z>_6)iYghKAPQ4}%w6!{HdJN{T1pXXjVk2CUJVgz5S%E z3loR__n%`9<`=+I4l7!JXm2Cc6C)=X&}1NHn%D2Jk& zI5sYdJiZ;lt~e%>-V%#(r^$}Kfd**#ty1=q!`xWqeYkm;#G=RGZt64=!F{dU`$3S? zyEN?G@pTVq$Zbj~6)JMa|UASgDcK~CnA)sNU zv-XBwTKZ*wlA)_#ZL_5R4$)nNAr^Mrx=!Xp{tFwyhhd*WK!+COcrZ|TG7wAH6Z=17 zu2>|4q&9*N^>PM7?%SwgnDz|_B{zN;I(BR!qcU;Y=r#wal02sc9&DduYTCUcWZJO{U;;>M0u@@e+<*-QtXX|=;JM3PX+aH)N zV;)<>B8^x;{U(3OX8T|({1-?9zrW8eS#u^J{JJ1Dq&9?lj;M*K)n7+MO;WH^rnfw; z;7UevbWk+!S;3&W=+2gvWi4 z`oeP2Rns+W0rYc*tnk%@!@H3@{V9)7T#Im0`Dw-iSd%Y`BB6MQAy&ajgwpINi=ZTH zQzSl`;g*6EJwGLQ^FU6P+E7kwduQt_kwM%-9r>XA*D}g%`lDT5`Kcb}=JX=tB60N( zJbGbG9INJr$~B6sniFiP!}ymuciout79=SET@mPfQEda3oUV!Y5dlH)4J5iu<|$TK z=LlrBXSh^;-Dl{YzNVd?`8V(H6I7l%#L9K>;qJ{~$bx%!CO!!i+0{Ba7+Thu;UPnM zQz%`M_%2i-CXUoQ9lS&VQ8}`Z;IC@7kAZTXOAT~;{=;F9e__K(O)w2<)g2B+f&_0C zgoajGG9v{PXQKkfoz3id+|;2xW!AI!@IrK;5rmt}tbW(2PtZ)E-4FSqhKpt;SEv9_ z_}he%=`MUVXap{zSoQQP7ePfTKr%wlnoAi%g0af2KM~W2?V!p_cLYA)&}AbDqf&I% zGPR|*eJH!Hz(JlqyRY5>CK*LTo)1HA=eY%`_0Y0@Wu*4*{h0Id!|dG=+41j?AIx~W zl!tANk&&?@=N)$jm$30*rrSS5Y6}QlelwD^*h{1@=FI8&M8E0p|e&?$?|=8`i7~4jGv3+bnxt^Wbj;{j!0gY>gmD{=7NI0e=}jFdAhE7#Iu=UlW#WlpP?a@*;ZIwv zK-5N=+P?>0N@@Bp9a3((&_GYaR!c(|OJSSZxQU=f`r=zhd`BKjy2mv~dbiQ(wUZh+ z_&_^PBTYK|qEQ|1DxG~GMdbW_lN+YY%L`75q7hY7fDTDh=s!x3f3-o?{)rfE48o!v zne&Jgio*6Ms5bJDRtXUd1gEB~sNev>I<3b!m+`&V6S6V_HF|3B)oP3v8@AnsO%8Uc zN)sDB{QJz!qGgAXedfCJqEgq9%$TGWZHR=z{>o-4h#z}$Cp@SgXG zzbH^cYbKU-mzkq13=9;-#nWrkM36HbL6*{6!p4kVu8llWh6bj`)1+{aB)n&({`N7T znX|=DI5!Fd>WO4#RRbh}6zoKD0<$6->Dr|cxX6Cq6uQbjziDr;UiV%|P4(Gqi^RJm zzgEmD_->|K;-@aS=x9MIWNn- zqwV#@F0-z0knrGzsT}?vMPL_q_`7yf#RE5R<23S(=)juS9CDR@O1FI~k^)eQ$-=8& z#zd!00Ldq6&Ho~Sf&3>iazZEwR`}pkSp?>7EHX&!KHcoZkI{3jg+Mi$o|+#Nah$q2 za(gYBl5`=b%8vr(wFi_^YO-pU#$YF#*#pWVM638HkFs!2FZ+*L4k3+dt;IK?&-b* zD}$TBN%c!}7wn@4gWCXSyeFD1lH6xsdIprch2LG8OVbbEFKCT}j%6f)_UKqB^17(u zmdr&UH4OmYy3?4=oIxm6U99j%RuuxBXGqOGyAx=7EX4eZM1@8ZrJ_i7M=In!>Hq|t=gR1f2*&q<;3yg{x2=b z2JhOAlA-PabTA2oHncXwt-DOlD6|qE9R*+4mXjhLUC0*|DK0#UJ|?Wx2Q{31a_Xjs z#nyD|Kg0C{~wz}x91+vgHbUy_A zBVeMeSmy~;4V)!)GBzSM6As$j*v8J;qu>n_@O+cQ=~M^2DB3Z>4OfvRWXpF2M<4CE z^2&1nH&RA)=aMFL4Pu!4nN%uc8i{y;;R9?7NgRYlvBELfYi^+MAj(K2{KDEaPUnZP z8htogC9dPsayNyZrF#@#QyD*eh>1MmpDd*#&G<-m0?STHYCabgos{RqTaq=Q3B+*g zYUNRgREB`^fO%S5qTv+Vb{eTgaSLGF7Y+;HP};xC5o;-2(nt>~AGFg%BRyCXN-vGx zndFRoR+js#F#g228l)ch8gL!Zj-H6|84l+BPb_1l_z&xLE7`5!Jm54kHzktMeRC>5 z=8N0?O6H$hqnARXPQsAwwO}IFNjeHLEP+5qPY)uZzLb^&=s{3lJBIal8k7F7; z>yt>r*Vy)=w3rt>@e87{s!(T~G+5}&gyc$%;9#Y+%8P_j4l9sUN`RD@=vlG#;BTZk zpxoDQ^wy@ttSb>)+DH~XE;GTM&p|TI)_HLCs2wOYGZ?Bz^D48n_3-7aG_JO16e8Ob zb*Ho$KG^h}_T{#v*}yXE(2~+k+;__az;j5!Fh8jtzUGLoy7T2>)Jq+PIkWVvLPsTE z=PL?-Auhf-x)_QQ96w&_aX_8ok2#Lqsc{RQrPomptJvWdmUf)nZju|!Qv83E`1iVt zZ;K81sEB)bue_2jz3vhR+RDQB;}(za#(&qLQ1z8uGlDmLQd*z+82K4wyiX?9h)QN; zfBCphV9@c)g^QuT4*=~)PK?D~7RdTLvzJc8i9}v_mS4{L zr#x8!7b|+twJI=cMr|;x0ZoKt1F{=xGLMtI>^*C+B1_JiI7B>6sNDwu1#EoIi$>ta zmfLYK%7j@$(=i&jv3ln=nm zyvooz^U3WIc%xk@o}ZI_jj5Re(jD3_yD##5&>#D52Qq(xSwoc!a0ys8p4}?8{S)H| zQJI7Wt)hV!9ptE__RA>h8z3w!uU5;l*}$gTP(g{BRnkM%o6<}o4I?^WYF1#;1=NPB zJbWrv6b5i&LDM|;o%N6WBQ6ccoL_@ z&z74O7u8#hg0i&pAeM6NQ@@_`f(`0`&|rCZ*#1I!1Zw1!0=S#-{+|eNQhB_2ikgai znTq2cP87nUjpG**fO3b@t#%woxP~7;tu>VCwBeXFwQNr7Ua6v(_W8#gj3YnI?E|~D zdF<^OpUJ_44&Zs^_LGC?-7(2|3@EoeLyu=>&w8jRs!ta(mL_xu!$1n(<0X&ySo|Yo zEW5n{2d|Ud*_Vt8HK!Gk6#pzPc0NHh^c4NnG!SB)E8wb4#RYzO$g!x4h!q`&(^pP( z3H6|q07o8jBAJE}zcezzmhwnJ*_4 z31YBQK{7Ouyl2hHF!s=lcXS%&>7_+HA~1V-REEWVpyUYFv>6 zuyC`-p0812(T4WAF~VFPoHid~fU3q2LdMxJ+DJy#E7(B6s`Go;?tDSev|Pr()q8U) z5@)^69s+!C@|@K3J#hNAoQ_@@Ia72(177LJ#Z;ffX~amqVO?f@g=q=s0KcDbj zE3CZ)mZ;HarP*X$-hQX*dEH#~p5Wf3Hct{UR~%)h)ZE{=8J`t%4+vWuAR-lnkig7q zT3rxWG-a-WkUggDs#?U479Bht4jik>OWJc;!B1J5Rv@;2A@eWlK&}1hS^nRHZD;;h za8JzKn!yQ)K=1=bgCj?`r>Z_pT_{nt>N+dZlsz)0^~mLwQlxUSo7P-TXB}b)Bu&T( z!($NA7b+Z1wbC5kDqsgMyjz355Zlvk&wXQo4w)S}InFI*@Qs(~fS8kwE;@khbK33X14a4pvSsWcdT2Uphv?dB6!jBXdj!=<^tX&N}tugC602u0K zlh~yS%t+U!4)5kwG@$pNeYC~Kh(4Nmi0|rQri^vv+xw9h$=5u*&hs*2Kbs`?(!f}i zcMBxy<*`j;-a9*w;T!kXC(|s(y7g!FS_(5y{NqgjaO0J*Ng{J+Qtj6 zfP+)gISOusgVXG`<`7B4MPW`eSqU*y&?KYV?O`j=aNodnCHn~(dyZ-NS~IV*FDGF0 z9{Icu9m1~CTu9h&C;7;~rq=`vZOL~~jGw)X^K9gqY(LZ63aoj%!tht43jK2|xgY8$ znwfg+Z~+05!>@{TQTs8M8AVEqnT8vF_8TgJ#urI-Pcw+@=FwHt@SkyzB%;A($3XyN znZwoUr4u*Rh={V}vS*{#SE76O-0}{r{0T4p#oeT94>FR(DeC*dog`qy!rz@6u|mUK z9yv9-FOf2-Vz7`2ne^%oTCfP5MMgJqTrnA6_gmo80 zCn-ci<`*2SN)Lc#s694@UAx{%v))za9B)^$!KoRrAnqJ13Xpj%C$dGfjL zH#)KSh$!yus9qV!Dzw82@0rjZI1+o^J^QB2knscEElTBXG(10(RVZEZvqqi^qNbN5 zOPYS4b=|1%GA#9YeDJqLY$1Ob2pse>iRzND5x5B>?lD1KIvtDdb=7Pk4`^51e@6R% z`ZWHGAf&()sZ3eSbjPQ^oi z2D(&hblkD75%>;}jBmQg$!E~xqh-EN{(DX+$o!*N*83l&gb)zZp!a$l--1Zks>{KP zeoV|`yEZP;*rg?}D$N>PFqo`wnKd&ox=uRqWYzLybD-fDmaIAQKfW?aBC(q(2z_6t zjjJ*T2$O^?2vO#>o3L{E+u_2)%DA~&=oUu9b{X+WO+e4e!dKXs?2+7Tw`syVbMh`JF9Lh1gRHd7Ykw70y zj%))JUd5ZBrl%-QDmesu(qwB?=FPSm0eeaDql)!}A@ek}A?oR3DlDvug1snSNowHN zC=ZwRR_kQY@%&BM9LL()+@bBBjPUUsYXzYjNFaUOfDZKvdZFt`B-Lq-whfomEFyL9xVQxTgCY%|hD0-L0z>sFCRXKGEld)}Um^{?Nc>%~ zjLn7KIf%eNxD-NhvijL%F*hu$#20YYgFjIgNOhJ57l*&zkYu1&T7w0jWIeeN#O!Yd zHG$nNj;4i6_nz@zOKPhdJ+b}C&J)^I%n~G57NPySdAg-3Xf-H*mASEAa>!X?VCQA$ z0BR21e07QaC&bc~m!^H@xlu$aIx8~P_=X>n&so%&04`oFv+4n&97DTi;@L5^vXldN z{`_oUbg}Giy{r&UpjF4lv=hio?~|Q4o!p^`J>}tMzJ4An4n)SBVdfQrxj5*gEd!A(a;dK6e6Cy^nMHe#k=Xhn{d;6> z7a{>~#-D`$bCmr0{wYM<3-&*eiX2|!IXmlEQNDGpwc zk9o$0cxenA2GS<^Xc~RN@ywFp*g@+DXKUWLshI;TauODbOg{(G^|r~3&fMt3m&_9v3oQQ(Yf{xrp%a;bbn0BmHRSROEBIQUp74zsTCh16ac_XmY*sg`G@NI?j+NyUTT2Z{6+%aq-ll)#K3e}C z3wTKrYIKO{(o;*>(AB$lWPwS=bFdII!$y(^ScQn!Y$apM7vSvjV&d5u;= zJ`jaF-Qu*T&OnEgKXJC^$B?UcNn0$#eXjadAB|QsP2jQ^IJc+Yrn4f!eX(s3AXkq2 zC;+vE>PssBS`*LNHJ!ym{^^Jd~2mBVD+pWAfwr2 zV>ZHvwfcdN#ZRR8!%b=2Vs>5MgjKAE2u0d!22HGxRB^&!Q)fcPl>IS4aQTV+AVlJ~ zX3(h_s-b4WKN3r?8Zdk?zQUlxN8&9=+6gU3+RTDk0o12@qZ>D<7?fi4* zhJh)y-H7(47!_Ph3Jy_6oPg5;@BE1$@)!%ajp)X){M;qZ?jsJK4q4Im#gU{X*YV|l z2LoAG;4zB&a0GEN$3(_RFtBl678vPcy@b_3%PA|o#qZferR};mo976Ww;lGn1nT3K zm<{6h`(b~Fpu>L;?S~(rxMqyllw6=Ep~g!p;w?EWMk3H+)^KjDaT?3DTlxFbu%x=# z{&{4OQFQB%c$dx}APHLjIfDX5ur_eraFWh9wCE<>~7n=%g8RV zQmpELwZ@^1&a9P(vxTswHCsBK_H(%cJhPgDe78j%ioFMv4UJW!i7I5(UG-y4K}`~_ zT?Z41q9^lHQ~N<#%3-}#%sO*eD7mnQU`xz?buNDEpY9ciMQv`}2hAv4?V+;D-H&axI`7{X-3W7qGmD<&2P(C z@)!)l@S#Pi{jA)_4sA%0*>0z1;OE|ha)@!YnUVDk` zhg8Z)^ncpM;adq`f9xu`eo3l52{z$i{_~R*W5`Sdx2<4hn3_1cWF}uzjSfPBJzc>_ zNy)DKzSOWe!&qdvThvfz%6Wv;L3$r0z3fJj3`Y3eiz0YbZ6 z@I3qF0*W(d$=Dk`u6DF1E%8grAHUBPVI_{a#n>s}le}{iGaZ4G;=>aMJ@1%iUS3v} zgE_n83t|#Gf!t|sXO8^SKx}OJ*Wx&1VW;avK~a zxjvZtL(E_CmL*zjMPC-ACY=>&d=O})@vA=+kU`?EyYfwK z^E4usN@2dx7%Qejhy!g5Cx=NzqFH*fA9;QPJDl)U2`3SMU zWPYGMKT(_}L%Eq4HbS(?%wX0rTVVt&J)u4^I&S5?0V+-k#{*=zJc^V~IYfWd;(69e z-3#Vj2}+N4SH{1Bt|BxWxpO~B3e*$-k=v<2? zp2E$3a{Je`0u_(O*ps$2J2}U?&_o}_rI3cff-}? zDJATD^6zwYz7!#;jPS&_ufr)((eRV_W<}yj=+O>r3b3fgfttWDe;Ju{5|Z>#Z6o^I zP{^(fjnzp>GroLn-NJRzqf5DqYgl5$dvdV+s{+=UCXLOlo2VWj26| z!W{~6cmc43$qm#zhL{0nqtX}bY=Z&Fkvv5SRc;^#hSX2LnHMFnvB4@IGaV9SOIr3! zJvyFz+3yJGU>2e3o4z5Rn#;5x;2+axU6R-sIg1 zT9;bAc7`R!r((DIdYyOMrfu4hWE*-qy+)VS`B)?ROk1*0#p`PDAvIsq;H3d)u)$hi znD1d6@e8e+Lv1teH6hzO6+$eYGhoKqy@-7#%(T_;P65+UDL`OS8_IB4<9-d?D}1kvV?%|x$F%1hXw{CCG( z*muYz!%oK7_)XI>h8ka3#U;UHq6g`aR0!x~SauUhz3=SB(2Qln(?RZ;BZ7$zDMB6x zmxkWd&|mj95o4&mjAzF;>8FKlaRYvw1{+_V@_p8XRaSHuxB@Mk6;DIEIJY%gkN6Ha zZ7X1dil7iiqAzJzWcgJYF|gv5^6bknlP6!?hbNNu3FJZmrRAj+>R$a5((zEw| zmRe_}D@_?Oa}5SeV>f3SrC}H@(cb|V_Gku>do{=Jk~HOg#_rA>AR0O@{_N4e;^m9D zLVBWc^#I6fEI_!+z5nRwbJu4oVEsrW;akQUg zytRYTt!0>jp+_56&NdM}R$pr6Kw=nPeu}*eOGDSrmx7Kxk?9+8s#(Sy4ZA*P=&(bLZ0L(}=+!prmJo|?dFj{c`~7zWz;%OO;3 zN^HEW&xWW_61+t*0{~Pj7Cx-;H=kYcnffvPt(r&d6dpIaziX?*z(RvT`n{X&BMWA#|Mf4~ulWUl)o>TUTGikEiI*FZlA8G>Zw2ElmJClK zL;fN^N%3l0H}MQAJEJEt#UG|xX!*|A%RogJHoBBi$v|8NhC7dK@lF@>$a1zA_^L#< z`;krl65{4MZ@A z=O}7~EYl`bch*73@&9LviJ$^sMj-F|jRc_*^9>H3TgUUcKo$YF>~VZoaUjfW%**^? zx7vXRCpJ6>kjI@U#Ol;9vh>A?3VcGaqElz~!e|g<{V~hsx=iug_61_#G_A^Sco0k6 zbki_s$W3LTDHE%MYYB3%}JYTnZEdB&h6 zH$^cjPLhMLg5^;@zUAKkK5xa9C$x zI;8UqT;j#!4MtuJ%Id=D* zOkv?I=Mk3W5jZI#H~+}}$4dCwRl=h*6czMkAee#}OU|UkdU%)t+aND`*s}0=cW>b4 zO)odMqQHgRbMNNmcRrZ^sA@2*(^RIbh=jfu&eLKyOGb_MU?ZY%tJ8Lii4;rxH zcUs^#?|dL|S%3mX2KM2Bui~`Xk54$keNIBI#9y0Ruv4A7P#|M86UW4sZ`X@v_Sam* z(0M7vXoV|Sucz4+Lr2MqoCymnR>d^QiUr)rD9uz*fN1KdDXWL*96F59pyD}gMST&xi416ciQlm5LYvwa}(__Zj|Hu{GKl=#8 zMgucsv#;KlC+`$Dr4!IV3PvQ=!Y9KisW0E5LQXneJ{yf(XapSQC7cYngoZr}D|YG( zhRW5`nx{Q#>=br3QgUE%oRqt$Ncpfx0_frq?{yT*{75nS(aximim354U?cVP{RTtH zPh)N>5!)msmungu!*#Wm-Fq50E0i}dGtNpny-QXX_d^$!FznN*lPDq-r|(4;d1|b; zs-$S>C}i)$BRMU&7;gLfIkvW(fBT@pZ&QsM)h!T>=OTka5d_87_be5kppfU z%2SkTytOWZd64~f&TZ4DK^85`-vQIAXkfLR=G|PYKW$Ifs+xfGv2eV_?=JK%^n9&}4II9TbxJH%K zyUu*?ml}*Tj1-3GHP}~Ekj)ojJG_rVQa76D%C@pKJ5ZO363JL+S(>{Z4(Pw!O+lEB z{N-nXys*-^!EnnIk@|)vE&tVEqx0OaMwmAb>vrgjm^dvH5rI1Q{5);VxlzO=)m6)Uinh?YID>B~<#q z2@|Cj?zNTIV^7E@?)O#eWf}76lmhz`(Xt}zOK#w1nAo`8TQ*}10D~*!q#piW%qe~( z+qzQDe{8E=d7mbdL0Hg_1T)@6n=zp6oE}C3k4EFLJRW6rBrSTA4oylZ2gxuk?bRkI zU~(CT1lXVVOCbF2NACS^r$b7F6mKGQHDN+;O6ltGf!uz{6jQN^x>BjVgShI$_7?mN zJHBa>bwQ7m!R|?}r*@Oy_?o7LV0V{6bC0u>WvziCz-9}W8na_?TKdMszHYjehO^k* zB2j!t=;gce_+p4GgL9>_he7$Qf$B|8o1-|uCpyPbQ4A}JO%#3v=oU_jTIHqNa!vOD z!=eAbfy2*mbioYz?j(jmkv>`jsOV<=_q9}QIdFdlM&0}Z3?$jUQ-=;;_82Q)ZZLwQ zca}W(u7Z3!A3uG}>toHvO6J&cIt7ve(>!#_aA8po6&;q0f{#9EX;yw?r^s{tn4%jo z-{n3!QeQfdgM~Jg%U{MUCNcm(gniI}hl`G*Y|W`u%EWTp_3L!-Qt4v1PWdqqCjEP3 zY+<^$rT2!2KnN%iVKHNf&%yvCfdHcZ^BAZm&WI2s$61HQYWMe~cjZ1W(wyoq!B%9O z%m87LoA{P?`|y8S$xGJ-<7i43jql0JPrr8DMpCN|M|eteVHD%Q<}+fFRZkC%2NaaC z9s4@&X&D(ymZgtwIRtQ;nLB--+^k6&r9j{BieW^$qVMad-}$umKXxF3|E||UVrY_G zF~K`5TB$GgdYd1+xgLN(Qc4nLbe`G-RCP^?YKSh?r07}5QY7UZ;)quF1jysEmxh4v zV;Ye^hR#*-Y54W-3fuY58{z#3k4@kZ3EjPLaP-!^*l?$r{qMml96XK0jMX_8k=^Xc zajkr(#@B^0qmwfpNMphEYF#ThRDM$&?33TL%?R{`a_3D=tTnsh6)Ah6dadscYT}o) zd!fyF<8OMfY`7SHg7`ArIo=Q_S}x3%leUFLt=L!35z&AQDhArxd$ixQfw6Nj?ok;_ z?3PKzrCv9jvbset;}-aHk1Re|8P23khc;pCheNreg&{v}BQiq5!O zVFB8%-)wacWz0plN5!0rZp7vGdwDY_nwV}VwqozU%DSlTXbS$Ti#%5p7$YH|+8n?E z<*dkk!xJ?M4mNsTE;jmGh0fL4gk%^CG1hg#7%cVW$9u!w;oxyaG<@mTCgZ3awigxg z_N(H^7-~ruTzUBZnq3Z%3qa#=%w$IP+~5~oEfVoxy9GD|?W#o#7((dx6)OV|&C1`;S0Q#iO%;ZJIL{W1-d{p(JiTblHRLf%wBa(=82i46E?LCK3l zSXj%JR_j?Yl}Bgrc&SjxGz@u$3^*j~*VioC`#mET-N!_ncqv)>cZIE8O4a-s8|z8q zfu7H?_z-A5JpXx;2roLZA(m(Wq471ksjX{q&~b!6-LpLawfnOnD+{xb3h4NGxi7bB za|^p9_oFWV>jkKmp9K{#S3u*CGoGPiS%LQ&>2<)K;5(J7DJ2D0u^S~b`0^<-#Gf{H z2i{$AM1J=*nq}k|&st3MY6S%S%?>!ej;A^d^dB!>nuO@4m!R;cI-HjRE_nLF4Sg+H z#Nw^^NLIT_*=flDUAtwxrkdgZL)%*h#o0z%q7ev|1ZmveJp@9q;O_434#6#0a1ZY8 zG#cC?xCIaH?oLDZeKY5txp!v1Z>pwhPSsmf!H;gB_kQ+Xd#$}5tt_e#e(F>?#CNR$ zB1EUA0X>n0#vhUgEUZKwn+BbFP92Tal{7pkUB_ZGl;b(lDr#W#nymW>uaGvk9CDPz zJ?3~P<~!27PJ|1;GmDI}_iBVhW7b-$sUum4zoG9D{1C5A?_zf4fhXT5B)~9TN(fhM zR(uxvwvhS1iE;k#%S#-T5p}4jMz1iZazdX(C}|IzYH07ymKE`Gk&*b?{qCxEh)B3h zr{5S@vQs#qAw6F4%;fHO($tq zN+igslhzz)IhCsVEj2AXi%#CqqjMZ&Bf|H)>c9s(WfuhJZwwxK_=e8Ph*>=R$zG1| z(Rz$97%U8mR}VBDt5aZIY9n}=J2UpOA?%GR(*p7*g4PD{W;HPAIy`5>nv>1S)j|J| zx~;@Py}&$^Mll!vaWj#O`1-R8rK}HlL#ZI(JG_ftEOG4VcNe_s{7(ML?raVFe4&MVhm#B)WOhVVxKM!)uGLGALPCDl`!#bP@bmQ0u{b(L zbxx@-0N8?;jM4L|JSHJhWy>8zviDhkP0zgC>QYX)+@gAGzs9VoLgytI6rB7_e677T zfeFN{(_gKxQzchjdpDd2KW%a-QnI z7|C5$jds_%nKCEbD*@Er4-Io#zpOH3?OE=EnIyDXJ1UYWN1U`0YYy7#RQ4;{_e<& zL=craf~5LnsZPPLoHkiAELhi9&BS4IAy?$pkZYZ7#uekXdsoso37=rtm26MhQM|Yc z5N_sI&w$cMS#Sf|tyub?)d5DBjaXb=7x0vM_g{j<2}E;tk{lfSRpny6&N{TmPgfZ3 z&Q_E!a&*p~R@O=j&Hl?Wp$>X80(&W08${w zjy>DD<>(t;3XJ?&MJYuj+~rA+W_Pmjjc#fVhet{qYp>2CXOx$?%mNMf0faq%kNQ{o z-kK2)p%tnRp|kc)_~0tKcGy|rtWzm4L6QouR`CAf;ZqnL&L(P>!n~-x^6UnQ;u_vy z0RQM!*Pp#!PgEa1vhOI3?`ewG^V7W(Tz&22w|HjQ(MWKE5HbXaLz6K8wci{6u6JZP zf&*5XYEF{hnzhu}HWr@~jOJ_JFrzO7G0FyD#Gz?9Y`*Hr-TwHhhn|`cFwWQxVA9M* zs32pr=?f%h=Rh(91p(9jcgr$rw1zefif;WuM6a8|-)IZCt16=hKWiI(B%5!s!4A0Qan4DG@U2=fPf~Eo6Qusc-&ER>D}Q{TU}g zF@f%QGb`0AR`^1VC!V&KjW;cXoe(=|IoiwaR{Kuf=(A-ynzK&(`Ai;qDxKxdHJ#$( zKb?6<+rNtly-WV?;5Tw(4XO?)*C8l6%z zB~EMG><- znQav=2gt~PGQy2m`G_d9`9_LK*d5RK2$8ilPiO<*dD3fd@{@~AkShCu9Qx2~d-LM{ zpCP?Oml2^JfYKz6_WWIhjBcEX@EQx_6ae|{y}h0*&~=27?vo{Yrez$D-1+~;bF)^( zT1K0qwihA<&_f<4NU77rg7pukd9q}R=6AeTQgN2i^!(%5!zvE+iefx~B70X!LwV}X_ zq7xE`1`<fk{NB1-WiY3q**U(~SQ6nuCzR4o>}SG`I+lN;@D1x@+76s; z$a|k;h*zL;DBWDdoG{(obj~_a&OldT7r9-JNCyDWvL?GaOWj96qZ?=Ls1OR+x@M|L z8b%h%U(Xw@CZf1zwJLRGLLWtfbW06mUa&~Rxvj2sdYw5dIkQ)-xloxmM8?>trD2osCdB{CPCz6|iZg-&n~In~VTz(HhPtxuV$V|te&%=nNtUlP>z?;OCS_`ky0Bu4Jb zj`cidZfU53NB}d|kL&IBI~hPy185*RAa>l2Y`ME5&qI<}cv1o*{X(V=WT7DaAjHkS zJp~_nW+=#9cv}@c$DOb*aFe_ztxUQ= zfs;^ad33~yS|$cPU8G}6j>2}3qioUiN`K2YFWs03z-dQ0hEk!fy`tlo%p{m+vr8@; zC;Tl-JZ{lbM?1EM`Z!NPkR@+}t=Z<;NLEfPM%ins2MLqs?eKM~mHUsYIE)_nVY zJ+Hy(#6Wzei~U{V*H+xU>H%5cfqCkh8N24zx7vt;Dw0qdTqA-M&Vbu5Je}lU@Aof0 zJGpuSrzu9oTo;*05JjU0s%ZQiB1&C`LVzjVhh_shVH`{?hZA6Ma8!q&Z~AHSQB|p= zTW#>T_G>j#PkK&01J$U1Mf!OgShw5-1Iu+g2&=L6vd1eWIageKp>=PRWr2ppX72=d zT)1kXj2a=jjN>}}XEkf*#J2b67obh5hs<{j+de0?W=*SZS4x@2))M~BA0ge*-_FVV zS|<FZ>l;TXk%kbd4wXX zR!$n}*&2y#dNOYZ?E%9FLP+AJv`@DfN`no9SeZBmgE%7=KGXQrR_< ze+cztac67CW+mj$ja6y4n4J9SilXGk5MPbY8bk5G9fyJ~T(I5kdpbiz6l?m+?p)3K zl|!b|;WxUUaWQ(`lQ<#}2~ga%6>wd&%+B#c8$_+~GlB)HJ>OXmE`k<55`vv2N?#fy z9J;^<6J=%(gtb=It+aKN(a;{F@TLcTnLac1cvwgCFYAyBoTFrrN$WnEXtbZcJ6S0& zjKWsd?<$i*O25<8O%E(&en1h-m3l!?W1u)?pv{RZ=soh~lwByn?^Y677x6Hp9bk`X zG;@CpE#%2LTMYIHgFw5!Hc9VLcnC+Yzp>t4@86c2!p_xNqKDm$sJ)hsZl5pyi%9c{ zfG;Cp^vh-j9Yg?%|L7l*AOp_Tcj?bWX04iOJJYiRUCQAPP$n;YiYbH3y zfeu3q2bkq+G0IeKo<(UV(o^Reg(7`q8LK>^kJ}@{TcZ-{G_as`YsYFA>JBNvdDgj( zw)0r?($4G3dUh#=y9n@EAd**?!#yH{&!D zmMeFbojQAGLe{9d#V=ievl8d;?i`Ni1MZV7<)n4C94Ik|BFF}#Ijx0Ja&g9yn!R3$ z(>e~6)~`K1))Ja?e!Sh3u`0lP7xS0#d;DPBsP9BF!IRfxh!;&6%PDBst^&Ax2_>Uj zRr8f>_E2lodV8&6VY&uFsMop3Hb{l`>{xMYd+5jPMvyB#=YO@g2G)zYZt1SOIOFKG z21XD%WDbwzchdHG-mDOD>zDJYwbkT7B=d+((gZ(-q!(G+pDFctpASKX#;GN}-Ma^p zjBN23Y0i{5a|!g@l-XPCvSr22IyrNjoqd()u31w@CqL?y2qSWNY@Sz~f_8W=Cdarp} zN)RirbUf-_7+%**+sBK!LJsDl%w1--Ys?nI*2+JzguN=?qm1cf&}utfe%w9vsAOw( z77uR;=t)YMy!$azo+ot|Jy;itq$);BF68*8xk9P)9Xh5b{b}NyFQaH-5TwyA@i{-g z)(_&!-Q*%&7);KH3G5xB==>eN z;71J`82lDQYN}megfpjR!lW})o;Vd2vFPccrCXf6w^y>U)PPMi7Ph1(BAmTnN zdRxZ&<2UBd{&q9q!qS-Wtgw>WE%@a{O{KH4&lfF}F%{1Ohh)navF~ zgMKts`#!i673;B?Y-8!eNN_mZ6B)69;+@h*B&zI1H7)rxJa5%@xQFo zzw*1l@l)i7EKVd%_?$ZL-1))oM)aR&^>t?pRH2Q2kjCRN1adLcf7hyVrGL3C+4uSM zVfn(r)mta2xR@SaCnM{yeHZ~~QC@Bg0V0>{Q{6<2toynjk{vTxrP>`r^+j2j7lol; z$KY+~FHn%u*Q|+>>r6?6KdH2kH>Cs?Y-S>ogoYvs>u4PH{ifE;3El012i5i@A|Awl zlRJg@GEEu9Gn&;b1LRGTeV#b(!fga)w}kj@aR)A*fjDkcKBeV@v9}lzw*fY?RWh4n zGT;iObc~4XMj2vhlbkr)TyXbuH%>H~e=n2GO^2I)AhHE;_QkwW<%>>WJit_sJw>`e zc*rXCGH+!{kGhv1^&w9VMd;@y-|T*XcC4;Fb|C;`u6YLVzRUeEgX!6R$tWtSsu}Hp zjbEpXS>2pV9gLoh2TdhxP>d2jvjS}3-ejj>4{jA+;+|T zqY7A~1YVuSVyYSDh9NenCIYJ=J(UtK>SBQ<@~HJ`5r3#`^I$bVK&=^uBJ&y8i{vu1 zRy;Som^vzj7w9le#yKXP@do02%7!p_X2EN zke>SFpF|$EX#^eyUq3{j-A7;6#Q0P^t)oH>9?nAqo(7+%x<@3xKuMNFMDBSyuXuJE zeUBQ$5s^uD?oc|8QB@a3PB5hB^R0 zlE?#$`Q%pp^ue*{#B0sU*uda~QJ3t#JS%amh>8MJGg|^_!1$^ts7(R5V#lQ{MOZYG zMv`9rqE(|h9BaDHlkRhEzn)<3d>ns&P>mk*h+2WOByX`%OYCIW2Qyok$H5cGzR#U= znmg3Nk@0u`P{A;k@Gn|RchSvE_Ho0ceM!NX0Z~4Vi{Fg*trEA%J3hMEa`KCX7~NU7 zY`Yz7{r4_*WUy(=kG}YsW@!_z$Hwqz~%J{SKcgP1`4-96`ci6VHpadK_MY&!#BeS0t@k2#BGmd;mGCy8-1FL zi1OFphlT5}h1uQX2S~95DZ*G~*BO~euocs)23id|UM>O_Iq6%n4Ofb!t%f%>s(S7n zOy)+&0w1*93-Z=x)2c1QB|gmq4QEeE%T~HQi(9#7mYjYQ4tQnXOzc zn<&?4n%5*e9$4%Pw99lIgnw4hs=U?|+ehg5)HcVt#E8aW-R{j|h#CWV%rtehNW{`g zM+=?1)oMIY-plXlv3WdW%t%|=G2p3weQJ>7)H7tGM?DQV9EeDKVu6S^4zEH5Qvol- z<^nGS&z!cIT_{@iE7^Yz@+xYF$E8laj>`!@Dp+=%8-60YHWlUt8g?IdPe{+h{sS&;A(->NEzUp;m`3Tjv zPXsml0Z5wh0D#dui<t@~kNkRK{DsNPp zkaZe&HhNdNT4{8m1y ztEz=*+odvRFKr9`!T|1_Yv<2zhIMn+tw{#XD{z~1->}#=oXGrLokd@i&Y7a;gq?W( z=nK+R+XuQ<`nRB_uxVxtOio~X4PB3tvcexQi%mj%>#3mmx=D<4VW4)XwM`F%)F^bd zg0W6j#jvvWJDEzmgqAlK>=1(^%DA=J z=@OQsG@TZNPXxszgG%3)`3q8y!BR-A@jbCPEfv*Nv^J6`x>Eas-q={2Jwge=zg}vH z<}stqdMD#ZO=iP~JY?Qfr|-JVW(NDKoNhqCV1HxdcGzq#^|I#)i>x zQ{Qt>MD9aX<6Odj3gRz0vIaMPP?DX>><5~iW#|=r^3(VTfxtr;I}8<8S5B|=-ak31 zYqJr$ce$evnPu2xfn`dPJZ^W==lk8;>uM$=|4X>KC&Bq%arxCfb)i0Wfe3oOer6K5 z78X$PJ>B!I^6usG?ge?F5_P7)b({yY{E+v(OxT(Cd!8RzvhRHEqrPaK@Tu~K09_gA zC_DOpW2e3TKsmYhdr&Sm_Po@qyU^O+2YR*B&f+sv#p0`|9EZIMU=taw`*EI~Q=T&p zxsYu?w1;(fUb~>6t(x$KUv;U?xRjY=;h~V3xJ^4O$AS{lnyoyGW}3VTib&AqVQn=* z0_giB>kZCL3j$ikCGFZq)O8*77qbyT8C)YiL%WnsVBH`wL%!dtBWH1;NkKXj zRcoC26Ix-`cq*!0t}I`UG$H*nk83Jl*uEY51bxhWUvH_dlA;poYIV_lLytjlWl*K6 zKTShx^Vn9D&PJQqU6^C@!g2Bs)t|`1B$v_4Kl~))o0_P0fO4QRR~qFc2N&U&ge*0! zi_j-`J@`P`tmI~Q1=MyXLBmi)uE+Nfy&WIu_QEtY^~o{Y4~7Iq80k-g9>DcEgnBy!9$eikd*F!I`#dFW!5wOF57pY`pZwk>BxY+kT)r{|nc5=6z4*hwYz!+0VcTPZJ1F z1Lb zG{JYBPo{~WtmS59pbhI>PQD(`OhRKVVh-py)N?=xL_`AIET-5cMSt(gC8A+ULFj4f zL+AsPaYS7^O%4p4bWH>eT{PYGd3uBzxz*@ z`(EZ(VKwXYG}V0+S~kzrT07|2u^OsvM>%v04w(HN|K}0Tb#>O$-i;92p|h6<`8*(= zk?u5dHR`-?>=MN`u+ZYXa&g?}t*i3st)(Fb_3m?t9p<7i(NN)WKCmdy$=or+_ft!i z&fFE+2HikS4?2HMqJW-TK+d4T4d_rUSAj333(B`z$K1_l$fSdv#$NnJa?2hyJ)8(L+e3K7x_5r z>22q4_M{TO%Pwq*qa=)Eg1?v}iQMa1@wg;!*ZL zi$tY{K5Zgu3nyffi0SX9e3iGj-U@pm!d2>x__@Oenmtjk96?>JPN*RApPcLp+j_#B6`b=t+Jo%CD#Mz50AU#msP|2XLC zx{u`nJJ=?8lm%!@YWiO+kOiyp@K(LG*VBK1} z<%@T~FoGmZzmNtV!I@pbFzTUfvOf79doF3Vw^|!dMk)Q^^c-R0!)JTc3ih;DiR6F$ zwQD>{xuOptQXiEq_}2dW7mCJ7WWM=mgB-D!Iic=icBJ5)yH<=sI3nzxD@t5X1UJxhM*_Adei zvIz!7&);*-7hz+jaA@vIe+de@+G~gsMv4E*M>Bof**$XJ4}hEa>$)!vb({cFc}kx|+@vwk2Ma;X;ljR$!L#LjtK;FM-Ur-$M}G$5gX3t zvSg{P)=Zfj&8^MXZ0d@65CTrgdhRSKKHAR7oA2^kO)~Q=p+-PL09>-6ICZ^V9h&37 znVr00QL)xiv=!$Ae)?x=(J%8~bfo}N$bv+Tbf3YxW zD|$~kDf1hwLMqEN-p;#1mc*^QHFflj3$L>Sm%nu-Y`GKG5soA*M@!!M7laHoX#B|i z1QOvb$@&pjw6!S1M@L2rOjYL+K5t6Ic_nv*m62(S*UBh9WBLU2M4Koa4xK6C<*F)t z6uC})#$iv61tEhxqWPuqg$LJ1cZ?`-1arq{gCp73w1{uwd-KM*_H-TeseBgw$$)D% zQx=qPBp$T#1nieMEceesPk!?pR<#SMEvU2HEivdGr)mR7tkEo2BatCs>YV0RHE%VY z>UKQK7#HcI%|~BY(ks$V!wmc3g^pPkBe5N{$q;`g50}e~G~0I50?fZgzS@IFZ*Ms= z$M<$-QGF!(gF?U^TJXV*Ywp53!3f~CyyU^RH%rp-lb=_wi+2SHW#so*Fzx+cz!853 zNS2UXF#^PEGz;mz&uaTwkJKC>;1&>WZ6+Km5)Q zDphosYE-M9<8%llKo9awzEibklvl_=liR~bII4-c0(yY1ELDeDP&yviiO|*B7qh^^ zY<YMT~=PwJB&n9`mgXi-Srrm;Eib;d@S|&YPn*0h#nT$jEMO!F2i7=*P~4dZcr$ zmw`i@eBfj8IM?Z_Y=?Opi;$>4W$2iks*vLVzMH(5*eA;YRYi-!((;kaV0GMg&$@xp zK2zgBr)FUK-+(jR=S0=64Gr;-bA0 zSzy9^`5K#oHMb^8%mOa(8#+51Yp)d=J_?+LaFl1|>7lx=D!6Rb^)4N>c9(g!o$PV7 z>Q;W(et38I%;NZ!T|Vn3ndZX;U6~*JE7BML!-L@8MY)Fz!F@xGd+aLOGq8sF|f-S=GaWg_pYY% zc*>zx@YX7lk*UvVW#?+ZI6&yisVU_wyV9-GnG-=-^pI?Ke}Nd3c4eBM0^#J`T{OE z+{2zp5G7}Hwe2^MI%-zkaO6;Gvs1Pz_-_iSZ4P9pTg?Df7>c= z(B$er?l&SpDTNGEaj*(3_B~!v@!H`!^(|JQKqORn)xHK{)pfs%(f2!(7BTldkuRxr zI({6%waQJz2$Qr?x**h(}M}c~9JhirAT&n%)KsHlHCrt)4v- zKkvstX?-?9*$Z4gkVi1g^KoH-|EK+9VD!Je_J92RnE53OB*Hg#UD^Og7`#$+dg~h5 zdcw)~eBS%@ptN}Zce67_U3F}5P^$ORk|I0pW)o?wbu~|}Ua?kw91^1r$kH(O*0e96 zTRjdPe)suZWd9Jd&qL&-gA{-Jj~13=ohSC=n(A1}&7`n^9$)?F2tvxkNJ&0SZ$=|A z0&84*XchMGYZP8=p4|_7(*ECAlsUf|3_O~RsZUl#ITmvscWy7x>HBs#J*yR2*yy3{ zU+wgV^Eh724_wN%h@axIx}kb~J6^2jzy@9N8nc9d3i~c>Wp7tBq1MVYK^}pe-^V)m zR$VSbL2zRjndyz6&MNf?qP-`Oc<88j9yFqO?DJ{qJxj~nZP$EX{r~-E4Ye*BS zh%09#%0wLd?wicfiGm=)gM9M?HMn) zVL&?=dqVp(rKjT!f1%eA-DAd1^fo=IKF>jgBOK|lKg9;4s~)HBU7d!qhPSqpkAtoG zuT#^Y76M~Y!*ftkt-M zBW&!s!zfbP?RPFr_%QRV^g+b`;S~Pw=|al-!GG-T8BzD)CT28a&0NR*AYy;86&6E4 z59ll%crcnSf6o8j)%Q95{@wNZ23-8W)xPz8I1lZg69ow7V=RB``6_gjmv>ud_ZM5& zlftM|1Z(%d?n(4d27L46x8R^aj)bn>{%oB3i=2*mc%7Y{zup|GmZ_CPs_ggw{iXk= zO4k@DREV8CF4qxFzt@5iqvQ6mWe}l}y8^6iZXC$WdjY4bsasfHUS9vRg?@lh_Q>V) z%--aQlmW}@htgA3XED;%aN4jb z>m)muduO){bc{#OfH%J=9RWtseex(wTCC?wJG*FSWDaX6GQ&+vi;;T;*{$hp?&c`* zraMw+7xy1a@oWXQIsqu5}Od+_mCh3h|PL>MzdH(la_5v0I?K zRcUeg%)CV9;{Cy)gszm0xLcwX2723A_L7YtK2WUUY*jONDL-fmwY*sW zWivIBZ4~$B^XvM-@qiQs>}Bt9rTPpT1=FC?>e#D64~>iww)rukUQ)#m++^A1p_4XC z^vnhB%wj#&(Z7u!1Wu|65v&c*F~m?^bi4~AL>kb(|5*-aH5rp>QkaOg{!s&b_tpE2 zJQn7-*)fAJBXu}DP2(p`g%n`%8%jOG+eJp-W8m~AMIUhP{f687f zWS*4#j{Hs_6s7mdcp}a(OGYjw62oXQr;i+8)iT%iyDI-6fiX75p&0kCjNP$KMl2$r z^&6bf;W$(G*c$jP^fBn@L)k=jvUkxE-x6H8_Y`M4du{bYWz9+FDxzRad4t%p!LV4J zQolYiuMG)ZBo5q{7yrw%o`l|Xf5K8cemF~D1^!bpd9Vh(ewQdphSo%clmQdh7wgr# z4@s+X{#MCzma_%hh^eZ>tPT8^D)X&t72_TtXoSE%C)RgA^iebCzD&LSu;}oMA=-SeVsBcFKPl}_x3c%arcNY0q^^zqUZi0o1mdyXv*I4fM%a`T1uDX;W!F% z|6PJ?qsQrDvW9+%$>fuk9*CK~Byy9``0N^q z9ZifCmK!{`X@nV+#udDU`4D}X{ruo034^KD0n0e(eg1W%Z2Vkf;PCM)B=>Tsx*1fjBgf)pyi5nX6mQ7q3$5- z%B9?0fYHaXcH@p)Jex%p2hhSb8kCM48wT#wCakroAF#(wSO|oOoXsdwL0DoVJ_|aR zABxo+08eQ6HBMiQ7%_5+>pUS}JyDL&MvjJq0!o604Qj<&vbIm&;{!&8;F4TDBewex zb2i?QWuL8Grbl01-dlQUw0BALiSw ziUmB=!*{QRVM%5B4-FycB){Ii!h7{B@W7!3`>Tmhy8Tk{ZN-yOVH}3&ApziRA6w7C?roT}*dIwtfRPps$n z|MW*#y5DMQb$*o$3i7r+`t$LRo_;cE2i65=$&x>evF(@Ko1_a>dU}d$BOyn66{;w{ zw|d(Hy<9JIKhp+81jNXS?Z=$7j3l#gx!Pq#NZtgsh#glUZf&w@85k1AC{_hG?f(>I z%e@-J1toCSg2}JaDPLp4bjQ6m3*2g=iA;j^R=H~Ut8C&a*>ngJUhMv1`a%5Y`TWU8 zn_0dH+{`a~yha(8yR~;@svl!sJSGDeXK+&KV`5;Rvs;=LF;$I3aP+c4qK+SoP?0CN zeZ?L;074NxApEL8sE`y+m)|h}JDM=JpF*5+*8wOsTD-0@Cc%Wx)#kHVlD>K;Tn9ci z17JE8RG`+N{H-Q0ZAVZL9Qqp1|-mBKr)t$1vFA0LV?UcdOYTSlkaYh=G z-gAq-h+m{a5lS3MWmJkH7AUXLaj#%Q^r<_6ROoNhe#CA`p&^&Kvtl8a*|2^ziSYR} zIIJRsYZ4?V1lTb!fFl10%F$w*v(a1oYz-_+L+u@DMv!F7_Hpb|MRj~PXu9CPxccZc z{k{!L*!ku~PMcwMDXmSIeE;wx6E3*<=wb#5ek+^_)xvzmC@zOp_l4d--PucdYfc>k zoR2MnO_zEigWUC$_9&~$JkKQszdKwuEOv>OS=81AdWS4w~ zbOHYN=|bq3pSj8ARRNOMP`8q+ggRGlHtiQV%U35s57$iI8SnH12D0F8p9FoO4Uc(q zwSoctMlkS5k)? zgw8}x{s%6uk3aI)F5aa1^`b7T5YfK8r1*DMWB&svxy|QTz!T8&b6^IOf?Aayq9c&|oqVVu9qm|DkxMExA;|N@m7ZoBwx$K9@t{0!dp8gv9;0OKsMxdo z8)J-#`FEJt8I~kjNMZsFRn^CI6?=}7;R)-|h^&Jr9%FfZwGu+OFY84}UliP@5fxt| znjl?6kZwHj9|<2t+HXI<^Gs*9QE04_eb!UwpTu{w{8Za-L{Id=xCRF`%|T(4l-dMR zU8o))Q>}v(z|P|as59`t_nS*zS*8t-&b-Z%db^V*&r<$Ffg?;#rX)Zp8ymYcNxUzB zr(lOh)i+n$Bz(LgsCYt3mHPCAr875e20wyez|R#{i~@(UltM2mqn*~^C;FUK6PEfC zXBKBCpd%?D(vk2qtw?hndDH+rQ1)}LoowVw;ArfQFW!icf6k;NitPpw&YI08$s563 zLTv>=<&Vs5OBr$CnJ?M!hNX(h4qlPWK9WGC{9w09NwKcUF0y><`!&*!X-K-AldW-X z&635acX2HGzmy3B>{rRF=O1T$AAd7y((IQ0Ec^PH&qZU}TKYbR74aDKYOixc5Z^T% zmN!~&n-PS)JRc7o2Q!}?+jq`+YKR9gmg&kEo89{GuTpn{t z9?3k{AghhmE~{nXr|oxop4U_2_NvYn2>ox?HNH~(#OQap2hLTs8whHz}M@d%P-N7MyO3w1`=0ls8T zJ+#!RTNZX(c8>YwwkDeq1J)#tK53lxGLF$xFTsZUVt|AAMWG1=O|gH2 zB9@tU3~dyHmG9IwWaj8y=^B9|m}|1BRkU}N^Buwx2cl!=Qf_ySd|N2tclRHWBI*Mq z9@zDZ1A5ru)QHdBtb9PvQpGv>Qf{%<;3QWoD+w_u< z>sd^baLQ69BS4}mDd?ai)z-b=ChwT-gjmG4;4D>fKDcIND`{z}?YI#|cc)`x$T=g* zr9$T!UdosT>&c`fW6BZSf6X=QD36Cch8IM1HX&a(oGReC`7lO+-bCNf6w{3&BHJqC zAoqJdXx4`d5yxQ^ofIT_ve3TG69Qy3T#IPmHO7@uK9M*Ruda&9rNz@=s@lNO&~T&cmV^l5e}j)+qDjE}jtUig>&u;G8)#wkx9q5jE{K zn;Qor{0(ap)u_HDPs70w{Cs(JVO5K`gMoiKisX=?|5a(qCp_K8+TWxqd&T8%Ny zcp5HvGZd*i;>3tgPRW88*U#1n-<-WB3+sGOlw8<#bo)~3V-<6=%|qK4Hubr5)yse$ z&BqM;`D8o>0b$tX*6<9MDPiix<)p`HOS%rF{-^_sf!^SZV~ml|#eFq}MBw`m_dcN( zlPBRF7+-zJ7hrpc;u#TN0VHIx6w z>-C{4WajL>h|jyj3|SooLev}Re{~IEoD3dNt{4(#O+|i zPpIUAr)b5h0lW%0lLxPq1b(+kOmcwGqzIV7LrBK_NoZ!-RMF`0fhDRr(R9k3*y%&fk6i*<;3d5(?acn#RJk$K|S|yKp`9#;L%AsuhG8 z{R!3H>Z(U*m9YrhGYNb6iqS}D_5We)Eu*4rynf+Zq&o+sL1O5Xkdh&kPNloM8$n=( zj-k6jK$HgQ7`l;=5|BKQcRUK#U3B3cV8V*E+M-idt{)7_u#!J? zN-{YAdflG={qc^=t9ZYE`OJVH$fSc)9m+eYV*CZE>oj5NOlSgZK9wTB(X}fsgYf)6 z7@j1gj_{`d+sIVz{d}(6)m6WQ@*gq7?pkjYUvirP9|srL)Ue?NukZD+k#ePXFWXKz z-~cO|v5mn=oqvCH8Nl`acLZ}8Q_snGG$|FPDD8j+=clLp*7YW4Ur39qj!sEEnz z10Q|U8|D6Ml2O<1#^%pn^|U0+?5#-j^euj@OmLqv3NE`876fw4{@W$I?Zapm2L07= z#M3X8YVteCFg1pqHX_gLek=9vojO$s7?+j0beCF^gmYvtZ|plL7uo zz{D%i(|#eHb$-d!G@+_jOlhQ-G1p*M)2^~><^i0-EI8UrxpqOhPeO7Z(uk1dXHZ=e zuDFjD=HwdFDxE^x`;VI%u9ka0QHG4PE#{BQ5DwH$(lWU7BEaAgIZP$scUR0wrSxTI zJn&>pYvktYGLP6fuC=n+jTNz;hXL@Nw0fiW2090&7;FCpdlX;skYjS>KC3@7+NHvW z92zDhd}8X4Eiu(&(ythyiQ=XvU0{WHY0|;_9I`;+ty9MmsU_u9-zD+$W-(!E?B*ow zTY7FqS;|XkUrUfvO6G9^Pc6CtfeAiw4Ota?f+XMoTBc>$;OC+^GnIaGsn9Mi<%$$jgVSHfJsN!g~&{V3RS*$*` zScO3WGn4LO3N9k7zQo2~^2L5BMVU&M63Qdnd+{-bLU<@OfZM4-N0bZ-t9gP=@lvEg z$sqhSJ=e6T=_Q^i4^~-tw~htGx>R zQjY1}X{U#oifNeqtDm%l+V}lj1rOhiwVIcGm$TjPR_+h~^Va`HApUP?@TVpE-H-A6 ztbr}ZFq%h$^{?&cU(PDL+M>u~WGM+B?-fD#8F2V|7)`*HC)r6(Y>-dQ?{82TWgtKt zRVeO$~@xKKm%R^l57X_b;XVU*D&blVISAH!H5VwqE95`E{`+ zUHk8=b$1JoO{g%wulJSkX!owoQWG#aklj#a=Z)qD}SFL6Z^O~(y>g;lqk=#&KCF3 zgL@0~KqxRtWov|x$J!*%{b+=9d|8;9y@g2{7t?wBf7jlZ`l$NeKLnvq7~M^c^GjVHElNL z!{wDCB3>v^uRKLcB+XczgN7aJvZ_Y8LI!h_i88E5i$WS`BV3Y5ni-Xr!yTdvshVFk zrz(&S^QeJ+vQJ%^M2vJxN{>AgNM1f$=^0UL71E)!M(Up)*kOd`>o@z(C~uAP*_bgu zyI*%FZYn>n1B+FH6-zfPLi?C?*UztcSB=@T*%FoTC|aHE?%XKPU?v)=KTvdgg&fq8qgAaHt&um3EU_y%sjufv~kV5W5et{4x7DX1|o@JIQ zq*#8_Himo@G(&;&X@b&G% z3PK&J@B)9_jYsdYsKTK2**8f~WPw2TvyAhLJ0QLc_P*PrYQDcF}QSR4wKFxR28iYpvYZ!YC zi)+Taj!p_c?7kLibG=j=vWQQw?o>3l#5o72rBzXG_*3?a3qLc-*W~tP4}Ogp#4d@z zyuLWlHo|XBr>riGK2KBvsi~4}K(|W3d#?k0!}F@t2`mqC2hlNmB?}V}S5Xfs@U@Cw ze;FI$#~$hYpU@im&B0RTN%1Ac7LnxaG6TT|`s(}{9<>bcQ@8a@m}HPD&-w9A8wnTvKDkvaMstcl67AN!jpqBaO88*B6gH&xRMyx>kDZ-<#7ENmVvo8N<=u5)aUv z$`=>Vv7-xb!2yuWhmMPN2xaXR5r(fz9{R914-XUFMgv=obv3Pz`I8KyXMHESD*0*L zZ!q|3Abgv@XqiX&ay^eQ`9ocJ1oy?pH!{_fwfR*dBX4k&7RJ2C3S6Rf%GV(;HmTob zG^{CR4q(t=rCUaOC?kZ+cX*r{rv}AB#Vj@}P%!c^(7Qw8Q!Yb&m|3TpG--E+`*3tV zMzj+1EZy~9-1VsyK`-pBP+)gPiLWySt#}IsqfoDPTPp;d2PvfRiE5HGqgTlMqP`^*W{CRPqC)0$0ACrzG1q!ab_UO-!9qrk4koRS537XhUU-^ zBmHF{%KL?Pk8Kd}$n}dgqB!ukyly~$$KuROwP)y*$VjsR2QhUIxf0do24W9eUsd<( zotFRe-rpnz`dmRyHy@n}Rvvz>v@e?u;30iQ37YMAI6v#c3;5kYhK2g%uiFdQ1a;oB~r0|+5)^*I31_V#<9Jq9=)&JNb&rfOA0=}gY6`!%5gs)aLzJSNzqADfA?@L&euHBWR8OMiTS4c;-#Q_V&pq2~o;++5GyC#41x%lSO? ziw@IUN1PRP-!K$>jUU873lR$4*{cd5Rs75&OgD^~{V1c)Gs1GomIMMtV7J+GO}jj| z_;O9bVz-q@!6IVUGPMvM4B_Hscdj~^>v(ppBbK-~>}M5uLedseAUI%fMkt*KTF4sg z4*?)Al>`io#mr245|VG={>4RBLL4Nu2)h@}w=k}v#LK1E%tvj4eP4QI;)w;ewoL5} z7I*Jm$(F0h0MQ8YpJ5Ez0u^5-L^7M?)B~gX=pbCHzrjO8XvryX-YU*v&`c=n#p=k< z9eDudrmC{$iCd*f|H9ww$nex4kv za7^MoL@%!Yw49bFnJ7hvCvBlm=EK13RP%I$eN8uhi>hDhpnT_nMy!S6X!-(~ygmQjP)xr}%*&S>CdGwo$(VxCkRK zb2W?m#yi@In&|aT5L7XEG=A{x@ znp-WT$z%VTE2$Pl+!2jQt;&QP3nlTz!Bob#2b0FngDjj5(v0Qu8<|j_WPcudsO z^WcoogV>)!9zEVhimZ3xubJlgVuOnLlNC;z;jQ@Nhmr@TYVXy`)@+-XJxk6iOUJ+G zX5R=p*uSgf|Ftka+oVB}c*7fGvHIdLj7WLRohxciWWE5GZJPKnV)VJ|X~gz6?TA`t zdG;5MJ&%|4%t?(?7`VjQQS9WK&EulK|Dh7|ONj-T(|)N|_dB6c0NuYk;T`4RQlQ+f ztl#g~rG<%NAL&LDAYbr3LC3s2U6NdJWC@CPR|ciG&Q14H4kJ+SbLQUPQsVJjJ~OFY zET&e#Yyp^5^~%MR)`Y;h5|a+nA}A|%olH(-Hxt?zbHmYZNe(o*JfX+NtnjCA4Xx>U zF$*LUpAMbV5=A>$9sJCffJLd0Fb*L-Z^%w2kRA3;BHD8u&TROlpZbfHjh`r20=K*l z;n!Yx%Bf)+sXo5=5Si_7qog6`yW89Piu=n4oz1XB6}BOwA?cQ;^h;jUcG~SJrMUDP zs0&!jwckaGFeV`X(J%{4LFyo4U+wPLOV`Nj_^m8;Dkdwt|8{pY$}>x@78EVhoHx_H zF?o7leaa1@3V@UP3Ydg|3r{m;h~T=0J{wAkWXn&P=&_L`i3lxCQ;2bO-lZiINBS6T zK-q;g)mEK_@K*t0kIy>z_)RhFt{vkS@^EQ5=CzKpJR>k|X_kF29SRbhnaM!M)KMPT zX6GPQGbxlzmVX<)p7k0ftSgvC0GD17=k5f7(7QR2G5bp`QQ(t#h`2vcbOb+&MHxIW zy5HP)NY3~fRt=v66zyCG@{Q4zg6=&{$NO0k?N9jG*K;caMy3e7zv9g~Y)JiaG$r-* z_1m9(>adW;S%UnI+ES_CpMBe|!?Ol>iT|^H{;$7{J&~5X5$z-?d)LmpKZKh-kLeBk zF6POMeD_#{4S{8UiqkL&wTaV(5}7$P0$oOVzz`Xioddf+Ys}`vZIV|&y{dx_6CF!B zWNU5!9dmRwe*6d-2J^7p#)5_Ec)?=7&6=!lxo-g?NB^wmSCDy(ua*gU6ABcgr}T~f zVnGIm&=T$J3GRL&-dqOyGkRgW?uBgC${FE$1FsIRJ-5$Jp`KVMuqGaF0%Jo=AtsEG zCQ$4LB0Nv+T~$-R3dHi*&PPnYpXH_H_ablx~=uG3jv&-FXo2D6(c-; zDGtjkE|*Jnu4~8~xk3c%&r6gE6fjBSs0w=vU)x+?9fKJ+eK-;(vXna%|kNi+3D4SZ8wXNVw#fCw&pZO?vrE=*hTm{gLYz(ORs{H>Lw z1(IZR(j^8Y&$I?3FnXU1*pPrgpQPDIh)~sx)A!%Nf@^TOeN5maL#Fza!AubBU`ZY9 zB3EhgSXW7A=8>YztO*W=qBihzO&9%$MovBNm$jbw!z@~${A$}VtZT)0WGP=YT7ymc zRYk*GH+f4?61wasW1k^pOGwi6?VEWC9IfyuWHRA{cdd|A!|Xrvd{ssE4@3TC(w zMpeP5Y;P*jcKE1^J|4GQ2$pMC9Sx6@y!=<}t!f;jPT~a1eK+vFNo;rXB=FQ$_a^A_ zP;RM|82RFP(0T41XY)naqmN3n=N*Sr;djCNQ?W9Nf5#dAqhVu5zB)o%M?(rr?gYqE zgC^I_DqNa%)V~aee-u4IPbG~L!g@E%Lk#2CKZ{?G{pmk;ww_LSE zL@olZ=jD>e(t#fA_gai1``QmorOFiKiwUm(UtWc>o`AchH`Fk%Er7`Fx0GqP>ruhOau^ z`Z^(g2yNIR(i9O%U0XF#alH1b7@9HWBHVNLtq#SM7-y>|SU+^sEJX_iT9%|*sk6ii zOKiRNR|_tUBH>~fVdr$6HC<%-$yaTno%NfXw&n077&4eN<*bs?+AsNWqC6SgbX!Ei99bd2*MXugIefx%rrRxJxXPTU8m`CpGylXT1_}l4A z22+}E$cms{cRBKyO4?u!3kz6$9EBF=mm-l4d_{p$H#Xq-jh~GT4~WQ$l_AOZ&L=&5 z+eMsXD^X`_BXqSr)0hW)urFgXjr_O6`iN!*&i~c|2wGNZ-82tktIIAqe>`}rzXydL zH)AM;RH-(ib(MvqP4S)t#J|*#Ga&}m)WKK8y5ljihzisYNAxl0!fJzggLFEoWKvRb zdTyuF)(xf0_G+~+n31||>r>iaUu6>?%{MC1V0rip|2rn|^9KF%{qXF>_Z4x^_b@=% zV3b~%__)n%H4--x-|7t5${YckO1(o;FXi4RD;9;Skx*#jc-%2DX8~t--<cs!6UEaoZ^5ZF3*+l^+VPN5hSx`#+QCuGbt;u|~ti&4F zdYTs(r_H#q`hR)8gM#!K&fi5Tst@p>fsP}h<4%9`Jo?n6qQ9IsHl!sRe^#DIvNeTu zsuYg}ibRv5F#I4?n+MM(?9LxpDk9HBT@FjgBv(_lHY7jxG)M7*O-fdjyx|s-d1-x< z5?#l6W@SpzDwDh`(h z813*@#QMXP{z-klDj{#0NsXexrO*>9wXmRF@=FQl*C@`yf_@1#Ao_Wa6Vgr=;B!q{ z93lxAHr|!0ud05u4ZqG>oNi@ec2}3=dxy50hk~Q85oPSzjseD*PAv6y^i%;h0r?1wa-7(qb0{)K3>Z(cvw4cu^_9Q6D}{4 zE^8f!2FMZLrk7SpCu|AgSn9_SLK2B;_!BuiSidHE^J~h{^*7alS+RWz>YUAk5wzUc z6l{yeEJ>|-+;jv)q3+pFCE3ZKAxvP!$}&wpObjJO?FvQZMA21W$@W%SzS=x5K?Na{ zvgfelPqeDAXvBE>@3!M*fe&h`1D_y?SeWbnK3h(NQbc&4XeV#zcp+K>Y@#Dkm^!MZ z`4VIka2Xiq8JeUm*-2Fod^5yHa`kSFuzrh_f5c|QM><&ddrafQW(D^nCX)zgPw@6c z(&yilb3xq@@hRU}kuN9m(w9cg%`tAPdv6RBDrO%9i|NBJw z-+CMx3~6Dy7PKt0o|~aH$rNJGuMG@azpqLpl*;48SyvHeadPbKAkw*(&O;0js-(BC zv^k@S6gSKzRr_4r<(&(=yby;dr!qyjX!k9*%OnJZ1zLy-(rbp+vnsIB=fy#S_u^bk zltk31R&U(3kjHzix$)zWqcGOfEYr|UBYBvkdCng3RlC}1Y_Vj5goX19Vs+cRTg~Pt z1{^mjh@OWE!;g%<{a{zjtPcGCNZ-*H6YcQvrqzFd0}K^D!?;QK3jjhu^Q-1FKUB9(IoURuwLYV7hIQ%oXNdbr`|TfQl$j^2saMA5 zfurj4bbv@(n5DNl9OVzR49IaBhhM2JbZ-r0#=HJWgJF6ZM7b#B={S)cgW0pn-NdmpFevLSxbWrx7cE~AR;7xm$-3_ypR)2&yIMi z6JD~`r70^!Pmb#fK^RK|oC^U-vl$7_KjJ&OTp!#**jn}3R*&E zXa4+sU(=4C-%+5yx@t;VpZvf=Ri!VDB3L2)&BTrU1DO}6Zj5RwNbM*rX>{`xN$Rb7 zS{zf|(P`!g!}R(s*a0dqqd;UHbr3~{Yn6#QCbz!nQe7o%AVkO2GK_I>5}VrkVcckZ zBl~-(lUHARbi@T^gw6W|`)?-et@JWBV=%smnV#b@)$e;*ny(GL)3$BQ2BTRtUwY=+ z|4_CkdmTt+v)rT3V15=rf5d4z9ufW`Ov)waHxHJ)U$!#&g2Vgv5b4AvdHsIC50tm= zwJg_v^q-!@c075!&fifd?M9iBY7^ii?5ooHS}x4s=d+AogOZ%UJZwM@ec!VTK;J4C^8+ds{FmpKlTHmwl$Mk;ZfFBN9>G3p>%NriyOLdl)f4!PRi70 zwp1xOn7C$C?NsGjMNVY~`nQEPKibhTmrRv5VJGQvKJJnm+u=Fpi5Gx%$WUyy&Jm|Z zLSeWOx1S-bAz>H(%~$o$yL)yTN&koKUeLoDnLMprzt&lru1Bn;!PG* zyCTfr*Pakz!uq_fu1ZG@!<6+^og0-?vkiHNl;_gnTe7S~qdlduNdCf}$zco`=>eaR zJk^S1FbT1*932fQRQ|0wj4_3D;T)baI_}Xzd*LO>)qRk7d)QNC%XU_yo`|<6LLZ49 zqR;)}d)U6e1fox%ofMMcjC(}N#iV$OVq;<&jKD_&?yaEfs0yv2hzw&Sf?1{m8D9ym zv%7Ds`_{_DSVrvu2P`p!tBT+#q5k^ig6@TeWuLmT_VMW$YA0zxduaPDq09eDwTgNn ze_uX{sXK3aeNp!`#th4St^08$ry*!a(pUoD`@+LWW{FMDZz1^_&FIzZz^&(g3#hDr zmF)!4Bjw&7SnYP9(I8Xe3r!>Ec&}kJ?^m?ozIcjF{Xd}*!0Hwq)?4)9TP}QJvm}R5 zZuO>Ef#*M@sRuxs>Nmd9;?mD!D=&W*kr#mrfH3M1tWDh*Tj1amMtME(6nY^npp&;v zgaT70Bs<+K`k+2$4rAi0cZr4uPLdblyEl%P8&QH?ynIRs6i zDbax@%bp|NK`a7uM;{-9{IfWUOGr0ML5sf+%btkSFAxR+#|nS7@jz`MarK)ILWQC( z1zFX3cWHNAM=Ri;t%U~@r=Fi*2p0=`9*K5fWSx4t+Z7E&6Y!<)Y;b zXa928i^?oxOF&3|rJ(KrFU7koxr~d|xt^F~zgEsJC@fK#TB|mz^S=|~pb}x~XW}Bc zlylCDjAm3V;V9u*GSw2f0#INU6Sz*A&# z+MyO+r2s6azHJqhnI?K0X3`Ag{2-inLz+D0l>^;pPqhhH=xA!A;TEQ>V15^4V&W7x zbqE2{)&`7|!;EeW9sP?UoUHHV!4LBg9h>}06&Tbq{<|v^v!YgXKJ^TXtfWb^cy-;u z8N8M65%Z*vVbiI-t$_7(Hc}d9!>B9OMaUUz`5PsZlRm?D}?`Q8V23+*w~?s{F;5 zsUZ}M741Mxvr4)l%xiwKGZ|?iCH0+RnEem0UaHLdr4gW!+bNLM6KyiW^gk^TG#Wn;tz)6)(+Z+EdrbV{Bo{ofGEGY@B{a3DoFx=W&8_Jo!VFgPX7&W zNN1I5ySDTA%rhc=NRw66Hm3rHjOyHeL>7E~)tL5lky6=z1cHD8Fdq-HxVX>C`#*XF z0+O0mxLLr14#1-zM_KuZ!T~w2@zI}g@iO`wLY(#|DdHOMzU)#Z`EL!LIR|S zv(F@eB&M0TG)?HX>-Tx^>{SXIJo4uG%=|xba^wCc3Sp%qMyB!h$X*As(^r|)}I^d{Fxr1shlPp zN8e6MQ5O^2@^rqqD#wDfy-#-g4eRGRw@fT1@W?RcaL`I)9`|aKwW}lnHYx#KKl^j@ zYvbaNJYl-ei)Iaeys506hM#5@!S_?FBDPyGFg4WZYSkt46NyfcgygZ5z-fs@k=MB~ z=QY3FCv&3EQL+{%t9JwXBoFT+mX1^h*BlnsHMIbmSBd-%ZQ?hr`3%|-7BJkc|wMx z&UQ05}hs_FWf9A(}St$k>JqHfq)csYCbndI4+=L(UeseFMO9^Zh z$fQ61Gt^%}BkoCfY3+8;>frEs>U-ad1>EBgjIJhK12meTh`00YY(W-KayLLOJj{WwKiC1cvw6a7UVnF#(y(?P3Do@-1OGv}Tktd^) zOCHm#sj3K_jr@h3l}vyPRs}?`H?({@<84=6EnLO z7(-nWgr)n&Rw)_3CaH=X3LO!uQuaAd>;3EX0q!rJQ`RhE#eDWZxa!}SwT1{1m zGNRO3h*B+(JFn|iFN_fH^&Sq+5kb^8DAhW^MHtEmD2hQQbWs={=c3j_aJTp4&;axgrqv>FD$S1(}=0w-O`re_^raUM{%f-r0VHu@O`884p&E6N# zTe}xNhf12hD^W&@B}W~($`X&))A!{sl{tUruQ2F?VOBL$6~x$3X$i6-t_!JQe#`aT;VD$c+Xw9e`Q4;A3p;S z6F^OOIjhrDdxJqySrhNwW$vsH5FLCV7J&;t2_|Ud=A(3HdF@Ux2s(B@&Nc`a$>-syq5rg1V^J(jLC!mD<^XCD8k*d$$J!$gre6g~cVuB-gr= z7a^W3Ek8#pN997nlQ!ol{cO+>pTIRxA%BIJ+t401hc~_SBGvw~;X@3mz~0o)R;Y_y z1)iLtzO^ePU4eC0QBiqd)|^NOcM@TXPl_63Qm+g=nRGP`Huft{R>~$UWC)Xp+*jBH4wAJC^k%xoeF1negauW;G@;mz zat-l>$pTI)-;h1R*|HJ(*UUWU<0l8>FT|Dpy#qPl68)425q^xLE>Z&&;9HV@idC&uVwn5Ve^6Z=X>^L#);)0MTRX@QeJ+UIaecA)`0xT`uyAL-T8i+ zRI`-$>NNY#ni^Ubv+YQ4^|?0nvhb`Ch5iHWR;K8#-n}56N~zxnXX=#hG*#z>&)lhw z9@nl+gzQPw%_oMgX*Pl@7j^B8E9n20ekW8zbPZ%PN5QKOq>th1HSaZEWX z%L=9RFBSR+Ds3_E);44gTX`tk&t5i_9@O2O+z&;8D!;a~eD;4lHA}a#m$|f(y)cwd z6ZSl)C=aaQGE}yPsQE1G7!EBb;(L8*Qael`hVyx{mWa`Sb^u@Xj86~LExtMA6Dz@7nc&H zHf231l%8|LEd}JcKu^6M$Dx2OQ~r)MA`kxM2Rq$#BfU%dc*uEbua!S1l4K%w%G)s} z8@d!XA~IQFZ7}m}&9z2Q+6=)SJDfPwC^$hLHW2!yQc#w6x}OdEw~SStubP)QnG4Fm1EW z7FZdH=}f|fl`3kZtC;)Q85ua4A&?ja$~aUtX>D>;mi#yS!5Ct1en~4@|D*V-RIi+t zSyO;*X@Iq6Op2-nV7C2X!kYMOkfGL?8Nc3 zSQt92+GXnMmfwtJ7@_Q({3iKtuqYDx)*vxDx^vO}jLNlVmg*?`JqZoD!Xm?iwUOvlrw5+#h86a%pROS=T|6cBZ-U>;no%@gJZ*1>Da6u$0tp@6UV8`c*nb6VZAr`chRO3 z|5|87=w|_s&)rvz9tSu!-8M2GSvdSJRhB0OzLBB-z(mS?yg=I-a(aLEj-O;v=z1)- z;HJENHKiebhH5ANY^+R<`SOBz678k2aAQPAFjNG$khG({%mSC z)=m-ds+FTjxYE*n4&Yvl@aK+1i}=LE04R6lDqA{f{KP6t!@1GXb=oc8{RFer*%@l5 z#e-r~d5-gDENUcEhIYdYhNUs`jqG)ONA}G_n7BsR=NgrDOp1^?yfZNOBVnEmeH;n+ zANkzjF_sw@S-rT4S(|t zV_avg({d^XYpa?mSE|#SB6Q)T-@ybnCJKx&|51n;=SFqi%ZOHPc4sp^6E~~1SIavV zfTy1mY@@6=aQz}zA(cNx4``Wi?4hmrQwwQWgU>s){00%j6d=2rn5^CQ_|yX5c#n1w^gxqaZr)nDURQ|$O3%vwr`y+jo+yHu4*y1i z5MjOS2zg0O_`>dhmQ7PjDnkMj^Sw_ZO6X0rB>Q$x&^7KB>JwHX7OLfUR)36a+$xGRQ;wBO7@%5!-)5OfsGV51=V4qrd^&KNy!Y_vyG_5W zh?bq+cP{`WjWBlZ`fb5>rv&I><31+=p?u>yuCB04@L}VvV??S-PLlWL#$Ytp;0@0B zV8CrcofI^0?a?;fBDC;4Y+gGWC1ogGfEiE+4F6vQCFE12beC&IlG7_;7McU1N zO&*z6g_z`w_ed^Pw+td3ZqbAc(C{b|#iz<)%UG8Rtbm!5+(^*3HlhB97Qu$L);>BJ z9~(@$*s)K#X+5TzeS*|1?~U=>Y%*KJVoMgd*BG2frHc;I6<`w2Cyh7&@F_-teC zqhx}+(?5hRd^u|3MG;g-jyLN_$cOtC5Xv#Xz^*|^_rO@WfCkG50Ml>fSzi=vQ8F^I zeEteH(J`?LD(&ibBXk4TX2tD))+{52Btc?>Db=AN&j}vqKWdIC$qF%XYr5oxV@joe z{>e>3P@~FCLW1j&NI7Kl*{4aR0fP!R%ypyyQl2`6`c!P&ECqBTQbbu4(rRPnviD<+ zaFmN(%`QOF9Oi}Hm zr|P7tThMu`y5T!G@I;DA##Fq#Mb&V7A%pdY+xx7!Lx%a)A_1m1{}g_9iWKGHOQGzr zaqU3&VNN%WAK@7{{_e@Vnb}z!?(}ix+h9SN%%#U`$--Q&Wl7>b0|qh+Nje1#B>+(I z?Daw*X+(si!cd@CuVZ_vywAYdK0lwl^t@oF>e%OeNxjDNm=Hl|6);5yAiAB7_#g6| z1;GWe@1=}OWSl!@i>dWIZ^I-~k)bmDO3L4N0qHBO#Z+mki%w3~K;aJycnb-K@hLkA3fcH#gjw zS^?phq*SvV&Ky|`GOo2qQ(o~&Rd3J@-5;YCI6Oa<MEe9 zAy05n*;y3VO#;@Hq}{NF>#FJ?rwZg;>>N>_t8~|K0?DOYb!ovPPO=xH{r^xs`Bza? zkQmd%<5gh6j905eoE}TX!_Iz3%+|`;^KrRFYe2Uc+_`nTEU6W6KYLlQN3}0{J>ggO zkX*UUL&N)57{Akz0I>WTO8!Zj^(uck{S*l;veVA+l>BDrwS;fpP4w7bNdv&6*!k-X zZL{#4r0P$Q@a6URR4;RGkyWlYeV+X!{SjkEr#FKcZ$=XJ)1gRdN98lUH>M4 zOR`YbwtQHhu7x*~DT2vlLJTPf9E7bPBl}R;GZteYt~CGiyK?FQ^JkZCrpi)=)#wkb)$0<$j}^P1mZBh zjuy{DJc%PLJWVO_%sm~ zg%qog3==kKzDyGVMdV{{H^v_0nZUoz_b)Mo6`iLo36cdur-d-Dz4+Chw@mP?1fucn1>$c4jx23mbH;NF7kK?kYh- zoWhor#CG?O0(<{Ci1D0>v7Sk-Eg#q??Z-4GvzSdy0MY>b`9-Ow(;G$qkpig}ypzvK zFvBe7RWTx1h-g@_x$~zy?u}tgOd@)oV?9+KAj*V6ZOZ|l5%paIPmDv1EI(zert$#O zaTh!^K|t|#WRxLd0DT(-vT+Phi`83gT((yK@{J*uVic4-l>GDSbXden#Kj%41AXP~ zX3SsOigPEr{&m;v)E4vOjqt9={(;NO2cU$6Z75=bI}Pz0&sI7T7Pe^1z!U)gKVs7{LI->5g8XyR3RGx^l`LaNB^w=Er+0S~~1v{&cPvj3`-{39k_ zjQ`+O8yzaO);WgbLKrRU4rJ6239r_&v-sb+#1lJy{-X9@G=UjAHTH#i)zjxa^-L|8 z;P^vx-CZvL&y zBaAmP`kf`?oWaaBwNn(GPt-imEt%gp=*$h0Z4*$dl#;`C zkYC7@7E2-e1Xo?>0Ic28_puw>jnhX2F*lmzxZ$BsV-o3-gN?cR`~^3tiwoW)6X@PB zNSeS2WqtCOG)8H9lhiwwR62g1XNK%FD)XdVv7mp|#l>WglW|3~Msu45DWbsCYgeQP zmEb+otVbweO|52befH;*GrWw-KL`5d>XkLWXsCbb)rLaZS&4m2q7q~^pfGkm2Jx-N zWlc3Y1x&WLtZ!|}PsI{A!vb2Ajs_S~PYzuuOt}~ouQD9cq}pj;5Yy#To^EBYYrZQD zh9r}GYMfBK%jz4{<$8SbK@Q`!)R){x?hnP#tg%g@FU!_yZjOcw(3tO8&}$fDgmBxS z&8YDFU6l4r6gk$x_Ut)A*7DyJl~3@6I+1g(`$)g#iFrH9s?xtawF`tKC!38c-CC0% zd&YO}EHL`s)En{L(+th`UYxvryS?vz^Yy_NPw&;tU$GQ8B>Q`6m^lU$t=kmt3m^{9I zH8VbfG^q~`pvkbltJHv@z<|+HoBiCXbUT=e;y|36z?hhXmq7uq|NAVDWWF#{>(0c` zRSn9yjEa=_3ic$TRr(uplx}h?3$>qcehh~q6e%RE8PZz#e1l4(bR&yHWb_Mte4baI zvk%wL;o+yJ2@-=&F}^0b3d3KU62Zv>MUG^EA1stQuFjlIONUZCrU*=FCY{$oet{6KwDb~WY0pESH`2eB1C_js# ztWBmx+5lEarO21of06N&tF3K*(|L7G+uePa%VV*)Y#!#S9d5OS&s7!0G-IBfKZNmC zaUc^EUw__`gzcMjCJbG_KvfH4gzDK)BEuL?AXrqaIKI-|;@|RAiY0TcfwpN4CKSdk z{`N*T^X(HR`U>;>oH53hBV}=Lfmk*LYbGTrs81MwOGBSQR=5}gtNT7GYG5z{(Zl6T zRLRUgY6Nt$3m{*9mp1gEsY$kw#=tsa-&gnfvUi`%U7UH7Rjmmk z2gJQXfd>}N=NU%jPdM_rSV{bV$m_M7nX;+v7=fHP4m&%0bnf-s^IIlwBSrDB68U#6F5;QZ&~LzAd4p_@Pre)pVbma9pRm&M1lLdCcSco z0?4dmsF=y*?`V_;_;diHnf4A)Lx!D%J*6L?7OJgY*t~rC>E9D#g6= zTj(u(JP@&dh>A43-C+8OkBecIR zy-U{y*ggbcDi&Ua^;1X9>hSj=c*gX~-pxpt3qT@@t1hkDA?*$5y5?cR z*?_EOP+M*Wig);s`?rG$-RXNq&glv0ziuyjY^!|~$~S`1%TRa+x&Qu%0z>ft1z!}1 z=F)KqpA~fN;XJubGW`*VqUyAqscY$p@o4^V@mFRC zPeVNT>y9u5-c&9>wPx%J$3x4`<@2p;%vQRarS@a&n7I|VzpDY3f5QM?*zSOO5kDXj za}m0`5)L*8hox3#wisgDW;_+kUn1hK91-D(>mP&mfFk?^r^1;XUBV7CCVh7G_OH6` z?`BUYffh3_{=WOXl1)egpBT>)$xAGg0HdkxRoVdcHmu4??bafclajGdC`4BAc^=HmckLHqfD> z_*I!g-5G7XFO3VXO`KfRwC$Q*JHXvPT$$eiTuWcoC&goix$>|P>%)lfY)YXW(Ayz? zJMT=l(I{X(e(+B+8l{Y3ZM#RTb0d;?(H4z-1%xR0I=VRN7uR^9hSqy$vPhdic!x!p`rHl@14!LRVFL$jVS%G0=RrckqJ{P)@4B(I#??oDz%lfL6d zrE0=uD7#(vzpQ)WF$KSu`om)Ilo%^jAnUZ``U#PWc%3~V2}77yaS?%vH~5AAN537l z-8n%o;i=vE(1GXiR^Px(j(x!Gr7WO~!;5Mpl zc;g%qBY5~xM0gG7OY7I>ZhYvN_^DF=af?l|iGDbHUXm#)|4Kdkn9Mo`M_wD)o z8_LfZjP#t9Ns!}b9FcL zXRt|3uf_$w_0(XOc^+NAgBK zr$fb{YE3op*{69BJD-)eAwT11qR$H%NzOa=J(dQoiU@W_iIaV!+&ft*3zz+}QQLwK z-rWz1*c*p(MXkv3gJfd4R5z1+O0>srb7qto@V0TrqkyW;cIV8TNFmHGn4~A6#-|eM zWM4e!hIqfEM1JUqMim=XS&C9aWakC3V_l4k*F$act`R3W6q)3Qs449@nVEdDqpGlL z!1p(Morm7S5~WM3*l;lxr;yLjyvfg;&;J=LZY9GIu0uKTTO+5QIj6pxJoUXhLGq^` zRoV%{$tD!GOq>hx`EpTp45eza)iX7Z8*?D)^H7O^i{ z2S7o@@=iL|I^|#xqX&n)GIMc>FEwuTI1!DZ{m19=zj|LAGV8M?&I5X0f-i|5?TuPg zIN26kJXF^&-F<$)$R^PNq^21sB3t!XA%wmX#GIFZy zc-i7stgV9JsL+qij4md@T|KEo5oh+!^6P0omzGL-4ihFchx@!KCvrrph_s|?exAu+ zbMZu6Y_o_9kg2tI?KwI{C5?j1CJ6f9@@r>*Y9@+V@n+>hNB9V-|JqpG4i4s2%2_;o z$_@uAgOm`MI}`yc)37kpgZz1Ou(&ueZkuKtv}z~5nXq;(2|73!|Rko5m+PaBPpBGFbds zHOo{(zCcp!R-SK-Sc67vL^WBsKA65=OUop#_pLZ(d2+LQWEZN$iV5ppUIJpXcYKS4 zy;>+@p6c!5!hhJ917)M%!mmH#L*{^cAZCHrYs50}KA<~oQ6XgH{A}PN;QJ{5*KK*| zeAERkroOokeskRDQ6ggecQGGp-e|Tps?Qfv_q$->P4Z1kB?)W%F@HzTg>weZp4s~; zLU4Y6=DiCiBF5l@+he_=4r9Dh?uIgbk$+tzm#Y~`XrW;qsW2p>MCnQxEA047qoN!< zY4H3V7o;PhW12B}PZcrwX@A#wS*mT_Qm{T#&(drSUj-=wn@<;B1J^r5@=mu5NT>Qi zOl*^%Yv(%NXoD<2aenr;Gc4WRY7=R&P@D%i?{2!Khrj*$PR z-nrj)1{pLn^zy6!OY&f_tOD#wh^}C&w&ks9^xdq~6PduZgVPe3zYMGNssfh%(^=#0 z8P8KM6_P@hQc{G#9J>3bqKWlU-cvufl2TOo*&U<6JE7K84 z*XjGCt7ZQNr{qv+Y!nnW-Xt0-%r2H_eISH@jU_GUCu%!H7*1b@CI534P0VayHXenv zi!m>HgE7bj%-NeX`jNOlqISR8v(_`U@|Wbqi=vgQIWlQg6GJSLcNb)T(>3vnFKxacY(5)T)~tBj;a>01F{5J(Ns_fiHcJ>F1Zv5}QBn}JvAK7)UPW;BuDzpwPIOI< zAqskDk-e=pO;-JLR?Wd{1I2sa&+y@oiT=`t$Orvo_{V!Iy1V)ajuhjlY6o%hQ8)1v zs0*0eR;yaQOZ|&lx6YinYnYCDCM=nVHP2{iF)!To$w%}yeP*=id^-|ZmizWTw1nt1 zb8(w(aA#UUDh7XwC9885 z*cogXwTgZQRMUeSB+rnr{&*gl(xgp6p-rxKD%0Xl>I_~mb+oC8m4)I_54fP5(T>G+Lu zI7B`ovka7%Y!sLI&wBu@OiDIdN;YiqNfSZLVSiTRHA;YjApgS?vneDnGQgoRwwN5W z0YMEdTJOFW`#~jFk3@2Jg`~JAJj8MswQoL8BUN;SBBPS}o12a3V zW=I(Kjv*CI-(H0~@Emkz{lK$8JLgjbUH+=LBj2k$1|UC@-zNU-SV8jf_j_IGlel$h z1F~+eRCDbC7#73xu7C(8SEKp$>yW{etG8xUmDRA@UWoYxQpvcK`qyni69kE$PodDa zK26X`4FO$}Ym?^%v`9h;swaz8CcdbQHr^JpAV}Jm z23+q17Al5U60xP2&mQ7i`eCR4PzL`g5YY4T$LrQ8k3YwOo}dqJr95KP5Fv8H#11k{ zacw2foiD|n_;J6sx^aXrpIQq3IWx_>Y`s?YY%E8TPGy`pcey z&pp)Mea4ug25ltBfWrXYc0~gn%L;g`(Qz`@%qTkK=7Fa*W|3We487#XbcQ5WSBQnH;N~tKrQz?)l}^{I?e%oD{Ty4XPthhIe$dh(MozM3G3e4pYVB zUPLA5oTdx$Rz7Vi{M_yTX}kIGPU%EJ#Gf>%l!U&ce1wqt0}nO`^JUMYhDQwe5!()bktobz{hKo*e#fR!jcM!_JaH;Er2`~^#;54+Vw%;lp&aq*C6X*&$I!Z zR67^9=WbhLuh3b=AN!byTU>7%dsK^=0VTmgrgj?8QBVOYgmO>CU0 z48aZDQE*rJ%((qNX_=l~Lg2!WQgN%D2y={X>lbvul)%{+5o(`D>h zy$mOEtpZQ~#+g?Z7YUKF6cD=?IcM>SvS@FJe${)NYDj9B@?$7Rh;QxvP=oH+ zwCC*lBBsCR9D`uxANL-{Or@DoH}ygrJP{`G^z z%sBYLjC=Qbr#8cHO-gn(e^wae>iZ_!l#rwg=~ivzSE^0!jW*3O{LY_(=NaIy7W$bS zx<1Dr{D{SmCOjc;CT&uCjYeN}?I0HWX3pm19RcX?lHi+@gJ5x4ipQoH;=MoKJ8}E? zHw?9J1#@+Lp$3O|FBdpaNCX#X4D=U953@+#dFm8R?LzVm1_!TRt(pY0F#b!sTJ<2R zdzfhr>RAX`DA|gk9%*G4zZRo|D^aWu+vR5J?%g1dviD(r>P}C0RF(fF`=Lpr!rz`cT>ajn+{*D&19>zQ5veHy z@Xl)IT^^26-YR>($Iw*=yCpzon8ete*9pTv`*Xx`^D^m(%y?bXt{jclZN>um6(=fA za#5Ve%D_l)Fu1f831fNS)^RuOrYUBonnQu&`Jk=225NjZ{TfelalHw? zd!M4D}huSz$w>5Ih|P}QJ{jmo0;q+OWfr~v$XA@e41&(7Jbae>oB-w*x1#MfB5e2 z$91Z*WuzA{Ui!!yu8d!U8f#%C`wd(>y#$a#6LUcT)(7wY=lKyR+`lXbC#An=+p1+n zi+MZXbFVp?&Tb>23V4cUOb&(so>u%y*JXOTx~5qLjva_DJtObLfBxs`s%)>d*sQZI7&xq0;uXlBKb4pTRDSoTrU%P%=f~nQB)Kn-n1ea`dzEo$ zQ`$pCLiyjz(xycRb&Njo@!GA+*;Wcl@*nUfa$g(qY?=?IqV;B*!Y+>Hyba4N3NwoRLw_QM`a-#y*%etQemLytBwVp0la;{9DzWOfX z2_OL$?NJ`D|Hw966}Nt<3}2dhq`RAX%6b>uvR3*PHJRyO%Kk58RSSB0SdJuOwX?Ow z45kmZjF-+GgE`hJR~sDa8S!uv{?ConS&s-y8XgjSF4BBcq&|k|rlXs;+xb>;<+X^Q zWW;{#93w^)k4?p7x~dDNl?#klVQ?`&Vwmh%8{tJ67Ft8bGubd?P;`vx>!7WRCEB+$~e zV=(6(b#F&xzhB|XiF&7m)NbTI=DsrQJy^<|>It~mu{@#w;-l6rx-OVnntJiRRO;6< zfK)R9OF=m6J1R5Fq!l=EOwj~ePxy;s|1cmhmiNQn>Ta%+9~0s;;^K31+PlligRlys zP*9r9?zvel1%AqYtp}<-8w$0IYqLN>0`jpRMWKDuYFR5x+20)g{C;w&US{c#YksMP zo0-Y|4Knf^R4XC&35MDk8coa&DNOv0^gt3<7ev%Bd&mn%{xBCR+5?~gY5$0$f+1Oi z(ew!EK{3vK>efT#VdW8|Huu)fUyXJ@if6j}V-Rk|ckmXK8xK0RzSlXA1CRFmyVsD%&>Hw`d>ki7K5-I>tr3*`x zzrSG&+y3z!nX9_Y?PtKbixoK>7Fwg)s~Acqsz@GrI&VrrPX4Sb#C}jFL{cQ?q@A4X z;ym>A1>!;Hd5qqRdy9kgK=>cxQFxBb(C|e|dlzhgmjDI3G7_pP2b@b0$v%fjI=tMO z21*9#pXKPa*AlTjI7oy}u>)0OIaOoBJXEZ2YkWT1yw4EW{@|X-J|y_2_~m!)cbfbj z-$C@2L4I5YK#<-nX&X2ki-xu;5MA0CJZBRJv(9Z>{VgbB`Y>v5mMay(yV}nbZ4TD| zW};SPux^SZa6pEP2vy#zs<}lfam<8OegU1l1JBDepT4BxSL_$pjPwNl(S7?E9@$&AY8Ab52L4z%`#TnRR+e3E2!hX2=tt#$@H%U7fh6I#h}u z?Rh(`Q{PvM+{pSGWtjLNdkgsX4>8q#L1VEZg2scnCz4n?;dhYS_>}dZMj8a(`-fP99Z{MW-OoLo z9~1WlD;w4O{)Q(o>%b+RYm-?Mek-MA4z(YZ^&lx6EB8X}(lVz2e#hA?8n%-RWJkRA zAqD^R&*3#VAR2tOxn_k)#OhP6{A;I-!dm&{KZ4Bb$js}K%uF2HMW4}?<*b#J*rSPc ztWMo!fD|+rE?Pk1J=E0bTqH7^b*?Zr8qh_{FhrV$wf=Cql}{xtgR^@U?&%Xs7ZwbW z!#P*95KjrMJwGLmEiRX!eBV9no;O-6rnD15n;Ly9Vg!18rwBjffWa)GW2oO8&-rXX z@L@Toyis1+A|@0d#U(Z`gOlzL-jbJgI`1_NW6p4y)h~OQUQrhFRzbJ;)mgwt zg@0>It1y7kpPr}C9>d3wqd(sddRqfT{|k>^e$T{bl|k`j44$)-CTqFE-k7Nj-z{*l zfDZo|#Habj0_Xbodb)N&X+5QCm07uRSn;4UW#GmIY!G?fFRZ8`%Jcq$4yhOapP8Kh zJv=TZqLHI2QvfXmex=w-UGW72tP#hgHJn^bpb)7kFa>wjQO7Fm{Y>lst!Umnm-nu@ zRNB0mdp}}*s%_m9T8FcD<>QTdza!58s(boN2!~%;?k66PHxNTg;KrK6VwxvpZ zxMl5SMqoAJzxl*NLucKabl60ur{_b1i4MfRk2KX-4ze%4XPrZ*)J=GGbTNM3XXe#i zD)06+1PQ9V&ms0|Q9(?dfMT)Cf4K?ukj!+%WM=D_1TtV)U$dwey*FrWBy4&rZoOSC zL+khTmxB_2TAb7%4&t2Hv*rXfma`>BW>o0aQk!MJYSK*88m`;kgSY7UPOjS>;yYq$ ztn$9%EU|YSpGUmJzk&u zGdM#Sg|yuB_v#k@yi;fS8&SDHLyJ4(+Aq`bb-QriNXj zRyNvA7eir>DACCijhCVnOl1RsQ|AOnA}m4TXz^2M@NZhH0GtpcJwemOb?~ocgm>B@Be1r7Gjj^Hx^gZBbce+aSUZX$IZd`|Lz6^$ z?}tL?ep&U!u@*=C5976GQoUHX>;mPyW*^VyPbFkA95R0AH*pbNY@#y-Nt#>$Cil!D zMq>!D#>~Ot*jnjt-U$>>=VcmxDYb{p>lf(TezwVSSJIu1n17!nU{Dd4JVL6|}@7#Xv z^I+VNp}o8{FJ~cNS zX|3F^K<>-@XPQMNhNI9NMT6U&9tn*f^G%y}*N^@nyZY<|dP_~2oNp{ikqrOuy81t- zNaq8h*`=lYL{{~2H&KZzefpsF#5SS8bD;@DrJ6i=PpnUD)@l9^Fq2xjDBu~$kh^{cmnbBFSXBu9Re5bZwymp;vssV-712t%2eie7QQXzOu}jD2U< ziRpu6@A{P@4%MB<6_Hh=qnoR`4h(FIenVQ<OUSPYB1qpUic(usqSc_!jVYx<5KD~!%p|ktruvX>ABW_%uhUizal=$HSlz4XH zlzn-F!H5&Lb-t5$bJ6SOIK$08HhtFMt6#Ggl0-(wO<3or55XAPJ^kc%+hRbe(07cj zR7lP^6ThIo6&WDeiA}?|NW^v#8HEfbP)U;s;ZxgA6rfQAQj6wp(Zbb2e@D&*T<8ry z=)C8?)?#r9_jmljQVN1gsLaZ?*^4rmjB6{K6t=2P>W0Q)hzZz6m)FYom%mq5AKZ;g z5MV}3j$c%(FJ9-tf>VA*r#=Y7-c2EO*ga^Mtf1QL@WL;h&&yk&V#Z{tkn10Fu4Tpi&WFEzG6*7|tqfJxs2?iWV+V97uz-po_qS^bNdU$LXGZac7B!+BL zmW4Y>%a3<7JLC+Vp+KAu3pAA4eWR?ag8H6F31zW~yeDz4>?qJv&ukK9b(-~JIW|An`K%x!@!M_f+c*#3wk zNSj)@adbn_u3oQ-wVsRZ>IQ7;#u5ErJ8Cyt%A>@SOs#TmKz2`v3@H1li*@JBbp)US z+N>M_Qm3dD2xO>r3$I=pG?xk^?rAY3q%}WFG|_b8jHN_o8I)lBvqx@@r8R-e=ZEa1|sphK5M+<#Q*QjF|Vczbf z#(<5Uiy~>0=PY5O;vA{sJe;;$(`P%FI=eE4mK`)HU&roqWV*s*oiJWT%XB9QDpO$x z<(N8AoYbvcrl7r6CSL2lx38UQnMNHL684##wssN4%c?p#-Pt3JH!& zz3x47VYfxzSUi5K!fha$^ zVGmX@39XUlfN-HsBu-P(As)&6PmyRy&F*YP;{3*_X)g>`ve2@Y#%M?0JRNC+qiu6( zL)6InK<-sM(aq`d4N1=1J)QW2iEk!wR{~4dQ z1tVfOxJ>18-!Gva-oHE6E@HhH#gvS{U;0(Ngpr94pcV+$7tO~uy(CR9z?AqswN&Q) zE^GLqC(z4X{Zl2wzfwHZgJ=Oa;elxZTebmPdM0ArlmNfKXURSO=J~_;@xQs&f9u4- zjd9Yyut=W120FcuB4?vUOq;Vy$!AdYfCO%dYieWfBaw!Ohw}Y~YzflSIWj~F$S{H$`^b2Z6pACX@OkioIY!z^=st|Y>1+gCfip2#JV z*qhaX!VJX}o@AiO`4II^!I(LLdKHPrzOa*h7i{SM+@KezIi}+>1y8ky)sZ#TdNeEB zo+nhDhh33Hd910UI{a~A0jwZvc|T z+GBp4Is7mgWw~yeM=dk% z?7=orFbfetDf+mRtNGPugW$1IfItnzJxMFQZG1B?pRBmrqJ{vz{!7i*+uf(C^6?$o zc@8Ek%`TrkYDzUc_Zw0cX5I==gD#l8QjbQ2lD{KCNxth;YkSW)MN<4>afUGj`!SvQ zM@hq-@QrH?vqO z33KCo=Ut0k?x#ihz2xNn-B>aP-7Mq%(}#XVLs43YDDAktcv3>@z%YFJd4eTg)n7bKqMF@V7uBoU zPi`Ri)Ai?QymvT8?uW16_Ljd!S37o-Kv|j= z%w+|aEUHuvN>p4N3j)T0K{gyzNu`bk^)gcm8Q+uNIl5#mx3?iZ1J?Ch8Z5;7ds1}0 zU9r49e)$wI7&v3Sk~nv5iUed5F_J1y36Tg2Kc^h-bI{jc;LUT^8vIQ3mvj9av8eDO z>0uDH#OCxo2JrCct={wIA1g>051?Y|6UN%!X_PwEB_xQrq! zt?lITDca;VT*@~hQa6Y3lZP`@q+)UH|k5Y<;Nq>iN@v2(%b+FJ1N4t z610H5f`CutdtLwc5wsqyW959i^_VW$I3Vm$*Mva<*jdr6$`i1s<#r1t+Zi|?nIPdd z^^)=Q4D8w~63X}+<~nU>r(YH|Luf>NZiqI)!3Z@n4Mp8k4#8&n!D}D&>mw3z1GdJ8 zA2YSic<*~r%=E@n9(~}%AkLrsr1GCPU)plZZoR;|(KEk^&!R-9?~Z-O#55o{JX;xg z_X{_j|K7ay{nGg(q4MvPmtXkb=W$LDz9^V1o3(A_59yU+g-4_3@JBmmUnTn=iH{g% zC`)GhR+k<49KZ9Q-l4wI9p5r??7qe>Yi>?li(^^m%TJ6)<#ZTXj`rF+{Ex7N_((p#F=M0%s=&Ynci z9zFQK^;@azy0Py!8qO3Un4{(_uDzqhxXWFy=WS%`hML3MK3aVC5G9OFJ#C^qh)o~z z$3dCkch_MI0aE$^@K29GgszEiXl8>x>P{p;rH`?`+|puGnm$(*L+Fg z;v)UaQi&{8cfuhE+$>ou9oJWY?&_`J-k9HH!CbjIBDEWFCIdn&QF2lqL`a!#gj|;X zb%cs>!5u%eGvg|!1J*8a*s5k?h|b6X5QZ7}YF?7gt1zH}Ki-q~BOiBPZwgX~wKO~y z^Y9H7Reb}$xq*fp4HLzuzB01f-un&K>1iqWqfWMj-PY&`Z>R;yiv=kmMnC?e5EjG- z3Uw>G&$%6m@XXdPWn8>k)8u@68P*X*LMdu@^L6K7ETp(a=h^5=E)vnVq`YX7Z%Na8 zNz>^`(^LY*FNrygBxH(^pi~BkVRiffp+My-3Ns-qmxV0>%CcB*v-GpJ48V;{8c`&%&uANM$~ePe7aT z6)NoHXqiew?4QA<^EKh@BeYf7u=PB+(etAia*taAQW>Q(3eVXB0DZKHc=ryKnXZRfLH6qFQKZ&)BDI=dls z5A^qO=^ zV%~xE?Be|=Tr3yD-=fM_Bh~E>pMq%Se`?C@4>ok>N27CD10Z!OZ`giA*X9|IgN=dh z09^Ksl>*!Qw=oav!(B#;B(5)Sa9^$ETp|gPa-XE&L^R?O_Z-H|Q`NqyPa*`42lpgU zcPyiOX{l+TPz`MT_D-3iJJzjG*Ejz1Xt^9atSd*qq|?M$L|2SOUfdE073i%}aFA1;nDA}VKtKLC%cq#xI(I6kSL)hzx1IHC z++Pa$v9ZXY3_EiGI{-0<)VTtlBLR<%(h7H{UYm(ij(Q2_oq`NKYMn-5jffc*u~fqQ zi>2^WFdJc-oFZH%*h6;0*Q|!iZYi<@!L-E5v;(cdwhKTA{Cfj%f^R-wm~ketJ@hUB zhmh2)6N~Rxl8ICHs5^xUsQA;wAujQ}cE~k;v1syudf%rsqcnZn3)s-7YG*lKDZEd5 zYh0fba=g@Bl?sT``IOS$^(V%72Zq~~E1$1%kHI+h`;XkeJB!T1l>)=rLuiy(WZMrC z=8_0GXc)9JA@}mrGyzF`7NfA>5M<6Dlq!7-xFD+?$jS(>lB6UmGA<@3W9XH^OK(iH z%Jq#KUXvF|{IR$;ifbJn-h%msS};2pe6Q}KyI z8-p0?LFWf=`SF32Dj@v)7(#w+VdYDishU&SWIq5};pk}x4kHeJUP~+k;>DkN#g9+h zCbJK*yQjZ-Z~mp!aXiVqV;DnKcN!g(Hw}FA-TnLIUeY9`fzO|-TLQL~VP^b*k5~%j zu>Q-2A(n|4y!kEz`0!1deXF>c9q$@vh#{0U8m1tRZ7Y!Bs<2o?Q7u|LX`+v7-B_u_ zu}^i^PzgRve#v&5ip4yVSbv;ic!$>}^Uv5A@veshn$8YQ!JUJK*X8K<*$tZNJBzJ< zo=$wz9&Xe+dPQTBB2>-r&+dH;BSC+gy&h@hXvA5J>EvZIEEhC*mRKK|SM``@V9EEesPv^fFHck@Ly zyks!-?fyVA#3bNI#G=l5f1J4?V3%;I&P$^i7tZ!e_BEkQ8+$e76b`GEVcTI8xgoZP z3<3KF5IfE+8Xt%__v2%`IWG}o5B6YC2MV~bV8T+Cd(7pm#a2J;`K&K?p;_kBAqw=U zO0jX$e$5CS^h3M5${favA8nDF!3r!w+>m_6+b1oSTvDg3*iNc*VZfnnnuo)-KkgArUXvb81W!C$+&=@)2uVAzzapX)ir%BWv;R zCD?8y6!!g4SUl_NM;veg0!Z9n$vKW}mD51BeP(S~hs_9w+5%%A@9M_}t}q-5Hn)SA zF|Y!TtDbq&(8BtvIoekDGx#D*mHJoBK9^*pXRnvJAk`Jy=tpwn@q+Jx`&2fc8dVDy zuF|}0r;^p*-a>K-U2SdXRYz;E)QDitqTjwGi=V=lag}@)k}foP#ykr5ZNL+>125R38d>@4#J!pb|(F1@MIvA^%{@5rx3m# zIvtCQcj4MK`>XP>mo(HHbg(@k+>4;`lc}j@HVgBoiL!-?XEeGWy5>*2eyGmB zg&x9L{r$LA#2kc^cQ!;}Ip(?e+U9L@UU8Dqt1|6amU$491xaKsw{qUj+lzMo?}I&I z)4dB6pvi<+BTbrcZ%w#<4)c0wh1;~Oh*OECrV~Wd$;P_FD<36S;nToNbTelFqz`{` zH#i8~3G_d6*fAGX#g)_ADuNeOhSE-$S)MHh3%~|M$|pVRl~c z!Sz|ET2V~pHWm#ai{(&2@qK<$Q``Jm@+26ap6P#R*zN*cv=+2%9_9nWlfCE45^MqywPnsz*H1sonn+QwwwZIlT+4k6j3}qG<4+? z=_^udUL1GlP365l^DyRnVsmf_`#Hyly?#Pg>&TDs?P0aZ#2;27;J+gn@A1y-Z45R3 z3bWjEf{~x8Fqg?aES;c(P?aC9NZIP6&44XOD+~W<-id7s0GU+4Xcb)l^IYN+j@+&uX?`+nx zs-i`$Wa`bkvQnT4zw&$HHwgOW%^7V<%1wf}kAYe6<#&nN{0f-V4PV)tg z%m71?6GavQc-|+wmUH6G!mr8dxWEfG=SkzI5jKf@Lo7_ez={5dgsk`f0nW!^DNFlF zI38?Ya1dWVs5SZ3FZUkg__Gm=VD<_5a|#yerllTaeYP?Oex6z8YdWVWX)ro6Za&Vc zx%knAKTR3l0~aF{Ss4clrrHH8>-6GwG!?Fe(i2HZIce{OvtTmKV-9pIptAk?Tu}E) znU;5urMa6SDFbORLhA>Fw&p@+BECapJ@4U!O`0zEBb$i94g}tW*NY$;P@s3uY%eJ< z$YPQ)4berL^%s)>ouOHmMj}pS1TWJOqK;hCq~YCYZ?^mIktY9Z#q-;yFzmB5Ar*~U z4tCH7|09V28oJJkN0m~;>vfzPJ^!J*=9y&C={~+Ykx%&L7{t>VT;GM#5eG=2acr2< z{&4!5mwbt9U?|1F%u{X9NsCjZ%qt`HP3WDG;6GJw@I5H_i028k9zaC zpX=7AG!qGKD!@Tj+X&*~W7mOC*Gw$`*P2BI2y5zIc|Mx{xhOz?jxe;_N-}MJR!%MS zeH1-Zh)Tmcu;Yc;eGQ{GkB0KWbc+EnGQEgC287d-ayZUc3XE!b9%LDDJ*dxW!^nvW zjN*mUQN3!U&q-=y9drhlz1pjjhmGF%1cUkL?GbIY2jJaI36DDqC{b8ngTQKcy;Kkm zJIyTxMc>p_&)N-{MGjc`&0IuT(Sd8_#v>z`yn+oDgk5M!!EQH~GDShxT5d8+iZk5kIYLx0>L_X8vI<`3PzrAh4 zqM0Ywf(Ca8yvgWxQ9~7_`}1QY2VoCXDj3Nw(25lY+tPZ6POE3-4Bv^C*xN&FEoBEz zM7W}73@Oh&SA8iElg|HJvpI)Rqf1BA?g&p3!kR>-dE~eRlW|IAStn3sv1BVq{HfBy zzsds;;>83$eq#`N@d}8`l=QknIy44jFHgiQ1cTV-Uc^W*KJqJLNYjwBYzQ_D>!jvL zMlX;llUEwbr&k!4xe{b4qIW9lE!5_F`f|%@`2!Wc>(T*6x@!C&%OF4eGb`h%RUlnr z`6O&%J?-W}+{Mh9pYy>-95&}gp5i8+w>NG5{m3YP{x3&ml6*N(_^RJtNgzX$KjT@x z7GoGD2T8hXYvq8WMdMaF{GGSeC~up=8)Wd&c3skGP82;fhHz_DDjZ0{G5H5!0Uqax z5;n&mvk8J-8R7tKMs1q>PetwdQ^l6)*v~GxzSi2e+GVH__N!~WZcmTK#($?N zR_Hlwj+O;cY+wD;_R4>CNGC!s#QLc0vER1XamIc-ls;H23+d_h9^ZdQ_F}rW zOXKbXdbFF(-B}H54vCt2-T?@eZn?Op%3Xs6&VWo z^LoenUSx|!Q!$~C&)nf^JQy4d;M(@7WSf>P8N=EvTq)?cBPI<4b|>>@FbY%nF~rQv zD`q)P^L6CgHqBse+3?q8;V;X$a_{Ft&yQwWH89p@Q5`v6_z$#oT3@LzVonplrLG!c z#?KWnHx}a_fqUwo}%G|;dW=*K#?`+A*RaFVO_x$=I!{(Vf`gJu0=zN5_gf#0C- zSf9f7w|lnl(*Di{Vl}sarb%Hy%jm1vtM>?DTa>3jt-s+Z)MZE{>2m)NN1HkdE#@`C zP8j?872VXJmEQZ|I#TuTIJ1bFRcp?2Kn6C78CFQ{T-%Ahobn#1IG^SI0&mB0DGrU4 z4LyIOeMnkfh6Dsc`iN|L(NF~nvEVsS3NU#MGoNFjmw7Z1dqCgiUce_}QN7fqx)%Vw zcyQJQNiewHdf{H7lf>~;cR#d+#=0D->o!CoV4jraP>|r-(_J#BET_Jo3%3!XE3ig( zDV)U)Nt>6moXtm2ROcGmTb*B%rm}Pk$D3uQmT|v-m}^$+x03D`XHL(DyTRg=Wq_!l zwB5l3$PUqR@0n*BZy2x{udMe@o0S#g&+8`5 z>g%DYjwe7^FBHG?J&jQP{y0T0JXF$=x}US9W}VD78OjAct(}<&iETcK>yu`&e8|GYkc`DN627}PcVeo3D3+md9n`jl5S1V0i zvFB&Gr&p7+9*joEcj$z(Pc`__X%oq-lYllx@Nz$XqCcy@5K~{1?*-mg(wo8kgg%H6 zkr+J#J7$=LHaUD>&@3Hnkz$G-rPIDd-CseU>$H3b>c0m;`Vs<`QYcFt#HEX=5R%YW zt_KL!45q|GN(+X|vwv#12su@eP_edj4C-`O5%R?LQFipG^6BwO@pJ3NWjKu44u!Cf zmG@6=t9)wU*{fJJPQX}w;O!H{{l$GJ;8nq?9os)&6;a4-=1QyGWTtsS&AX~qjg7u( z>ChU4%$SN4s3v(g7CT^C^Z$c(4nRRcrF^zyx8h3oBy8-1a6KVlGcx;ug9Cx3XQyLo zSo2p-iy~gRKJHH;p<{wFKn@ZYg5S&-DAyy;D;2`^=+&G`Gh(~xWb5)-!y>>Pn@7ZzLJ+ltWJuvf4vUhbJ zSC2n`$wp$UpqW6x+9t zFkWm(m?*u7c9rat?vg~zygR+l0na)RY5c*yNl3XN{1k+4&fr})aN~kdVQZsm^AZh$ z&GQ$aW;YYc!kX^BALLTweA#(_kdi7B7!ab97RPEMvNU4`?nZkN3b}GEjQc6ti-~Gt zcLOtbk0I)jW(WyIX8VN8~Q4835brVL%!tp^ajcv04 zRo|AZmG*-)TwN~brw0E_-g7pB?#^%BFV2~QB?YWR>#z1Foxvor+mAFkGO2duR4Gc= zqHpfSv?=Vh@>^x6+69>>elof>jYbo4<5F3rL1wCd^$+?PW4s;+?Y~k*18wA6D7(9~ z7PjUcQInE01uvJ)NzTXCs3W6JN^-!$;m427@}az#l%h%GcTy zVal5D@T$L)gW;Q)zzUU2n=zUeZX2(TX_X_ZEF!G1) z>skq)xdvq+S7Oxp9jQMhA&kXUNYEH!JP*&e;^bJG!nAnS#`ee|fH@|teH&*ivpO7* zTOvFNhcvi-HMM-#Oz$-k5S!fGaiwq>y(+GeSM*9+!Y4}F+>GZ6{P@FBM^L33qTX$y z`zzW71zLhpYsdfe4Sbn`3dKbQW+bWgSd`uHS6+^8JF3XF$b~8R@GFC)TfY@PV(Tx< z!ypZ((pjl1Z`r=p=^Br@6SvEVa1O(qhvefR9@nn7F3i2XeWpj$=y|*|UnQJ7D+bS7 zreoz8RH;JREk7Z*V{y3^@;ZjsX7#@HC}QsKok3`WbAAX@+yRm68w(hu=fbLj7vqLq z4bhSj-VE!({=|#X_of^R>X~A!oBiQ*!@;rG-YXrm9Sn-A!mJ4Ql<@T*g1W0yJH^D) zxSm`BRRvkf*zn1v<(vS|S1B@{x=I1reB3<%^c@S{Uyc{nca8y6s79ZFTMXc^<=GNf zmCIMS3QG}4VT1`MK8F%HLliQ5Rm>$-)iy+D z%SLD{D1xibE{7m$_Vj{jVN>Uumt^}m05kW)i?B5>Li2nisCcj52P7xG{u%joe+rpnl8;WhTcRDDO|K9E57;>f z#GnY{+cNNU8QuJGuvk0(A-6n�Ec;eeQ)INE0ptJx2m;IfMTn!p<@(>b7h9bPPjx zgGe{hT_Vj$sq_d)2olnbFo4p6fb>X9cSv^%NH<7#=MeAT>wcbhy&o>`_XCT?0)|;@ z*mLf4@8kF#&v4MG*7&U)8K+i=8YAUOqIYrUu3rXX13n}BD(hM6v8tH_0s9)8U$642 zsyVEowrV+xF~dI9+VJo3Ae5cW0XnYDc^7+~2-2O7mX4qhqMOTfpUZ7w3@J;g8_hGX zv-i2Ig?ysDORpxVCf3N&X5O~xt&^kP;siD9^#GQuw8lk~S6TX2fJyF;D=xpOf}75!gDZ~`Dio++_%s8!$!_0XpN3X{ zv?l-S8UCMnb?;+7%ILn)hV9_uD0V4Cc{H}V2N0%A!tQfp6NsI+T3*MkQ*Nw;LNdAI zdXC}YR5W6QyU3#SVFoPr5;BM>#PEBc#t4iX;(LbMSN&2;w0k#Vw4>cgKd>HG1e!6A z0!b?L#n0rM7FO)^|LpaKFEw#ORld1QK?heK+ceUE?$*CrKjn`vmP+Hha7u-AN`q7^ zV|+wIIsb}i4uzx*6+_*%9ZwXxA@MuS&O2?IdH$MO*^vdyOvtQjT!yfXxr%@MTjp>->5SIkWA`3A76wLtEhqK?m*jK*@w{Cid2eTfrC4#` zTBI>hGebrzkIqxEe=J(EV&tAex3LcjTZnBf*8(IP<@6|_5&H; zUw^C)3g)+9ycQE;&gfG0aN$kcd4lDkdpV|DjEyDkBsq6IlZ{oizEW6TmM_k z??pxQO*L6vKz9e6!vDJi`hUN;lng8cOsQGGs3xdwIlUGgR~F?NdthzL-Tv8J%iY|w zf<9}`|4*euSorAhNbjhkyDV0K08~F+h&(c=9w>8UY;=qiO;kMn;wcrf4(u6fEVEZ= ziRji`7}&V<1IVz{m=&Lje#CT5*@B$HlE`-*k*`e8Yu-r_sKZjWV^h#TScz*4yu05anmMm09U_7EJ)#^?(4I@>% zy#1>O_3yecD3m7@L9i}Zos-J{uG&5pc{uU;!A%Fid zP<5D7IL7CJ#%N1gV-4MA{_6@6Ng~Rpq_-{pi}RQq-vUlOOEhIdB_zH~&+}XGB|Woa zf4bjS?Jz^(oIeuK{(CdMC4+`#%@sq>e7ARslGU!bMBCd{C_cJ*TjOkbHF|7@H9fNu zaOmMnA1fYxKx0uSI!-?V8mHD`X5h%4bnbXws*M8Zo6mnp)aTSzYJkb{5E0}T7HRw? zT^Z>9o^qS(<{TK<-Ek{4kF7wfxaUd&!2wySPm*R}!EIE?!^?P-z zh?1Eb3&jYMpJ*IwDqTt64UZd*2>w?KkXQiZDA`JsD!*FrgmGliF>eQdo>5f&4co+$ z#NPDrjr|yI^Gg~t{KWl=7aA4`jJ(WwERXF8wO6#HF-#YXhl^V+NH$S(&F z)0h(T(UD0ebcyBQC=w7vl+41BXFBsWtRh23{TlTZ9;pS#nlh(x`D)F99~pqcf^hF>ug5>8e1`{(I@oRVavh157!x?zqmiVLQ4WL zn1z;VO(mOQnF7+0vO#{SK_5onI;l-tvE8CEl!oQkpA#T8fmw`@Ksm^Vc~B+@`yXC#0YXFY;^XBZU-a~?W@$`g3)(6Sp`a; zc(s7uXsn~uUn&h4mRYR0KGT~CAi@F3t{^LMyUC%f|M3F$iYj84>1zm@r_FTkKugsk zNbtOVjPD+dWKMlK?|aGGV$L4QAvhN)6hGQIwrhSp!?6?9LXuiW--xioKu?k&0rK4kG+bvXuZl1*g(NXRq~)h7`HNw`zw$E;2_J!zR_H`r ze=?>Z_Z9LX1MSLjz>NQ|s$shN+H=@%TOCz&1D$L~Ag9buGW*&if8Cma0qS}wKG86h zUF25!+)^^rLKql@#Sd0j&3J{7s4jVBNN6WvqMhGiF_UBhLP%S^9p}0jaPA5V;;Ab00@!hzr}OuJhM?TZ~sy=meun zIEZ@d1B8mX{l_zV+D!e@Lt-iYYwwvo9hnEqC3R@hM$cO}Swfb<3u2F$9)*4&9(&kY zI*me56kab2jP*|xXIUmXmniR(TT*B^!N7@40suq`Pqg#K`<<-*6t~qE7NeRewgQp- z*UIduunLpnJ_>BLoJaVs--n0ojE~#I%!5OSo#)y&AESWQ6OkIDjWOwa9>nF^*&aPS z3K)J-0I8hGHBjJh_XV=S1?&Js?c(J+%d^jwMoJ1xbk*UeY_!=;lD?+ZpykbRi z4Ji=Q-0FpU@YvBD{p1&ZsjL(ge)(}Sd=6FpWh*$GZSns8BQ@o9H=pP814Ek3Zq(mC zdxB-zOxG2gR_g|Zn)^y=;*nIemSu}%sh+xnsGQcc7a-)SPb;Qdq?)Ty+ zn)Z@@V3XX`$+WUIc^Dt>{@&~@m8q4IM-7jHw1SyhN2D{8tt4}4FT?qtn?{#(AjZ>} z8`F&+93dwkO7`@}&%q{P#3_1fi5NvkoWr-)9 zhD)mWO&8T?BXL^5g8Am4>uFAeK$oF`|C_azlFis{6SA48OzI5Zv*BRoE@B(2C# z)o+u<0PhzRZ|FqWLlGY($N3`rY8k9&FB}P1gLP0rn?XFI`C6w?Emf+Ee4tzZ4oEil z?O_$bobR~GC;hYfFl9Wk=De(Q5H>mmiJDgBSVj9@UDMdzGTqgnuSXoQMh2|1^!hAT_QZt$k#2(&RgIA{uX^?q$GA&Cz!-{o=ZLy0l2Cp zDY9V5T2;>iDHyPPYjSkGJ6K?5@NNCd!+X1l#mz&xiH^dXR)W4g_f`Es@z{T^wf}GL{GYDcv6eUq z*UM#P-;}MzMDn`~{{3yK_8qsWk8Vn|+tM>^b?GyN%l5PTpQBCRg11&K{ewA%CP$kv zs%ZY!lPfRlX)WWl9N}yOT;iibKa*4f?0GHhTL4KX;5+5+J9X!xQb+dJhTxw@zm-;t zp@#eufzf3*E)k$#Br|by-@exJ`M@J{nYtXTEq$440Gbr&!J}lcPzWGzI|Q?FnFLJp zJ#jUr;dGhjsp9@_8YN!cs4vU|$nMRoh%Jrt_ zd?3QZlsQ0Skmz~{hekCr)VsKSX|BQ zoJ#o~Mj=EEg6W|kT4oYm_2n|_U=p+Tqh11*jnAr1=^n%ib~7a0Z-e`1Fba;BF4BfS zK9`4KdqQWtRmYd$7G-MK3NT+D_k!6b&53(qy&DN#TI;Xb=Bh;d3a>mCoTPbh+2XlS zl&mw8Ghrb({eFPa9@GUOc)0b@anPlz1TaZ>+OTOcHhKLleC_cyc#8RaUX+`Y2t5z|%%3{5fe7~pHU2%_XC zT)j&&A4v7B?PTHcAtXOv9;4U|C!(V`ahD}HSuuOC7wIw7LFI%|tARcjg0 zElSiTnEaqB!)jYKJ8pN3mOKDpsA!+J73!t=44~$#T%R~X3bch}Q=Po4{q;s`4@H-F ziI&uu5h+&~Z6$xZL)X2)1}|R9j7^x{KfByHb6;!RH9g7r)va}VDxpozA%{{M*Lo3s zPhNHU;J4-Wy>7E7{kF6@MSSU`_Rv#e1nIAJ9GDf`T6#BII_mDz<4zOV{SEN$5U?I? zWkvsbGAzmDZ$kP&o}%srSSJ9l@pxOA#zYoc-bG0W-+Ea>7kX$XLBBgirVw&`QFkBo z&B-!I@3*1Du0(I=Ah=Ak}TDz z5u*~iYq!AP2eHLmD-op&>XJ4MIqUO7?=2%?Hv)z=#|Y#wL~95F4igKjaD*agIfo1{ za`am9$V;-25bF%`Ki&;fdn#gn*8#}{8-E$l}UGMAqwrA_2}7JlDnHh=qx#5UvD>(|0Jka@6_;mw@x{$ggo zVEA0nJd@9=M~ zgog}&0v*XfW;3qeoiKp9nY1+6&+? zFfm3Mq$Sb1J1jR!4+GovBVUK7eC1>4Z{3)7&(9;ufIt)*mpyfY>Y{AbkxfQ%GQT|> z9`NOpbmpl7P3_b}7ZMB$5CMg@7KEJ}VLS<-PKJ@LCUSxIL|IzZ{Myti+klLA= zJKuHZY^3F8Y%U);^iiNhTx(9PKaYl2oP^Gd)c2gbHBa$#t@~kb7q`^EgISl^0LSv= zVIN?@B{%U{VH2XZe~J<+9C+EdDRma*-ncqagO3ZCasS&}!2AH(g+fgY5G1*(oIyR7TFW7YQJG{YX34TyIsx z%L@Y#7f>X8S|*pPpV0dDx9d#(cX8gxBpf!{ej9zM)~fGc=R1mLjKzl~pHofjl7651 z-Y{NxcJxtCym~TsY}cM$tJu~0ZLQXiJAPLL(=2U7_MX?e4VDn zO!36XhTp*-2!C4BO;R!q4uUR>DFVlQhpt!5JIj|>iy{zn^Wo?(f5{+O-c?{S)G>UF zZ*%ot3AQvZ-0Ps2Du3qv`*}6FIt9-q68-I6_gV$GaYtZPf!8p4@CzOZ8NA(kiQ?vL z_;dRgLUl@H_%ZXRFKf*@$m}lBol?w~vGQLFmGzRZyxU3@0^|*Sq&ztBlBqI#Cw2I= zI7r1GW->JL=sgu3<#~oBZ`g`6#Gme03mMEzXX5eh?!uY*{R{?Ak&MHQV_M7A{tWAP zPddEYBwrCmzN)f(T0`-e1{VR)k4QLZ&MZ$13MUE-ralstKEc`&!EaIFcj*zK@5VZ3 z5sY2!$%1pEvQu)9437L9W?rH=56Ql%N{GM{Q0>Kn{|**&94V7u$dzU6aP#biAa`70 z6pFm=!aY@ne={3Mcqw+#r_flsY603@*IW5U(#+>%Dxi)h5c^pnQS*#OWjy&qh14o` zv8K_JOo)@b35Uc~evNn9_k~?}k$}GF!NBL2?2nAA<=^{V73fa-^q^6*By+P6T4Ln@{ahqz8B06NB;#cT)iI zunM3P&t97XlkE6~G%4ZJgkHW2O!%7>a`}Lnj~aKoeacVzL4x$z{bpOngWmU+_3-Fh z*JYHbcPMdM_x#2;5{KdqfAtg<>0>&+la;hesnjafU_#xl*`1O4xTJVxy1t)*>HLFXT!>X?-Gc8+_|M32i zW)P86{o9JwPXy+#T#sE36Afn&IeSoS$CatqCraCgrC<9ger^bU9k!92`utFTsadF5 zti)}wb9S|j>$TMhlB%*9_^8+5oTX;?19f%>FMIv~&&FFc=fFd$$w^g;-D=#nwqV8< zOLuxLXF}I5${sYJ>j}o#0>htdai`^LFtWk9KanZTHJaA*yyvB8W81AowKRDhw$`l# zKHLu_rW&aoaWLvBrZaRVREupxO=S{E$0r&2oYpTZ|HJP*c%1pfdAsKGPE*V3aE(It z;*`(JvY5fApm(1UDi>)hBQ_D3JgJjCf&L;d5tiyV6lcH81_Rh;uW;^O-yI$8nrh|! z1SG4ao{{l?w5!DU(#n4nZ~#ImSl}RT`4`Fap1EyKK>v0y_UF!@N9#?(t7c*OH4{)= zTulN&KF5xK7Vb($Q6b;ozIdx!_++aK!eDNqS8owgqc>+%S4dG_n1 z)VFQU#D-BM91WWcsV497*y2;lSA8gdkv}$XdQX*`kWqv{yK7}w@k7;ow^M?)^K-t& zA5RG*6H=~n;4s75j^*my?J5S&_a$p_Uguq$8Q)D$clqwjuznnp(=lT$eM-cMsr%pa6U8vX@WP2z7&^RpOnZ8_f1U+xZ z@%>u+z_>Y{;-i3CG6d@mt}^Z}^NuWP z>SK-c*^Yd+EHsz|82J?oq1jrbLiz!^T6M{i<;em($4KM$$VwT?k-4c(e?{RUl;miO zAJ~}Ye~Wk~H~uLgxG;*i#2!m~+Pdb=$Gr38 zFRJdzTjGWN&R!gZzioR;GlW*-|69UlGy48+)XoP!k=MNGpo5@pMl{!v8KM1k{NB2t zwmjtE_v9ZYi8rh4kn%DG%Te=X6TriyZeF1<5#yo!?=60rJId3#(y%jQ&lU991|KoQ z5+nG)u+%dV9^-Gji6d#x1uc?9X)jgk8p?zEsx z1HMPE$A>g9nmd_Aq1#SskLuYo%g_>k=xu2xJJ|o$o+SQ8nIZz$)p=b!mia|LOTzD zD!WeXIhXL*r_C;~Igh2>L zF~Qb1f=sj9fC`W-9eXNuu6G7VlKK@#&8WXqoiN~zCYcQu55ghUTD!o~W}Bv93Ta@| z-5P;Dh4A&@pbwhY47W8R;gJEN*f3GFL=6Tqegzz6)=#`BFuaDD@mjNHQdSCRYv+@^ zb&Kot)o?lGgSVle^>Yv9%I&0$IKm+o^JEPrO2{;D`!7L(efeY9mRAwS%Q3QMhKn%; zg%H1qV;>k|eStx;AuF$dYw6s1#~=&+5{A$rO9xvLK=s`*NXV90odI7Lmh0~|NaiGY zR-*=Rdh3e59kC1j?~V45|QR`+ngT*%d<3qEFl0Uc}=pXbu9NPLp|&l_E4VAqi*c_wJDoM z2UWqyD07055m|I}`wFR)vHT|0)t};#b8nG3EixUINIS4OPaN50;l5T_jU2M@ojqq< zw;{Yg>O+5kmthPh3BhjkH9ff;-kHtK4CVxu0W;Qu`%u}I7rqtr{*K|qy9)2eWEK88 z)h8#ayZ1HKXnMGsH_pGFD6J?T&8=)XUwQG+Dau4thQ{OHu{r8tg&W^hxP9l2cUNk{1MlB_;7zX_QI#@#e7=-b50`BZfu{$O=H(!Q*LTXBl;KiITve%xbA`9bzWT6qC%NbV~;B$O< zOZ;IBySE*p^2oZx7K2OSst(?=^E4b>CWpu|wLwTO@L8!H92ay`)Kx234O;Ms5m~c9 zv-2VkFs@V7E&eLhu^3@t2q!KC#(g5z)e|wF$wRP3UL;q|lw0Pg%q=jwVw&&ZDvifE ztG{pysal{P(|1reG`i>+w_}H}sdWU%_lYcRQLuoQQz#@s>fLvgEj%S^GL+iej>bqh z#is9lsV=S70%$1GC1qO@jShZksYR@EgUj17W<th|aF8J*>Tg(S&dIHQ8JNTS!` zCq|e!GMECM^>Lm~4ou59E`UjVBK?8P3$gFpu|Yy+4)-9W8ChmhE>67W^)Asq!d(BJFBr}_=TojU<&;7dqO;V8VmybYpeLU0meWRS6l9k{P68&ye z)eW6*o~nIZITq>t6fv08HFT8hRb1xBVsmdCGcAWQ;S9l(rT~6Pe>E-4IzE-a|7 z-JOOSfJeCFjq(`KzKs%}Qo!Z>K2Rx*0O~`WFnGp`;d&9tB*xGX3NpsF0IZE+dvkim z|J4E%pYJ4rHb>3K8M@~E>Tj}EJD6SoaetoG_$8CPGNYZ1cAwKzj<<0{usY0!8DYZ5 ziJ|%mpt}Gh;+@N22G|E8&9IkaQEC`il#kT&Kvcb3-&(@yO7UMeY6P@jB=e*R zQB_YV2eb!6+wEcxi7?fP5sOFj9YUOq909v_N(IQ@b7UE#g@f>#j9x8eeyP^jDJtRA zra*?RNDM4%%H&vYOfq+EW~F#3w~Q?@=L5L`|2{5rXGFgDJ^7CS450XB9WYzg8>wCbm@`=<@x8d3+Bg4pJ;WbBxyG`xxC*6a3i#{cXQ4`wGSMe%(~l z9{?MLIRZaehl73FmvM{jd%wBb;yw?ve$M>YU;gv3011>l-Rl!z_mrJ7@%s2rFYmj} z90+nRF&W|pZGH8By^E|!ETZ7wFDo&{*!kip;{!1vI1WX(j#r&Rv+*J0u!SA5%y4A9 zLUk){6_JAtU15H%+Av78(7jvyCXMPmnd{t3uU}`^ewHsRM(q_ESJ9Yir6y;wc(L&A z_b_@ly+-W|#E5j)OSdS}4(G!FxuTVNK{h$ba6 zUqoRRw;ekar@KC;0-}cQXdpB~19@L*m+%4_yk{NI3?o>GcDD;)MMIK2R=%_cbC?d53q|zF!!O}(hFqDdP5>42;Z_QsBY6f$ zbiQcE$kWBeeQXcj9ygQc$wMLFP)ynpM-6$9@xDZ{P;s05c=zM-&EgBGAKq`g7L)T{ z%gSrLY^}2NRU-=E z=4-785G0aU&hl*W@@n|eP`EScyU{dt+@zU4*l(z}0K_Eeo%1TEHF};p{glyqlfJio z|3x9>WFfxW9s@+nJ}#hUAXxlN7C!%i=(l&^JU&>VSj^F8N^C&Q2| zAx%g4=2N4{1zu4xGXCLz1*tt^~ljpOk2=9;-s6#D8uYH6FVw|Nl3 zqW>4~+Pf_@r4|J5R+s<%6A>3$-dg8EhkK9#f?nFCJ_QQ=PflRl-JQvN8;X87);ru( znr-8LSPLyU$6az_(!+L@`|D_+PLAkmja5d)!Dp4)A!as{N_lyU`RKsCC1nxFilT1{ zfj|AP!$XF^w@Iy)83>v*?+r@v%d;_;#eOv)2=t;mM7=4Tyr8z6v8B~8M&3=UuF7<4 z=%;K%5jNeM?hmWbs0328Ispg;qxFwgafgRTf{?Z#U!4Z7%Vi`44wj*dg+6cYshGx0 zITXLAKO)S-IX`A#T{Es>pT*UH-PN!lzP7}5yVE&Elc##SQychHTQFt^;VfsL`0Ug^ z>Y=)-qLihgwCbcZY%-mDnu03cGL!e-=-oNHeJ#69O@6E}I_sKex$S%&A9)uyJVe73&OSwsf z)i6gp72=}p1mukj^FE(KHHR%GTzQ4Zv{SHgEeDK>BjZPM(3hNEHNL27jsTw=kBji9 z@?ELcSFZvVHky91f(hrOk-dcWyY52?{$Q$w41I@&j|~7L%GfZjqOw8N-T#<;toU+K zt+w$usmp-WWiQEQv-N$2mY}dKN)H3DN$6RAOrOiLgCvAmek=FQabJ$4vB@w+ChSyh zEORNVO*1+~|HP-ImRT9T4AVbJr;Z2%iaRM0uZor>UYqVSh|<v$T1ifBi@l5lJA%*nXR^~MnxLrN+)=;?Bw*C)bmj0-PD4f{SH&x<3=$OHqEP#N_?;LwoO zi_a)9WDcX;k4P}wG+TrICiwt)sfTk%jkg)6A5HJkSW-1enb!(X0HK9g631l@2DU0i zF6mc?npv-h3hyswg+lsA1DC(ME|(I2FZv{<12c_(KffJah^IY<=d3p?qv;ATgr|PE z+?d`^!~#B<_o76i2>eCmRkfgw=QF62&f9wUzxpm8c2P?rC;r|`MCqU`J=`s6(OOVfJU@2>E*4+G=#(DebAh8-nl;Yo?%l|5WmkC&o#;v3ktTKE)|CBxsj^~xW zer%s6qjfi=vEyJrikFy@0vzr!tisR1oDqZ)8CLNl0Mr>Y(2bo!XPFQ@6$x!0K%S-% znB%=4_+_ms3tg{?wO?6!Z^-%t1C;eKtXnYeBO@$|G~Hl(#J2Y7+l7QL_6@6@0t=15 z`tl|;GoJ?|^Dmiy)?iPm;N-_ykK;A^R!J@8weni4zmnX0+>G;)y>`}2RR!~A%r3j7 zv6_tkN~(S^C7+bA$d&g%?{Fm(tkLKuaMd-0K%d*(Oq0cM3L$GmFQtpevf z5=}O*o+m}BD|5zsN{{ts-;)5PUypjrD(o?fGgc#F<#d%mg#pr`CNqcF!-(|I{5GAyQb`|EMduMc-0LHkj2Y}snLC4 zELbaZ6cAr4btKD|R`+w!&x0LtZs8M+7KTLfjeOn_J08mAo77w-3zrUat>dHm(-VwY zPv?!AF|7Z*$mQ8RWHS++j>Sx{9>pkfVnp2sq<(0lB1#}yJ}~}s)DVKBjh z?7!pJ?>(>Z4WzmoYFFfk05FHy0I`_fQ{e!z{1~`MH^}!Z)c11%DlCGwp+A+4hcZB( zdXFSf4i%Nz;Ta1q7kDcJ?>Ej!MjEei!V9CRCwqKKARNAL=3!4gAS<$SQ|Sy)Y_79a z(wf-xFC8JU21WbPFRDndJ-RB^Yodx?q}FCB?p|N|?eWnVPj9xj%E$(tP<`8-tES_V z@8Gxelyj>c1_qppuX2|e662hrhy`Bu#v#K5#{!E0cQqA8D&+FXJ8q!0*=J7X)9$sL z)lV&prO-`+44KIWVgs{n@1ToU2Qpq6%YnCc$v{lk%N4=ZVM-0whE!JHYm1j-P90RP ziTe2y+rWBHasS|RyiK8o%IE$j^?~tL`Tfh4MT>33azj{?>8f|n`jUMa`rj&tM*{i& zG;6cvVnQ&5=B&2L=z_6i4C8&nexi(0U)rT<-s);u4}aiZ!SLu;_ol3yT1wjAvbK>_ z3&)5IPZdA-Bx4k^-e1mspxFOx`I>#^>}yBEd7H!R{@f5kuzk+5=yU#vcc+f9riK3Y z%>MIMv3$h3oKKkulQX>LlNP|Nyi=xud zFSTBCcImhba)lf_U#GTOcx1&zDfK*pU$Jdw<7}{AL)_iwSuQ#6MRux? zcJdUpAdpR8YBQruwevJ7Xv~@dvPHl^Z-MMgo{`dCA0E(05wm)29Ak_|6ymyYadcRO zcj7B~xcg=IrF7}@yMeF_AGcJ879Rb6_z|zlMF5ifH4B0^@67k0Zm(%Y4;hAcG;^*~ zr&&B!phf&cU`L#^Xj|G1H z7VJuyKhr41KplZU+d4$Ajpz6AjCyTbe1ej=64PE5>kgS3(zzfCy%Mpwka#voMQ<%PURpNF?SjT4g(iQyHC% z|4_#528Dhi6EIU2oIq{}3=((f!IKpA_Wg80+K)s+Me`B~bPZF1iiCo2099omA>P+4 z;OZ~VU~L9x3MNF_Dzk_}bcI2&DI;oYVLOM;ZO14GHk;0mSh z%21{WX{ulO&+SWO;oovWmM2!8D(1m4rHqv7!#2qp%CYi>!b4o3JiPN_U5sBt!a|0U zu59)-fAEXH?D{29VMZsNsBgbsrN3#}&%C9}JEU#JHr;sk7%vp@_&6m zVA|kliG2%%KJxpn10=>T_7TOv4~jaX9D4LfZ2d_Iz;Be+SNv-a{~riz9vW~hmTP5| zIcFC?oi;yfH;-278~eGz66xkQ1edYlO*zSHu220-f#ba|`h^=JVahQp#Fw;>yd@ ze=M}q?t#=I0YR&tD=IBk=C|;diw?q+obe))pUX?rfEbHVK$I@I3VWh(MuEB-`m*VH zBC>FYiFR(mx7T2@6QsuHta2dSD`&gG#8U;K0N8!Z`o7)KzBnJ#J7jJ!>M4;vMPI9J z_XL<_Z6zNS<_#A3ea4}G`V`@0;ZyWGJm_5;1LJ0%B_4@@Duvbu!ULmk7MnyuZo-z$ zqf6O0`C8{rGVubb_@YpaP1?gJ+ZsF1H&ej^V5Drngmt{zuWLM_&~{n4JWSUCE0`^a zfpTkYI%72k@3zl93T4)(v6;aSkDAO(#(!qEscF0vMReS&2kBdFO9Wv;S%OZb zHru&HRhv#U4~0bFWl{$WMDYnPy{5`exO!<0AJR^JS^qKlFAMz_>8)M#_q+v{A6uGE zXy;oEg|)awx0kL4sOv)VXP>JrcRAb(P#I5)*$#ca;A+}n6u%yRnE3yey3f+3FFgR9 zp>L>&EGGpHZcHGxj&ObE4}sw$G3Fu$=ilZ1|BNQ1)KKvH_#bVZM*E+{{mDPwzUK9j z8+b;a!c#%apNtFCaVhPs8k$l}0w@>W>LUhAtR&ICjFf~U}rMAUiCF^eWAsYn9sIv zs5^Opd<%U!D)FY(DGI(Y6*8Sgz|rDVv+!~-(6=Kk+_$2}*Gy=yoG)mz_iP;P$i35g ziHS{<_-Fo~_{2AC?yHR8W!7@}Ywp=$nsYZJ|R@~`ouMmdL+8;Lo=<-Uz)LDB$~ zDp`|7@M}aERG|%BBeF!~Wvo7Pt%*(@B(^<7n(waC%>4RN03w3KD=C{5%I^OH4Rmd8 zjMQ#~svk2#pDmt(FWQd8K)b6IVR><;c_>IeqQ z3mC8gIJS_;eVq6+9_?RqSeCwJ8s~j6wli$;05zX`W6hfbq?Cih#&|3tzND=!Ti&Rkjv73& z?%f`<*V{>Ak10BW^f%iZoQ(H<#Pv7r*~iPllwLBugbKy)s~SgM*j=|6Y-UCd7_5b?=?KnoDB6hb7fDVkev77U`q7<3f)8Q9L1H7l6q zG^?LoHUn2FB;KgZR*}>wj=vws&qRV5h^zNrl7(tLofb)oG5u}Xf3n+yIqf6WH}JLe zNeZQNzVFKet!nXVabw$R&mA`X=>u&m8?l>t00OBHspK4%@hD&XZ(Z{RP?0q2gCdO~ zxeE83sLzhAG;8lpdf4hu@3W>wtF|%J%x>Dp&Tea)tItdc-<7GFJayf%Njtb|8aC>p zEBi0#n&+wk4<(C3B1`IjD37W`@`f?3xGAl?_rH06x%+LpFP5Dvbp5SQ_@68K6elt! zT96!H%IIpwRq%4~u*^wV{IJwXSU@lU9PjR6)KFq#UyvZo9La1)B2jwR_K0r?S|LBj zBzy2Zvl?9%nj@`)Op?GHe@!O~mkq|KIQHqLiz`yt zE{4@$QrhFQRtY7IT$su4YlbElvj`a$LohO9v>?Gm8yGz(F2kBz>1<|Tba8PC^I*Lt ziIW!xOzRC#Da_t&bjD<^@{;A;+WEv2@34Vay3& zuQUA_`74Q2=MS`_{ob@cuXHDjsr630m!02*jvU%QZ%JGY7H@f)wGyDzAszWlf!&k- z6A_1cZ_C$M<^>7{Ky(twPiG;Zo1cRkf=8l{-GY8B65t`GPVt9Yno~WhY#>^LRMqFm zhDNj+jGjM&iKX{?CEd5m2T%RJ2E?z}%K+_Wg9`GiiV{<8b~uwO-7lFEHWHjkHHu7l!{-c;Ng3`!hIf|auHjO*>(^UkDOa5%UaVJHN^3uC-N ztPlt$iL(4wH^?HG@#Yh;SKls$x-tsI8$lHVLBi}Z?)ADpozRLZy`=0Wj7MJVmsrj2 zf<(wD^{K;WwcuWQ0>vvcF8|ZU_6(hV0d908A(!VSpZ3u+7hZjHOU$$U?b(f;_+o1P z$*_CT8iM+-OEyPcVv2{krEirF1JSe-8!A60TUK_h*E4SSV&5@QxtjxV?#=YsYV?RE z>MVh&e6l?My$tQs!sczNecB&?7uJcYWBc5$(&v@l@03cb>nRz>w!$@+=bHEDw*Da1 z@m#4Po_}5H|Hpz;tt=~r?22WPthtTjf1UV&SQ=43Jo-N4p;0}8=*=p>Wt<8dEt?A> zI5G+aVvUIRk^qz4#=U-v)`3%^5Zrfd`%X!>HR#LMGI9rzyCcB5ux6_^GX8i@8j3aZ znjk+WtQ#1jQ*fjXqjRpQIJQwx*^O^U5p%kX*@nI|*zR{ZwN2FIt_Aouc~5m}9(g=K zU%!?=1;sIj;dbEHTaX<8cFXX(V?4iMO2c3huN=+7F+`o6rVFX4tWw<9OflFOt`@gP z#-U|fV`~~Z&=3_RUv5I(Fg7XPNqqtT5S{>ACoQuSEhY4!0RFaYl`82ZFZb#G<8Umd zvy0$+Ll~3No%q4#kDWY;DPx{?>?|bC{Va4otBLJ;Z6rY?*qiA!ztMv%5C0ig-=4zm z{MX|L9GN4&IRROdxVsUV5W-563>sp})aEi9QG;?yft(`2R%rqtInwQF}tmMQK<0zsXcMRNq@#h;V zLG>pz9Cp)I-6$Qx4czoxNU#%>FHFIHQDObPnREcTK;Lc`u>>PY7-_${LLVR5dC>_j zZ0!(mOX>(kr{Uil7N1Ig35SOw4_2KL1+@RNVlJ&7Aj9Yt+IPsYoONHtqZ{r?<4OE! zKW-9?<;yU9wH#3z?vwmPlOm=a7!^4}V&vIf(*pqq-jfi}6J+pPBLPio$jW0Co6mfj zy%1=4w`6rgd=3EkqvTo3u@aB_UoAidkjiMK6QoWlqL&(T$m~pcij#*76L7dPiy#4E zaiG-QugI~OF+M=s1(*D!^WGF{6qDgB(c1Qn=N$BZe+>hZ^ROEw2^)GvS0c!k#`uz? z8-@+5!2h~F)REbKlQe?}zJp{vT!ytTk+4VDEjN z$9aU))rqZ`>-r$-4JK#oKLZB;%OQg)N+=jzb^vNqD*k= z2h7K%%`jO>JA;}@@0`z{N`&-2#vP^hA^Ic8jW+of<;YJ)>bQ2@5C!9ekj~6by$jr* zk-Gf)n&Yx*HRL9DV6rylORvE6{)ymNlkvp6U23kmp-}zj@S$qip{IotI|FVm#j5N{ zbHEeJZ~a1f<+5rRhf2{|qyXi6a;2v+fJzz~sx-uwv9w22EKNIMDpGK#I3P$#3#El3 z>K|w4P35ruh22@5ol;dle=Q-Q;(k^BJ&tumnNi-rYOJ{U!69=T-=7k@));Vi&+#`A zHA;t#YtBDkS9MX2++qdiND^8|oxyNa24$Hs@Dj8&S*H&9ixo?^bE6X8Bgt|yXu@mu z4m?b$&n9FsBQVp=+{lAMdB6#=?x&i-d0`-#LQiDHv1t+H8_*$Q1)8RhEmU}uFL>`a zyIn2%Y$f^ZeQ-IQvt=uOn`k_bur&(HKu zjql3UqOUNrD~*H5l3*RF%N|#i9`%(lmots(n6Qpzk?BNt7y?4d4TdI-+%0jhF8XP)3OFZ zp^yTel|f2iasiCK6jVYCoQ>c!6#|N2lCvkk1Vk)@8f_37?v4=eH?JaK_uM`4q}J@c zF?4~l;e-wkb)FJBNXt43lZ{OiTn|sQSp6()>HY0DD{e4V^;z6F)Fq5E9+%mzRO@PTJ`SF-(emha8oT#M z?+S{Usv&BXjnGgvDwknWb?{Hs;7J?JYnNeK3svo}S4jmrZ50u-ytn}?gSmko3z<iaO)6^YP2a~cHF?th4kwxo`{(UOyY*n$w=)?boI<}Pe8lJ5 zunUA=KB{Y8wW%X5Yb?i88IgWA5-?!!_MR<&N53TbZaG>zq zgccJSD?y?pi|6GMZ~8eP*7y(FSMKi??}FfRzb(23us~jEoTE+**_HjGdKOupDg5xw z9gq;D-6t3mJ7M%gM^nOfReLYObR5COR$M|HFJ#lOz^VWeADS!(RV#C|Et|`kQd1r% zUk%+A`cRxGoJYpevAQhUbKs`;#v(%Ll_KgZCzvFrn<|=(l&6PO%+<^Q(SdK^H~VvY z0y%`Ple&J2{Xz9uA><>r0r~oTiXSPg19Ip*KZ0TOU};z17)hEG)avwcu$5yi;Lz(m zaVIBq^m>xMC*oRZrnMN3SL01gp(mvPW=N8e@4?3`&>a(YR?YF-{^KwC%`>guN(N;# zjl4}pAIGgW)ZO1qjhUlfGFbJA!bI8&@OS7TGe76%2=~vg)4iOu`%3cyU$6VvL-OR| z!p(b~(IJlo^8V9_LR(vh=p^;@I9ppk$xv|i!J`0fv+!q7U@ZP@(;|nX>%q;si2`WN zc{>LOM%424x%0Mzan9n|quH6+yo_C7oas33CY*?FDT9l}rNWYp01}ceP|*Ai4-7Je zDo0Px{wiio2z?bX8+&P*ghiq!era9LL;fY<7sZ>*_zAKMJ)sqRj;6WheDuB?pq~34 zMig{gi$}0~p<7ON!7iTogNnIQ-LS^^93p$P-&?rqtU+3evQgbn;&o$GDINWbCUr7L z98k#i(7^6*=p8Qx2#bvjz%j3_LlNgZ*U?O}qC~`S2wEFL7VGxLH&LdQmPg3RiC7c5 zdtyGf%qdo$6C|}ci^Bol@nYa)32`>!eAs~o>yIl#6Il2AQk~Ii-#v;todcRc&)l zx)4b}wQ4FWhb3MzG2-VRT(y+P;+#7p1;J$AeSRSt@Mi0SW|qTq>V|^gP-($XSTL@6 zHUeiJATQg#fuZ=;{Mes=ZnMk5eO1`V&4^+|X7JX`8g0Y$e**bO3k6n@tvia%{nC+p z#hz0Cg2O2;E2x?tZM3TM-CX!MPHs2no$B9r*Nycz2CP3?V1FC-VD*7F!;Qwbi?IMd zZg|5fdqB+i6TjUrHeA&2{`r&uE@ec9OvQBW)YQxGf31}U(%ZtNZ_K=>ffO?V2J-O# zmuT)Mo{9oXf44aaS64xg)FECM9)nkCX236E@3RljY`WK{wv9+r#klYndVUV%O zFmVxJlXv$riZfrovb|n;?SqDted0Vhw5=nv!KwC)3VJdk7>+(F6=1fLYwb-IPQufo*+3bUyXTx<>5Q({s7$Oxb0pZ-C(gvp{DiWsGa1h9wO(`UP z1c2^pHd+~9o*eH1$=H_xE8;jfYvZsEGz!p*A}VoXXciW0DJk9yo8z3|XJ{zKz}m8O zv=G8FAPGrZw&zAE4n_2y?8%8c9Ys`a^m2PDLr+k9WkygiI^kgZccp6B2~#*B9Vz#e z=pVig?2@GCIu;URZM_hlsAPFGRGH!C!0eNnuR{YlZ<`#XJ}(fsQW%3@NiJl=U*3XQ zfCv;DuT&aEC=|tY$82l;RDxg8=J@zfQCb}czEtjOW8*8C`35GpI>lC{jsjxO9&5g; zbeh-Cc^>K9;k$Y!paK;^5qvz&G%K<-dU-Xvys1xaALk5DyQm`{EQkscP!yT|9SqrK zAgg~kY=c^S4PLGek_ZWnBCZuzp0p^c1k^Q&kAv{%ln1JsnyXp)vR+EdfMWLrDS7^J z(Cq{vtv|`#&)aSFy?|-oR_)BUoIY5%`5@i=XSWiaM8pPTqvcdp$aiI2R!4err|hQr z$>^23-!DWRdg4DW_&;D-J>XJ#T^EqHcz0H3vY=M^cTS$1(I&ohsdm-->|o<-G94|z zr20QNZp(`7FnVyr2Y6Q1SOop!?1R5p`-cubUnUQ{pBgZq>_3qv}CKUK5aC0S53ts;&!^C&)(oGTZ_}L9s zn#o>u(S)65VdD0r+Sa5?GB9lZ^h3-6ka+$PBHb3!;+Pvs*mm!t_X^Og^2sTt@SpT{ zJPNueMHhtcE$q$1hb9w8BL4MO^d85tkiLHL) zrImi;Zwmd^-$@8TG$hUzQg@^NZ@_nb=B4@Mo@b>Nf6GvNg`dF8tjM!8nho2XRjk>j zBFm&`z=f9nZ4{{hYqz%$5NkuN4JGPUkYoE4Ybuv{j$;)QM)d+BX=?s}PrIILP8$nf zyR06UjoVst?seCVDVXGaC@=N5qR2nBV7E>=z6~@M4)2d8M}yXp>^}BKFk! zC(~d7erBlNO!4u@o&J>UGD)GWZu@7Vk)ni)DJH)a?R&loOv z`snF3e5&fePc|-AJ*VWTQQR?xLSgCUne~35Mu58$%o}gbe@g@f*fOc$WMJEiA)ua& z=18qq*-lbsDNfulR8kZLr^RNrnRbbmwmS4RtG2KWtBjcrU%E4h1N0rgg<{hPUg4xMQXgzhObX5Ilr(qinzKLT9g5_OtHJB;hYMrjTBF$ixai+q8pNft+`R9{2R!y0 zJIcOL0UKH;wQXynaBJ|Bpw2hZ+W*JRP22ZCL0p^_5H&)7dy0?&!z^Gg%PM6~qM3z8 zOJZ^He84!QF&%yK;2Ubv7$ z-8BR9EP-?89vZBxwa_WT@b_QWu4sxeY!%$#=cB7uAQ>4ZJAh*9*Zo$S6{FtLCVRKzUjxG@lpdE}Pn9SFi|Ft^|f3T@J%?k&y5+9iiQ;A zc^yLkSiuiqrsmm$uM_OE3Ki~29s#VFv89BMfWb$)6E7}`UXV7;ntKGo^kQ%nA=RfH z1f-c@2^l^hoAm(GhWU}9$%GIQoR%P!oZ0xVD09Q)e~mcHNrxr9m6&lP*VgUE&plmr zprzqPA;p&8qsjL?#4lRGsIIImYrWO=p=0L;*Y;l@4wGa_Oiwj;UV8Y??6}-|l#Pk` zERusN@Ue-nA_H5rk81XCft?Ku=~OlqSA_7L7}tWZvSE>d9sHQcc$H@U#ZtVNUwmY%=g&X#WCnFWFZkPkKr zQDmPJG=7phogsBis|KN6*_d0wyVqE}Jhp;DDV0TeFDq2c=79`PTq*&6lNn?^Axq?q~M;EJBe zCb`!x{GGpJFIPr^liKBX>#%q}3Pxk~!6^i&dCD9I-178&zaF&*Jp8&wsF!b(xKbHIIF{IBix5 z)9kqP{!cUad)stGsO4{#NTK{`|?k$^FvHeH?KajNcE2?>p}kut$tUwA)Ktw)V$n z)$fkJ08aG(p6!s0cd#_|S~%_M9DMjc?K+TLe7C|dZm@Wilzdw+!uW5K{Qr#70RSPp zwMKr;KPyaJTxiu6md#G@pOP8yhhOY?Ggkl)0cj6Kzjk$GvYW3#0}Wh9Ig1x3)3t&g z{Z)N1GGSVxKe8Z0oKr@Ce(*&V*s%U-FS_h_q`qLK1mNpstSE|P+mIhgv(=MtW+y*> z)ac09j{nxwl&D!ZMHUxFhl>rOu^JhSs*LllynI*;Q>y-@5Y-WSXMSK5I_IpMVj<}D z^kqCXo>Kh;gJy+v4qYa;oR~+kdYZW0w@DhMh6ceLuVz#^Zt|<5A|ICFXm$J2@0$jw znBT?>C_jEv$olOyqZ|dJ+=9W$LisLu1pI-F={v2$Ix%DH)Fmb z$%{zhHz}RTz*nKcn|nKIw9(ivxt^WdHy@p&{KouwH(I_@mU`&jI~+g426Z-vchUFY zmSsI(n!|_w+G+QLR3u-EYsB!UetUa7RPDC9DmE9jwCl0bGj8e>#vcuv52a^lx?Q%9bWD%}}Ok>*j%kjxyx;@xQg9WlJn+xF~MACpqO%?CMmD-?C!#7%0 zUs0wjPHEdW3za%(AS`yWS%WVv^QCKGuLjTpkXn&VQ&ACNT<&@OjgSna1PtkEOqE+i zouHVGdfK7r=IMwQqEQp7V^@pOkrKjXObG}b7i0M0p}ElLHc4&rK4%>K83q2F!e;hb z5K=f%1AcF~km;0x^y{LsktQ%T2NO^`HQ+zuJ~7(WJFYC>bsQ;P!>2fq6tLtenI>Qt z(v1s(i)Ss~pU}6T<9DmNmco`put|>qi%B;?;o=YiM_!5E8@I;qQt?)!H!v699PRKW z0zpLL$|aL}`bn3<$;w=l_BEDDK+Rtxu32}{CF4kdQ~!y!bAv23>Yq_G!}|xup4U6J z<1|M1@0-#e7ZESq(FDMwPqp|qG@MIWGTZF0NhHrz9p488Z4f(t5#}>e+xijfzpYzM z9{ulxRw&y+FH7vG9Fb(GF0g;=)$n`|LKyP)Zx{EQ)t>d3{hva28H{N@^tYIw?{4n^ z@+*OlBv2XA{`oT+pLIo+;dSHe9rOX7R=-|F^crqoNlV6uzw?C!ab>9%c}7g*+oERn zX}Zu*K&)5f)1i}P!YC8mn11Bi`&C0qpC)BiomeNq{Ba@gyizZ zm_@JZ*(mHSg=QL8O65_K-|cz&FP1oU*epO;suwO=esN!aCO+73cj_Ivt}G5mmv%c4 z2!GR|N4vuul$-fCbk#pB*6g~UsPJ>RZWAG9 z=mlWcT6`43VI$pS6KO1Rk6cyj($8#R$T2zSGfs#wyXj})QwIDYeBMKO%OGy{z zMd7@@Ln95f2h8m{KM9~|n|QOr=w%N5bQzO4qW<4GsJ`AL?`J^D4-#$7-?I@fM_sv zx@2645W7GaJ7~GMUxmLEL9K)}GPX-@GX#ZuUEiugdxS7mAd4THOuQtIS_}=J=<|mB z@D$%qetBV3Y(6j$PJAqdmMrT7CY?QRQo$}V9>!w(Whth5+IX0)WkR*IeZxD>H9RPBFhIg2(^!{tMbkZ~HY7pvKp9ZZzFMF}-oX-g?R~KJIbTdnzgt14_j#p3FOD>LacqhYgaxwM7Sw zNZok`f^L^?b%KMe2wvb3I-gdMLAg6aeK3$eTF^+6;yS3(@URP?Q~43H_MF7o(N~i)yqTmNRyV-O3;n$}+=L4qbZ(M><+)Chr~Psql=9FKk8^bCcL ztZySievzT^(T^X2l+^l=0A9IpoMt5L_cIYdUgdYCkAc+4#dyD^Sg@8CL!=;sntnI8 zU1_dsb#16`ko#ktq$YXh*;fBebA=bdg7^Kc+m7G)mfQX9j}d}(Zo^I-RoWe`VOf1lL^F)l&##dQ=z&v0As-(!RqOAX=3T2oE#d`-4b|CO;K0OWq)-|AlU0 zd?g|G%4`t^HwLn{#28&A^>M7b!nH^9bAO|kBM_DGG+NTF@kno1{Tnn9keUuRg6 za;lm4TWPJz-X-9i0bvyze;Ki}jW)j@am2S%OtE8rj>SRc%|@-K6aCHa0{#l%ICDa> z?oCrLSwCaH;M?z`N_r!J!@j0XC2A{N*lBo7ItYu7#4T!6DQpcSf-0qS+!0~mi*%Cp zI_$j;W?(U~CWdVGlzcWhtPh^;v+-n*(U|Hn+WBo`ZkRmY^rxlz9>agL^RS-&x8>Ld zVrjL?i@Zfo^UT5RjdznA(r^zOWC@eDh9Z>PLAhRD%FCAVv{15ifPsld#;MjDAD9le z|B;tYGFH^gDgtCKi)CRVy(Pjxr-cy$KY$?~?o_r`@yE7MK@KcVp-sfT9=~SMIm}3> z^?2m+$X14kPQ|`f9OGeaqw=Icl#}HxD^FSJ*mysudxN2|0WR70*4%z9Goc%1r_w*y z_Gl!Y&q;pX6fVgb-0!IyfApiP&2ZcMhJ16CAK>xxbM)0>8(#XoW6OJN^{nQg*Pgqd zYp=-f`O9uE!YdZgE3N-^cLvc21QGckgq&mo)|FwUG@Qr>F>)A=eme&5P>7rVZS4OA zs0aA){PXMjhBy1?bx#0ETaEm!jrX=-7V4|I1k(h*wu^C$ch?+_#3*s4W+hhMU@K{9 zd0w0dH>CqZXDA`z(R7O?6{j11r}A4Ri$+`yvbs=3a6E+b#7kPOKgBcy1?Q!rD4np= z;qQRC>$}<2D8F71F5|hJM7`ls0F%lR6k;qKI`_pG#9yLu=r>NDYRwNVr1ZB?9}Q@k zV!~bBX*v<&(oeJj^`eAdc>^o$Ku@34N$n+xz*z`kf!s2=g{hHWtXX_Mt7*P~b%` zbj3y_o46C%iB5py7u4#;m=hwBxe< z3|SSk?8`q|xDf`$x&M{e#t+B5`16=4{PtD)=!X_8D2kphn8jC zFf4#3gs2R}?Y=(Vj~rbkUkh!lgooq3BcpOdm!dAGyh;pUIIoeHn>MRD8P|ExbHZI)Xo+lKRE>0 zrX1eNue7a_>rW($HB?l}jNsbA1&y;R_~dZtI1_dT&p1q(OnkHqC}c|-u_tt?^#{D>R$sP%uGw~JWdrQh-a%JZRi zrjk?T7Yo#5yRDanle&_JB)bnAMThYQ-_~w5)CG8*E;Wf@x94&3d^@d^@&fC>XZv^h z%y+ySAi)u>`TlnQ8B}rkGwEh^DsXci5uvBqYKofh-5Tu;EfPxlt;>I_pK9D*WB5Tg z?>ZuRalCt&uQs&WNmXgsH5QKe%yg8}#sKWbllpO}b|+XHDGD z<|^wAS9gS}eeF+QE?dN`4I*_i-(GmTdfb8Z{?fNDLo<0mJN`$~T=l%|m`kJlKt{o! zpkhh^fgKy(;|3K{c|4>+F&0GQQyVSEWKt1UF8#a2L~BVU7E}L**SU&J`|~$=&U};o zak)Ts;Yu@CJ+m{BzbobNhA6M8en@5|qV}4Ft7p3`!ogf`>J?F;T1}Y$+1;wc=!DiD zlTv3_oN*MvdRume9}Z3kV@hY(q94dO&b~nO*L5`P>B!KJl8>&SQ@rLOHN`3HYdfDQ zu3v{wu@Ub!ioa8KiD>lL5F>Q)z}lxAA^b#c@x^fbHG6-X#9G2kA#QV+M5DVEzLv1u`LI_LSbwd?$w<)< z5%kV^Bv4EwhX0IApm(q^7igg`R4Rb^KAI2?p81~=>bq49#0hR});d0SN0VSe z?Luzyug3ljfV)4K2cVRx9C2)z%s3!E#Oz$Q+cone!!zL`{`mrLVPKe*R3$~XWBS6h zgL;StL@1yQ!S4-zsGo@($L<#Ju5+-Hcu3I(3kSc(<9ppJ^NIb_#;vG~O+;o6613fQ z{Efbq9#Xpj&CaOn@c*US5hVKz-6J_h6Ps0|HnOdaBvV&PflBMdykCYJ8?)0lipE>K zA7VZ)<@k(P9Lz_K%6K^^f+*rMC_nM3y&F&TSP?MPJzdq@E<(0Dm6puy(6xFVzBUOZ zJMs(mBuPOi-*NZ*UPw@;09`$R+H-XoUkdI}t-1)pS*Mi>;kK2Am-FVrP zjO^?EZy9e|FIg8nrgz<5xi<(z?q*0uvr(zCky1yq3rJ_G*hpj|0z`7!ot{z(*V%R! zL>h<%tc)imfDfE!c9t$$oi^7=Ustz$pHA2O|=5n+1OZ<`4sF`VKkWc!0z%4%E z>ei*s^`)Op$#h|%iRXt*|2ui_Kc6OPDSDdq5Rsxr_3d$H8ocETr{?!OTJ|o!dZ! zPW93p-5+8sCd&Jt6z$yzH^gJ9a6sf|kurKH7KG;@$w9!`R6SLgaTn)(OMH4I_i5?p z-UP*3sKhQffADACLwE=sID<$nQj{$iLTyvLpzxTSl(OvkHx+{qY4i$fguedBm6CY-{aP(CGWUz#(6ODja_O(H1`+|8K^O@?q;FHfhri~& z-x_(OhNO!w>Y|g1X>U%y?0TDc%UM1kyC(to>=Z5SDU%tkvdt3+56a6`DlPQya2)m!Bi2S$1yeY;<*+&{$CVXh94jbZW4z}1sM_1z8zkWobjZp;RH5+Dran{9m+8g|eSLx+7OY|(f5Yx@{NVDt96 zwJS*TcbqVF{yshIsj|J>rgA})7)Z@Vam51u^^+B3?RDQ7mQo!bRL$l%dW>+Y!2Gj1 z`-^zn(p-2q1{GAO2!@7C&ye*LXnUN8K!V4N2DPkjkWI8V`4)sJ6FA8fO(6<+DZT_>ssuNvvQe2d$ZCqeH7`|iMRWk zJ3AB|`fK;1%+5{*6_EKGx&mZx17;w9mBlXm#3}Jh=VY6-Af>t>HYy#lruaGhujnlV{%j0TgZ_`T0--yG!Tle}>dWu|x1u?x zn#BEiAMu5gm9>oaDXWOx$3e$Srxer@hc{nh%y*@)mGp1NvZQ}dWgq_IY5*(=RKHDE zQxydx{%2pGRn08Cjl9d9_9yn+DlvXuai4>;c}ldP?y=~7?C!WTb4+P#t~}NenKtKU zYj6m^8$70b;)6j$c)1^Htrhf;UC-(m#G(dKuHMIHxx?CKMJ#I;@@VPwQ}DQpRnI$U z)j4D}(&^jPSr~O=Q8B)VK=5}={oZpQDKtbMUM0=C#kl=#O^>=imzGeuztalv=$tyV zimUSni2PIwLP}5Mj?60#v?qED}uE8Mb zt|MKwVmyi>2uhv6u7(6Ci}Gy&K?0Ly@>si2{#!wmcBK8<$Ee|$jehJ1 z79@ScS%a^Gqmm3>8PGF_o&5AAcvrOIrH6d*Mu@)a`uQFQ1r~$!2D-JI`Six#_uayFVhC*w5Qman{{eat@En!R$ z7KiULx?SxY2G!N~xx|29^bPDn`sAER0C*Dr7d&z0jkQz;je7`H{(`+S1QhgP9e?iMCp=W7d$q3*ngGIk{ebY|_)MKc;|0z0rMB!QSXWFI}8V zi4|}Gq)?9tYWIEup|P_Fc8{$myvbsJ2J*`M(DB!DU?$Y=E4K=WOhJT6x_+0omr->% zl234cBpdz=gn7XZ$zu*Z$}j^Mm~N$`tseCwGSae`ep2vTUmLp7Rt&Wi$N06-T9t!Z zW?tO~50#h58QVYKx~MYO!!XF2-vEV52Ob@-+rzQBFMJ|4h`eTr-EJZW8-|!2zm(G} zP>PdD0xS%qY*cOMMw8&_Gk5Uh!A`7f+ya)EisVRMo32IUMbP*japh_@6>>ZjB6e-=S8 zmc@`M8IiG1{=ORL7$^m2vE+?D_Gz%H51-npu6i4+{ezstt+aB%D2MeWlf-X<=ci(k z#@M&^W@!H040AR@|5#4ZFb93TUsksDEe?>I{O$cR`2J%5Z0YjBl)>)KODx)RTviy( zpV8x`3(KRPf}YF5hQ<7e`=g2&*9SJ@|Fqy=)HB8iV`pclx~9h6V%G26p>Fhv|I|`p zh5rQ2){x2FHdQYxVK_+s6B(yJhv*?kwxOE|VK9znNq|DmB4>Tl~NC z)n0Z4HVEG)OOGIVIFmdZ;E8fP5#F2`2{1P8a2=75+kpIy^d9P0Aq^)a0+a3GxOEk! z(zsZ<@roJnBZ?4AV+j#JCho^}I5FW*WB!v^W$XtNd9rwN04B{w5QIZTP39Z7(ypyE zu$z=Xmzkb%{pOsX@v z>D*QoigU#Axrk1+3r#~UlZnlK!x7hpugx4f3Rfx$!Y@O90SI1nOJn(NOnu|Qay0DFtnsvr;}pB8+48B6nBuU2l&)PynwR8rPL!J*wSlzHe8$*L)8WNzR&6`$K= zoa?ez5Z<)<`1TbCk9vB}-Vta1P?E}!wt>o9d?HI!?ar5PnqtxcM?!THO|EJJ!vLTHKg7b^h4n#&JM)EZ=LRWrthb7F> z(45R~NULuEfg{7x*QE(y@^APno0;L7GN6*>uedSza|bG2-(Ep}DuJqB-orrbClT51 zgk-~*not=g;>TciGO}{*_z^Inz|-C~(~>?dr4nTaSx~YVkA9U)&Fg{Lp@WRIFhZIF zTM~p=_@xMYc^b?6nDZ_K$_F+shIzE=np-B!Cs!Kvo)tq8YSvHT-9o@}V)=O!2$cSC z`bsc_Lm~w9i88^9`J0n-nlRO)Kd0oJe+V{j^64GU!m1ktD_s<4?0Ib%vXYB4(i9OI zXI-;vwdzbEua%bp^wSA zm`h6Bz#P42pxD5`2fm(NzV1WU&ib_QrkrqLdxS59I4xL5Dm%LXPs1okJJ241%#S?^UNbQ6+|t`iIYoxmdSp|9Mrd=w~jE zy~g-AS4e4z&qH#J#$gY5Z*uE2@5ii-!&nwB!gYOi3~WxrrJUAu$R7VYQKNqQ0d@#F z4-b#gsekzfA$|SzTkrc=e?GsinMFId@oR<>PA~ zwchH8f1f8&n4C9oqTO$kK@|r(CxwwFp+qm6dOTWMQkm?-i+DP+CKNJ0dS{Su(L{g4 zKyt7k-!USGtl$F+wcPvFsoDj>2B!*Z?=Iz5SJXa#CH-up*WY~}5Ip)!r#P=j1 zB&94e&A@M)Agp>~!V)wIZ zSXP<-*ZM&gEiLvQ`p3pv^0bUXE}^KWcP&vmN_G6)qaWbm80L&0U&AsuzeAz9%TZ5f ze0k%!li#txWCUZE+!KnpF_5!h7J_ESrw*=9y5?w1;HW|owaw|6taBHyK%beH4fK4Z z2;G-GP9{#)1)+dOVLYiva^OR+Q$^|Y7P-mMBeyxf^RY6ig#c-H9i8IlCT;{%^6q9U zCMHxuW}}0%hxxDl@Tw5QkU}@$BV#ZT1z9GEdM%bemaU$I!x0#E>F4r>sAAz$5tvtwqRBl2b-KvmUw)NC zHocL-Cx7Hd&=^5_bVSPzCVI)Bxa;aoNkH~0z0$67b)a9a@y5$R28D|I%*W*<+}AC7 z)qAn##++^7ApFuPZr~FplJc)xii}y6UnX@t%5z-oMV)4PBlS?(2_>j+aB7uAZF8Vz zFi57a)(mKkK86tsQwwJSz(^%~=LGH=m;a9_x|owwm!Alna32;VzdvAvfOaGAX^PGn zb#2-3@Gd0b#(c~Uw^R+dT-k=*}hTsA_W%TqT;)> zd^r}l4w#}rptQu%;X-LJMDNm0v;3Yam`s=)N>)@klOGiX_u=^MA(gq2+#ZNcO%FY1 zYGvq?EJh9c-uxiP40TZ#%8T3BCBk`?9)}K>RiNYkMM)9_KD{uG^~@C9cGD^P+5}e~ z_{#<>*gN_xgWS!x+;&SY{#wm!`H`)0IOhJ-_m|DRv_sEm^pXF<2U~kE+64zM(KPq8X7^H`o}#M?e9`|G%bc zfIs;D0}kIkQT`WafH1eaQ8RnAwxk13{%u4cToikb;n66cD$S%Kgy6*pa%0XGZaW@V zZ2L$qR237HX3LB)s{?po6Qgjzh=J|!yecNKX+$C8d_*B?c!ULQ$N|g?7;szOu(%I9 z_ZV&DU}$p9LHHANWbnN1(b?SaMzi6Ed>8Xig~Qs&u4a<)5jKBCKVTBVFn}l4EmZB| z+Ndrb!hed*GW*3=WY{KM)%K%mzD1=|>X(=86X{l3Je^){_3FVKuM)?5IK_M;o>fh{ z(~?*ud~Dqq5qS5q*z36IRp?{8Q1=LGcax-w5g8^xc`*6TwYWZSYNGLpToj1SsBuAP(2kj>#bLzd475@ z8(=+-rz*%NNd3niUr}WH-1g`GSavIm&58w(nP#Cf;^(qqc@5W6VI&SC{z-AGYg zIg7?EukuE-RsD$PXrN?+PM>e}+B%3kLq*j@vZ5mHKk%+0G05~qRpx~3_%(k{RZXEv zGdCk~rkIOHvt@vYIH|5%RvZwy+HXsL-X=GDM7uU7h=37%z=RnQOtCJ*v~*u^gWYxB ziAL^1x_ebisa>vIvXKAQufYu>E_5@k}C!J<~IIul4>;Zo1!RPek>8WaJjod==zFXtbcQ@;a++ zZ6p)rad)6TVcl}@t48{#vRfz-;NN&6xpXYaH?~+w^KVtxe-D}HG#PZT(9hV{H1|G! z-8gl_8M&(6cx6DR>D+-YA$guY*aMjD`oxeNIbS%=nouE9Fx?{q;j#<%_uSMXQiY{R zZ|2>%@u{kqSPk}UlV+ZyfwCM9pE^UDVtCcx1$A0|MD#vzrf`{ zT|Kxvn-U?&T;e$~s_34-!?aKPr{R+fF<89d-#}WsGuwny&ZCMnRdUY30|a(c$elh3|=D3ItOJJyR!i*EfXvt%Aw=H&og?-r1K_qV{urb1ny;^rFc> za{cvnEtI+XDdPWQ?9HR0{{OdeGX^tbj2T7^*~U6#kL-*ggqTDq+f*W@#=d5qL3Sl1 zG?q}=WyunTq6n2xb}gjrd$!-}{kcD%-+iC+eZN2V{f{|kUdNo7^P1;luE%v1FU58 z_r<1b8$TZYD=}hd*!RN4dk#X7=oZgMd?mbG0oMSwWc$O9J1q_!khf7I+aNXG;~L#A z65liZ>QtXhj^4df#R$FiP@*8uIq>68E0gvYkp1eoV;!Yg)ibx^IHg0n)W{&dQq2pt ziRjnPWKYeDPR>N&gaq`Ei5;`p$(rv~OoGvQ8Fw)0o(zV*jZPX{!%E64v0Fk~CyFGP z_(YSlANOgR16}THj^fnGJRtY*&kbqu%e0?$08VA5ogb=6J>O0y3QoW*-ko<6uH->I z-)p{7dWQEWmz6D%iA4;PIRLn4*?I8^8EY@Sw%5I^Nww(%OnL>p4)+gi(nF9Nd2HF#W*Bj`d31DKa3x!rvUiU>)bxx8Aq#nyFh zAyjPpD$-$9g9Z4h{l1&~J0_WXK;QATeE5lWyW34z$#1_Zx5hY!!Foq>h)ZgycX!2^Wo~p#p8QiPi8F+$Cy!VDj`=c4gY-!Ae;h^3T>ks z*GF5UZsbE6E1F}>_xF}WxWy=+VK?@^Ruts)YkPhk75L6E)Ov9J+V|bCMZ7B7dyCK?tPv~o(yDPYf252o6e z4STG1EOO~{>y~Or@AL`t@2e5OoJ86}fm_Z5_t!QB&DXFUtosZ6)DO~>SG~9}xi}}< z>&3_Xlk(RA8|#Xf+(S$_QJ?*u0f@mbW+f`Ib!jm0Z2hTkjZ;nE9J_9w(6>tn2~5cQ z8S>2$qK`9z`Z4EsM2$maIEC1-u#F2`=i0%kF0Yo5J*YAn|K>9d!2e%)1$BHu-y&lKY= z3^XT@b>70}L`zeek?PtHe2cPkbs}#^p1u21e^`LdcM5@e4MnVm$R=%jCjipNS0x%8 zecZ=6k0~0?yQ+wl5Th%6aAT27%jV?;$~x+G2t-?JI3bS+fqBj&Ps|moBP?ITuj#zs z5H+^U=JYibI#mWH(cqm-q%tcyADtSolrknVX+%rTe$O=~>%)0R6rjX5cBQwAn=(xN zzo>i`Y=UB<`yamhV#^BcaVlI{Dx8QvXrg&12Y{75`i1`-@gb?V$^%;gikU&Dh`fC#i2=_&Xqt4|zHyJ7 z?D1h-j$h>KZ`(mKoESK3NzO%Cfk>M|NX0tK4~N+|!$JBQrA+HMQf$sy1EJdwitY%f zK=oE3pHU(ZI85?eb=_0Kv`b0Z2}|2I(S6`=6KSLYp976#Ke`AtK?_Jsn4%+qY&fxo zMDL;rsi7zWE}t5Qfdk{}YZ}tN3iK2~mx^wB*E$BjPRSFz&Yqd_>D8s(b!;5;?TX=I zO=M{M4u;Z>Qp$#iybU$Kvb7=Qbu=<^v4JI);zNXnFXGb{Xr2A7bcZN5ij zjLKneW70hidS_f?k?zmA)#chWsRJC%s0Hrqfjk;-!g8)(4-1}O8ki!)U8XT(AH&YX z`ds>4p#qZ-1J=tbC*h2iYb>X^JdHqb9?8PX(j@HIM|ko48q|~%BdyVmJ+4pH8u59= za~u&)?1c}1OEYte|KmY56nxZag@5jm)5{f$b$B1@aAak0X`jbn-BjW?aHk!?+paX%gJI2| zTa6>h7IaS^WYYfIE*UP+QbiX&=L<*Z*Odh(l3X_i z-^o>_0h+}=j-OlGGdqTo%D$#bX6<<*(Q95yAAj0mRRcOFo6EOx0SI~-es-fH7G02J zniMMZg`@C{^PP0&hbJ^>YI!yKgA%wN(Qt%-;ao=334f8vh9Sml282$Dj8a^=fG1(E zm=p3kV4uS?pUXs|-^-SlGEGwv$hT=lmDLkb=C_z~CQ{zsyk*QS8ZDL4k=DkL>1Wx{ zAF+rqQ16&h?l7hZqV<{$UnW2WOK}FqcwAVyjcCa<_0hAv7jkZ7*_j1_OIT+bl$Xl{ zYmOcjplVo-Hbit_B8Xba==)HNU$hj6x^G2d$i#dKH8Ccul1ouN8=&%jdd9bbE-9X_ z0=F~ZgX4*O=J(q`qgOp47A7vh^luz=OJ#4K1>U( zVBWtO6Hd%_awL6Q5WI zhdcfp`V*%{CfbtYB2W6ZQ7&OQxLDxau^%APcHoUqrlgClh)*gIxO^}@CD?MLLpJTk z#uG!wNEnM?;RXcrHYn$4XR;R$m6S@P5XfeGDxm18Y#$zv3fsEvuBeUhJ&MRarl>5MwEErz``>RQkue;O@CPf2eK05C&S;G{mM`+ z@47eiIP0uP&XtUsref0c$PGXp5ux1+ zX8=jQB4(LT%S;3aBE|n$e{Pr$DFLjGgPpdbHFNQ#Fzyh@P}8>|Vrr5R#p}Q0=IYt- z#5qLi?+}{7NO%1qk*v@3Gtq`#H7Y)+VU)`u%W-yz=3j<69K= zvTMPz5eG40Xt{&Vrp*gAn}lFP%Dl}1YNkMSA)?Nf`|m5v|0)N5$0LFlU$8kYM;`rs zena!ZQu6Jk@nw0ZgIdiC#nyfbT-!gL4uto9J=)&UzFl1b*d(TNmO^H8Zx*QZ{bTX^ zccqEQF>yXVz#c(_K+zIbB`M*IwOR6M3V=Lr(1j%{kx$v(lZoTCg6gjYQ<88EQ>!U)iJ>~Ch8-f+>W8qH~ z%O!d~bx}^T=4sv_#|r5kyT==$6J08VGgpgz3#eyiAlg0hT|(S|bDWNup3KU2#A z>Q59TCPgiPAz~89bgks6DTNNH0k=zhaVEu`>+?B0U={(W z^s*x__B(gZ_6YAe+E`1|Ig2OPpSc!?6W@7otq1cA zqu-UxZ65nQ?{e36s)YKbCz+R_hK{43zf7dJ9hkI*GxmL3kTNK(SA&8KOa}9y zshOkVcvK8%q?Uy==d9(fy45VH;PG`UCIg|`;Lk{UWoVo?`FiVGAn(DU))`z6R-!Y+ zQ>OtmIYP<&d$0)fU}(s268is$L&w zeO}+oP^2@lUMn1QO(CMisv{{KYf;PXFJ@T%jpC@iT3^`~P0D`f!#WPcq zWS0x;)Uh|zneM7`b(JSz-ZsuO+}IP8=e%T{JZ!!J+<6?4FLouIoy|FxJL!sg*z1ia z7ia5ugHkBU{7hoZSdKs8_-Q;Z1AhiO|9g0TprV%UbPH}3OCWQsn$RRm2+`^=C1VQR zObd(Jw_lwGRU45!9X<8;kU?}(U%ayoD*_fG{u~HKbAAD)Me@#6!Q|6GPZ_1L+~=hc z)qQ`#mlz;wFq|m!tL#Xp=l#^-%=*hCA4C7S6f}gwd_oTvDz}ffdhH_{l9QA-9@lqF zKiZqpaj#O@s4{n634V#xcyus!c|1WoaBt_5o zlNoD|4)=1N+&Fq&F`II$R0O$puU)JBEtN;BZWq=#7w!8HH zV(4=!n#BqOR;pQ{kdz;uAHKT;aQ=efRarlLf`p=<-~A{2(*i?ouXhbJVs%3``<{`BHX7`y8(%PretH z&q8p2tp|U$-jPDogX>o+D4#&R=7v#Iw6wk|>m8F2y`oU8B$`c8GvhZ?0%fJ#A>Tlywo@*ZpyGNc$6kb8 zDrM&oDvgrRm6zdIJ07bvQ|o7&WF%BIK6mrV%r$!a2{UiwRsOq(R6QA9S%VVPFb71| zfL{4%Caohpg~*EVd`Lc-zfjq0sbiRXXC*a}X;e^;NVb%zG{m*s`Lw<`ojn0Vd=GnrFxh|P&8s91e`C+!dW+=;8Hz8h zsq8EwX?@ktoP=Ate~u#j&UOVw<}Xlm76PA!Yo&Ws)lA_#68G8}2j3IHfQ=@t+nLF! z8^KlNBkPr8G{E8M0eSb$C6Rz*PDOY9u**$scp){$zodrir;QpXum`P$4!1;AMsOTz|9(no$yot8KUJAKKVKBjc z281~Ci76!C4a$Qkuz-E{E)}fF90Na=?%a-2lVOem!~cB!vxaBHl6Sw4APvWuBxOp6 z_aFb7U)GP1RGB5+;naBd!2>zPuso{Pd^^5n-nk8h=tBw1h|=Jw4~-}w5uKEgs04x= zJupJ7@J8XuN)Y-EE`b%8yN^K}5h2;S&?%%_#MWqIz--71qM>m#HH*s~Op;efDQs64 z>sbaw&#-+(53GsBK?MNxx}wL%bxGhU{oJ_L6yQQ9>&yIXiVvLH^t!TDkT2`i~0UR@j}1r@5PbxK(`d=>gXf+?ak{jPx3{car!Y8i{6g+ zhs%|ZbJ3>G@v?wVHb5A4fDel>bIdw+S}rrF1${yks4E-uU5u$Ip80* z3Vxj=x|~uV()KW67;LJ3ddclR2%E|43q?LRV~-@w@p}q-5~*;sY@t#=D1vAYG-?Fe zuZ{!-{p?2Q5s@323Kud9BZZ38tym-zSR@TfD&uCOd%kO-FI^csp`a!az~3+wj00b6 z40c~V*ZrxXDp7@cfzzj)X2^0Ds7N+HVzb|2c?{#SkHp%2icOn{RR7oiQJ z@5^N&`2ew)P)H&xh5z{?UZO!y$zz!0qRwisTB+RjBNWhSRL&1x(X6KhjGReT#0yEk zF8@5UsxqZVV^Cwc-p;!BI_H_i@&NOh(Xer*$RFD0=PDAB(qNf^>2R0lq*T2!Nh&73 zhaZED41;61%*ogQPIt-Yus>T6AFI@wlKvWNL*sVW`NE5=G7?CXPQfOaTi^9p zZY~{NWp7ih4=!qmNI;al7F9L-j~9TS6gz%~1;#tg%m;;;;Yq?cnsV~vDQYApDf;$n z7+M08fQle)*)i!u;A|?%+#;5lohlJ9(QcH~6=uhzS5X?dbN(!lD9EWZJ9dv-Rl@W1 z#*((kr75~6;^ckR5<|JH@VhXpIod5&&W$dPLXfjxXhb+s?x^$CP|%liCr~!eNM)a3 zlBJ*KSC2tVh7C3Mf>+C~{3E!C*v}@^h4A);d|lfMtC{SVtTFY_-TYHe_$stt_MqO0wzl-A{n*bmzdd{N{U1X5A#UWZU$yZ6Mv`nAf*);nN>17J2MtZQ^-|A= zOdfY)y|ur1YocbMCVHV2@^Yle)|?S4S0eKSt`G@W{H5sG&Ts;x_nWLtw}utUMiD%xpr6IV+2PSILCdE$Yin}T_Fp9ntLmflWzaZ-N`?N~UL1EyO0OV@ zPqK%u~R?RKAHud z&3yJp{S?%4oZ}1MlHH0bMyAsxmW%5`=4^_kdZc4Mfy^3(NM-P+?@9?ApI=ue>BM+mblJPpS^w)~Rr+`k{r>#8 z6tJ_zCTc15s#4ghSg(vs8^Fz$H~&o1{1?LM6)HkbHSII%fe%;AJy5UcA`4jotE!8mg;R?TGMp9 z8>dBnurwSLi_nZg=$tU`rFJYuS*})>6|%9sSMcxetCi$Ma9rP19?6KP4$ig8 zkMuIH%wuME34cn+`(^cU;Qk76Ja_2LOY`BbAKNZ!3Z0vCOrDzqWCqNYQX4JCo#*=`+o!ko z>RhhCZairF(E6vi@?iMUXRoHH=JvfFN90HU)(v4J=h>V8gY*5*DR1M*X)D&*kZSl< z-n98mJ@jL|Bh%sLVdz5cjfX1kVUo+7tzUPiF9-kbk48%x6b}5C4(#7ZL(LdQKc?_= z^6R6pDG(SAnEJ&NP{r|3jL3z6X>i7Df6bHWWu56UOvX7?VZwUk=PCdctO&wFiCkci zsuKDNV^c)U_}rPdK^!+V{GdsKS-({Vw>iv|AMZJ3c=V=U;enX~oDd0XRA@s7@lfa+e`C?)NzGW3OM;oIx6ZSuujKJvE#pQ`7 z!58*#vazMXyvQr4pkN=+BmRn#Aw{*{>S$SX{X)qz@N881dblsom=fOkBoXSVz+Rqsji{ zeJ3lG2~6<4`37v5Lon(>49f`Fsmc6;epZICL>Z2Uovsi)WMY}X%vRe?ea3m z$O~OxscKGdR6%g@2wd?O?%)u`G{7pT~%IUT>16liGF7%)WW! zMMFR*O9q@`Ip(#F$A^q}EL_=9bDv9Ipr7K)gzQ>m`ESs&nr?Y}I%9^cKTQ5SkdZ$w z5EG*W%A-NAWGf&G{nehr**rP^)UqO;#&Vy89_K$2&cG@)-->F_ z6^v)2s9{}C=O)RA7qv?IqS~3YRj1Hp;~b6OytfrRf34S}At|_IT_4ns4S#{Q>Ba{y z0yA?TO43QQ#aFN9y}zN~@>z^tIpOxc#`f27-QGHFWfdXH=0tmsZk?1oPdl@3& z0*Pn|?hA5p(`V;MxXnx8EXkb}-)WBxz873uV%+-W0(TSDc|5*q+XP+W;Q9D zs0FD`h9B|Tg{Y?8-DmfaK;bc6k-dpb99D&-rDrI-nEg3l1PhFXwa{3dorO7`UZ8gL z66aYjcc%tREySDnYTqqSG`?^NE$~h>4w;0&oqj6fKgq2j0gNk}Rr8}HI+flSRt{Rg zgzbft3>r@MIzP!6Xr7^}J#rPrP8*cM`bCYgk+e@!C%y1Em%_e8Hg?rW*tmc2G0vhE z_mCU)4)Bw!8TY*TnBgGjt7Om@Z*K(^O;d4m)vz}iZ7Y&G=p^lxJLO~e>V-PjW2vJO z>1{9n;!|(?zCfB^)+WtG$aXGK)J3Q*eH!Z;*URml93(1JrvBno8wG@o%?4UgAS-85 zDgg{b59kMwtn8)7T{*dwNOQwLdTN8P>?11I2PHk|W3gsn9qcO<5590%p!|3{bx$y@ zZ~p!mTs)ZcOWw~_l?m=poUB=AUMDeaM^}cxynjQUc;%@3W_uDBn}N?Lj^H-z09oDD z861|=^SBLrnsZ8;7jH(Pf<%9Jb|s*=3}Qi40%{B?C0D$RY%rdWhrLiqz$pQ;7G|(cI3&d1Q~X zG(TY_9RwL zW8JXI{sqb4T|Mk{s7THl-N>29=i`Eu;&;(nqA<>~K9}i)tpw`h$qY3dk@gd1c$)=| zmIT%y2w9U!*9on0YPbv}KH*ZgH2REk8d@f`CxItWpy7#f#n)V6c3t|~@{SHFYThulHGkKOCaInIj?2u=iTU|i-R*f1{k^+>&8H%+ zM>%@|yodU1ztWuEFi+Ig{sRvhQO4CBETFdL>tq-vcS-l5BxR2+6f*C$<9pVcBdPG$Ac6%o4(eh7729ToLbj+rf4iA>5lQb7c&dG+2t%xq) zaoW8G@D58}P12|{LXiQR*iP>*VfTKXqzr!>;fpVrcIHoY4`sx)Qi3ur`k|gC7yXdh z3fROOoO`7kHu$^d6MM?JP0ho#;jMAb{{8gf#gJDvc3*+TEOOf~mv&!18yoej`G?Q= zZ_x*2>U=1UIvCwsZGEG=wbS3h1*W<7Q35w*?1R3cZFRx~)8!ojatRtpBjhoULY=vv z4;=kUzN4ogb&3W4rY8y=5q09+naumAXOnyT+wy)M>3#Q{e6x`y=IR&JE5*<9b7%v_ z^c!|+v83>m`~2nlqGFvapS{qoR4pz~)6QUAu&C{iadz4i(N#ZP-H5s0e!k#y+YQ)k z7nqCMugH%0w$rQq)JT{ffV~$^{2&DDKi39yMU6>k^-e(owKa}G&?e(nA6)m0jw)4j zKZ=aGavcgc-q>jztbncwdfzshveDosI4kU_Oe*Uw58e)qy&sBqwn*POVz&%Febm?KC_F#9kY?|QU4 z;sY9Smp}R%GU|$f8V=6CVr-IaR2=h(_o_c!SmLy5Tm+_sw4|~#Rv+|b!TRgvrUfs` zWf*>NHtVXAwl3$wXFE4@3;pX?qklZ2%;r$`(l1VRmeq5S0``V3N|mox)sLo^Ro88# zw}qPhrR)TJLXsY$TY4a^=OCPljJ_`>WMZWP?>bp+uAQPl4-7g>r8~qz;mB^|JmIL3 zosOiZaqTRHBqse=P+tdL6@((gS@4(4R7|z5zuV5M!W{oa)^4u_VU%t6U`?;bk{s5b zt!o~2=pS`c*1v8$44iXTGF_7{qni4}Q zTm1&KLTy?3RzhXvKh_05xcI(n0Y_UEr<~ehl@A*NMU8c}6Gk{Suu?w2URUG?XESHQ zE7*%(Ca9V90I8C31u7Z|^W|f>4KTOOqNl0afU2Vq`*ff}#hLV%r>3k!p)i(2uKR~2nWvH6292fT(;m*kjPa+*qD1qD)@bIG)`)%qGP$eHL|$|xK?qcU@K&* ze(Ig>2D?plPr1kLJ>8vq0DapUG(2A)_uuH6f4$3*>#!Rv7!i|L0`5K-Q*PhDbo{71 zqdM&vh~`s{>}+DClRUU0rc#=pSzpe46AFH@QhRC(E`G> znoh|O%R|12ZTvPbQuK<2gaP|C9Rf#A-ZYBH0{t{T^`V^tM{A^z3ZqZ=#gCcYyx$f$ zuZ>4^XW+v3eKhqT`j8ZUxS>>M4*`95A3=ldWX0L&^#opIKn2X^B05Brx;Fyj_3e>% zMLhu3$ zaRxaH?@pwDRg6r~;#}7@956irW<$&Kix4uuSB{yI1<1r4R$iH{bGAa?s66GIFAOpj z7%)ZRg9>ZN@lq?S!uni_jo!&Ng)XnpGvqp(#N>s#o-qITN@rgDjsACf*MqXc8HP<~ zzROw!!m}F|PO1ftdQdT!o=lYphBYmWTwg+5PZqB{_ZLxe?(_xirn`jnqLd=ow7**N z$N9G|fO##l_P)3@S_X)*O_6|Ikuq;^Ajs7dcw4^s4)H zaKqT6H399#dYIXqM#-Pg{svD9h~O7po0a+&YUB?wZY3^4?$Q{wi5KpeK9tp+Pc5rzYC)_9nOGh9yDyxV`l_9{Ah+Cmj8wVV^8t3~H^-Wp>Rr&dsTeMH2K1A?qxYAj~6;OP|ASMDnp$(Tg$WyS!;<3kK; zARtWQ6JDN-JTh z{RG?QUd6Z0f(wx1(8GzU$#p+*-;p|1Kd`G~h~yRK18=?E&sq^f+({j&j}BvBUHPXT z3+P%X%o3G#b*$dr-oZb5C$@7YA-9@$ANrMP-**?7uh-_D`PpIPo3OqrnxeI;OHLEF zsXf%2c)~SI4;^(t_4B`Yiv1AJskb`ky1mrCIMqKKGJI^h;L+YXawx#p`mf}>n5Lzd zyYqf^E&p86{-gA`!l-JvlP!C+IOG&_X5+_BM$3I0j_EEPyJ z@L;Af?qR-QrX-0kq%_$!f_RenvwmC)KhQnYmAp_GiC^|hOaij~+s|e?fCXqoJm^MmUjri9oA`7R!g6E~( zw*&b`Q2m7W{lT1&C8lI$6S;`Qc4K-!!Lwb&%vQ@WmOZ-5r#wj?vtrLPhe;u|8@a=s zAoW8-UY$eB!K4|pSC9Y2SPwK>dlbvTO6A4!xf z4=&VenwmVSy-NRGbF@gszl&RePU#Y@h5IW-DD!fWVz-4e^lck`K<6{$+TVTVSlFsQ2YXnbfo@`+Ogg>D2LZv-3Ka+M3j#rE7T4n^PxhoaTU z%lYT>2RIN78p9S8f&FLDQQVlGr2mH=lBVS;Aj%1*_xKkqF~wX5NBa;VNvDh)Ekps< zVYs}a`3N~1)$OZY<^uK$vBB&vQn8V<$)T+{^Gx?mDIJ7U#RWT}~N(C-WD&~%)J{0zE1Q{z(M1MN) zgsBp^dzVB?~ZsRb>Uj3x(~MK>W_e|@6{KcdHd?QPUs#{-MPD2bcFwA^^@XF@Nx-z5{_)XU#Q(w!DFWY=}#m_6pf##>L3zwDE zQsjQa_3wHx=;XBx7UYe+lHh3rHHg<5MWbop!Ps003wy6Hw z{Hvj}S@Y_~nl^kMZkIL_H&t>r-3Dj}vpPuI#lij;rt!YmZnYl6jB3y>Q@ z9P_n&_Y;FSET6L*GJTFM)ou%e6OgeQif$bxMj}1kO?Z9UO$W3ZqTbuC;kGsrpmz4- z6;5nu#!b-v2&Vnm-d854M*8Q^c;0l0O*wKTmEhR9Oz&V`2^y$oS`w|vhhk=`+#Zac zALCe&YHn9d;?@{TUgXt5=G4~dPq!^=f$b~ZLMXzGGE{a+S9(B!5x+wyn9YQi9ad@9 zk~$!;66+LBjC+K>lymP3BP%|`!Ir|SXLTW(0Jvl`QK^iZcRQGSnAPfY8K2F;vqRi! z!>7rZiDjw~Sot^;2UCfS^gvtSD@}GM^|1b`)18KQ8%0=reC|ow&n1~D5#BbLWAqAd zU(_~M-S#sZTQDKFy*WrYoWYsdUO$;Paiei{-N7Gi67W&Lzd_08>0tbq`Q{rf;uKLy zXTo%1i0{_t7iWS_1A(_~Qte(NF?y{=!qb1|xk@W!+WF4JL$J~EmCLVqMW|zk@xsJ! z=9>8+X)GX2YJQ4Dz!OwiIi7}|NqHD%w|2l7;UVak_qPmU@P+&PI(ritCxgzCQW(cO zn~2LJ_q8XsQ!!#6HABhDl}_hH1%DEsb)2t5dIi?v=gffV4mvK0vMdw&ru`W^zF zj8kkp=Ya(3ufnwPz__f=o28q|!%h^Xhrw z+`@zy7C4Zb#)21~PH4<|rpm-FFfZ9dFKOmRNR7^^INP69AllSBC;KGd*E3M>0^cmz zjT!Axk>e=0H_zP zAysS-43F?6-krQ=7tT9F5ETGV-P%5L4l8wjWU0+f7=YDKjG-Vt;g>?mkTYsnm?slh z+Us6Jk5y|sS4#41=O0Z?hDgZZfE*fW_gG3^c(hh#8|cO1&C8u}sY>R6WB)*C|CGsX z!PBopNi(T>25>aqAiMH&R;1yxQ{R8@fzA5+I@ZSkmPH!^025N#(B4$TI;8P~9z!Si zlb!cJbpTTu5(B#yOElA^-Jib#I`_j$9>@o4@c&6YY&f*&>~rqowhdi7?!55z(U+B= zTi@VEU+!zt_u={ix4vD!F?;o&PzjrAgkKZKe(0eh=T!2+cxy!1@;CV}_x%jYc-^#q zsspQgmv$X~_2W&Qmp>=#PdL07dU|tvzu%ZDRh$O`I|LKgA@_oVdh9609RU*z8le52mDR>&&6qk>Q5cMO`|-0*NBhvO!FtJtF0~FG_QVk*DHHJ z1xsZZGT#h-ePXHz2;cVY5&-xp_2b{H^D>?rg$9nF|NJG$)VZDu05bJ=YlAAZKt`8) zf{{#r#*yp6S{sc5SRc*G#%kzzt@YerB2i8cLQ&xq_;F{S3kd9!W6OC+lRq89H6K6R z9(gLDG6AF1S@-^AvzBF=LK|G$**20xKbxdcKwE?E7SYn`!`Y)kODE4%k4(AWQC*OW z^=rV$4w}Q_hxEFzX8|4*BAsv-G@$%jKW$3+UpAC-c|8vRSP2B&@3|}>10j%m!?W~R zV5tUIDzz%xcH83TWD3}@I1XuP0)b3_{OhFPCi@j1Hn8;I(J3l7z10&izp?0#R3<>u z&oV@`x@W~_e+cVE^YE(6L{PzKcR?iFC#Y#MX6Xf!7=q^C{4x&o)+<@$Er)bh(XALn zAI3i$%4LrFwofo~37iDxFQ^a4cX5?Zwyt@$orS>d!e;eSV^;ztq(hhhF=#-PR&Zs` znYhuyd7|COJ>f6%6 z2G4KuL%}pi-@(0N!k06gZ+|X!2iD~Vz?1gIgcgk*&fobjxT0;sWXcopBxI|5|4{T8 z9By5Hv241uci?bM|MB~132>mwUa^JdR#YprF>KO^tUR}9|5(-b%>5wlET?rI(i0W` z^J;?;m;jv`;ES8uU&M=wcflM&1GJD?FtH3`c@EZ-> z90KVv?ir!*>mWx!sF}tu_(qHduF`VyrDUk#nK7&-;zP zTm}I@t)L&EP|Q`lmV-$Tl9Jh|>UFMq#Bi67>O&RV7a`_F!=nTN!>d25O){^8+Lg6jIVPvoFut%&Id)NL6a?RB{&e<<>O;~g zZ0&nGmn0az)WB@cVobI#Q?kUG1@G)Td(=SuG(pU8e@*hUuw59G(p8~0pGd5)k$_H1 z`)EEJaBzy+_#{5(JCv8q&7kG@c2{FWz+cu`I#L{Pwqp|wh5zt z?D}F5Yomr^{Dl?}M;hZ72Lo0WrQ^R1?G?NroSpJ^ho$80tqqkFN^pIJhs!@95}RCt z&RoamiYE3|pZH0&fbZ6m%fb9%!@Km*VVjBN*=v#w`;fa9P zX*#JgecMMO7I!aWrzbm}Ke`0Y+&`+zng3(GTHn300f^k(-Mv|uguMZv129aJwTKGS)gm-;dJ0{kS5FK58af~b zJwP59%E9u)ehVz$lzHWBCr(k2$gbowQuuU}@kX?UatUyzQsIG)XoWFzoWknqfhyER z=AHpg&)nEG;z;*^NB0OS6BsK+s$!l+ZCW1|P>+7_s=78fNn$n}3M|mqLZpw*B}oh4 zlxsSDSk(R`$xBkYu!}dy)&fU3#cDb*2`L4HMfZ4wCj@oV?Xed5=CdE^kG3 z!D>TgSL8&-NQ$kM`!tu|7x7vRie}iED4(c5jV`%cudD-Zwx2u}o`iF=ZG+b8=&?(J zX|&5X2kP#0u^6xMSIfl62@HADQW>MSiha@3PeQ-ARv@mIi&qx>MeP=NUT_&sXYzMLO@8cib@k;P zI)4P1PX2r*rjReK>ZtpV^cvcAsY*zOoh}M%1>M*=j8fo_z^-eWGHyRdA zxkTAx_;Gs@J$?oJjCN@kemD7!?CQs?u4A?9lERI&&%E#r^sq7>!dwlPSHmr4h>$Wh zvVoRZix*fNdM;OtX+LR-s(p~ydK&^i4kFpIfZ+vMQ7~TV1Q)Hec091+uw75uv$Fg# zn5G6bXhUVN^7~wSe#efy!?IR zVlMJihw6g+$B(a!$lGnje!sDYqt_>qVax9=e%s!*v3&oR>5d>X`2XOigZ>3%?1^+z zDx=0Vj|t=0Kp^3oFoM(GfUbuDv=w+@S*|N1m6t11@ONxwkKSuqpQ*ra_mXx+Ug`Ch3FS6z zr(_Y$yKyI@Y~FA8$=tnpObrWPl=6`rxeS^;7IjF(4D@GI5f1Ff()SZYH0CyY;-{vt zI@qD_&M%j@ztWv!k80RcxaL*s5Zq%>JfezlN`OaJX0c$dV1|loR;%m9<-}=7fBBhQ zoPztLf9A?q6%)VZIbbyCS8XWkxL8!5LUHxOZ_C~DDJcy0af7#*e12g*kd>jRs#Ar6 zr7cT1ACnc2XyLKMrRS&le~g^4Y+Z6!dc%qnR6`#_C;&V3MrmyIO#+WT{1DEs)9Zgx z*^*t5%Lby=0!!>HMF;_-Cvx@Frq9G;CPFo{wQgr+r%^P=CPHN*qOyTeAGV$Or5E0= zD`uM9+bK?V&Cuhf8O|;%chipMO)e0!5I9~W&Xn8uF0Q4tikX+i*kR{X$D=N%#|Mwq zUfx8&{M7Oku6@{%u&tz`jyN-5qh~Kl5@;;lmEk-ZDxNc@KE-(z@5U=(@+&0040E-g z-#*w?6vlELDgN?BJQ~J>w|AntrLhuleyhw{s1rqi0e~LS*Ajjto%IO(yObLq>iqu^ z_SI2MH*EjJgpC*jMoIUiQyRuVKtPy?fJld+(%rcc(h3Sn3;_Y9ySo&nB&EB%`?vdk z-sktv``pj_*E|Q$JnZ2UfhVIsh(lo#NTu_Sgx*EU$q>Sa zVm%go5XeE+!&N%i&))zDmvXj{c9_tHJA-B(d>#jah2JGSNLF?8 z^W~Utj?`$ZTzpII2YJ%B$Ma0K-)~+cE>Aw0&-(|K+}?HIulffX`ft`)Eciq7)$$&F z^FDptGxdy{vHp?!bz3(Nx zf4kQSP|P9lq)J+yj6Gq8~FKq9U2e)i$PAu%5Dv?SKRk~Y53^BGZHdUl7)6S(9a4O1LKGy zsSjod>dXgk^8+Df=wB)^@oheZ>dRAZ#99EM`AD?XMKlxW?p@ulk3RQc5}DYbzS%*P zZxlZF4&Q&Qt?Wl0M%>vrU=0=-_K8Af9x4xaLg>R5fWN|o6IGCv6sAwI7q8UOhsw2C z8`JKeq(lIzUT6w&JQ4#J1TLx(&p zu`bd4WiF#?bU_?u$hhUW`AIrH;%Z7SNcHbsu1`!?&raja0m3sDItzXZ-&wx9(0-9h zdU!vi`T15mjUJ_!l|3-7fO8LB31FeeUBbx@u#m#D#e*~Es&^*2NH{gkU(@+{RwHnq zLjHh}J>j$x;kFOg!1hNBo&MG3(=RR^gK5J0i}xlX*H}KIq#rnI{uLQQ1ZC4PaJ-*s zSWKylU5%ER3p{hva^=o8O1YllUHzed#G%2@s4;iJ9VA5E>_k3-u;OJ;c5*)#DPYs7 z>EOge17GDlL}2M+H_<2*<2?&i+7GGWeKMV%TZ6kaJyle}1Aene>C~a%r5kP~FA}Ir zZepx^Z27 zSpS+zLm=R?MM}WcP~1&>ex;N+@OpjRourx{5#H)yd3kw_ZA+){EtUT%-Mw=!iK-`u zV+*YCq4q-l;eo zOl)gTx5kG+-vof0kLnT$0S_8yJvZ8MM{Q9UW`%I0NLywB_GHMI4URWal5Dto`r}Aj zb50GMA*c#iP!sopF-VaNGrR0EfKLcj5k4a4;V2LS5Pbts4Z(wAzjt%_A-OKLaitCP zYmjD$IOvP`K@kE8nN?2q}%kN@d*;#%-9fA z<=n+RbA5>P{qe!kQe$#SMVsZkUc0SU-~TWs;A6cr>%%_nCHI^ znqz>;@mKxU%bC+AV}-tK{D!sjTbzLFZckj)H{}7J1L91bMN@dgME^wdm21syvma2v zKg=0%onJZWbWmi|O5=asTiro)xWjX#aHvp)1&aoz`S8uY;xP5b%JuV=mqc-XN6qav zfxFch*Bc}A$r_VzZog&X`J*TkqHT6niQ?RHlIu(PI?D<9)lbcYH!HjH&6daZ)t`xJ z1X>c?M9Tvf4msx&j%{rBrMOlqL0og-Ry0?jFGo_j8n06g z24!b(3{|D+#fE0kio95Lg4dq&7#=h1ORE# zy_XVeZASs99012jt(b=}mI-(;y{->JYpG|iwzTzKVpI5JFc#KK6Rduj{rt$eW$vo| z5S|Rl2$t;BnYlR1ZO{Lmi))VH)5fA2F++Y8B6?B;ku%S&-Er#Fm>|RJ22Lba$BU@v zJki8^xzVbKm7R*>p9F|Kkrb-ViI&W9)dl6$Hjl5?!B#_Wl* zP1Hmer@4Kv1VH&CO-?lx>TZD4*)PfDK=5x#2B%xg14=HP!~7!u2|A(FSO=x825({2Er z5h1$doIhFaF6UjTrzoL%ou;wsNM^T8k+ngrQscdUUJH(M8_@z*B-4e9?2%;Ea!Ubl z1Mn#5=zqGZ0H`Rj5gctrOu|^@grHyW%_8D%pH3NBEoJ8W3 zvO%tqD_^Nn5j^ufkmA4%x?Gnyf16x*+6VYZ@{+_pQ9Ifvkm9s#xjR@&d%xs0n)4e4n96fl3_Me z*RQ;;T+^GqPspytvp+CRB;*C0w+8||o44g;bjFf&MHs;AyJD07H%mpx8Z1Js*HsII z$$EmR@7(J+7-}b@)U5dopRaXYavX5`1!uiWWlIs=QwGfSCus( za?}%y8tX^s+3FOSizK)W%OuRVkvbz3ffMxRgrV0IWHcKtHjxqMnN1OJ(GYPSE!s8| z-k4;?qp@?DGK!C=3IiH|b!!VO#1AZ}jBkOrAQ21ofetK&&ir=5WZ;uf2{M$jK8Azk z5C*a*99}|sstI+Fha{zngy1*VkHe^YC+oJ`UFo}tsRZ~iQIzINat;x2pAI2qO81$p zsD^2d;ddV{8E9cvBj{`>N+A;R{bk!O_@j`4e3>+gcp4z!p;I&PXx@T5cqD)zjU#+V zD7-Ho8>dB2)d8a8&8}N!f+nDMDRBsFec6Od(;>+R>pC%(SLTxu; zNH2;6tn8J3`~L8qdj;a3P*h5fjjkkoH2}PB1kU(+BOYs)3<0727J_2`xKqhYbGuZ z0@6~MY9nXG9WT`ityO#!QhFHxm5v_p93>XY9NvAu{Q%=saQLm(S+(aFt(0TbS|_%z zX8s-;+;-fHq8w#JM)Y8oVyyYTdSgB;iu^F@aQ*S9;v~eQ2xiWbvdI!cNWhl$yOL;7 zHeqPFu1+z0vRh+K4CuU32iBrhoPxlzKMBxw3><@nu-|MQv8E3oaQW)w*xVLOEc@O8 z$q-4{OM#pW8>vrJ+vZ`6&&i-=G$!T|LKf@Ka(x=L0=;wfzA481;Mps?`)g4owL3OB zfKcp7lkr(Er)LCnLk#x8_CvNvPsE<+$0M6diomziIqieN%P%;^8?U_fHoaOnLrZ0I zSHbe(B@8+#@b@H&2?&02*61|7J{@l=mk3oU7XAp%fLLr44V&ips>^t2D-g(yUCK-& z&5@Cr%)FW~Yoi>+nl@CHY?e2IP*rvusV2l;P>G^s`wHt7QM^OWrEKT$-{6K=Gkmng}&98Crts>Y| z-%sVwe|hR;l3EsSkKG5(C;PW`-3iOmH*^`b0``i*OSO{^X(%OcN&AE5U(H(7h5`PV zy7BT|9qs-#k-q&m63Rql4xjl9$8WOQf70D?bWxiD-S4-y>N#ISWh-}%M&{GpIzRHl zB!x2t#og?Y!H2dTF3%(scJH8;?TzIE;O3L9*fqsM>Z>v;&mI6RBMy15`m+dlL4m8gHWo$4 zcJ{fBF~Hv}(l$+3-!|ImdT|9fBh9LnClFR6w(t^QOIq!^loPY?i{>+burK);ZA7pa z2id6#gB2c8ayj&K9?Ld&3kHF@qs?x_P0JeW^X5znGr-*6in2PkBcY_8ELf$oWBwf4 zDx0>7I^5enT~#ZJZ-1H{lRQk|WEnwpaWY7%y}A|OS|T@JN8w-)M9j^GW=hcQfILQ2 zX{!LBLnF$(51SpOsu!nx@S++kgp9XdluVueR#(8k67(iTfp~S^dbd$dsA_p--igIo z@D-^!ZSxj?ude-F7@E{X1h%>B`(+2Ke5L=^FL&^$L#kGqr9NZ6CC5uVgyT(m?7?}*j{(O>h3sIBZ#v0U&kT?Z5)@sno4Z@nMPuw2II z`wut?h*a%&^U1KEfE4}L&P@9Pw^e+FWHa7ZHEO zJ*Dgaglq$_-P?EdKc*NS6{OURu(r1+|LoUua0b8(tS^5p+r&{MAP4j6)=rVKSg}B0 ztI|Tq>=GdZB#Cw_+*1xJri(%n3Kx`6>g1VyrcYkOsNy2lhw{rzR6wDY7HQy>c123I zprU9N=BI1*aQrHU-E;CI0?<*|y`wPDQE5m2Uuiy+MiJHu-`Bn=Dxq0h1 z{(6DGaeOxu72}h(f~5lL`x_WeM_N;7c85K_n#P4zhp4h#H@u)A>2r%;k#%agcAj0h z?_kC&!58>$ITF&delP-RdNS-PIEOm(9@tfCo(*rskcHj^{jd@;OBQ^{%8e0Z&6h0- z(xl?q90a}sQt7E6-`!(6VP#8(Xry0ck+-5`9~h}TRm016qU}tjHy)2Bq>G>=5aLw~ z@!BS*%yu_if!k&ZP&x?}jRIgxSc#uf;S5W5yta;}4Isde-Bnpp955k_Ef%k^X25olEFuZr)?AUrU5~e<0(&yJE$a&Gj=OYhs#8r z4f+2Wifu&#c51OJQ!BBF)AZ!QNBst9z?rW}?P7OfUq;A9VgGo^O!j2(?ZuznI<{0K z?no;JkexnL0$Irx6iH?W&^2j&KMoT9=|u)zbAJ*xfAx>7? zr~2JQ^O3k?sKV7acX3UOetrA;@7($FjO(qD9f!;R=KlOUMYIH40J3XI!KC&VEDDhJ zrT!Z)=?NGGVbdV6M+11~I5#cI;w4iJeW_>JAlVM@*LDt!Q{9LYoRtlY^+Gw>nQO(j zJ(KiEUOglYLb$+sh$3@z%PsMg^9DXU2&O+E7ql9WcM>n0{Phi=Vh)9R0-S@H5be@? z2?pPO21ma|(M~R5o4O?v;}M+;M)x4hrVNCTFnGEd6g)Xe%K`1_pARsSXhq>s`=F3x z>%tmB77?r)exQ979SDD9)}Yql=V4uUT$ly6GwRwJ&{4?-6ULyB@wY8 zy*7?svZU@Ey=)_TE-E@;TTT2{a<`mOuXH@w1;LC4m2L>myO_~ zdPdr#`hoVcF?3Q+R7q1EN!o}tmX+0frz~C0gtr71v^3@u!&fpX5`{O?1hQe7N4WTd zHQ*nLs8kGWC6gJX~WM7WI9N?SFs#kro1cx|NG(*cN`*#3(qE8 zEj1jgbOdMnJ!ei_^lKoNVUDm)yoZEIvd2)l;XDT5-1}YT#Dqb~t^tvXbYeCBQy4e_ zn**eUHd~eTPI!lPk-P$qqT~QJF_)z~a_bL4+~Hu$0|G9d5*qa_^;f=oH6uLAKxmg? z7a({sh`-lGzsU2lWQHF4MavNw$`KmyR%e1SyF&1h2v=*FULm4TFQ+Wl*0_>rTaOrW z&rY1aC^h{fTXxCFt2zo{=|DLHjHeK*zo4}pW!^qhWMZoUHj$>5(uMZxe$MM`{Mu5m zV+19UAaek>cLy(=mGlb)?`P)ZI=p&vIlp}wGnTcg^`68ylEqy74d$-C(L^-wK+C;_ zGA8`tx44-oXRJpv1bs~o(HzI`0OfF`LUNNa2t1;feu>075!NdGl?;JVL9(+Y9MSO* zY)%;+->`Mc^EfZ;x2HkZh;+rDCrHOC%#5vK)&yQ+z4NSXo&#?EaxqLrFyMX^t1Jr$Il*I3I>mOHWBIF?6F@K&-<2icAK0M*fy*^ zKQZeqc=*pl$pBCPT?;;$EKc>b$K=SC&!fw$>cC5_vx8j!@8ToEFIT&^`>~GAwXkDs z%Xx8VQaE$N#-jW=)hxK$|Ca{WPKH2w@I3w)SylbUyYU=G`rKxch1x~R*Sp3|z`&!f zFLWL zOvBYN3By63!iU@Tgv{6C<|fJ$9yd@g)W=6YMj3eh0$@tEECycSZgJ&Wnz9$sK6M7cQuD~|3EmNC_r0Dt zRcC7Xgvd94Fll!>UaHEDjiTa~&Hugr`>%WnQaAT6x%qZlk7|w6($L-n1M^9#AM9U) zwyFUnuqj7|-Yt_8G-=({^1Oy3yJI|HE`8HzK>d=N0>GB>~Y! zB$`)jY?bV^ErNwqPrk!5=jJVbKV87qc`{1Y1QQT^k(|dSurv5Y*zFJir6EpUWMC5-|^|VgGePo`Nq?qeX3Xy!gRs(*6nbX6ta`(pK}gtt=1o8My8hxDyVn zlV$#9hF6C#L+U~SVJ`{V#d6COG}k{V!o6^yYy$d921R!%#q_^*0U-D9y4&7I<`@5# z#Y5Y7fS&W~FZck~A>thrt%z#@D1kos1qq~QZfgDcy$r^IJOkG4Z#va}j*KRG+7xsJ zFINqoy7=7P18utEk~djNqB!VWq!`*RF0dp#Iq#NRz0lU_dhNTM2y=R?1sU1he7>DMDK@6zv#_))ERug(7lw$!J<` z<%0MyYcaJSQ)ZFD*g|fQH77;{fc@{#v23&;U4Vb82*IiXk|C{kjk&u(pOD28`32%1 z-KK#miMU`eoG?^A6T8Eke!*RCMY6HK@rzzK1jj|f=C2Yg(PcAsN(}7Co}S>;7uhUXe>@zxb%Xop z9Ov|Uw7AW``FeBAZOX8oRM4xtKGXkb+)K`zV7mE2@G5Q@JWghF8@DG>t1Ww|aGTE@ zDjFDI4>Ua-kiBYW_7&_Py|Q_glfn4&X7KRC+(XmLADbR`Ob_0EXdzTMYu)sam5Ki^ zOYHwRG&qAvvGa@hMe5#_w}dga+t~n-x;G`ILe!s@75F;fQq6G-Q^BD^MDM^EaM01zZLOt4B2#hcL9r3cCJeXef<9wplaQN5p& zBqSl)QXNy-4j&R}a=;_{d=PTpI-l>L?mm8yb8-U4JBfnq;hny{( zJt%nvcO!{t7zZa4!9&H_QJ!q&B^hOX6(xV}+tipl>)^%uZe!T7&m%T`5;ZG5WZQPg z95WaBw_WsD?<3@%asec`ZS*IJSZ;2@R& zZ35@z`-~nsAJwXH;4WcZM1?RC3}l9cHVCvpKsHZU2D4BPvIkBPwMzAaIkQd=O7K@o z)3&EJUD_}03O@1CQ6iJsk;&v$UkhzRHXSVT$(weuyEV7YbJQ@iKeW(l#N52Xgf5%9vXHscC(+%c$ z!;;UUOsh!IIS@zAWtHj#=fp>|VpRw%A)%5&Wbtj$aD<7fnOUq*=6e#T#i#vCa@D61 zPRQ6pXR24n1lkdl8-9galXE@@z#{EIqIs9tLl}OlY6)bO+^fS6!lyfqEEWLAgZUZI zN~*E04}S~WR{g>H1%!cG(Tk+FE{jcGrO(*^X@4}+<_HROa5>@B4lc;pjy&1ARf(QE z^9>~3@RYT_&3Kd6O2r*7@5Y>ZO=B8}zP+A%L*{Dc5%CJULtbW7nGU;{71gBW{nHF# zKmiTZhFtJ#XBhl-br1C4_Ea2dJTbL$In?)~ zl3lDf5#5&zy;Q)RI5=lisBSbd|Ch4iwT0-1om;-C!>YhW_T0Vf4^1RZXBju;q-D3n zJ@5Ose>yjvBn2VokI!l^ck1V_cLQ&aB@-Fj|4)=A_YMwxo#b3pPNqPjfu$C$vF=49 zmh3&ezj8MqS~Y$rd`Y%>9k@CXhbW4$-zZTJwn#6stxh`t^z(zq;rH-}=$*Vgh++j; zkdpW)N~ZLjeyJflAV(NH(^Yz0*-VKeh>#JvCPx-d@qQl>PNgmvJli^8KFGqlhKeRO zUVV%Zx4pW*(3TfDFTy*?Z@nWN1ai*6)5_CQ0ntuT>%wSR7W`Q&BnYT^RPxu%LF5df zkf_NxTr}sAAPUOsvxT_4-j{_K$ePCSy&q6?Lj$-wM3jlW<%5W+9=X|Cg1ATq5mJPA z2)IK~tx~p^T|r8}1YqAKLCw#B(6=>gl%>+xAO_0R4m8~tsi|gJl;|c%8xI;=Moz&I z6ifJP73UH507lhfS{O#zx7m+f1wmR7ms34(R&zAaCQNPK7NG5Q@NT|?zq)1rxdUr9 zq&KBK99t@v5`417mvrS$+SD(}T=Ud-<$*bADZqpEFDW*w?l+fB0GFKG*k7thZxDnt z`+UUu<3%Hw#K)NDavH2?(3H_hw!4Sk;;=vfqwMz$EAh}xzq{2iV_)0*!7#UEmNqY* zP+p_8OvD|MQuc2llJ>=rOtlHX7FyCA*{npAqoiI{W*g9TjJVtCGU#=q& zgTKh@vV!k~1|^D`qj@qemn-BUKc`PbylC->_OXF(iiCu+lDwo`A@;T{6lxn{+H)Vl zUT$V>+lP~1o3LDD9a;JZW-(G7Xt5|QhvAo!h8ga7r$U*a%03)D>m~(FjD%XIgeFEo z%`Eu^M?brr8s~z0jts6scZA&#^w5uiT+N_(1Y`0I(HLt@`r->Y%&s*N2OUA#*Vi{- zlbZ3WI$ya!s7UYSSM_}3A*a-%+j38Cv$xjz{&TnF`xdk8BIQ*(8xEC*c{$XGUlst1 zmI7)-RoHHMs~QRB#Nb7kc?%1m=Y?HKyacYOP*8NHqAg#;e1h29780P(5iVXn=O6w` zpTdnDLzg)JI2z$usnwZN2fk+-`d04NBM<%cSC^w`P8(0-Xasi(`>t{t0tlkrxcpP! zy?o+RIB{FWlI#EIr+bZ)T-X?w{r4ay+)zdOtjCT*YU6ev|JZ&W%*(PgL$rZF_ZYz8 zA(YZU7JPK{*)xn#m>o}~rVjL$Z^R1k0a-M3V-Z(njedCBosjFXi&;Y1PmnI*D4fUJEU2TKG^dP$lU#K(n} zDoYjVSd(`CkbdI|&t!>k4rToq2(7v54tK}|+2VZ+;==szmzH1<$?aL__=Sx^Ca6#- zxJ@De|Bb>;6z|sKdTAa|MZiw@ zekHYVVw;aMXp)_L=_}J1x9lA)H`jCVv66Jet0gsvde1LDQ#mBe0rxrK5)(2_JxA~7 zmktw_Y$5v~1R_NbnBz5G4(U*kxq>F=no(y_5oC=Wse#oiwnAwTVl_|=*Hw} zEGQ`Z(bG zW<&ItRiH|>W}Hb>N3Az>5Zb;EWp|)7(Nbko)4>n9Yvg>mHpEW^H5_oKLwa2#6?c@% z>@HO)BInaQKbKT>!OW`+l*0@&S#}NHWo)Ykw|&|a)$3oG13+QJ-xeP{WT8D9BK%4x zEB@Nob~H!)#B=cLZq{!HAwO{z;Ol`!btJ7nc?c)-!e_)JuHt}1-26|tfB|**CuZ|s zK2mBu_|k-YB=L&%eee)e?K1{J>2Pwx)B!{oHCyz@)j$4(H8WE;OJ_fw`4oxTg*Vt> zlJ#AFs|BfyqT`vJBFRqY%dMfQ8vb;N1B`b?)z{YkB;3PAqbPP$Ev#=17=>S#aSQgDbYYhuagU4hf8^%i3~MtX_wI~o<%N- zN_ve+TaN?-qx|61#tzJojceFc^`d-t2LS=Cjc!vlR5V0Rj3H zV-;6X+b_Nk;=0+RyDg(t*`sZ}#kzKO1Wry*ORKB9-7{Vl-p7Y}{9%k%VNW5Uhs5)= zqDl=&nDEhn?ap}Z+<13Gfiwgsopp8z^pgv}&y$V@S}x&NkBgpQwe8L-pi2U724zbz zbVB62R#3i({2D_z9Ib(UDxPp;$8)i`WK=jBJygYc=xq;ptI6_CkmcPk_D{SlX}=E3 zRy%2C_OAoR=1Pr8E>Jz{$ExgSiN{_Yy=WJz2MD6x*RZE!kMjyx)4sD(zwwad3!Fi_r>vSBWYLg z_)YNxu{6^^yQ(uM)X{a**g3Z2=#6i3{GO2d;?t4gTHuYgUR6fc(BqAnVnwkhMv_zJ z<-u_`Ezf`TcNVSKHJt^XG4H>1^trY;xdvZkT%M_W9F*U#;Ga#HUbd)T)ERMO!Q$n< z@oc3}$)U^TNcVpmyMNDOuTlypXM{8XvBSjT1XibUDI+rl_o-H95&-H9T%ue8J>nn)CixNj2Q9WwT26&fSA$|`$qO@tAy@VQ zp*ICvREaruO%p?&BNNPxT%o)H8w(0!I<1c&Cor26af&DF_{ezJyl=Da8bw>y$@<7B7IMGBDbrW;5Zhb| zMR!YE(xfa_?tS+46K%(|ylKIt=&)wq+9BZZH2dik?}uYL;6r^UztNS)0rqsS2S19O=Ga=FDW;Eps4bGPj&Ud{J;jEiaS0urUSNaK=Cz+rEHrzWz z`U#&;oJT-4p{Bn0#k=+hZPuB$m8OmASTs5DQajoLJPK1w$ zxa^5kU=9sUCdxlJxDPxD#VuucU_rnQ%@ex65lrx&{G(R#kI;aw3m|tQmlN|N96mK$ z7m@)r#O=@fvS%Ocx20vI;z180NPZlXi$`D+yA2t%UDE9jiKG>FEKq)_c;G$+`bC+N zP-ZApMV9O_*4iig$ll`uGirMp;$vPNndamBMZ6xLq+33WT_I0QYq^*cpWgE)XK%T* zOe+eo=gnm9H*|2$=kg4XsJ*IGPq9)Lo8)Nt{$u}Yye4OY8drj5PK^js4bIl?^V#n? zD#655-X>j4N6*2e7n`Ke@{4RLG`Luf3MXqGqU3=8BwRA^2JgOvgtN2jJKoCaX*oA( z#)`=_Ol+5Bie8F06F;RI44$d`3Lv^tPWPK5YcWOg>vveS_}56-TWra(MTD1dk((Tu zg>x5Zni`AXgNgj{p?wySl%oTrMw0s5J|V`OyCA<=ngSQLGw%7I$6a_i?|C+1i6Cgf zXXM+D>Ne+<`#&om_OH7eu2p=Rs{3*xW3OTze%&;z$b4>*5{#AkjCo6?^$|s=30Y`< z1=kc`Wa(!72N?FRIpYd4@>~2SwDX<0X0Z4vr%-3)?2Vik_m08S@IU?JYAv&VV49f& z{;0s^6(GZ9j9h%MW3U-{W&VXJ=fnK z`pv!X;BNSDEkN;x)Q4%wyGkqKQMQb7y9>Drk=i$H%{6v3`sIo*>=|dT4tI?&5_j5} z#hc?j#O0Xg?b#n&?c{FJ1g>4=$N2-}Zt7=^*1uC}%|;dnj`j2R--t5fbB*21>dPPP zE3|uFkJsz_OcA=D3@DM!yBGUTyH9-BQT`_k`EOtuOwSrjNBcUGzSR3h_Qcb(R8?($ zM*tuFNn5THmTB+1+RsiN!{!i=C;|ZPmb1c%KBVrkAz}0}6B5a7oXEKTTrY>TO;tMt3i;{JwupYx2zd0EqkkLp}5FuoI!4fmmnUQG*gVTTE}dO z3hA+;jXevoWjo3_C9!ANpi7njo{GcLa4d`H*<=`x%TW5#btUbyvEb3_$|&n}E>9w9 z8;p>L5`rtb6+Hbk1^-<4Z7pIfQwS-Q;PG)$eKC&}36)4jCyrf=p3HvO=F?RhPfVJcynv8S<)^LB-y6Nj9;vuV{LnT-nO7^(AlW*+fsL$8`n$K|ObsRFtPsybI@|0R0*A9VG@3O+?hbT{Xd_t$FLa zo)i@1)^KvY-k|;AT&%kBYI$7J|L*HtZC7Ij|GS+rq)WV1T_D$G5ee`5AHEV^(`ij3 z8qdCe`<8|cHpXh#LYssnF3tDDW$>R7{5bF*J_T}4A^ZagkEiX2PpbzvE9kfw;<~?p z@gsU?D%SDS73fnxNs)DVMbqG+g4OJqDh7;D1JYSTCRnsAlu2hmu|p+}-(NDsqSqpm z@9LF#9#o2%C(*L4s%Ca;-A~4S6$BMAux&ERcA7xDPLMg@_YSo&dBbURGTt!ptGM^4 zvt<8AdN+s~t>Z5H;EYlOr}+I1Hfh#Wr|2H5=(S;*hygSI=+XVMPQp7}R(Vb!E!v0` z>@e{hG7D>-7nZGnm*vr$tVPuAUfb4y^=nA<^w4RxSJ4GbHk9v|M_HoB! zq@(-e^2+J zVV9}EU?D_nVa7wc!Eg1FJLza9_Rncq{<;<3u+I1Fe2|?LMIMJ`-WOTjJWk7=?1eoF zXD?^6(i%d+dGn=C;Rv503#PEgWO&dYRy}%c!1Z))N^rhLFru#cZsI^23RllIv+X;o z_)Zf|%4P_p%_tLP)P%CjL|LnF_T>B=z|6*Lbkv{Tv$C2^Cq{8=~;30Nf z4c<&>;Z`_**lcp@pA%b-6iA~+f;FRW5dnKc%H&UY%#P+i=jto z{Di__fy8zV6ME8MWSej*3>rinHnyOw9D5dpMix*gY63OZVBQz>xOnZBuH{7iPp;Ud zs`sXBN)V@0+a9jn%_Pn50+zkD<$DCp@jKcu@9s79B+AjWfwE9kc#>mxEviMkwDj-t zJG%RCo%#=7RB6RW;k;CizmGJ36V0wl{#N5XJmne4b|S{P>vbt)E`+t>>b=i&Lp&8O z`s704yzGPd^~q$Z$K|#nKeIv~9Lqx_5ee3xs@x%Rd=vLnF7lfiJh<%}P(#Al7!P1` zeq&Ig`1J-*In6(OT9t#X5mAMkM^&?9Wq@%)OmSyYY_h#U(S(o}wkvFC5f-u36nrW} z+88FwpkJ6E4QB+QfE%kKZXJ2>ZB{w~}}04W(qOO)MRlY%Dsg^d920R0NTV$h=X zgUK+j6m(0OYOpGL`pOs>9~5ZdqI-*jIu(J%DbKfQXA~tOwPJ#??R2N)yIbw_5TxYW z6^%4pBGAzy2%QF{#SHW;QDeQTBqC=t9RqJxMZAIB1RnIh(>?AMVaBOx-bG2*$v=oI zU3V1DLSsJ*Kz@#W>^_~h(r zU9+iD@$Bk#*?_h?LX!1ZVR8%iuw{_srqKYpZvZtBBQpG=_lO&r`Tk8-YV4tld>UMY zF5~5*7Xv#!rAv(WTS9G=-vpt?8WuKiGZMpDwQGK6cgU*lR0aq=F15|<tviRCKcs9P+-}^%8 zw-($S_1(7OK?c&wbhe#PvRqu z{}cN?zZcw#i+)ZcD1tO_{Zq@G-g0u-FRH2LzIwizASPtaMxn@d_s&R*gRs*~5-vJq zFJ?kqNIuk=l?MQQp`-YmmmCR$nUCP0M_iaFm4y`n@6?oeyeUj%_mpXQDykwL;PZ3X zHjtkv;du>U&|UuKlk7MotM9k(cP#-G5ur4OHSsaTr7D0G%(uQGQ3F=fh}hva`R@(w z7D6#8$KW#IP%7#1SrKeAv;^6m0}lcTH|I8~B_a3*pNJQWrfr-(6FXLvf-_5eA{@?6 zAcUC=C#DNCFvn@S!(tvmK+uATB0V@ryrv8TtOg?v9oz_40)tNq%_D1+$DR_yN5u#iSlQ(Q-+lv9G7G(C?y2ozkbg3EubG?By$x` zgoKtS%?l6{83OwK)PS05_?j0}CD_Dx3d0~F0EtqK(8e69%#KOazxQ-yak6MpDZ|*& z)qsFlpf{jT#4Ws51d>jFc@UoMyUy1VddT~pMBVnvDnY>&Tv06fmnH7wpZr$(3i8A7 zhzq5TE}eU-Dj?8dIh^&Ws5hk62!k-W{|+}rZGZ!Tkq^j>wv#7@O1ISp^W&Ba;DAV( z*74A|Mr)d|p11ceL0ohmvmAx}veZeS1XnH8{BalMQJCeCN>je2-A;Aslibl(fwB7= zY4R5p(@u695&aU>QN(JthO#MEY6GJD32J>UsV9b($?+^Q&q3-86mSWmyVr2W-pR+_ zrpTBFpJ6NIJ*#CfA?4%;tRFfJD?@bhgV^TLU&tM}yWhfPS(5~WKDr$=Jz|XUkAJUl z;ven*Rczg9hI{3j@-&YOK_eGNnLnhV49}oye~BoqtVC~b+uPaOTl&5xem<#NJ!mV2 zbQG((fA`T0ccym&PXFN?Ck38N*FF6SQ=FSY|L;NH#Jm%}$7t&0z5o62C0%}wCbpB5 zC`A`vHoc8QOlSSm{mm#G&_y;A-h=JoYX-~IcX}w^E;U_S0d5R#TRIB& zhEy9tvC~So_N#i5lbe3AoMt|`gu8_q^?fp<(=Y$a-Jd}Z&-5b4^i99tifH(V|9~S` zQpd^dDYJf4##y8QruiLzHw1B)f?6QGe zCWg75et&YuShC_GC3mk|;n*_VrRNmuuUJf)-GAS?B`6;}h~_Tx&Zs};+PV7Dc~e}w zSPM4Uf1^J)sLE{YUj664Tps@i8cVq0eZVKGmXH`6pH-a}3n9wzy>hmbKV?`%RZ*C! z2$>HJ*JK{Qn4*4NB^epdW5G|69|h0U*6MV7qs|(N;EM6Pk4A9a!8#+j3!pVby2;`F zuz5ZmH8^W6+mf6UsS)|2zX9Js4QtV?qF(p|kj)@sF?f8{Ahe?;thI_-(G8Ah1sQ8A zGteIfn!{2fN$s#Fyhqf;R6|t+xJwU4?=V^o7~53K)DRSBpb10A;)Ua!OrzHa!Hk*% zcL?}LJz1oCfY=I=@524&A&33TmLOq7)a^s}__mbQ+Ov#_t~*4(4toY6K|;zz^V%Kj zELkX~tXJf%)@PVdNf!kUNXj-o@NEL(^6uaPn^sS^3yU7DgZ3Aq=P&}nZ0jzN&E1ko z#;n29TJe0apX?Ze`8UxnJm8=uzUFWHB9##3~Bs)5t|X(kg33RJO>uW z9`wkBr=B;y_Qa76d{XU1HUE^l{ErRBiN12$UhKpZIXT&e8M8inbMwPIh;k^rfH8G{ zT$R8wY*e&Ygo}uRNDSMbxWYHIqSpoD2ipqE5)j|{&2|HcRloNlfajRH^N+ojO> z6d#isuJNpF31?*gyeJWx$!DJ_q|Yk>$2BomKKWxPm^N)8}f z_Og{UBj4`}T^>d}bl>qBrP!)=i@Qkh?&aF)1?`c|q?N1(!LSIV&swC%TD0dy&d_Q1 z{jYg?Kk^ba^7QhO68cw315|NLh#nq}gc%dxwgqxokT`t=UQEhWtAZI8`cm=$FNG9#T(fh#5m zfxEHJki9P#&!t47_9MG9Bo>Pgx!AyBwLz4BBHz@02#e2Zcu8k|v=H%8UC1)CAq?@<9T-bJL7`jVp00EJ1$zec1 zB!*BaX#wf(8ejlvR0O1E2qmPXr5i+G=oXL`>F)FF_d8##bKbqbA1oGwvX-*uey;nv zuP99N8d}jZb4;0*kM9I?JDyo3DvFTTyS~})DESgjB{0CdT}7XC9|L=%0*c>B1nzvm zj=9c0=i%aQyqg^=hcB7m%MJcQ_bZgtNX;$>0ph2ZiXz4{XFap6^Fcy4{Al-x_7%hF zhr%M(>#_6E2+Sfgx~q(C5{})LKr?qCU+@L{es37%+rf4<)bMWfj%Wm)l7Wu<`)kKf zVBJke+po3ssD;QJD<(2UlEG~&G}K3?Qju8Kt~Oo^u#yVt^3W8X_1KYd+mUhEkp-E` z>#_cV2E@f?Q3&WdB__u}ehx>w7SQ@60b=21HD#Exhn}$wL3)XF(@wfWK=z#MW{WQy zZT;_iMMv4jAeisj0#A+Iacn#tk37$8q!~$tn2r^_#OPfY78`gV%Rjwg_&3>XCN0B#^h(B0 z@v6Mh65-U+tlp=@)@`rx1HO8*aOIO=$)ZUaU-$K$XA#rCHt(-D|Dn$kN~lY3XSy0j8V7yN7<)_gJ&OKqpox<6pT#u@OYUY#I zI(pKHpR@fLwH0o}vL#Yl78m9KXPTr_n|Rg$#|u7oV;;A)wLmzyo6`!R3u4Q^qFRnu zDsE<(9pw6!Mfxqv8&*|B9n-)UGxKHKgtk}RP~ZK5{^0|C_a~;Ox*!eN#JTtOKUM;= zx^WvAGxybjT4n~4(LN+f!#`}D4e|C_z1*VJU~_lN)PO@T%uptQaKfv&H@TmNc%Fy; zQ!1Nw(Dx~lg(?tlzO;w7)%dgB0!(K+?xPoXI(fr?BT4}l;ZUlyz&86IiNgZeC}j8Bu%lXzPJrI3+ijQfK!rClW+dhQSJ2id5Ot3zL(_8ep4s$MrJTg(}@f%>0Y|RIS-59VyoQyPJzWBvX;}F>)7r;4s=3+>&q#Bj{GqY zd%nFg`@24^0rz`Lvi@rh{(BNNl*?70vYrW6-`E^)(>x>vp0Fv6{LC6ayfKvBjj+vG z^S=FKn9q@n@xb~E`kdo1n;e(k2x(5PS3l3pl6=b3>Y0ZC>5}rHvU|F%c>P4K z9T>!($~x(H?2rX7G9ZOI z3<@ELEZ{2d8*^*N?j+m2a)q7gkgy;4bhcqq4FdgqpIBc_4P5>iK#d*+CKNC`=LAVc zm~S`vlB_*rlkIA=jajOd&jRB68>%?!4~eWBYc+E&s$0{-k)YUn_jsHf)9MPb5UOjU zdWjx2z!jx_R<=g+UE5kH6tDU6rAl-CX~6X2jB-_NtiKao{0+01eE>ZvkPYX8_V!b$ z^?qzf)OX+(L7o%H-O20k>>4QYn-DL*-CHjVZ4-j|S8#J78Vf;7o4+B{(rsW$ts_cL zqcPYPSsjlJAapDm={W;}@Rox?WOaLbK*hsvUw<&BTN@y z_uPh+*jZHBwI(`y{Aso)VqU-cQvM89rcbZ-!`h>7)xFQggFdl$^Ng5!dRz2zsvsa7 z4&v8UOP%@?z{|VwafmGoOs~Tmbnqyy*92rN8*oZIlpRDnoE`LGd&YJ+cfvllZ*MH$ zr{mqCNwRqd+Hq|~O9EdkHVv4%WRB}uC*IiWW> z_hYnGbDC03lFI!Y#eyUi@f<~wch;ez(06N6&n{l=fi(HnF%`2N`|`tu6k~~u+PrK6 z=pKo^WBd7&VD~*)9)fD|*osTU+uh>l0OF7_W{o#y&8rMC%6QoQ6`8cf_jZf;Mf#Z$ zy^gD)|6>2PjoXVb#n&|&KlsfHS&@G?EdB*5uNMQwmrgoA?`gnA3BNR>WmDx6Z`19z z`w6(Lj$9^7{+L{Sv!IOjr($Z1x;@+?@lccOh0lv)PG+4AvAvnbPMl}j_+d_tX&#UCsSt%g%VQPwDuT*{*X@X3nel{4ch2I7d##@`Don3u1hwcmv~RnzB;71c?75P04MxFtWf(884j0c64ZsT>yuva zUXL%swLJIxqyuO%MQ>~7eGyw{_=~tZ{suSG0gv)^`M~b01}M^XWk^QnQ7}`%N-qOX zer@oj7$8HVa+mYg`014pvc~xQdUwjKqyA&6PMw_PU+3s9(~3OL`Xg+UGn?7%+L1kP z^j__VXie=?_A{2c=RvITmn=P*XM=iUXh~a+RU`IsL5EkGFVr)Vmb4!6sMWa&lri1UvQKx0j&0w4?aW$})zJk;B!MKl~St5{=X6`jg^p zJpN$9r0h5*T{^eZXI(f(N;~gWFOx{`UpjT+J3Zn~1V9jo!9BKr+eNs~5Q)~H=UL%F zg_PhBk|@)g*d9@(`W3oT@DOu}Ci8H~TCmS#Df<|<7&ur}O?KFn#>ajdbsKw+*b#4;fd zjtGLE7wT?TZ{0YwLyK_cM=z1Uw4jnthqFH-tOX2INoV7!Ty^WpgEiB(Oj_0Adw;TU8Uh@C8JjsF_sCa(qVaGFc_RdSsM?;WM}p`w6nT9ZWPb*Ls-b zQ?~F=I%S~x`TLp(V|)*4ulOdF)#yT=Qt(38ca4hZCV1H=1mEmj@XliGs)`v%UB9{H z!qX17T@RnOiQ{wLUv7?eYuHGw&qHOU~f6O3v@-fv{uHq>xH-tUOCn_ zN?lB~9(}xOFu~6O(!yQuZqCe{MT1QK*DFm1FZ}5Rzh`buvs@%-;j}9+QAERc_XmS) z$T~6b2L3>yp=M_|zx0Y_*(R9)+g7Ja_U>#58;SnN)g#654h~U6IlTi-0$pq$+!@O5 zrO18<)Sn=(AGii6?H*hXga9lJD&`FDzyVU9**6Uy(vnigb)~UR(z>-N*2>vHpmc&_ zQm95iOJ6kVQTosl2_>SAS++cr^C_Cy-?WXyelK**u!F8aZ5Rfk&BL0$BO~yNGXT!f zgRgMo7lO2&PL>;TV#1kpser*l%%2`e^eWwp?q?lGx`(i>g7ocgu5 zgdcqj%7k|?1dcErP4|xHM(77&Gl*zOVfN9AR9Ik8;Q?WIY>^enShgXHXv_qji+Ul{ zW1y@J&BVI1=nI~_F;8<^E^% zJL3Gd=cQ7kq1B&(vtG=f-1N-L*20PyMIYg8&lsCPr?x5fnAd;YreED6B^bqm45&ID z*MD3xIfM(SrCz`!u_-Y}0M^7D$rO%@N21)8C(lZ}=rZNxzx|@bR4idx< z^LZ$uQju;{+5~hz&c{Cb%y<+4qc^m^nRcgv& zD!G0Mwi(Wf+n=B|HEUO9C!gG~=$P3Ud!))<^-Y?&*OxGkOD~SQ%}(7bX2T~#s&209 zq8V>!?9h~|aZun}IED00<#;WXq&uS4Zdglb~C^lkOjds*^${pJpT8aR)I43)~*$pP7wQGYS zS-o~*zf^qLCV+fNOAik!I!Va}-3;YiwR};v{I4eOwusfY0bODV&vP7JK7C%bg3;*5 zdC}=--h8y3{VtZPSk#<#0TA$dZ+Q5?k@98|(PHv%# z{l(tu1Mb+~Cn0frM;PBEuOBd_V`s*N4sz4*$chL~6G@@11WzdPa->JgCpeQZ_X#hb zly>j3H@h4$l^c;v zARBt`CbmQsvh2yor&lei2DT#m`dp2wNC2i5+&+zgCp#Shkor7>2a!Cup+_A`7Qt9LCl;qBjf9ab&lA?T<~*r~xY@s*1R=`61#>J5xzh>0k$tXs0{O(xJxpi>4@HP`ZF#L!#y_(WtD4USt+00 zII7DQwg^D*xA<;CI326!kGZTXUO=@0dudh_D62P91G_KjQruZJl+uV`1Wr72TA{X# zSx$n9z@bdgJmRJ@4Ymx4-pEkObco*%^yu>X^)8*Wmhwyna}f>F% z?(@aBwq}39$>+h{SHho2J0pM?cvrzJtx5n%s^7bZscSGl-3FLetkaU_-cXrbWXV#o zV@oyN#w2dpYw6cb;5Z`zvq}@(G%n)+hQ)-Q*WY>M{n4w3z-hahv2brM)V^^7L*b|W zJ_Z-#k#7=Rd^bdhp2LB7Bz^Do3^5Kao>+9I(kZZ~EWM;+VIw#cZ|~x+9_Z~lTh36# z1#Dvh{Z`dKAV`J?BLW?y@{H~KgyjA9G&t3uRlD@jHHM()B~g1PIahTk_!TW%^ivcR zzJ8wegJ%(nSPl)D6s)zHFsI)R4LeK=ryP3FhySK;Ai|nKXs)FL-i%9_*C}t=$x>HX z^v0Xyz!dw9Db;~o)2qVSB{B z7?1Lu;+%6b&B5?d>BA_Z8?5$>!^kkuDr=N`5fp(xxdv9>19X2tzUQ9UKMM$ z6R;|xZl}pUWO%{P)rRZH&FUsPDi%8{7B?h*pCu35z-iqi4PK7W zk5Y03Y+OFJ_%e-+(+dmTLqh^h`iwJB(2V7S!U-#GSiaR4wTI720F}}8&tDCNMS9uM z=w|q_r=jzNgRG8iVUjWS;H>;Nrw#*SlYkvs^$Wh@HFiKgp28k;L9AOOHCiM!r7tqX zlZ%VbkK{eOk4<=(uY^`?$vH5itEYz9)k)GXEApVyHTvar@%lYU?!SA@sf+V~tKq{` z0?NQtwdiZ4Q0f|EJ*& zzMU3&x8x9dj!C$T(YApRSH6O|(AAh5@^Cg#Hf+oKO|3Uo&RMCuy^c$m)fu{VWr5c= zuy5muLg|V6Wl;k5si+3{bh1@?H5|SBPo|PGRV*_3b2b*=CAiY!#Cpzkz^cer$}YEC zZ^?2gKf7OJqFjvj^&jn0PcvuRr|^!24dKAk$qUJ<^Q|j#vsMrAitX)Ep6_bV-6`y= zVC!nZ`E1CgAl)U)UQX}+4_n|ez9HeWe<;ba8?fARwcE*&DC<7hTg?2wQ=8OOQhhEc zkJsb&o7F~tAh3c{ger^0`=lhO@z(H!2S*Z`Z!EFVs4%IbqrT>;Nj?ANc07>y?$-kvh@hr~89WfuG7wR-cmpgIq`{n#PdM4tP_IX<8Ap_{l6@(4 z+(mxT@>I7W)4-Dh1SaHGZ+<``X_-CYO5v170bQ0+q6Vk~C1@h2*yApZ3RA2o^A6WC zo_oo$=|JPtW<#GS-{vnh0oqclRaa7uYI;_M2@HQ)y8K>(e&aR}voHGbtpF|nkSHtY zAVD7V%f$BdEU}8bw2*dk25Kf9a|QowY|0@>gr@+Quy2k5C+U(Mi5_edp!zEn*N-8B z#=T}S!@db+h=hrdBn~VgNNwmH_pqX9V=~(bG!=SqK;%lX1Rp(Y^pmn(vK=gxq)8XK zRh56faF^DTt(0s#Dy0|_2k`=(+G5!qIcoRb4N9f6JA`)VbB5_FBRkTc?uXu6s(}Pd z9nP0Du$mnNf51RsGQD>H?BjA$JBPz zND8RA!4|H74{2`5GK!Yl+8TW<)95H95p3dGo4)fSDi`_YM^HLr!m9-y-_T!ihOg4` z_`iy@5iPtLgEPd;T9jppGX>rsB2Ihd>IAr`MmK$# zV~oYj=1yUQ;t`UZs=6}?4t&D^6SGKe3jlhe7(a~9GyU)^9=;C502i%ZEG^zUB24P7 zkI`uk;VpY4y?{|<^l)Xv`{1}+?ls+M!{~~8%VA*gc5i~k&NSYnghYk2eWfl%8hR;0 zKkxlLjZ-}R_ginWW^hCy;oS{(nS~qPZBGaCH>Mn}OzwS}JUd0d79XjknzW$;6yFVw zJ=J_u@in~P*C^}MpV*iFY&$&_sIB#>Xy&Myv9|(F=0fa1@e-6#YCu`MX_e32fR~nD zCSS#1$y{hjLd+{Cp30%&fC^ss?%viQ}PXAgBB(&(!ju8z7{ zkAcb>Jh3X%LX*R&W`8e*VZ!WmIv!OdadYE|<=b;T;wv^@P2#pYWnsHYYbQ5U%Sj7J z#}(LWp;hu)p)S71ts&Ddo-#zA{|rN?<>!gy$He{5H7wu$23A^e3pMP&*0|emT)!1t znHQGC3|#J!Pt5c5+*u&_I0x)R1yg_26Ki}O!yLV4a@fC(vUoP87wKwZP^Hex4)F;y{=b4d9jN z7$M-{qZ1*f)&;a+u#Ss$86m*!taoVm_O&tr7qQXz&OOSLVgd<;U62=fqo-3_0GdMYfNw=f58 zVZF^TKWryN>PhD~u+5Y&6pEW#Dtbj=-em|$GKnv^^1AYI>wLO91wn}R+8#jZo_P-O zODWJjC9Yat@qk0e&OHARJ>LXY%x(hy51ZDE=e)<$#IiiS?r7+IfrD!YlZ5l3<@1ErG6MDM&v?-ICTYph~C$c*({$1{rw~%mZ)BHR68h7*tC}5 zLt8MGUA7df*(xL0L-sV&&_n?UHvE5v|3C` zwO9iAK1TEmi@ZTY?sU}PtGT@x^>}IY1ypLXw8U3o8pf>D7o0N!QOd3 z#wV#WxA3@8N43OMwQ&RjFo&{?kEK)Ie|WE+#D*DkVM5vvn2?$=NPBwfFn_AaOdNR_ zywcu;d7QaDo)A1%BnG%~Mqk@AlpUMiN4rWfxiXVP*^P338+-hDOtJB4P3*5ryy9N1 zT>opF;$H1s_dlGI39PCu25+CgWz2KnYXgC>GowE_!=d3cBIv&O+gu1$mN$_x-H=s? zEq=h<-1J|K>p51PH$w6@&!3e0)`MAOyfmEALB$KkB7GmZUrFJLkp#6Nr8 zV%EEIc#9VN`*}qQhWD$su#U~LzHHeb(p#E83~6t=e&^3L8rPZ~)N68&i9G8+IYqMvc<^BnpHEn_d`%?o!EPkuWN^%JRehqok zdfI)QD*XJ6X0e_K&<-`AEqrY6-JP)BhEMGDZEc<3n8C}hms!m=1CFmZgl6SE{-vxu zncRc?I{w8fG!t^@CVM=l;Kmbm{njNIyXCx*)!@hNwG|uNp;7DY{=1y^#Y_0zj!|R7 z>e1p+_SsTY$axf*7wc@pzkf3P4+o~IFx>lHV7P?;Uz!Edso{{*Dyge+y*O&!`-Mpm z=;}plK2_Ii{DSIN>g4zmWkJYwMF3lB64ke8X~x#1;6wS@hDvU zakguPH`w_{x|W|$nnHH!SBSM^pM2O2-rNvtQ~=-670DHqn({pB_Q!|~^Td&@ZMIBT zJUvd?PC5uW?OqcZ9w9dvAQX}ipsXX(g!`fN{OnTrWYRNH7^pN>%ZTZn1+QhRUx?{F zC8~(T8G4SlbqB%3q{rj8p>7=>09eeJW!6NqYLBpL3n71NCu1jBrU9(Vdo>YMvRIvC z*)%zyyK`9TU6Jq1dCYQ~LsPrJoc7YwLCo06S0ecI=llXN13523+zyZ?2Xg;>!ADfX zpm|54=(85CDl-PcS!D`C#?*@G(o=Kk8z&O7?DfXbhkZp?6ow1b(2m$o=2L1-e>uYN z5o5HJWyDKqYf0noqj?cd)nh4a%C#E*;k69W&4_C5O_D)nNbQQwu;0ojm<5E$|7n16U#-^iG;hD}xfN%wg z;ZW0Ba=;0M<1_p#LL@r1J@n>lUuMAVDYiIukkZmLbgo?@n*N;-CqVViwG%R<0=!|B z%DbQB{XzvgX^j#z2zZWdi!l&hoZr0Qgfd=TTuM6A7h8HoIMkHaYT+T_w}aRSObxZU z={MosM1H#9sd(#60ahGpqmlXU+jTB#@2^-Nanivbi#!8qxvZ;27?n!LdV@=Bjp(j+ zSh8onEMGfgZ`6ys1(##`g7QTT^Q##0s|>A04D}P8kS0aBCZ$M|l#fH}^diTF-363f zbkTdXEW~(HrzT&VA1ux}@MK;^P_g-!pMHCJ{LP|t=MU1b1Z6m<+Bx259TowYbrPSP zSoV=~rm3^1sDrzGEeLnK@#Af%;%$iHBlW*&t+@H;5UG4OU^Pn>LDz-{g*^q~6kK66 z@7bk?>iD-NXygT`y9jleOLduxb(l+axJp6S&Ou+Y>PZ~9wJdJ($46%wMP@RsGYgtL zq91eEU|Djpi!qqzCzW}m)1%#6r@E1IYrF%@jxU=+n@josIA_$>#QXBam`KDsa)p~n zggbJ@+doRwm5n#gT}=B+bpkY*AmTj>L3^ zl82&Oe7FQWLx{l>Jjq4ZEf1ef>J&R8^UOs6$*luwz zxQb3m;P5+3<=;6v3)ytq+}Zi!88SXI07y8)Q$wzN0OL*|fIO!J%Kl~Csr-faR`4bU z(V1~+6}W%Z!g0QGSR#8Xcn4?gE^0k}7CV!3LELk-QSSHR?)K~!hwOUg%)~<>_4krq z&L#D3>)ox=LX>ye-<`w$6Oy*+XdoBtD`%jj$~pYe0`h0+!%K!?pd5cf+nhj3TM`(( zr7Kpxum(Y!XvznxWCAr`WDeu!@3Yte;9pxH6a`!%y)8$pAvqjyIv=P5x=wX?QU_nc zGa?>Jbv1|u_#PV~c-$(}l``JK9(Z$sl!*Z%72FwtN5knD5l?>A{1|V>Sy%{F+WzfP zKH4vnIRQi5(_BeJGHi#>oF`a~fJ#~+uzBBOI-!BmK&HwLg%qe|h#txf5xA9X9a6+m zf}yABa8~)v5%}$hclX)AC-nq)Dq&vWCOQ+<0axYO?8NR<@w2A%6W}j228G^?$P0j| zrF;KiA$st@Nb=i=@ zA_m=p^ZIW*`G#egvTp)wVz9)+sk42zo>qGQ1|qmVYgz2n&V?l+{Ca=;x5ud-csxnG zSbqZOE;BZ2noz@C-Jq?Wh1ZiK&f>rdSznt$5*HcNQ%8W4Y3Z2Y{-0U^tw2*Q$2eCg zw6Bm5MlVUv0OW>Kk79^0F8Lg`oAkL$0AE8LpYE#&59=c8JJorfjX-Gzg+Z zh9h@1_|#t4b$-PTg?>}1y~pf0Av0Z8SNZbunndi+bRda{=W9<=d?|lYj{8L$A&BH;L(DgBXbCRynwIYiop2?=hdeHvI{1#oufe zBK}ErB{NsJw}Wb_bNJ12Jp$p%rV!nV155xa_zcu>Zab&`o1WiBzv)tew1ql72^s8* zs8=#+e^tR2r#}buL~xf`g?vvjhhix+@N$}XYU~|=0zbBBVCJl2j6Imt&g$tYnzJ=( z(N|$lhp<0s%NA>K+~Mqkk{??1Ean-H=asaz}W_ zGx9z0_oWa06Pu)#z3tT9^Od`E)e)(yAK%G-8;7jbCH1Y*Roz`Hr5%5qYHBsR%_3Xr z{dwd7xW5&dt*Z>h7~>HNnL&0k;|c%7x&Djsm4bpiXPu4%jon%BB=d16+ zsO3+n8?gn#R0e3dIceG?R0y{M0HL41o5|{cG|$Q_h(%4C6v~N~hY!#`Cv`wcgT=U{ zz|ZJ-;<(A5a4Jdx*@)3S)=_x(silC6vt=NT9qNcagF)xeF4O6U^dn3wS3dJ7fiOEh zQfVItSDci0u4R-IDjk9)yuc;9s%hMX0!OfoXd@NyW8-ifriD6fG0y;oP6CsJ6Bt~SPQHXSP&_~gud=xH(0tEz32>f|!FF?ur2eL)OD z=E5Wvj}b)`Lb}Q>G`D-={VoC}JE1@q{xTvrB|5R{f#s`F12(F>3HtfBvaD}Ivx`XF zP~wEf0TDgsVMA(0slR<=_(DB7!#r`+WFROSrA_IAHC$u6H!rJAM2(srnF;U@vCXF}VMWiq_+g<7BQ`=36=-y5qh zeKBBtN=+D-vHJ(YEPYeuCLDC9#(#N!E}FRn{yYf^{+zI2L)SP+>dmNI)lK<*g10WF zXySwi9Kf!Y0!7n z^)>DD$BiZ~JPzw0o+65MQ>t|eka7h`9XDVQ5~lrb$J3k>#7gn)h3`Q{w=7fZiU3pV z4JlLO4XK_s$Gd@n01=y?8OQ#Qcu-lP(Pg_@-dvgZ%f+0ZJhINGTU;?^GUzIU4lH54 zd6=?CKR*YJTm_e!KngOIYTny^sn8wb$cp1&OsA}86(b@WZ7|Lh>cW3)yx~Nw%d?;4{E3 zVuna{PwFkR7Wgj9ZHc~8aTe()RIUQ6ZOXkoc&b6GA*40bK}3~O2u04Rdf?E5p? z8m9xdYBv+iKyc%A`3l|Lf_>tgT$syMtxIQ={4uIThG)U(f7K!xbHbfJhby)Y$Gdh| zv?i*8cmjWcGW~vmfLw6d3u_GOm}hLrkCItHzH_ZIrwBG(&rC5}R@g1KDMk%8yu*@q z0!o@|z}zm? zHmSoe34I|ir1Aw!Ny1F&<|u`ur!-}wQ(wtK92a}Gjm&<$~AfJZ;;Q3pY?Vj!voy+wO^Fb}vx724nP%B}y3<9gV>(Y0K0MUo69 zA{l~4Ue~Yqxh^&hg@%6;+=d&pp>4E!`&bBE1P3s=q_Xe^Z0)g4>=Ioo#d{tX?@-tW zvF?oElrBd&1c(t)e}cd)A{G~GwTtU;V4F)3haWspYK8)=3JE3!VI~c6(3*OId40j`OiaQpVJHZ6lLu#B3BehE+4&;0RM<`>9LPmY1}HIVN>>S$&xW>Ym2+TN zazgqCV|tQHdeSQ(afY7sQuY%y5|+UK1?K^9hS3lV!trWW^+J@7n;hUB-C4jvrp) zC1gppJB9x~SSc(k=XgqQBF`$aPRE&f_tV4}vx45# z1@otE*|TrnK6SMfjWrClgPNX>D01W|bG`O=Ju#I@Y-45WACYX~JK zi*=_8{Ih%adWFFVJeK(q@d`5+>C*OTFm|BW0}1i;e)g1p@MH0(;!$(EE%@Af^+epe zz{lSEk7}RjC92~w^*U($gj~2}hTJh|wYaZ?3;5_+(@S*pn$4(CgwpY8v~+Kq9& zcZajfRWvD`FTq;GekQZi;lG_L{$6Tqf3y6>o3zwFe)#EOYk?tJPFeOM3tO@ zmON;GU0|MlX02M9epFV09ZzI{>vq%P%7ZM$uc2`-;pwTqPIDHPs9 zTYnu$sTWWJGR-FZdUkbV4+P=%{1g#gq`vs1st{gm={{E&kjQ}4!-1-6UFW(b3_ zulvWFkJB{VFjZd9JF1^g6t`<+jiRR7+UlM0uAN|MZ44iqv{=v*xYW#E^_qx^P z4xWU6x<|Dl2gKERgKyd5XeO?;Av9olI$f=o=f#RS*!-7TZf8vh+uMEL74u}4}SOT^& zDGqh;uSy2UD<$Lcl`U)R$uq zt{=Esmi7r*)TAk;z&ijT1s@@Tj{`x=dz{De2@i-LJhDNq~Y$SK$Vz{#KnBWl@>2)B3h^|N!6)CN{ z7Akxf*RC=U6hInBK%jt;-I>MI6P2p^<@=M#WY9-wH=|8JL;%!2k;eA(h7x3XG`{ts z^&o~Q;Ss*9Md&3_~yf4>@UoMmEJnp_+XM@VGwvpyOzIX+4D6WTCyIEmh zsN>G)zDb7K|39H^{$N}Q{o_=jvi;xa!36)m?oKB!_M@3XLiPHY0Y@O+O)%Y!b5y&C z(I>&-SWz`K0`Rj-dHWBzS5%ovw<~~f$ZRvDua3PGVtQ!dl&YEs?#Tl#@Oc!1b;cx~ zb0nU#nw~}x)Dq|C4BSklzW0icE2)ogxQQ8qT+Ev=IF46kaUN6~SVT;XoXz|0^Rjw7 zR|%nrm3Ht^7yFX*`JoY{Fgr{qkHH`DZt-QzZ==`^i6CkN#1!r|+ga+W7WiedIF+sa zYMaOzR5+DUH2{1J2xusGCmlMs>&W+>e>_fM%srRk_T>N<%eJ(Rw~Vyi9^$UFp9j4>YM?e*-%S*9G5I_F~@>c{SC z0$zyw<(&@^MH91M9S!eQKd+V8L^iokzki}`G1ExfP^%nJGdp4NWShj}#ao(Rx+-q ziN6oY^vlLZR%H%;Ob9*ofN9%qcA8ofsKuQJ-xp#l!`)u zWo}ej$wm&y^A(>!D3fqFG5_w9uQ?T4Qqp|bN4=Jl4x)OuEy}W&O??O?^s+T!5-J}? zD_sBSDvs(cW*(7dRbE?<3Ka!U1q>B2TW2Ss<02;913~>OXP>3dAg!YAb1VvqMbu1y ziO?a}>VsHF#kl6;^2Bx1$lAF=zslHkGICm{p`i$QT{{yBt2fiHe1mXldCxk{BW$v3 zAMr)o#gVSWpzr54Q?wYR5mrxW_8E|PvvdmDr(*~e?v5$=w7k@66u1q-#w=cAAQZz> zL6Xp2dVt$$^@Y$aiYJ~sVbT0oia+DJuYOqBDEv8S*m;DJ7t38c7de&yzolm!Kbpfr z5R-q~L>-0)X2LYzF^nEFnj@1~>L92`_yT;JEO|LqA@eO`D5T7tZ-%w|ymxl6#uZ!j z;wMd9>PsZJW{i}lso`b!cTix7dpWR^b(=F)2#oq9vIi{mSP}O z6+*uDglB|eAjozb0`^Z$VttPzbxYr4Ba%dRb6$rgg?6}d=E9-4H#+!2Q0DK^ABq@6 z^k7DfbcdaI%7T~ikyw4ihmX-nMZzNNA&5ory?{m;VjA5+h{fx~)FUx1gYqeA33||x z{FoqL@#|+Lz0}VOckiugYQJ;s!7z!gl)xiA$*A{dm1KT)H&BK5K#UFSqv^$(B7HbYNSlzWpc0*`|@z$=0ghti)wQdV5EW;e5_HxMO5KwTVElZ8*RD6Fx`=4+os zZZnaIgnnZ!`aoVvOHoAq>?J?=`Ha#y2I52n8}XikSREiK?AoB>YDkkB?-nv1Pdvis zPzfQ603>WVq7(wox+luxX6^a=_R%BPR0Zmelx`A}m$Pjt-I_05%&p4f)SKVLOhLLG za&=wIUB}xZ^5_}vS>;zqzWbL>A$h!JbP>dzf21Xz;ZAGnDPjkwC21=ZAY--gq|i8u zcR6Z0+9p3VHtutAvQ$mIUgz?bkc55T(BVXTNG6W%3d989;!=O0(NaTX6Gf1@ztLsx zJf9{|QB@I=mW~^t;N^(!Wv3;vmaQ=D?KmW)O?^>W-4xTE@{CWQ992;l<)4Mu<25dq z7_uXk)i0bmm9=9fRW6g!xj8O|*V`j3CGG4mD*;eB;95+=M+W?@_tH(KWcNP}yv^QV ze85?u(;in&BZ$qku{Eajzw z^JUpW`=UkA%8mk-!(@r(7gN+-?uWVX?6xHhX5q^&`20@;tYhZs-eYaOmP%Uk-*=c1 zt!FQOF3o=Z`0u9ie~f5uQA}v_`uckP{5P+G4;hj!BFbt!;1q8Ftq~u<~=cr1AS~$Gqp@zKj^LvC* z_U)W^`BPov$;rvV_QLcuy^XD{8xSfg@Rzyn#}DF=S|jIw|2+C14=JYi7|RhSM)6NI zr-0+LdLLvNL1gl73=*=JKZ>SRn#Lt$U^{l}P^)@l$CHnQ7WX4wQv&bo07tU(zlwEe zd45ppPRA$1iT(XY%`?$O&1!L_$#`HyvJ6n2M$S0L@#PCeI7wtbazqNO?XcJRW9n>1 zV(B%MWD7#APH&gGJGWLnjA|xDF#a9}BvGXhtFYrHDFAf|qu~s#HO2=#J=Br?egWa`tGPQTcZ|6ecf9 z$855lh8H)2=)o?%M>;Cu4ASdSuN$8PlXD;vNGwC4P98!=^lfC%hT`acTZ#H2TQkEc zM3kq^Gddy3gxur6w4*s29Gf$bL%R%r1p8-W5k4u?5l4mTFV2FI6$uki&4~c@jxh+&m0?lY`7@xj-081)MVWUSW>`AIQ#4xN=9RMy-22cvX*0W37_ z&+$_yACJyJTg-wOmZxCRFELx2?jpd(5ezrhS6&v(`G8({RAB^2w4?&{{~F7En+%+$DnT-}jX>A2_;6`MEKW`pfEL z3p66U`7_2}W*bRxXMgji(;I7RYhl&-2SaO>7ve*j%J!?=x};5$k_W$yKxOebzVW6J zbWlT%O%F#qi#^wq?O=D5g$@(t)~SghT_`NT&%TlJ$`DDD3YfXdN8y_Krrct8sRc0n zfB)>AXUu)gS=*?T`|Ih2v!mwEt}ihC2~*ZX3Gir&yoXD_hl{*jT>bHbDls`}hr*+y zqf=7t)^k8@pwq|-t6pOBc8j3$rB1M#wJ>itY>I+5R~4Y842~Gy5!9n*E;Szcab&4r zF6SBd>65&tOYJs8Ns?yI4P^xbPqe>7qr8X{33;zfVG&s5a<+q#4IFfMUXC$A;@ilB`R1&pN(G-b~oGy_&GD;49Mqmuxvo z$UBmWFKB)DPj}6eKVX7siEVQq`~1X&gcg|zf^`TyVw(SW1o-8)z8MWqdXW^t?r!aL~5%TmUzaeB*JjZl4wi_fQ4 zf30UqdL`!H^IlE{j_aR|QVCmIS*g6M+IpO`kFk6DkalRoLgMC4G`R7_JN;|*Zu_UV zOEW=YvO)Z#`9|5G@ar1?dt@G%<)a1IZ)P?@#AXs!lzf;+`6;dN?lk`|KK5Uj@szZV zzuYZG#8|;-@WuUCz;fk2K<_|DY#@r2Oo+f!eVbl!BL;`oh3BTA@p_QOL|Kj-i2`?T zX^6c*ish{_gCJ-@nR?fY&Y_cPlAkw%FK~zzjoRSrG z;E2imXimL)z4;<`bozg^0JUzB+P%9w8kHIKiD-)6Fwz;9o_-8bri*K*xnf6{vA33} zXOMD1+2eYebzs{_9bqC5!&bh)h?kdN`V;?$~$Yzi)zJiF8R zCP-2Jn*4EUfHxaq=b8U}v{C67`*l9Wg|U@EfK}kj_^MvO4KdPpkKwysE;mjv>MxJ|AhVrxL%7I?zy7tA} z9rC$EoHs&-@S>>1cLroDn_eF}tIjJz!K1XR?*HSnZ(giM-<%#P&;p~u7>lqXMIgut zQEW~ATJPJ(f8E{foE*4M4*W|31NREvhysVEb;+BThMxwer!iT66TkBFjU2-4NtpBQ z8uBqFe0*5?(YW;EZ6vDrxtqM_?};Qut9r$_b$KtJ#>&QM*UDJ^;#iTluC(vb{EM+V zDV)#;2XmCM*M(nh@M?M5F^m)6j}t^4ggN@XvB{@|38mdr{Y=w-dk@$~0FqjAHCDih zU^YDdZo111{linj_p$ymGb?Ybq&>YhvQd1qV=nMA@&7Ub0q?9~oss+N`i zj%q0}0)Y8;LF+iM+jBbnvBVWf`VeQNSE~R10bJL@p>)Z=qVhGcNsL5_qcib4W5RdF zXIg{mDzQyy#(m=s(zX>&E)D6?@BQRDU=D}TW|%i(Ci#*4zt!P$t@_yVYV=ZbWZdbu z>FJqWBJtB~;+n}Np2~y3cj!iglcCAzu$g)am3=zZE?sZlqs&XbbDiQwvL5X0%b z1pkywSoO^xr~jTw`l%3~+E08>VB7gATIwyu&fhD_nh+2QERK?qkx}qnqA|==sw^FF zB3_kwM1Phf&B4V*GiRTvvXp$enEX2@a$Q$>T&S9bGm@nIrHseq&648Z{SlX2IGf%6 zBjdoip2&j>g)3aPbG?PfAE@hIDRmRldx=T+QytS3CIZ;BuCGtM1#k zwz2i8DJyJK@Sbpqb-iP&&M7(+0k*E#F7M=Ndu^olL!C)N{@<#V|89Z=`7v#)(3^It zNF8+BUMZDW*F?FoNjxzyM^&0=G(Mn)=>K3B%gZx*c8}k-74G6g3(*=@oyVyt%7C7f2^xdc z#IOqbm1)@M;)K8v-gAgaI`xtaHfkoNNs}zf%3(0%lqYUik;|`)93~q}>*Q{%&UV9( zNS&JDbdLs`KgYoC}D4HZdBh*B4hm^fIKbYC%O zzUgpcBb!vnwNto^p4MpNwKkYDia-Lfh(`)yLYmoyMnG}JmDMPuE;X~#Lti>aRa(iq zZt`C~Q}nS++))k*G!M@vB~~)aE~bidf#0>!7;-8C7iFIb_5AfrXw7 z@?;Q>5+D;|K)hN*QUbR~BxAb|j+5x&+Vp>uB@uUWTg>&yPWIEf zG(PJxg7^C0jX$fO^Rw)+DRu}EO*-UQ-B#d4e%q((cW#qhS0*L~8y%j!Y*c~aTg9#~NG=y`uwT~w^x%d`o+V!r_d_;js35Zh4C{b?HQW2rt3!XOj} zV6@%=@Jb~vS63_&n9Pa#0kCD$F4EY!77bdheHFnpnKFMrbF}oEwMn_lvtUEg1 zyZxy|6&)-ts9~d%+d$=%svK>?Vm7_F~4|` zJg&rJB&oH`%0uK5R(=q)Q678$lJ#1J;>yAZfyb7sih0G>m(^y`%?#&Tsn0R0|8O)W z^ubSaTdPO8-VTr7yNR4|KUM99?d=uf{qw3hYu!QNB-&H@9=@ z!Mr{{QEbXjyGT0H@Q05=>r?bQ2HwU$$<${+>eXx36e(@xc><)5sCI2|F#IDL&u7XG zXpXxP|hu3*VQ6Wuhge=Xb_HO%s zkA!1n(CjN+XYq^rzH48n$UM0Znhlk!Pbg|XS=2tOblbNbeiDjgX3zL6sFSUbGC9bs zFijS#lxpp~sLK#BtFCq$(FOhYPxpfnpQ=oZ7Mb1D(qo@5Ds6fW5FoalMptyQ1LYWg z)%q@z@UiwrLWuBf?HBX(k?h%*`}y?WR$iu_WL2v~tDl-R2Q40KRv=(mT5IRNr+Z(| zQf(qHSi(*gn!WQKC)8#_*JNkTjIK^R2saydotz)cC`25`2CR`4w~wn|WL!=iUvgW9 z{<(I(YigF+2=tJX+Bkf{=qX^dNdz*ULJTYq+V`p`R5k@(z#qT zx+LR0ZlQSbX@jED7>`_)I|Fii))#Wa2?+^d=Hu?H09euwtFqT4qhkBNCC1y^*Wg## znmSRRe{f2|`CDUXAWfG_mh_dbqZ0dqigZhlX_ywSF+||O+TWh#>hw_x=OR|#`%tm} z9)A2L3h$mw4%P*ITj9?DhIe&U9eQaEFJb8~+3`Cu9aM5A?8%lY0|HNJn3bG?kDk{f z;+GZHVGtZycS##i`9%k-*yGAbS@wZ8QM3h1F)YlXS?zq0aEp9$*y6h{gx?ZwIC#E6 zeTGNdLxPK0@#J$JC=3w69z5CjMf-P|pCAXv>71MLoK+8cW=$`LPtuaa833dwHXiq< z%azy|N1ong1mP1JJjqqsO6rnraEJ~rUSB&T=^m`BV|AaS@gCHx)v8%@od0phL0`!}f5n%%858R*|uWzi~SjpfFzq!AEAf-PxI+*|=#xypjg`B!jLtZeLDyVvV zsCqbXI$^-5{>RWPPURANoew@A9RYfLrAU0#`&ZJ-eJ$MB|GXx8dYk>)y)iq|KSMk} zzpK8vqs{O@&!4{;Gc*HqJnLawEXb+u9Gjvi6tPNlBcR3|-5fAXmH}7C`#1&JOD%}k zGfO+tAoc>ib)$fMeXzoZacv%*tX7_0vY(5~l;|4#aGjQ@28K*Js(qkQp#uzusICb? zB)`l9vw=2KsDF&#b+M8CkqVDa;~LuEc}J#}W31e{{FKDON#10=-de;M6>e=!hhT9N z_JjSVU=|GQmuP$$nXUp(T!q_MNs*rKe8TS%FsubjV*8#YvqIAb;=q%n7?7!H_h6Gu zd)`s>PL&?}XnLG}ujc!8C8OqB)z1^C*J~u3`wqUJ_>bU?h3WyS{jgPj-4OeSjFzqy z%2=SME3Nc%cqfR9=k^$#$qFf^X=GC~@lu5iw&+4CUN_9@xUc;BXP@aw;fu8Nl0CkP zry-U2XyZb{JJzz=FH!iUKiAyFn2|Lbq!{3A=&Hi_*ji@#3B3uO+*(8-eqe@Il zc5t^Ey$u2v0qs1~2B^4o)6;IV(uJY7>os8qP@uQRdgt+*pDb^FK8AF&>?bEWc-u2Y zFT7@l=AS}N<8%jK|G?JEJGkKys>xA!B`6t7h(9%?F4_so1w&_en_$RAut?f618eaD z0(G^RggDB>gT<`~e8bApTH7cPd{IktdiJ%hPZBxyHjzIw(RcdoNAU;-nCY?&?E`l1 zk!V_8*#28TQpoOl7RTH={fjEjW6Ru>&O8IvTZhV1=c2@Ya`52`&776%Y2My|iAE5} zbG7U0*^Y|R<1-A-P@<(kU3rZdJ=jO zxi++Zm~;Jlpzh;-&)0C$9HWBfvmYDrR5Y^a_kAF?!Xv@++3-K{$^r>@{|e2U6Mag`sPZqeEno=~%EGAa+D?w<~e_VLPtjTxcOC23;H% zM#ep#S^Ab2LMwP3q94*MhDfGu%!fPz&wHD+U-fLFToXaOO1g=2O2w z!i(vd)TFdZFx-yzn5Yy_EHzUVHnyrbC7Jtc_MCfk{tqVHt;*4Ide}&(r!*4vSCe`= zv1dV-0&MxR?IH-9%(>Pn1}QlDVgH=&GJkp8|A;@D;!9DoTs%!xMeoLJL{_u)RZzOY zpCdB3rgZpN{or0DO9eelRuZQ}bmBv*@%0;S5J3?~gO54&@pT6=SDfWcNXFx=%>;4a z^?g)(Rrik6%AX1j&Ad42yPHH1px?`YEWiPNf2!*vdi(~=NOl4ciqH!Cd#PD%F_1M0 zCiaj4rWnw)j6?|Q{Jt&uYT72-&%l)pB?a8W{LG@E$yqNHf(^KWc@H#V*$1Z2L5L5%=v1#9F$5B${!`!?jHlV7kU92S@{WzSUVnuYtDzbGJMRp1kACA4-5Lg%ML^6*cID1kRd@vesc6p%;Ee^mTRhgQLZ+B&V@Du~M?`KT|+p zmKVBunWt~;7X`^WJ6sDPqBo! zT_y^D&2qUKFl&;h;9JpLv2mcfBpaMv}6L!xFI_%Rm`hmHVL<8=$Xv_pa4z_vDo z#jIqe<*ggR=g$PKRc#2js2eBjo&K2UyD~Eo-)Z$*Xxy_KG)7tY?RH44aQ=Y0EM74` zG-R;YP3cooU0kf$G6Kmjm=Pu=Q|yBA!+bC~%;M&Y36AmrBd=wY)xn1X7eS7h1<4Vq}^*^gtUeHxjnpIP-X#g|R5D5k9fUAZxK7MP8rjkwS)wQ?nB(bL_ zx6k_G+SBVf+P76{56+rR?K<%x;|#WLD7l!tWoASdEt9^&Q=pFk0{fD-=^Z^{Nczs0 ze4QcTdQja#>esDhqD5RV^BdBa|9PsK9aynn01rC&I`W-G22eH6wzxAqti~poX z;aM+Ov!{3}$cEm7q!$7&ueDKZ)Vv6ftS3?-#tx^3lTu!6r!s7Es2ysi(EgiDB1&jL z96dd4ecrcqPNx~uuL3j;>+7!-)a%Vr0P?vV-N=32z(M?m*~NzKDaG-ft#IAWNxJLx zFT>WRDXQu(PeqT{nvaFnKKNw#&dp7wyW(0mRx;zMD+Z_AV)&z$QVn&9y zs4ijQ-5RA0`vOge&F4(e$_cbjOpbBJ)xd1azAvweckS-FEg~mv%e$K?n{j}k% z+V0EbIp*wRt^5z+LX(p-GqJ5;;F2OCjg5`TkLaEZ{Cn;W{N$Fl^eA-o)afXjZF_Hb zs?WvHwGJvTtER-pY3nz4u9@mzv;1JIay=PukAVt5qKHDc-Qo~VbOqqCBz=ep*qqhD zemjybdfbzS$s}#P6-J7&hQju7cpcdiI{?hwX6lBO)Q6*J_@7X%bbd>uw(n(-MhJjK zA8BgkJpCJAQxb2}7Zr=PnB1rwGSiG1v1z-v{?M*sP>alTgy2*#uy5ZK>yM{O@W(({*UiO7%56$ykX=aBIO)X* zU^ihb*9bCYH}?_Gz4q7;}3!JS4O> zHzdUS^gNix$f!*KBO`NIoHP1WY5!8?idWmB1)>Yjq7%OmFE#i zS7QII2_uURMRy|oyF1!{H#Q{=Ys|Vt3sO?bhq7V`g;t@Jg-^RE+vzZnFu)BWi`U~P zwqhiq`m7~L85fo&c{hs7-&D>sAFD#~bT}$|Y2chbV?{owGAmr3eug$CcU$orQ6<}s zYy7WuE8R7@l7+XgVyU`;`5lv?`QwHZB*HR-E(1MLVV|dDZr2 z7BIcmb7biQM0p+3d103R(i@r`RK0fmiO3kG3t6KZe`w%35Sl?g%GWx5uzjR@DD9@Hv9v#Fq-Pl<7sD_7| zvu$pG4@5yB1wR;IxMGs>msF+wWt>0UwGM6`dC30Knlx>VfRwxIe3&i4e3f27$kAZ; zzivVc3%@(OxD*|swppzMpC1zVl}ZUS^^b77Ly7_4$VIPjZ}WBN#!B5%dRlIL-{|gL zY@{901?fc{4_pOGOgXZ*=6q{Si0b!RC1*{*2B^eF{=h)2v;;j_Y%-btiD{AE!x(5Yp$NRRH(_JxsvTExDXzs zK{r8P+cZJj^z*OB&(L_5FC|}gOY4~a$y^0Ltj@n0_O}&I@?)Eiq4K*o{dSJPK?;Gs zdDRyhO>yJWPMcPxCmaeJJl3Lrbo}`+wQfG~kR6nd{(HlYkmEhtWq{0tA5q6rIPhP<)yRZaq559z<~SCd6rW&4*SC?3!GE(xWgQZOmO>eN)(zV3UEowiBtt3h}08y%`O1HCoYpDD!SzSAVVp9k1k2)`YKgPU`G8(g9zf@;pACwh4od> zO`(Y7@VE~fZhh}%+!|nq@(z#PvWnR@grl$?w5zRX`Xni4XQ!)MVw7W^(=9tS9&Rnj zNp^8}y{tHDI*=VcoB>d~Das#7eX~wtp0JYJ2V`xxeD;0P9|NnolHXTV4z|8{VQu4- z*O)x#@RLYt&;7$lR=p7|E%R5-oQb?nYb@YxO{kZ1|656f@oP49O7pfB#DHLljHW;p z4g7a=9=Vv!Yq?UH21wm6QqCrVOVLf5URwYrRTuVQWp!OsA0YTML$ThE9AnXl5^i8s z%4px!Fn35KPnA5EVwSE5&_m_@JRB}ff5?}y?K$(tV)F6(Ns>tY>Q9y~Ua3;}Coh!- z$=^J$@AC4(nRn$N$27{zx`!)W$(;)g??x-h^nwIXeD=V!J3gQ4dZMHu+gCtAVpXAv zSw3OU(wKv=E|Xv=r_4IAAy&apEUwFXt!?^{8-AmQKno--iUcf5qt?um*1*5tegtA< z{CyS^_CwdOL{|oQ<))C5-iL`d!_O+&aq0O=_Tt7XMrU>?QM&>xyiaoh1B%SeS#lwn zlQjgYZ!%VszMIZC9tKGZ=S5#j&f*4cq@F2`^w1?2i4I$`8juE?$&Te}umXnkyo=m* zex_EN+UJcXEEp4V43mMGHwr~+_O80d%6eu|DdR7~OE_WXi>;21?#&Th=h>DPqK|{D z*c7GwsoT4yGJ;J-ZaN$FP`*<*PWWKNN3nY+xQsn7_^xIw`VRtJ?G{ue%-2tZal1ne z^i|s@h6HvkKd=gac|&zW3>D@__Ikk~EdwhJY{*)HeH|D3S}P`P>hvuhK*}qR>~rmV zUz{4;_dGrr`U%~|knGlncCj6n-5YD0JHC;amDRB_LZf5U4~PyV<4w&{#a(hutiz6D zm~TAQ9;mB&LH4G~7oylQ16 zCG792K2|w%uI@T;%mFhx*Ax_(Rx*rsnj>gMqktp6AV2p({(XA>N%eU5Y}=zpXDPr6hr9m#FV}vjZyQ!GY&5Cep*Vlk zWY%qS`#%@p*ZGOJuEOXUEL57T$3fn!$LW#mmU-&xHyisRYs1;;xA@iaKswn-^%kLO zK_PEC_#tICufMSu*-PH5-^PSjf3E$jR5gX{1wY#4a|{MU-qYXj`~Jg+wqL(k0|EkS z7FWANKbg#RU$3;Fot&I(m|9vAE*xEx`pYr!+7PvRGOPTqN^?-*6|ivHbawTD=q74; z$zNPH^L@Gwp@o4ESSQyA{aLD#?AZ7uUz_)Rr{+ucS4Pdt$nn%$zsmeKM1ERwW*7x5 ziG9s?VtB#xn*syn(Ju`n?zO0O7>_t7M6&T-^=t)vX^e*I0|)LS>GRs(O-*Y1`}^4H z>eOXpoQq6yo{QpX&jFzRB0PEhpA+~0GaH;k8MP>gn%ah)JSKcm3(OzL zOvt^}`9E3!Isr_QIZ2Wp#6Sn^paMiv)7F^02XI_~)>u0-mZB|YKTQX$`H0<_@Ljxr zPu8A&TPIQO7}cSpCG~fbVpXR#%b5ngXf3wooG1D!jac*EH9Jj zZ)dVHB{uWd-r+nZB+>$@MY_E)+vL*ek?h@as{lnLFFWb-Fxyze=pvg_qEthDAuI)F zKhPS!M@b~K+{X8`&f{AHDOD9ojPN%iE%qpi(aVabn1C!FrTvJ>KkV%Lgg;jMDhW`F zyMu9bKP03i0h~mV@JHvNOhKhue>N<@v_iu|{ zYP1g`BJoSgTKG(MiIhJX~6O(SY8y`{JY05jxn>+YZDWrmmo1w=+cp-?Ccbgg|rS#)XYL@iL ziQ9f95}mvSxK+yA+jJ(&KwM+Id#%j3cwWQTUABK-xOW^meGl03wPL>=#H})1YuL+o z+gf}r#N5){F4x=Nc+_;)lC$6q z^lWA`w^$HG3K5A(IYb{~K8AAeGTYZHhnrph zP~oBNn-kw3c2-{D0WG!)C!RUnE%#i&cI=6+k6BN`i>);3)Dtf6F=Kg!nrEx^9NC|Z zSM#iUnwEx>9u$9i;kjU~etER>+bvajLRjvQvw4RTkc55RU^=}GhiPE*2KGi^v5mEDijX_68=UAo(b$VVq^>4TH|Gv3FEzC9hKSk5(18DaM zrIR6{zRZ}g83|=&pmE2lF-9|338JJO?36=e0AxVq!)s;R`vB23ypg^4z%I9xf|(4G z2jKhpH~26~&Rn#>R(dcjR|%3Jc(+oVj0I|ke8>wG5sK8Z6!;01vcsWidY`y*N7IV5 z4+QF14GfG4s>O~uD*75IU>z*w<9etlZY&z{S3eEkRH0@wnYo#Ut$dg`{P9sbA9&2Q zMgf>$RC}QSzu2c-mkyrFf4V^QKD1>S@8X*Gw zifYxjinW}@or3{ctqk0p;EdNkcM7#{J`b z8oz6%aHM~%6f)nY$Ba~A-tk8p0+(lpH<>2EfcO)okd*BReh}Ct!b%Xc5ruELlNIef z;WkB*ac0Z4^^;O+($(WIYGYj6`tG20Gi}4f^O7OOmA*f4Nz~W+59_9Z@`mbrG&=nm zXuuX7a#bRC^Y3X?^Zl;PJ0yX3fuO0ZBSg&=NTRC&o?YR5H4cilr%4Fr(-?CLg8g-u zLKI+uM)T&T`^O41c&$0y$1BxsEsEVc1zVwKPK;qhP{|<+c#;cV_$hpOe$t}PnE^CN zq1XJd%IW*%%0LKwkKC;hS!x=pyeR#CqXwy3_*r4uK;HO4 zIR4^X@)DssOD6>A^@%Z12^C7%VuqYFhl1@{KT6b4?`ZE6cp)a@#yk^%JZCNe8V*6> z{uDkW;IlK2)%C5;fiB4>isX=`CyFKESfr+ZQfvn$cLpHCSeh^<=yy?osYnUSspWU0 z>6FSI6S*(CMS)D9BO~3PiE|@=47@dvoEH(q>;@l8(`t<#XfR5k-2xY+(@acj^qlk<7LtfTXzu3C1&eR!ZM)985+wdyC+gi?V`K@ODr7(W$ly|EEE5`q?VKhp} z4v3>Az5V?QYokT~=v&#+0o_sF1^;|Vqi1S^+utu{ zN87fSvsd(-@@ymtfd`LwmUx3ChQi0&WPQJMw;N^Z`VHuwDAkrv3|$*xmGJ+1+bY`@ zXqjGGa(ldnhAu15K9dMvy%RX?S#C~-%FP>a`z{=QQiZhZ85ps&v_uoALkzG4s%Tad z4{&2ZhLZpDopxu_%gDtNqgS&3!36jJFdgWTbiQkOOR#CfCvjHw%r-nL2E^B!fBBjl zlcqO3O2XT;(2Rb~sBPH|%7Kfr00SDst~;-NG%88i4e6I93((Lw3z_GJJUfJw)dSVCSU_SO~_EgXPQtHRRVjvSI9G;7U<@DNT=e&db^qqfa(z z+FQ_MRy2>1$1T`ktA`4w<>AA{=5}{DiT90E^Co-=GQ&;pHCXkCwq+Qy{iP@7V#R+G z*4mMw&?#S!7kt>2zj5EVpLm23AvI$hZA6jIa~j`@Sw{wdhNiVT#f(n3Pc)tF zVhIx3Po=IRcq-mfo${Bvwc^=L6a#)dq83jKJ{e>A8f1wrGwswFY;%0MR!0t&2j&sX zrYllfdJ6m(5;`>eTAFYZqYs^wBJPdkOBGo3bBprdDb}MUzRHib$86@$V<#_pH;Li6 z;1cBJ^te0Gb)j6q&Gk~oNz7yn@RU)+UHgziW_TB9aAu|5+i~9N%1puML}2sf42B~b zACSJasC;hG_;a{^^21oK*A1+<+30r!&!|4*e@T9*MxRjOkgw4|>_o@JFzVzmYPA6y zk}^^>@RW#o2E4^go{J8p^>Oce;niO4`H^yHMsodDA#c$#M+S1*EHiZYhgl~uxGrQi z!ltdgL1x0XH25*v%G^co78kGKH~aCL%a}1=MFU1UNrm*vHWk`b{R^M<=xvO`L0T8^|tmO>X4A zx*hRiT&<*u)yoB&;*GbghL|WcS3E7|eoDn+SX2ADbKvuETAE_QGnp7j)*l3=Q22l( zqfvp<%ejjsy6`s5*K_JJdQ{Ha1`J5x$xbf2x49ikoRN}6Ve^Tm)IuAuXIQ^uW!s2L zdzWe!q{K>_Q=ia&1tH{K9X%eVVSchI1xJm=Q31^zn+5i3Bhd^Pwjs5Xc4MnmTykNg^i*5>c7CIU^P#t>|}e_0ucusz}P!EE~V0M}yNJH0tCN zU>E%GD=B>OQFE5+#8%Ri{ePQ*%w3pF`#H3vX1A%8U2!IWiP|LH`(}j*k@tW5|+#8u}?{ zYxHJz|HA(2@Yu}E=CN?|N#uoSMkaJCsD8>$A#t%bkS}A?{lP(!qN!JdpUPQS`~!)I zRkxZGfeVV3OG}aAguu&V+XvZFP1)5*_Gi9cy1DhGIibe0R`7#U`Tt5$clyu8)c}ja zpSLdu%tJIok+LEuDLLEEG`N)FCi5}55=+~ zPF=*w*nb-Q>(Bo0#s>NtCk<3T(09M-4DezTH+ z$DI_w$RLWefv2${iWCZuDEq^wv`_ZW0YOb)0O>Jm{nFXIA097`TyZbuh7|B=-s@`d zi9#$(eN_umOlOOt>J3j4gD&=eOw3b_Rx1qmio2HnC9$5741D2Fl7j2t2=5wrXxR4b zJfnP=&JR%jJ1Is33U~{{P=27$29~oJ>cQvjaWDJRzXivIXDXwBAZnfClp|Nf<@P-zkQ5?ZUk@PCMu+=Vq6fzxCie8e za<_ZlpItl=pG+m&bOS=_4B`0k!jTeM|2@1yTI8*CP@R=dgc~bf$Ud79f!j`+D8ue7E6%Sjl=^v*Lkv8t)N<=SZ-{a7>jhirXQY z-~&qP)N;~FuVn7Wq;txWMiK7S9}s-ZkK zKpORH&!PXVniHK0dyBwiD@G+*Eq*b>lJxc_DY&hRO?2$W8+OKN0ncBq5LY|75I&H~$t|dPM_&k0{Iw$7CWbpm1MJW}< zq#rV5kgQ7os#4x(K~oyww>yBYAo}9Qq6FUvvq}LPai1WEbOtHvBzj5ej}<(aA1x5h zEqnf$79#GFk)p|AooZrgo? zMirprlOd=2^`{O|XFY!osQ86)2j|YuGyI$i4pz8Cuc;mDl9NyktGa|_A4nh;$jsESmej$(6DUMm%QlY-2stNUMJZO$x9c&NpTRjl2_kt-0EmPxgJ@%u#pY|nwy%z^Cfq6tBh@%F>AeE(**T~$jf`pRHcU5 zFFhkecf)5f&+>WKyLM&_1aW$hXWwSg-b#V;y<`3yu?;7?t+vq6Xv>h(xCkbB)(3!p zw_1@N5a0J-y$+e2ntJqQ`}APl>I33vwe#2dfl^3F$o9xRqxtiby`aD4o$Ml+Sy@X@ zBwmiZPRIRC{a+MLez%DCF0Ot(C>^F(@9lSR@iZLW+cUSeYCr7I!Lm=bW5AY4B%~0F z1+6wmkhIVdyoe@k5I%2SLg5gu%JXZRox;I=wp zFRhInYC0W~CQ6B6R+#B}6*;)L8#RF7y|zD(@bEO>`(A0CxP$odT53LnnEKqsL)d#3 z?loaP-+AZ|4GsqoU+)8m@xMA{-w|AE$p#QZ8i{d?R_gJ`ij%{z>}GZ7g&R58a;_b) z4LQc4spJN9j{pp3P&xA9EVKm%=pxze_$L)AflFNDwsZjG&p((7x z2E{tpp~IRU_bT(3YXL7e?YQ({x1uw@dYr7Go`+TeLp8u;kl6fH_V7zIpaoJ9V|5*a ziKYR2r4yN%OxS-bF@gDsCH2{d0Zk02^GNZ={hrj2D6xi(E4k0wCqL^Xssq%X1*^&^ zx9dEwG8=n4I4q9r0w&`auaMVxDkmp$Sc8O<>8+3>*#|=L?q-lTD+fS*PP-Ps40D>C$u;h!akK~)WS zVGEzUkHS}~crqYs-Z>RWj(*U-n&Sh3C_GeURlcC{Vubfrtb=+Go&{hx@W>ZFr$2m{ zupUsYshox%8C2S2%vl=Qb>TMaVCIA@b@L5OK{WO4ALtOIP?_ge1LnDSs^v1JEkTSj z=XxSjo!v?+pR<+kuqOT&zawV)1YrU zz4QFH^sTDEFsyedMH^ssQi=QVkObT>WdbPgU6o&AH!4WM2Q4|;xutGz%52QwsA<8j z0bkG!D2>pYvImbd$~63opMLs&jX}T09jNEE@NhF}bcrdG^^HPi8i-?PJzSEXA0ul3 z=XZD`Zc@OA2W>?E8d;4_S0Ng7#=Un;L0*`mL15B;D{|JaEv2R8(Tuz6{MHL~p2ItN z9{&DE0@Kq8rh)WzLyaqIo5aMJ_dr?yHN5N5eeQ(e?N6#mn8JK{6RrNje@;AGNZ-R3 z`XgC-i?ZvE&YC93JS)!Q)*5FMDC`qP1Vb?gd9}P)~f2p+hQ^pz$^o zu)mdX6jQIWSQG@17%#-Ugc*qfhEpA9sJjuYEM@v-8Hk#@eW0hA8|j?E_{BTSnzZ7#^5e#A#@Y6s9az~R@)v!(F0UKG{o%mGQ zX#6HNAjkrDaHA?-pT^u7t(GLNl!kpu9v&pw4$Dq=GDZYWSQ9Wz8x_Q$gQ~xEP(a>^ z(;yAs9*mC&i!Cjn>ib!KHSQ%shmjko#f<#2 zn=%j7@ZuqREl$S%Up zhGz%WQ1a}ZFWUide)T&C5gb^gs%?e;kh?(Uk0?7tH3L#1WGNA#xrA%J`olZ%k1f^N zsF=$ffWe$_Bgdx){Ua!pKNRt$m6g?FRIPK2b;Y2A*AfeWsEK?_DG<}In8xSNLv_QH z0aYgZS7lQPG$%j$P(CUn#CPFixqP)u|L`g8044r#y_~<&BX-x1duI*#$#LCOD*4gp zs7JL?kkL;hcmtyid6sY*Vxa*)B6eXK`xvl*%DKwLgNOLe*Mpm;BC|7^IEg`NB_~^#8tGhin^beH#0<6uK-ytb(*b241m@%FEzSH1L=!a`=VfUP^?G|VKS*HuWU1) z-yWec?9HNn<6e@i-t#*C2GL7KEv1NIG(C+Pmlm_ZrT;zgEGM8c2(PrJ6$8?J{dwIfC6j;wchb5+H93G$SfN*<{L&C^98|z~Kp&Bf{X=6~kX2SJ z6UH)iJ}>ZgP3Nm{<1{y{i?%a~Fqa zQA<-7R7)1j=4`p!hV#RbuOzIoSG(`NY`z)2hzQ%?QM$T{p4v=lu_H}uI#R$*6y4BL z+s}XU{NINoK`^F*QP+R+#GSXLVdS%?zpB|+KbpWG$H#zBYzN?^Q2Yd_C4cBdkvy3V;j{HKv9niiBgcLSPgb#PaO%4Mz8zz~*7=M+)a{o@fz6e0!}LL0 z$rJbPV1N4Ts}V1rvCGA8M!%m0HeZY#&L_!o^(OJ(x;=h9xgzA7&HeFZ!i$O-W7f;L z*-Kc{`EOC(hksslN6a3D11T!~)%J^S)p%+EZfI5G-TL%P%r~YU{dklRQ>zS6(rp1c z(?vqt_3W@$>sI1Nd_0AJzx~^t{BOzDR1R5B;Q8kOM)7YaC+mdrriR4IPuK3hODzYA z?pqHYlr?>|cMDMBQvMFN5jW|AtokoHZ0))}|Xm_;= za&UC_X9G!&@u&P%Rm;&~trzYd{bI{K@-S3)dBKI-!;*Qa5hq$Yi_SEzM>(=k%JNl> zZpPnEa|n{lsY5Qm!NtOAFCR@>3hDHM$y;fBoSYkn5=4w5MlsWLflYlmC)@v{1#s#A z7<2iA7;x?vX=qckRaNB!i~#P5ETv9bBahS_#D#h8XTVGj8LF0hw=1VZCI1|JeM&6Y zT!j4}M7h$fZXQa$#25rv5tx+Or=);Y^P=z!crm^k1p{w>rAmC_BKN93yM=GmqMBA! zqCq;pTPEBAjuBUK@UROIA%7ELa=v{#TDfn1OOPs~2MCfX@0Ya?3rVRMSeF8Vs5X9j zd7%P3-+27py)bx_5DYomg|P)1i);!cf`AN6XmQnu}GI(uu7TZx*N-9}=G z!5m)qU^w*Dh$YLxoeRS{#){7y53tAsqk}M~^krp>QpAF3KEW>9_$k%;eXVslE#U8( zT&{k--n*k3A-`TYu9XEan5_to&1k%qFMK9L%?#S&d^(^_Jss@mV*C4W5YhO8G}hN# zNz`z>>$e48bu?(LffI`q44ZmZmV(ovvBV+5J!zUcGX-fOg~Vj}?&Q8UhM69|puf_a z!H#bLfIF(=|6=T|qoNGheNh@_=pKd+DFJDaW>7#ShETdix}=dFN@)=#1ZDtb1Sx5d zPNk)rk#3}$`?}9Q=d5+t_Ph6Aa;<@R-skz{vCqlRN#Ih^LUoLMcwY_S`QB9BE{!>^ zlGDYbAtNgk&ELc%Q$F`JdhU6D*$X(0+txEosio12kAqw`l!q0TMMr_;fas^CZjk{B z@?K5Xv!+ACN*@70r5DPRpHZ;p%HCWT=2#cu_$9(IJ*kaqpkHeV6c79lpPkuM^w^4# zgsJA~l-O`h>N((p*XSX909fpZLrnjHMx(Y0;T=yymLmh)=xf6FU(pqKWo>P#aj>X~ zQmS!eD{)}3BB4B$7qvPY$1U2J2=CENDDT&aVIq=1akyczJ@5d1Dj+Ci?ck5!`=pnI zR)`V~apXwVK*lDw;nnMcNS?n+>OYgV5=v3!VhUFVZ5TXrKq^;31JTMvSx;_b7gwRr zv?rnvLKzOY&_e83mY%alCyDVfgT`MA*Yx%*`)LDGq0bA6f9M18RbY_(oFb6V17ro= zFNvnm<{CR5Tjf@=BAmzi1p1#Z=|%R(NS#vT`OF|X=T!r%)J1;B7S@|nnn>}gl}P@dxgf!%J`2^dk(dL~h~?y1ezH_iX~SNtWW zcloiytD8=$N&&s)?|Zd@ONy3 z^H{I#yM50+bI!S%4vj7l<01<%s|SSKl*}2b(*9U%Q_d|ja}hO-F&7u#FMdsd>VkF_ zt`#SZn&jV~xhNiClYGpUW`DJ{O<=pAi29~|JR5w=d)dbQz4*@P#9D&H_^Zb+LB5v;Mw5ugbZUdBZx>)g|t)NlFv+=6+!oilCRwP^dMalzA3F8nj!tCM+rG z^Nak^$v;t$|9%MV>frbSnM11TY?!W1etHNgqjaAGrM?rB{MsDYK zMDZfBo)yd%QlkQzyY|2+ujt@aSCC`r_cF>QeUN~oB=4W}6AP4v!EgnQ&)jdD=IMC7 zY8+1Q)h-lMpzgD-o?UG%I8QJ&V3S`@GnJYdv?BA~NbGji?@`zi6B6s@z zEz{{!=EJwEZ4ospU=xcQvI^4~+x0qc#+3Z0{B_Lm9<4WFPk-o&mO2T+)A~84=KAlX z0!gBWpQXa!Z6btx-_`DIePejE_<$R*dcKwu{HB+s-cmHduxsttf4J!Hz3uF3>HCWM ze1~+~`OAj26=CX2$|`Uw6@MxR2a5aeC7W;D8UftCxfjT3T*;U$VoD&IkV3X?_C(p& zh2~GtH$Ow0sQSE;Q0dLZiwD`Ql>L&X`2enBUmTuRl2^sAyMjZNnk)F@vCfxYP;POF z90Xk&Jd3{B;48Xy1`(lPJ6S&)oIhkRDE`K-dNA5K!Nhmpp<7yUu2pJ;TThGvc?H=z zta?d5b-1S2t$q(6t|F;6cT=FuU$*B~NOadfI^mv(z z`CsSx1tH;(qLLB@3lMgm8wh&_1+8!8$wb?W699f)ZQ!6~X1Y3$d4a{pwXAi|&C$4d zesmJ`Y||l|0e-%wwDOIdH-9OAEsAAwSR7~`uc;O2*OQN)>n9!Mw;la?$3#(578j^K z@^c=CMdH!?Dh>N}nB)jk=F_Gi`KgYoC!mAy0=GvAh}Tp~2{SIKO|GeBbuc5K= zi;qAPGClA1<2wxeOg)tFG}RKR_>WOw2Hw#%AV{$k1$4lM^18$KoOr|4#oq|8eRd2; z5P8vqzGEhJ1pQ*pGqz>RjMpGqsa|R_T1Q3_MXHLU!%~Tcky+>4Y`rcA-#fE zO!WfjW_!xsWl2iSh~O)l-2o9@$eRL_ZwoNa=7bk{!P*I!d$)axT{*!kjLdW6gV(cz zm=7W6fQo4qF%1b)Jq2v>S5PM~D)K0O;ma4k|CQN&z3b}<_VoWs>o0IUAAv~+1T^)B+Y`_UvqpenQJYt? zfL4>&3kf5>y6>tAT!^&H`YsK;$Z5iAaZ}+x)k>(f_6R`k2}jSo7|Fv|SY8Q&z+2

{%;6qL8~J9+2LT)?lE56_FJ)+DC6Aj%EUXpgw~R&eP>yqGVf z=G9W!kR3E-e^@{92+&?o$0si}n??@VV}toFg>Zl!GhbX6AePdl8bLNtUh!kICez-{ zw=K9?f5TOeHd3+_dBXyVKVy{})0%6tThA~SO->ni53I@@%;=Y*Oa)qs42IDLPj{Xw z6G2+-P22GDBhL^z5j4MMxN#!`rm-SseQnSQGI^s}zm!m&$AS4tva5hji}Q_>;Q&_T zRmqmqQuW^E_woi|06qIy_DuEbCSdRGD!Ri}c&DStwuFI~%XwHCOp8`m%6e=xcet0? zEnxYAPmGn*Yq<3~4P~6Lb}0M3BKwVzOTC050U@az+KHH|9#)t9)4PA)@)a7+9u<&> zjU3vJu@HmXm%~Ds2{^JmT|I!Txmm!PSQ-(Cip#>g9U425le-Spn7e}R>l1nb(h zf0|NMPe2P?@E%R8=K-JBqM`YG9b(~k!a;6x%K`qo+yI$rO;c(91!>M#q+7gLKII_i zvHKMV%$nJmvi2gm|2f20pO+Uq(kg<3GJ?aAq6g6$g9D}qf**`+B0YfzCzVERd{r^? zS2tHNxU`5^?2q({G-7#dAC2T)oDdL}>1A3!|O}-%@N4Og_=9RaLn$q7= zF6{*>lyFK_B^v3TmQ|MZ2&1sUUp}`#zC%qy{aFv-^g$%60ZE)lVEJ|kcCk)Nf-9>M zfGoyvDRxPUuwQUN)x_!41WL9HVdNS#YtZ&|C)8PLfCu0 z&8+(+v$vtu*rxTj4IXb#ksH`g=vjB}L2{N4RW2nj{LF3_x*jczT-Jsp;;uI)&PZjF z^|KvJ+$@16DW~xNy?9>X0S5E^tHVLh^EUdX&?g^8Sv5i30RYwRDAMM3d*Y_XPkR-Y zwv48#^;ja{%_%M}PEb9lxZMefPYgQi2Buv5^yEpKsQE?WV4g1T0eja7-$(zgOyTqG z6!I;0->X`4zZI(a>xqz(yq#?MS9JFpkEq`MI8h9p8;+Mp#-~2MLxFeMc`rD**P!|0 z>CM$%M@K{_7$op9D=SDT5$HXT8 z%MQ#O2qora-%C+#JQK0w*$B=u1@mJ~7GVj?er!*}27Ah;Dv8?@m=sA?^$fJGS_7pc<=s84m(gI6mmYj`+h~_}yG8FmpzL&_p zd8Yre`{atff8$r;>vISOP{C$u2~;iCp>Dp%{b~3T1P{n@p7X- z9&gflZJ)1kHYR%N7iq zcP5s}Jv5G;3*gpV;o^!wbcrsl~ zEy(}<%AK(2|MC;+%41Dhl<6X4DlX_f? zLuj9hK5ir>f<~35Ue&D}Ku#t8(dViw_EvD z)^Vr@2{l?bO^BkICaaiB*ht$lkADavO|mOfhRU7ov*mdQ80`uO<81g|0k4n`d=ao! z&i)zd$yTH1J<@?GD1OYIkHQCI4C z03!nNE!VT=+1NnV_w4lDES1K0>c$Q?n7={3r6HEHvzaGrsI#n;^fzaIgN zPXF&8-2)t%8!J2f+et|ealo{X)m<3TKxUr~d!*^;QE}v*uP%;AchAfMLLM!V$M(II zIN%1|M_!+Ft3Q){1HS&RdkPvdI^kdZ84gng``33Z<`{Q(lQuq1*MZ^F7TTDbn!=^o zX-R2y*RtV2J_5j?Kjs#moY=yROc%cp1yW&YwE)?Y%pL)6z8#wjs}jdlrxMacZ>?7A z(kL&exV>ls&i4UGznV}hxofLR9{GKk&%= zn-!(z>Q3`FHpJ$y=uODHmqZwk_uZ-6zlNCo2c{aAMOpht@d8od5;y8s13RNI{me>g}#$CaGoaTJzBjP z<1+Y~vdr&+!V-drW6#g{c4m~a_mQO9zh)gv8eVlx4MQtOT+%e~w(DIe^_$x=?}pG- zx!tU~sn(eWTZ-O~W4^9Xyc8R7y-Fl(p0o|wMC^4lnyZ9_sWiEMz`E9WUNgR&^Qlk! z$N8&i2@jVyUaE(0IRj|MUax0}r;g~uRq`Uv;h~Gf0@&Oi7IJh(v7EcN7 zNFpVjxGVA^hM3yXx6@nAi{K|GhT>gSi-ju60}hK7i(?NDkW==;et|A1x5Pjkr6qS- zl~Bs(rb@!!U5RO?k82O5M`YAe>mG>YK*Q7x!C(?V#4U||B0nBn)>4R~443pOk zAq!1p<92b-3PEMM1WHi?HYj}9GAs`4nD3Ea-Z}J&j2}P{>2+tNiesrnL(y2OgROV>rm!r%Ihvb1Sig!0h{sdZL!W3IW=#@}(Dp}g7dWES! z0VeCvhv^Lx2K?+Z0a@}>3UiV9M z!ax}+VIphvmJzBPQWW{H3;k|IH2(+7kN(0#`^(a*J?8}7b6&4UamNftmIO2&WqtL7 z@Nj)C1As-KeNeWx%v?tr^IjS3q`t}x&(47PzkCZ6y2tXo7Zwvkq^CQW*-<3&h{Mr9 zh|X45Seu9G{&^UTm8CVJI$2vW%4Sn5E$sfem~sreRh-w2D&ny)X8NbZ*AmnAY44{d zRs2y1g(w7e6w8#EL zv5il*0$KOz9mPh(0Qj`WwxY+gf8TBd9~^xYnx`;Ut-~FWEec0_wB3ZZC=LQG6HHWG zlY5Ryz|SpFZeA5TGJ@)Ttba*Lr<_F!@Mjnt%7=}e|L%~; zyvt@|{66aNit>;k$Q9R2c85D)4k){qw27Q^ota8xj}j=kd*pump$&X~G(p`#{iuT) z1URI#vK7O=Og+S>84$7#a0PwwT7AEOmR_ylo|6C9n5~V)Q?)oVBgwg0^A+iq&wkfL zcrZ(ZW~OY}X4%^%HVQ1g-?r<0QQ&+o;|S8oI!fa2se9GCq>Ja?5v(S5;oTh3;}mj+ z&U*Y!{(q+RfsE)lYJgL(V8awBUONN=lcUP6Df0%vB zwE2_Hu3+DQ^<3~B_*})TL_mYeo%*cib}yt=G4Qte{wVxrO7YU}>^$cd`1C5GzU=X* zHbcys!s-3MgOr>O;KMmK0Rd329Z5?JXQJEzvWxRl@IQ$B*+5JUe+Sw#U*BN>!Tvg? zUp(RQr^uqSAK=OZj`JASNBf!;nk%?F9R^HVyiW?6ue1 zCaOIgA|n*Ic5pG56o?&nMArSJyq^LjG%w|x6>H(_q)tiNd48MM*Gnhu2tv&QY`IvH zjN{=09QUL~$eMSV8D`_%t!UJp=^bL+C=&7n_VDLUh5!cTwwcgN1gDTa_tE5fV^JFxk6z1fAtpdqG(AXV41d1P)&Chw?&cTH4x&2Pb$V-xjPy zRPbSB0OF*M3Lb2Uh@)||cmMRO{e_JsBW@%!Da}bV3GK5BFmI(astfEr%|6#HFjFj7 z+6DU3^&?{q+oTb8nWCM9v81tdhByHt;LQU zs&p>5w}Q2S#Lned0=5C0zxJljZ!BceKqR6hy?a-cQJ0o@^n2qae5=KIgIYu*HDk(c7r+Xta8)wFzxKG-}aSLeZ&;qiJdA5PR z1X$sWYW;$8n}M*^TX)sc!CpqdCCV15%1lh*87;QzqEll>+l{SmwSH2JtsQs-?2 z6Vpbkp<30lC>6OT39(Z1h{-c195b+Zm(Rsn9EM=oiQPbIeyR?0Hx{S(be*i0cKaI4 zeYXF;5}Vb#0p^10X?gJ(vncQvNfR?u!-e)w{ZJvdFFp=MQGVQs)^%~#U-BL6;;4DF zEL~mcDm*>YGC#@0J{JXzmwq`E1NKS>5B5>*z0BC_VSi0>pIIwtA)x}4#ZQ4ifjzQ5 z0B?lvNbB0o-YGS+O;~e;L1xRu%*g7UyZ1_AB)FlUK=_D~;XH;UI))_Z`zEd3pP$hK zOHawO^mb_1&Ilp>_e&K#+yN+o`j^TI0d|PfmZ621H}hSoiLyGq*415>YM+x@EuoJs zzrTnX$_2gOYTKwt%gTDlo}$sC0>WYw3&GdQR5s`DTaw-T?F;z z`D}KvsBTARzvKK5EmT2I7U%YYr4;tV$QP?hg9KHcxdn`5LaL~e_UDXG&?!j<vTKd2uDXMVekb!FH_ZX(g1j@{2^K zTp;GIMQi_EgIcrZ!1OTK1z0&kOPDbE!MFl8Oo`oyPyrd%hC|201K~Bz7Mf$>)!;<~ z(nK9xnl7w1q94xeIiWjrW}J?J``N+8@>F6$SoDq|9ZJ#DKjAkq2x>P3>P{JKFA0IS2gBo za2>y*dA_yJGf>NyNBQy-6+n$q*8@~Fs16K2d)aLE^Q5`wug)kL`{%YAPuQOXmm(dN z6ywIIXL$qdRda%sP})kyr{YeuEZn?;l!=@y21^;f#Pc(eYkmO=NDVPm?u_|HLBoKcF)f#j;rOTiU``a+z5jByq!lU)9T(P z>OLlHUz4Inu7#*>LQQlHq7Z<78wqnd9%h+dF!GAZ^1#;Z89&5st05rqXF)JT$^Lxt zea9a{GPwI{2t|&HQ00{BNiXKUT(oLoqTURivaPj88PyRG;{K)9H@^;Lkw19=v|O=< z$g`4~q$MGr#M4yE235+KQRq*)p8B0lRmq#qe#QKH@pJ;pu|Wc+en|7?p&5_+!SbWN zdjgihRxHGaho*X8XnX_pa}s4TfJoCdZFiQ9!vuGYATp2cWEss_Z2&2Sff@L@Lbchw z!q|$*FKRCaGQ9RlV@ z9PXVP>L*@L&bypYUe4eh?YFoXaUB*NCKW2RPqS&~t{BcD>p~L1Oj18uFXtQz>!Ot! zSN_|30?0a(2HpGDMAOpwMd5}*t0G_ST7Ahb7;hargrtkBvp)q3rVaoFu_Ev^EjwUH zCTo16)aHUvin|D}TMg}rX-w|<4(|F~k7 zF8BYMmC{-uh@CAHPkxZ|_!h90tXI$2*BAps(d~v{;+|PseD4Pa-u%y&5-ZwhDGJJ|f5`Wt11GYJTI6$-$Aii{zkf$W@#yPB+Ka~%W{CB( zMg9yg6JAuXgg=sO6`3T5)FUy$&xb-Iv2W}Ed6G4{RiPo6{w@=O80gOnMV6?%RPQSb zUa*X~Fa;x9%KeW6eQrcZgh@DME>%Vtu!a{A?@}4M>*{8nD|Lt+x5QRwV_8W{DiaUCy z+KG|Td^5>wRpRWAV1pt29BY&AF968Doye&eZQL7=1!iwzPc+YovfI|%^+VKf^DwrGbU>v?&;l{S~@zX4u zsb-^2v?cx1EHcG10a@HK#RT=m1i26nmPEi`0(f2q*JEAZ6o!2DXiKi=Bds$jcwpH? zYOE=x^oNLDoQ-9{v^x|Q1!fi=$eRCd$BF|JMj4fCmO!QB!<0!o(;xF%eVchuIAZ-V zX$Bd)JfzZgn#4qw1PKV_WTos|Ws4jqtn~E_l2stOJ1W3_YVWmX)G&V{;ro`8pg}AK zmG`ot%nGe0Lbl6*9%4f%+bt+y)vmMIt^JO=U~fgQyf7w&h(rA1Xh$nD;);)^vMO(v(O+g4ZUO3W-er^m_0C4I}9s`}fh#SYVC z%fC)PdI|~@pp7j|CBhXrkO+O~BUq`&GY?+)SS-^920B2JHbW0}(X5y-mZc!^*{w?9 zb+4hl>fwphzpJaOrA<)Q-4&f7@?ZK6w{`{GK>v)UG7!CWWFG|O&p(EsGK&-+h^FYM zqei}+14$f4b1zLn>`WvVv)>iQST6ARL`~8Au);al%)2{z&;`WW@y?B|R^`Ix$HYGp zsg45KEqzy@zCJ;#7s{B3(O>DN?waBu#f$56&z{HrYs*^vq_OC9%X)RM>GacV_?pG( z2~jQdYB(XU0d*SE8xT+Fa*9Zepm1he8jKHsL$#wE^E#v zZZS4MkeX&F)tn&iej|=b8 z-!o>4PZG!dlzyjfpWTRHwd(xGbD*Uyf4_&34ZUBjWJT8*$V~!}X>|N?9ZNACzhfxm zdYT@{MNoX>gDHd&(;0shrc;WHqg3@XSLHZ5`hLCZ-optprCNy@a>5lQIEndf8~4!W zttb(DqmL;qF4ckk&e!cCN0xw`A*tAv9bA(3!#xCve;syulEmM zZvt{ZpAnU+t-SF+I%DzfZPik+8CF!@-6i10R;AH}U=!d`{Ic^RilsXF&Q35-5oGZ+ z=CI3!S%DQO6sa1X$8vt#RY;$bOr#pan z^Y78~b0LL`fQSd0reA;{l21;p*^A=lWoh)wy=1(ESAZds1xV7y+Q|tUHmqijhtJKy zyxnB=P2%|p8p#Oe$R>hI5r)sj323?~<9~^c&JA1E&w4}n&~VZsh1Z)(vRRKvsa3tB z$E*=??hGx!!)3r}x0|4?I{iI4YCH^aKIURHM?MxG$i&0+Ff>0BM60WAXcaJ|r-bP- z@LoTB3DolxMfTR!`tBjqF3PYdzoszG_tTdLPL^~iB2~E6u~Z! zkS)BHgmaH2-F(gQhTLKDTi^J(&f@+2ScTmn*nYGd*8Z~6ZPhBJaIc7PFcZkm(C^2J z+$ZSCf7_E!RnN`98`wFeCmnK9SzM>VEfA_hup9Pkq${6;v}s7Z>AVmMm+=lAhne7Dc}KI-L2fNQxrISqvJsR%!&|>3zV#NXTq;j!u&6CW*xwyZT0N8)Sbr6m z-D&Mb8qcAsZYBO^suRd88=%(pgJDNbG1)|284Z&k6J9@!B3!5AZs7l)S4DLk8_-$E zS&-6gk(%s>B2G(_p_)Gk@dGu(Wm=7nzGz;53~v7Gb1Sc|lZ2#Z@a#W3H-mDUH~(%_rkNh71q zcDE~4jlQO`W;m?*>iAP)5P0qdabnO!W|E*L)8-%l`-0oAZ%-JmdhT8Is?04bo*@)l zOcwYVz9+?ZtRV#dSYty2S!LMj=up^-F9q8= zEb>wq7SF(MpR~#;2E&eau7yZ(F29E;c9p9Nczx~z(S{O$Q%`c$2)r`zch;E^;}G@1 zagWNRGrI6?vQQF+-la&R^`XvvSW6D>Wx0TLYoleIP@f&)iamz&KoaTaO4_|!pUEz8kG=ry*r$K0G8_DS8ryc#C7!;DVNciMOdpsG zxaeaL$T9BvvSM2T$Pjy0zF|CjoIULu__DFOm&v%~g*Unt4D9Sax(g3!l9I2pzom7) zkRz$n{rp`+X5v_w)1L%d__(yxqK*m%2Jy#P#lVD|OcXw}wyzB?&TiO#l&^4hlFVvL zLaHp-?PT)vokmcpEZ|~~Pbj~M0o4j^pT)(>g?wbBwJoSs_Gd-P%d~?i~ z$U7ym0N5E2@VM%WL&+CEQ+bME1d)Lv#dp#Jb(vZq+n<=p4>yW=BN`7xw)~m0RjVS* zwc(R-tfLqf7{oU!m=c#Kovh}-F<52TW5bO{!;elKw@$ zoXHv@aP@Y*3SwUEe(RS3WS_p=XW@P@#3UO|urcXvZz6j%K{36)KJBbO?C>RMqmmIU zuuY&2=pGQk7RqThYhAOyANBR+v~0J5Oh7=PhE80OGMIJwRoZsLzZNGv&_3(WvqrVh z+H~rUwPiVu z93Fffa!_-_I^Xx4J6@{kkdPvN?wWPXC}fqY>A>Rl-3QN2Z;S3p4C3s#;BObvg!WAv zYWL!D;Wqecd+=r(C8S69Z%Fh1WD1ojVqaW`*s_v1UvBDYi#+yQnX;=hZ*&hU5K+Z5 z7#tZ_T})5=B-Xvw@|?wpMk|lCTv67b%R1d1Huk>Y39s=-0Dd<*drhPInk&j<%wC#m zL>MCtM_Vbcn0APZN|Vt;W8A+(Q#v$C%_|sSKCuME3`RiHUGbpA1E#&m3SlJ_LXx2C z%};w)Q)x2tUoS~m(9utupqn#)$X!$Zk4ZA0p?ssvx(IL~Sz-9$diE13bD&Ob zimZ#ii`*;?{$qNS9bWXQBn<|t>WstihU-hkQUBVioKT~J@+`|N+s5bWkT9d+rJ>Tc z-cW~%A*wDqZZ4+bMolN)m#n(dZ85$gaa4{Lh6@|%Ze&^}2IS43luA5cxcC_fjj9&_ z=2<(uz6uGcj8lX)X>A`2@J-kC@i=pZ?Vlay7beW79+0A?loYSM<^#YPa(CGj(>cX zk*Enxue;ONch4#yVVXm9>N^h4u>}uuCv_ny7`{9CE$4qGl3SR$_WRT@x5tY02vA}R zmps<<=nc16rZ7Pns?4F{#4_K*-4M*7y7QIDOw12zmS5Dl)KO$kR4o(O>fhzae7299 z3BGSOSg_Lq<^1r7b@OH7 zL7T!QhDT>%8lxH5S<}Q~*Muo=m^Qtb8f!iq3VG0AymoGWdU~SS?a^D(+bg-&bli;bElTVr0#JTDch~B;&PRm2AE(G^=)M_|r0~TN0VZiH2rrib zM~N^@l$!(&loGP-Sv$+ca|Z4&7Jj5Z&OT9PLc9}oJhv18 zB(D@;!N^Z1IBKX##5Owe$9cNeT}ghN(e!8t7XCv1A}IR>iF74Vu9zl~@@QH%J|XFu z)TlzDDlzFOeK?K-l%B_{yagewTt&)R5x*O3xHvQwlJ&6TAwkv#jkNF31@rTLz-Er6 zTe*)!+=V6$Gf~sCkhrT<1p}q@N-E!y?He@jAd^H#OF@sAD7DbqpmFCTzR}&pp<~r` zGOy!xF^CoM>rmJTP=c%!iJRdg9bW1I3z3H9ZJ|`yFfDfbNsf(cB8HPJXZAW%Zsqd+!g9g@DjT_WQ>mP&oc+=2k#C2^M?GrH3=gunFe z2|ChcE?t6IKbEncE!QX~dmQV{=$LL2N76PgQdqNUMz=kfl`kBW)zyM>ZMT0HjTrl2<0MkN;ppc&vFrkNlOc;KEnD~;jJ1kzQ@Z%98jF@V3py@~Q*h}AR z59H9Bm3P-^mL-V}>Jq-)bH9U*m8{-*-9Z<5aug2g9Agz^BoaHl0+7Pi&UpUpk*axC zYe>8mDBE z?7Z}GCXez0Z-*g22_w(S5>(aPgk2Ynu=7qz`hqra{=+k<5U&b0+{6Z0On(3 z<|^a(yH#r?Ul%vyB}^O3-xNuGDPhn4VPpLgO074-yBS~&P%reWB2vwvb?5$lf&y%r zk452-70KT}jWRxqgmsINhSs2sqYt)TMg4#PTUPJZPM%A?_m&%&Y3cIacE-PU%~^k( z8)`*7uV(i(vG1dLzxH?(E_K~HhK+BBSgn@Afv^G$x=(SN9omo?@=XzJ5=34Tmg|S7 zw98VmR7Rf_NK(#v*4}PjDDa;wfQv-jN{YB=CpFE?f1`)Uy|-9osv;I{>2D#4A7#DK zz4C>`Gfm&Z?oAK0CF*;k+#%V-ghQBZK+v=Rc;7s>5;r02e%6Q_LozsTeN*)ad#iI%gH*x!hNLv{1-#r-V% z71`YnLQ~TYHL3JSlhTB?{YRF zZyc2t8W4^gWx$o`x$^%kzn=U#6Vuj5 zw<<-54?y?>3@=&a=1ELKhcs+fS#b%bYTe(jwM2s3J(Lv*1;o*qurw5c!g1eC{9x=G zbP?J2%^zrfn zFy`)&?;MPcN0zs(HAqL6jWnbU3IHQ=NWk-|(Zl{2h>?$_esSpk{a~w^rC=ZnkowNl zdDb+}|HOOS;_+9&xD@D>h-vzxc@&zEu|_JMFJVa&t5n=5*ao778_rF&%nuM;1yAHg z99{aAr?uj@OCxdS^_v}fl#H;bMCS|KFyhP$X>(osNEld?GcHNC#%AfP3YYdEeggY+ zc4O{TAZlG;(DF?+4hhv~8NvK|Z2dF_G6;``RmAfMB*#33!|#0`ylKulI2^!)Hu z933Z#Wm^Ma!qEe8iq^7SxoGtByhK89-Od@~^Q2bTwL&6s^Ru;h1u_CbeN^E<+F{ei z4c?OT#N9MkAS;ZVp6-Dc;LiRk#@0>lrV@9gGW#hWEaal^;h;CxoB4Fxn_!)sb3HM< z+Wj{k5XN2RXAJ^EPOU*BBO`wWgtneNEBf(Wxfio{G)>wA2C7=T&@{DnSXvUy7@#_- zeyqR+h!@Z)rhSZt-!J(C$%rQ)BF-Nl^}b#O?3`b1>^yO085vDu&q-E4bBoBzzn=Oc zy84;N<6;yf&^Ku1VFgHVt^{;JIN&kdy&*mSKmM#E(kN9blYZ`ucZUz6?*$=S+_TN zCyWf(I94-oAv?Is_#-~Mw#+Tz^oH9^%$c3+l!@H;rBbXTE}zD#W5 zw7h(YXKrz=%yu5&Ko!Fiop!(AhE%D^3#UM>WxH1(>A#Nf3?|rry1)s!urKa+{9XFa zRxEB-R2G&(Zn9asZ!fC%?jNnNbmA)gIoy0C*5W{@|OQ7u}mO z3urfCRdcY~<=y=)Kl3>;@b`${+P&)wl+Z2k6Ym8d8-yJHWpMsKnNz@w_zpdld<1ckm{6@Md=iCqLk#Qv(tvwIqP|C_&z~mLYN| z3_Qg!JmN0V?wpMFr}0uhQD1C{fWw>;*BvG)h97*qL`>}p=Y{Qlz@MjK|+tE z6%qs?fV8J1dCpZ~J`7>A&FBURQ)^iyD*VN-Y>(y^@qYUD?S*K21eIuXZZd3oVAm48 zs7y*hC09GMoj1>n9RE{0F7bD-B!T*Pk+R3w3@s|~4qe-?5B8&O9yA{siEmZ=r2GhT zf9e@1UiO%Q1~5lGUY7(8z&kWZ`0ls2p-l;KBzt_Xfutrm>{ESaH87}`gQBR^N}#a8 zqzDZN9bqo7m{aF8NeF{FY=)7PWa`4KbCbuU(G60oT|b!_0Y`^yY147Wz-Iz{6?GIY zS%#LwJK4&mBr7bsgR2M#RN@theB}~z3qa~S_WP+9*231%cO>9xFOX&Ug#aS<(LF-{ zd5r!8RAK~`F2OA1Ze=6s$ktGEhctNII$Je5FPi)T*a1omjrz1_0u;$l&DybU%`(~# zu@G{mW=7*6D0lbVzu2gcG>~HfDWTR8N4*sTD!6N<-45+WS(1+aj*v2-*1%*Z|Ug3dqYi~ z#;YL=?di^8rFdHKZqOol9e!cDgc=3_cjFaR>)?H*`8aSznMyaZ0+ zXGQw(PhCVgg_%x8XlFMoH#^4E9U$)0*{WVTEV9l)U_fh22BF9EL0DFnxiZ~>Ysn*? z8k#?}Hb@lq>L~qAOfrkW2Bvz>HE+am>Z0$HQ?1{Q>QIS!G^-|?UYZiO1-wc)Y(>nj z-0LMnERXg}GZ}xXa}9!WBJ4=cj*WY3;opuh=PG9^7h6~=EG!h$1z}3~G#9fBcl@)o zeXr}_mzpkW6E4nfE~sb4xzCDF&-A>%r2&0VK7|qK8&s>GdwJ;(dtT`F@{-L$vkDgRiE8<;KPVuBMRor%zf^o0nv?MoFl%&|qpQA;AdKh2yP!;va)! zdMcVYbtS9Pk#T9O%x27xtHe;=A2W&U&nRySUBh4TQ5EMn*5!D=U*mB`>I0g^-%?gn za{u!jTU59sTz~b5Hl(g%I35zxcv7eYT#jPuH={IWsU$b>iSAU9Ft z5v&}fkr-NWZ*b6lJ2RM2`gZ4~@PF#|VL{?4oRlYG{#Hny+i{W~Zp}1dbgnW(F2oEo zG8OtdS?X+$XPB>6q7~nm>#e9M`qrNn8Y~`3$-Qwdr{U>IlkZKY zMRdi&*|D1I!tM$|%2N(;n&1iCA( zWOd&yxost#>diURU!K|N1BATn7h4fYuvlh5A4rUU0se)twI6a@FR}_M!D}abpiYqJAHo{!M-j2U zU4ctgczqvBy7Kb3KrE4hLgJi@_kK8_z&v_jib)&}OL9vXapi?q&zog3=qw`+>Q++Q~jweDHMD3>)!vv*jt80`KayQbPOHRHIgFT z(hLZQ#L$S;h_sY+=KxA7jnn{=QX<_$H%Nzccel82*Sq)o?0xL@U;Ft2%om;E@V>m_ zJkQ^X-Nee*yN2Lj6BR`ZV++$d?4J#(@O3g&@yKoEeE!^fr>=P-1drtCuL=d5U-3H1 zpu(fbi_><6J{{ITge6X?Sl21wz|sy?9gsG%`tFhSO*yB>IXqx-;5$q+Gi0W*4m|{2XEqeB&`{!=+x$<73$W6pxedc z?XEv$F9HR=&y(xYb4AsquGoFiHJTSF4J&!A`%QPg@DqolAax4uw)8n%SdHAQ+Q;cw*cE^eR(TgthixShD{ zZ_RjOfb9PLclJ9qeQrMH^cO0a7yS&oy1JB>Y?V!7<#V5l*^@T;pS>a!4ps;^2_z$p zQVQ=z1Kg$iSKiwmjoWUGd>tC5O~F>>-RHfD>WjglgnF%~>g#dptZ^!Az}Nbp@*j}6xFDTG6ghFR%`a%1<&+$% zaf|vr&tBrd{DWWfn64Y9rO6`<6cIvwZaG39JRjE|5t90z1+@rg2M#zCL_2(Wpn<%c zbjJg5teF#o=9*u$ws(y0C#fM|HwfUfIE`qyeiL~ zw4QN~b3xka1*er}x*OuKy)A!^4hKB_Nq7t7z>yW^fX|^C9*ER@Y$JzM<^ue}sBpfz zY)srqu}j<^6747}Gp?7_7cZ<+yIFAGkxC3BLs3<%`GwaqX09(rtjU650?tIy^aZD@ zMciV&ysl9RGpJ685VGP|L}@(uXf(3#mrkk3@tH%Ia*;6}fe^vr=b#?5Hezz?nsN2= zOL$!!dVz~(i>ePKOLec(efJm!My{u zZ8^ha$Khh0ltNFKDd*uEO=~}$1R4l%#C&hCc>hfDe#YEDI)GtdYq-e!;XcD5MwK0x zZs2cPT2lDM^}z(t&SHHRw|AxED*0X&2MB{IOzy`Hc%%$`G2$i@0q)L_N$o`< zic_5iL8~Rk9>SqLzH2HZ4j=`e*pg+Q{>4r}xCo?i)Z512JV%AA8_=-n$Rd&^+^`2A zaFXRsb$U?`+v3Bi$_DL@XcfH1Qfc?sQipk(+^Xs@Z%Zs~LBW*FjE$A9ug_IA)L`DW zG}_}j1#znR5K0tv1N8JLd;zl}@efgCwlgtdJL&vR2#tQ>0ETl-AfiL+v))&|xUcQF z9`tz?4UHl0RYB@iMRp;7Ru7B5Vx}9WP1~d5omFvAutT^hqTd&!iT_}Z-ajFk^lrYP zBfRzV{4HIW=Du<&Ea`F4U=Q4Al;SZCJt4y0e9`O`uDke2NgA^?b-tjlZ&070sjl(s zl7W2Rl8;{139O49lN0yiWYe$_$1xzU5%MzR@9e)xh#`=ml0;C$FA;G-F*ngbKdAl) zJ=;kS6%O}h!zd;#D;|9T{0oQCSTV|;cVx)q9cUhn`?wm7r_zZcQH#D-JxeIqVRSBs=@pHnl@Z!u6TgDUvv zL?IP$i&T@qot}~iy9E>d*d=<^OXYtmNQZ2wfByIr5FafKaVgmu-u~_?A2an7GyHO) z^^U;rsmjD8@2Zs)+kDRE8s zhB4^0;>V_er_t@f_~%jPAuH2hYiE3Ep|ex}I;Pyl1;6=~wrERfN)I{$T^?^E9BQG6 zW$qwI^*cq3A?LCACL)2i16|9ZsLFMW94xd7_OX5Z>rd+E7&1l(%U*1Wpu+(mO92Py zaUi~G;mGiDeW6BaAm|Nw#1_98*fDmoEJKl~`Vytuozcx$-_Cen$Y;g|@W^N-=2q~; zpQi3_#=c^8Z**|GX@H-3HI^7xwER{Dj`_;v_J_BE%H{0+8^lm$=!$%-Gz}U^BU8eo zQSH+eYmoWh0H2=QV}`7ZMtbOsgRpGrxa}OUXoBQ6L|WR%+U3^ZX{DQ2QsKxj17QP@ z-F2;{h&V{_Z>qO0=$;7mf;A+t$lqtOJfrnmkR-;) z@WUhh*%25UX!V0B%Otr(D1BPuF=IV=_B@-WCEQwV@NXt)Qnw0v&AjuuM+8-IJZ$3)GQh+zCd3V1sMEO z8{n4BALVKz7G#J>2uAx#hx_t`(@pxu$mFWbPSL;H{qbca(VydO z$~d!-6G~Q_tYcdT2B}GlJ9={2ug zV_cMN|L7uUp@_Rh&92jNW0Y2|e`1h8OCTsxxFV;$vjU&e!2ZZ+$cIMHJgn|0LBOs} z&7y6SSC}to#BN0aO;1;}vBl~-#n(>@W1PF___%XdvRC0Pn-9Z;Tmn}zq)gVI_7@J-BH0k6V=|Aw9s zpp?HtD;rszV-vcX1ZXy1Ygi%<3vB@UVW!TDtdW+s@bECFrZ#+ckwM=)e^k=lAlDY_ z_I(iK@xnb227yTEfjo=V7TiD&Lc#>1H6=d)t)X40CL|b3Se+^t_MM1aymuH!_qYGC zxTj1?%ph@e(@7HzuaFtI@ev^ua1E~|iG@XUfu520FhOJy)FlYH3$6O6o%wtWy;PYD zj!ey!&J@(^eS?yUcR0DBAau>6R}s7z=VN!FB(~NgIf1rx_^Q1FC-feZNsrhO}P zM`wb@#Iqa8_(sRny>$8K@G{t@xMd&&JuC(tUJpU#fqI_qzX}5Z%!VqTSXDRQzYdpc zhI#X9t6Fr>>mhN&Xc}PT!TFP8SpF_ow>*2YFy~icj&AvzZJ&rP9LHP=IWAkk-u0bi z)beo2Fum&8Psu3vXJQCyrGXs6Qtty4xciKm>2A_6y+iZcy~Q1Wjw>5^&&+X{C_QF0 zDa~Zc)JrC?XfzpTb_Ebd;A5}b$i2P{ws%5?1R|{yDj`qZk3w)W9t4h}4oYW+Pps+K z@tX;kA@e~oRNqFQ6J)^9B^&6y3j`kph7fXY_pYP9Eo=}(H7OJ~1yM{yEK@I!W;SnbqAHN0fr?c3CxL8-f|J#$)rptxYo z1|D#<=-l6bH@ayFOgvP6FImVRC}MkVjI=qxZpY+K1x)JLk0+o7Ql#L7(R8BSrh^Ob zZ8x9d_j_-A{Lm%lG01mon&Bpm@J~mmEwN+JNkR5a=gsxU!|6s9ZrsiaYYXfsfhFe5dw@>~lE|nL zchXtckLB8md<7#C^zza%uK3|Uq;63aIzpSIZpE~9d(x-)AzYcV z0;!6UpA;T{T33;Ud3h6V?7T|I-{gBH2#^^Y6nE`1!@!egC0-3dm|*HKIu4G{0%kv) zh}+;F-u5FSaM4J|sZ9cagtP>dZqMMoYf;gdNi|h>#eeFd4mpBWlmhT`yR(+Yekl3p z*vXqkw$NUi1w=QIOl3vN%z&E|d}RK_gViFUrQ+*wfw(_DzN$Kh#X})_VmxO`EQ{AVPL%JEFf|-j*pMO20Vj)O*cc1(i0o~ z$bUcg{~u)XLrGNgDyVR4>(K+lAHeNbS>4cYAHO?CL!@6*TUpy2-9Jo7^t5hdhOS={ z7S*M5pnHwN?*L+#MBy;v+NzY)Mk+{CLO^)+_()k;FaoJ8M98P5JQY;Tqi9`;ObMYd zY=gqWnxf4M0hh}9)epf{G`OV^4yPo_6QS^q89oXs*VuS;5?fAr`Y*4+a8yNWzl_(b zq2^9791gmUd%Dn3G=B=#Je#5O!-^n0VH9f=8SEMD(Hxs$@q_E%h1rn1?gDxxOk6{u zxRvW$dh)nwvcZ7?DeGSp4z6bMc-+P2uxyAh-8u}RY~?fP-FwQ1gXjzTO=899HKQ&% zL6xWFKGBeV*7b~0ScSX}fJEeW(#ezTj(jzYdE<9JGSuiAD=qT~x_xgXb=0!RASGq* zQm}=Xz8a&wF23~pwqITO>Ikrq@hL3nmnu!t1{1LS{shkW@PzS9)3aqD1)Y5HW|Al6 zRJP>p{q5Iga!Pg~S@1LIRNqWz2#x>tm=ZOsk+erl2@0Hdy|7oZ=LssX3mhMl?7n+1 zkzJu#p7U&qW|H33(9QKVh$~M;77>i0YxT*&0dcarc(kl!6@1fJp339m%Zst$_V3Lz8S1Y@;Nt&6>F)CXsbDC0%okj zyj|5vTKb7JdmUQ)vT8-_D2PIlr`1z_n$dHC6G@6e8mXaf=%8ZI?dNv1tOx@zVE6Ht@pY+hA2d)rz=W= zkW$9Kgc~|yNAJ@7F`MxLFSj8a4MV{g4sWQDFiuqLNvS~d!Zksub-F1*{ z7j!>&p=;DwA7&@2SNT351P9Nr(U9EXGPSt2+inj zKB?N!Q~dT&yeKj)H7geyjwpUQv~l99yStDqqk zj!)AX1NnPT0F7+y3Ad5^KLGve)-djue8?j%4n1#~rNF=ME-+D|nVS=@eAl*p3|~_+ zA4|2<9C^(QgK|#5nJF%mrn5 zi@f7sfX#gC@A;I>OzCrr0kr>gfBBy!?~v=+H{Z_xr3FC!``E3BY1Mfj(75+W8JKQ0 zRMmeFrm3y}@T-)2AE1m^oT$w_hWS7LZT7vr8GpE2Y=sKy=};5e^&a)?D?m+_6xN1- z0U(HGuLS^fHbZJk?f~8ub!Ek1G*IcTv)@WBN#E{w?7K`PoJP)Z+?;X zjN?$#HqFxr3Sosy(P4ee1-yTPj^Z)+uup~tnw%Mv%V;{WR@yb8@Du#Ho+FY7fJ4`d z(DtH7jo4cCqq>c{i4McM5TpV`DPircqxVfiJXoH@M%#k{cpP!H?av8_AcV%yQPn*z z-Zl(+<>SB|uFUHuKp;+G%YEz>1c`o}W>2LA0@4R8+Q&cPy@4q~KuTcdQHa##{nQrQ z9mCpaEIR(&c;qtFZTMC8D5;G3)>|CziLIhYmR(`*5O6Q)3Gg(LlsSl2n(Uc1&vju< z8d8?Fgb$lV#B9yejLU-UrBUHJLpFQ9Dwn3Chp5nPw3188r~A%&d|&a%E%D%fvMYU6 ze=%HD^FT{C__w7?VO(DO?gO-Rdy`T^wmyZr?)LVRSEENiM)zv!w9foAJRZoqRYggV zv*g>4us}mYVZ{72Y_gI3+_ltZFZbp*rO?UJylyNR(9S15@zcvczs2-$xbnbyNIHdL*Zh@0+pI2FUF-&8<_BhodU8b7c z_Wamd#_{}E>6HYLH@@U)NJ$tdLjx~Bg!(A&l}>YIO%QF#UPIB!m%Z0BrNVUwJ84hV z1p4(eSyLR7!mTJNHUE`Y24Xc{JE_CE2HuM-nAK_+r2XZBChbCep~3wOt0VOF7aERr zMl3o3=@b=Kd*d{H0FOAB2M1~Q)$P`iMHN2n2<7@3E2LsA-a^AQ0R^j($--?7q;3@_ z7}-X*&L$Qf=WkK)3)jB2V@tM@<)esvrOdbO+n5#d+k*;SG;O$!bopYk4ZIMtSK_@~ zu$SWdPyV#Fkc>9#gD3bh7acuMLs0|EQL-Ih%CwmtfS|^)Qf;^ke~fv#uX~e@{&>eu z(Ci$wHqpr5E89;-&Qskk9@hS5$YF2Y>Ec$}O!^P8fnpT66MJq=fcmBDFU)133cx%H zcY$~L~8?+DWW(g2$eF?)z|;&KU3vOkfl%EE%+ z=QqZCQ5#B{dD*5{WxiGwjd?n^adIByY(BM;IpR(~>^vuv5zN%nLu=QuoWTVm=;Pow zLoB+x0Es4}nN{mwt>4BM&++&X@J%uC?k#I8XGq@S(|ytRbLbcl(5JKjJqZdO-kP!Q zgs8jb<+{_glyFjV(hA3He zsI}~5Wy6s;u33(eVvG|KA~)&FKqPfr7>p|n^<3Sb^`mtpo{?x(8A$ltHXsVLW~MDY zhe7kF&UvFa^W+Mp-$T4Dl7o=mZsB$%CUUW6NC#R!2&mCMtmS&Z{q!-_sai$_FQh2~ z^tK*qZiST)`ItgGLQlcmP)Ie9(%gaOedI3TH@R(m9py6v>(O9$TeTXp?hvdq0ebkS z;6~RTW3cB0qh4ToQ3B>ls2?^*9t;gc&A#u!rIj)*3tkJYB>CQSjT1Iz4FtN-`ijaT z*b)i!tbLdp$o3(jG;9;Ng(m7aPhEXPc0CO~&A5Iqe7)*^KeRWhXh(m`6a{4twx?49%5RzBe~YLu3^05U``!< zGBdoLBe)%>n2C$UiC8;`-?l;J^FnsErFH>ys zBGQ^B`g5k##Ma|N`H2!?MbKU~&2BYk>*B9Pg=Q_y(qdbYM@pYq@8~|>a80`uP&nH< z6AnbW6!Q#$llz>e(jyUP06te(tMIl9HGy(DboZ>XvKmOHnVfQKZfW^Y+Dub(Sv)f( z{Anl`nx=rz(W^G-7n*?2+D&i?ErjXKMY=&Q+R)Xp0&xruvo-*ma5Gu9l102q7s zkdU*nv4(9`bN#zbfkg$M1bnCHaXx!wN+zdsbdS035DnmN;Vg}0pvjKGHErBRgocOH zaO*@Mg7gV&se;chI=cQ!^{goQgd5x3-g`65-v3pjkbYoUT_7c7^7P4$%X)oLJ@~Gq zo-Spt?$%P__*FLS=JP*Pn;>k7pp_nfwA|f-SW_G~6iP@y*5>uWn*F8qc67zbg0mK| zPXVU~^vVkzK0L|GZ5;}4fS(_9>!bG4?`p+%A|JzB;oDdDrb=&Kzh(YUQ(%lD+Fydp z;zgOq%1hJRpHjb=ZoNi({#=T#o`|-fE__S{(Zx{7AyVxmE;Lv zru$QO1q&D(32IrRKWcNl?{@qfUURu>;r0KlZOUl8OsFE*-@^Go?V;UPv#>vAlo!oX zugj&b=>D$Xx`)0LFV-QrhzOFLS^voKE;zY$p&!+;=b4IA70x9S`o z!4Jwm`BJ<8CEaU_vm^avkUsrm+`|Y2yg#icqNJ-Vg&|~{ec_vMn1b+8Y0pXKAv$)?RNtMb^zdxC-+WF!fm8cy z)DJLntyF2-^i)xUFzT&u({jWIEq1xvH^6}+iqCKx1@3#8s<>5rXXqt#ScDL0!BpKo z%#rpRJeQkf&kG{FaNVWTQ;#g-KTX2nm;mxS?dH|qO*PCEy6cpgo|J<(XO$-JJ(q{LhC=^>H-R9 zz!bthoDM651|sF9jJ{VS!vO1W3qH|YNK+q=!^WnpEX>}KcCy)i;&Q^N$^=N*8{Nz4 zQHFg1^Nx>e5BwA*E>+epm|l2C4p3ZWY1pFT z_i@>T+3sD{M$%a1oXxXdV#`K7x-OQS2RGk|1Y8-IJjGpnyDePBd(OW1mgkzx z$`{NkG)^wh*@!^?lg;kJZ%jQ!~SlJ88=nrsBwxw58x=Nw0XNZvd-OT(j#1A{sc}YI3dX3~liVYAY5Q z$W|K20;!Bqqdvpe^-A(X)hn z0IMY!etIt1Kkm}2kw9gkn*URoZ9IZKem5Q0^ao+fqi89dk}WzvV_|))pQpmgy;<0R zTL}ARlkJY@pX6%C0aw+sIewDyGgPS4j7D5BElNMU_=6Gb0eP$b>C0o+#4hF8NA162 ztLeww(y7q|d(M6LtcdR_eeNPRmCg(ME&r)W{M%eDum!~i+ye(m)0;=W0Ijr<_Z5lO zb-tq~{?h$L>@qE*--h3-f^X|nxULOSzPm1_NXFk+Bde|VS0e~5$Hn-%Z7Z9< zqdFVAtOT~vqbCJsNayT|gdX1~NB^2Jl@XYrwHJ}VPC5>sGMem^ZzXiy90Lb@L>4_C zPQlq5hx)NlMB&QyO(aKpJ_hBpjZp z^IG9Uegv+{?KwS{c`pV*E&;1-)NX$3lO$QhEQ80hb`dNT6DqAd`{lD?Pfm(Hq4aP? z|LZe~YhK@vsZ<#-h98?bkyN|!qjWN9-JU6y{?gU27M&LuF!4Y0K=eqN|H>vDXy-4= z#=U3WxmHe7o0b3CGTgxrzIkkx9+!l8KfMroPQCp|$UQ4c1 z*Z8l~U~8mYd5Cl^PgqL<6w=~Tv^2bi*XqMOMz4(inc9;N1w>!SM`6JcC!Q@t@8&?w zvOazHWhXuC+;}S)gBm)aQniy#-8;}+c~&!~mN6#erhVweaEqSx2`NqBBmMc8$WK2s zklUUcG{Up@d3$sqr1(d3M+6Ws5ReNizNDnO5NSAM3|I0?b54mfZKOh=;R_d0xMmBh zQqee8La?6+NfCIMyB3&$LmI}9Tz7R_8g^DrfHYj>@i|rqep0Txr>}G*{q9A{;q;7r zxF0dD6XZ;G&4=L~?Px{pWHZ(jr`r6~Q|@om5{0gkI*sT$4GhH@sHCaif^l4)y>;VG z8t5fcHRxr|;$<@JWzOhh%2alG*-56HuhkH;o!;oWa8a*>!qD!1-Y|XeuWoP;v9#x0 zD%d$KTP1gFlJOJ~dhT`REu|<|G?WyXlEqUZa`C6igI)IX+bzGDKW^@B`X0JVQdgNq zPC=8XvgCy5q&8t6h}%$zI!NW@;uMG@_(FaZW^=2MR4B{?Kd3?SG5SDcEDESUUQoNn z;iHj@U|=w1209V6&LnTd?q)Z^jNo`d;x643kyiL_77$L zC&))eM)hHl10{8Jz66v>`eQEx-@DRYF{?iFqRNZ7FvN*n)dT0i)8)z-V5bdE~N zPm#`s(ZTVXl@sz{NNwN2J_p$S&D-8IJQI$Y-6XdxU^kY6&(5-$e~#BCLj$t;j_=X{ zVmt1mCvScE9?d>tC69n!(oQ>bT~SgO#)Z1+PHh|_o;3#U)IV1p(QFRgnZ;C98_5)cZvd5B$J{2|opk{_^fR+@<`hR~57YKTL zV@D-6{F({-sF4aZ>#Vijt6abKPf}|f3K6|l zI`q%YhJ9=FYL4HE&mVf_Vx`2f2ox8d0*7LL; zD$aYna&Ic3`eSSpEQq!Qz2t2UeaYLz zbP>rbt~2b#1#_X(D$HG_gDMlw$w!WhwadcjdkPAiED;~N-_m1{iLkSerW6C=g6|)N zs9?UR?=M5G=Vo}q5>dgj&14Qo)e)17VUl;_?EFa_s~{)lHC%sf*z^&W0{uli_j8m8 zx8;)9U#cy@cOD!gM4Ri?-U0TePUj27`z={q53g8?SdoR>?38E{n&=pNr!93=Vm)> z{>UztrsufmxbTTYQFBj5 z)mWu`>Qwmd%}3JDPjlAl?cXI}lsLy1cQ7BJKARdC=F6!ig2C4_xyoxRYJPRisgFz= z8_m5lcdHGzYcw{RKRBsChK15l&_g-qGmU>AWUO3f+VN(`Z8_+(b!i~T!35^GAo4hX6?UZLHwprV7W`eG_9fs6azv>p|!QDaS1 zw4HvXzdd#1{Fm*khNyfJsInQ&igAm^<_cGR=Jj|hHV8eU!T# zCK?wTbGhO)8FTm3JP0OLU<159H_D`~QOC$0KCp4`BMvT#u|1{jOH4MF?27qV&4YZ| z6@&5)C=8u{7lSMb=LwzJPgFQQ8AT-8qeTiR2QlJ@APQE7*cKSVJ8E-eu^cCVKoDI# zJ`BjhCB?QYA?(Rk*|N5HZrynuNBfpCJPLS9Ibjcq?FSsjiiEP~90*g5xF{`uBl)HJp8I3bR@#d& z-jXpv=r&ZPxZ^6NsXS1xr0Za_VG`+JN6DMs8s(C#=X7`q0#ZK>+Z_tR^JF;+=&p38 zX~)y&-&TF-3nND|jz_UNBGvDjGZXx?_DZ7xETjD!E0=LO_?PW!cap0+HSw}9#_AbsZS=|w6f8vazq?hQA~LfxN^ z?hIqhcMkuiBK@mOiS^>Lv|gE0ef!fB(u6DODB*Xy`UOQ?H0zvC{nL5Sh7|!v6XTux z&KT9#-QNb|(ndWPf%<1z$wU{qd}q^8-yRv6iFs>UtN&1noVIX%fA5+0giD`;eec&8 zw?>{V9`)$>(Uk{W)ULScFa(g7dv6OdNLGfP%+36E=npbwgoRp}Hbgi|Uy-(4M^|0{ z)E-$a(U1u+ttpv(w~EeqjqiQKC2Lfs3gLWa<6tFMJ!^l7jckNzP4=ISyD6t(7qxSBIc!? zx3MhJ)!SK`9PB#awANVyjqik6?tZvVi+8pBFfYoCz&sI1&^rF87D$t7Lk~lIe-arY)!p3m_2-B(a3zEK8+4P7de*&Gs^5R_qZ=U^zo#)karhTL_4rg zI|S_As0BepENP<2Ieb@f)4i`@#HxkWWrvnqGmYQnYKUK&WwDycu1L>=r_$$Nn)$g= z+m+~7P)syRye8xT;_g4XV(IMg9K(%SmntZ(W5r8AWSc>da57FPm09TAiz~{f4Nh9@ zo)`uklzTc07672c2m*$Z|pwc6-|k;qkN4 ztBSoM&5qmDPl0}d?3h_e^dJV3y|_hx1qMN;U8c$)5frwyGiu51?ADo@vWObyp+Je7 z`WQbf?sY6qe~O6p_Btuxp1L(jTQN2e-xhpfIkz*vmrzPD5i z&sZ<^UCGQRi+Lw)6OT^r35wA#&apHAcSx~S_UO(fL*3b^F{<-~(nP^e`OXe0$-AG= zB?BG=GG#nc_qK*jkr&b2uHL`1&!JyZ6#R;VaDSE-Ws+|@`})#VpWv1iK}CK!VE-aS zEi^6@ot~!RSjZow(-Dif;|fj2dkok1v$dgMr>L@cQyc^N`uPbyAt@&no>^Z9XVJJ+ zP~(#^XN*T-X5i)va*GX{g?jjyy!13a-)wrjjtfQf&a<*2)sbQIH{VxkvUb^2Hcl5? z-xIqp{9=64$*>U5+tf_fJY?}t)h1jd=sLF?y}vQs{q8=j8ddVS42jpZsBkO%+;M+k zgq%;Au%7M;bTs$oS^Ll0u~wfZB!L&Jo+;Kb4T{oA)GybcG`oH@{ zY`&nx#7Dl@9gN+rxB7oTc6N5<*A$~f#xkDoafvb{yD!jtD4jPuN!Q?3F}y9S2aQVv z)*cNrGmGuFe?x9-onc;&cukDDUnc7x-@^wyPksuNnJm>U4I$BqBm=YV`?5O!=548} zj+S@Kbo@7}8x!j?ccM}5d@02|jv?UdZMoRsUAv#H61&>35>vXEty&aT{!JEMQom7I ztjWQol`=ZX(m)LLeCPey8NyjWnHEJVQm||7qy}BVc!LI_SM61XJr9Bae7g6{*z)$c zLAX1@-xbk9U$ z$%dLK)xNwq&hGel55@w~cfR7R_uHxYlq}!z1S;k&_hS?dtMc#;g_9*WG)W%o13E~F z-uB7D4$3fu1cR*DytCu$V-fd;8T+fs@k5v9`IzH{YI>l#TRXMvncm+b2d!?CgE$^_ zx`AR2rPOnoTTo5}TF6%Va*rd)7qgu&frzVvT4J+|%r6~ptNO~KredW}FxEn0V_|m( zn&tiP5<^^Ve$*^lqG6FQS~`i$Kd3C zfXe9CT*c7IRZLmAZ*3?$)&G|kApKVCBZ>FBxcq_CZt_<5%^wo{r0n>cc;e`2)0*g7 zg?t#;k9eEL#5Tdd6fN=x07z<6=<-VG@KX;xbp;JaGY&gUP*D|6e#6A;M*DXMu62tG ziMI>Y_P{T7<)c9Xao9j_5#S9NpVI=I) z3>AxEa?9FtNltQJIn_;XZ3;fqF@4p(JIA)IZ{aaVZ%X;pyl|?!UdKpETC>N%9^c$t z*mPXL$JJ!#!syp?JF72MJ3rhmGW&u>m2MypC~GaMK&oeDquaC*jo4YEad9~$!9}L zL9=4;xd|NH?wwD|pD?Qp$bp2xMA!7KSx+VfoC~iA3h_bSSn}^ZBoP155x6xt$P4W! zK~R9GVbZEEDpv|B=`ZowurZq-^tECR){FPzHeRB11!h@jv0kHmcSciNNjpg;HEM+q zADofz!EZMld(QIN#9+cFhvA3ltRTUx<11O%7+_i8eWW_!c7#dpP2|#M9UG2A z&74Om4|qmHckAoSb!Zii7^OG0DZ^zUoa@9-^y(&e5tAQAchARcwdq%0p@I5ZXIapd zb{}Uw3Y{5SSuSjCuRosxPBR$-c-nv7=DiTYCkLTCv9R>dgdw}nf1tpJ*ckkV?3`BH zu>mYX+DB={m0ad|*TOQo8h#}yV8D&NC2?x2HPu+K(=T7X-L)_>1%#2fxSR{W7T;v^ zee{kfJiOA%2HqbAX@597IW74$Zx`+(wzs20E1^2$o|xE=*7{LG7mmm=zlawYkp*ve zf4cmV6&qBE!k!1)S$-P@{I^B@L=}NFArF!6%#j5XP*QX33#c3HypW2fA^^gXai?_( zwX^vUkKs?GJ@J5!Zp8SkN*1xlb8OeZJqK5Bwo0|)Mb3hwVOhbBCR%3DY^CX&leH(J zOK@i>lkSe|4&3BYQ_*PMYn&D6BpXMoKk%lwwaFEihH?utGx zNFY>D;8`chA5&+bsKp89NsLR-%8sklVoxBGEEJG_vGF31v_&#Ij<17?>;j98jW&u{ zOU=U40ats78d&kY|CN&Yyk2X=16ery{_uSL#BEQ3@fh!$@Y26;j_NdUS^lg9w3%Ia z9FEUj_Sy2Vv?bwX8SVi(}sDG$`yQ-5M+m!LA^erN~v& zJGguWYYpx{_kjCP{H|yzw_Wl~&sAKW3va(aj%^VXCUs7zjCV6V z$4^^NpJpFa^^B=6OSG&>94}tAP|DnQ$V8>4rsmRz**E{2h2;PF7l%s%S^KjuoW3p{ zt)-Ux!ePj>5h-@r6_=49uzXtnwE1XUSf4UN=_9#i5O4v`X-6R%76s~8w=#^rVC&06 zc!Z>m*t3{At&VK1=UPbuX4w^kmzb0S#&lY}vWN~WOja&j!@{Z1$6@zr;^aFObL5W; zc6@D<3Uv`RAM5xeOC2g#qn@29m=Ik*lPMI+Xpsc5y=?=BQ)~ty=~PmJK-$35>K;YG zxlWlA++HWiRY$3nf_i^xQ1&K}ftOAZW3s2W?Sp?t`z1m2021y`>xje5M(qS$=^zH{ zOmB9}!WtZm%X{!_2oQIOh};2xjcn*awiYhO*KA9K&f9kaAevmqr zEYoutb?LUL{!LjwxYc@YvrpHlB3a}uDzK`P3_2gBU#9u1%{Rev9BhA34uaSaC7O^Ureo_5wT5J2w2A(d7HFV5W1jJdQ7*zdAr;(d zAYwJesm%akV)iKfY}CWEHm>p>nTaMhu%K<`3Jnj5srLEhQH0l!AwGmt$hVXQ1cHT` z!T8dHuwBk9;^gP_sq;p6HmT#FJpkUs?DpQfZXi#T-rGF);C*%`&B>dSK7yC!^GdZ! zU&9@0V@=9q6NikyIaF6R{A%A7nG|T6JcKol_fRCdGioA2A@y8s49NnVll-IQX?mQG z5}rN^yHrG^f)-dYmPm9`SiUV*1&#>Ae5DewaQoq?oX@i%Zm22t6a3>TuFu18S<=5a zTnkA2lC^&~$XMmQuY&ddn`e)}AUAofl)`pHzIqjrBhH0iLa-lhS-GQ`y&u`M@vHfn z&csP!qotYdIkrS@qooDK5?U}#AOcdf!qg?8VuALkNJs387{yN39*5S-xJPcSF#JBJthm7pkgiInuh=w!(`Znw1g$m7N`~=<1?u@8y|< zvuGE*mudG=b zWA7;(o#7P0xBd%L=KNdFr<@{S)K3Sign(su2_ZAoMZdO5^Ct*52>`tQi0MD*(=v>9zU) zY3t%Oq(1-*_)qg{S@Ax=PA>N7CiAHM%KzwUHQLd4Eog8H56I5*2cihV2Si&=qS5OK zrnZxlQRo~0a_O$?ez!B;%Sm3&nDaWKid6qU3s+KGkQ&p+UPWSd@CWnJGpm+cT_Q3Q z&j&9o(0pU#wmT3pA#P+X1|*l_JwNX=rotySZ<7v2gTGWm1MM*fA$N+z)8fe@038%A zHODs&Xi82f1E)^Z=q%~GhC;zl@}Mps5+^=7y&rKs*p_f?!bt*>>yBB*;U7*;U#>tl zM~%+!net>|yJT3_h>p$EaZ4sSV|6Sh9Wy>Sju!*=s?AW~BFC}DSR1r~HW)*`lMfHg zd%+P$a!{?no+g+OlTkGYS#bc)@np{9!z2nvZKYe>o;sSQe0Nof4)3s^CF5`v%MB;A zk>SHnaR6-Oh*%7uUt;>Xma;q8^1er>JHfSwj1lyal5qPe#_(fjVsL5JCtzpg-O%$Q z=oz3Xfkf}oYa0YUSlDqX_;jZvBh z0aCu~V~bFW_`@s=0ZP+AIi%e{G9Y&l#{}I)Fw0FA=HL8`RQia;nV{%>#E~5*p2FJD z`_y(j8{)^40WI)rTp?y)$%a;nBQd?C0oMBpv7R|pRU*unK)q6C$z+<)(31CY0`Osq z8-L@#9cV4EKt5Vm4Jl6)fZQp*c2~_nq(D%=D?c}}x&a0lj@I%E4N7$>ydW%t397!5 zH9#=Pi0{`#kjT&0kefFNXY*mApeyq+3)zJ)^=%MhH&LsIk<#d}5TQZ)x#7iIyJA~` z9m^?k!;#8+zD+>KZJ#UAwjRdw@pm-6lb;%a5|9fNPIsl9|c~ff<(8V@5NFd*kB1%Z&EKX z5sJO84bUO-=$V=t{>%TUY?ikO-+TFV+R4qHOdlv-ZuaQ<(;^iu)SW9N7#N@NKiAi37N4)*h zws;V<|KJSu_bZo?fN2hjvV?A^RL@+XSvm4G7kSQfo{HM?Vb{DfO61+%A zg`P}TP0x$HV_g(s9Xe7(xZ$m`e%Y+;UVdhcUs>8SGqLI6N1K+BH1wdpu6OEK`vb23 z9mHOv+uF374IVgjb|0cAGJWYdNh9*Vk)r=U1u;+h@zW>qarHy1B&m>N>-M0~3;dJH zG5N&(fu@+!t6hfOpEGBc7nx0$emejGN(p2t5=*put@O|Ng&q6V1Rs6PUJ~P-Sa9bV ze0+PS5-?;LzyxpIx)p8T`b_BG6u@7pSt}E~MastpV&MpE90i{|&`c*^Usr+htxM4R zz?(nakAwPMjA`buz1!OcJX->BR?ep8BpWu2Tcv}Fe6i1moj~(lVxhwF9%vWVPNFKm z=Li(zO4NoxdMSiiolTx6&P2$S*908~fey z+{3QA%Xzx&11UuK^M`o^)-c%s<`GYG5a__nD;?y+%rI(hA?i8p>y*G2`<=qp4}3MX z;q60-fUT$o6afMey8b+So2zm0+fI*|1fKQx*~B8SKu5vn;X;q!eN3W3xTq-F#*e*8 zy1@uq;IzWouFswFri-H!>%O9jW;9riYf>n?$r%}PpE8VjZ}L@t z(qz!Uo60MoDCR=UL9LJQM$J<4S-Ts;VV0@hI*v?uoIMvjsIQe9vdrX(gga^8Qr|x` z^$WSYS~_5Yyo#&kLPfeV=ZA_JIe|j@z_g+SU_(n$dgW@M1DQgw4+qs4wkg|X+bL6V zdcp9VIF`bbr({|_5l=^MG_)3Dl$ppJ4vr#jFzwKoMR23BRICTBE#6G8;123ZC#THM zlNS!sx`^i7VDfgF!%OZkV)`$Fy2_ZR`pJpIEjWh!7H-?VWk1$!;xx?<1mUHwq&?$AGhP9AHuwb5~=CG+2ZY*g2KvZj_; zwdTLxc+l9G_$||=ck!b1Nxj0~@5t&&QXc&)ZgP{*4Og+FSz$MZW}`RkI`YykP2W;F8gNar zYq$n>t-%pFGln)!B&^f zXQ+h8)A64_TOKLyGX<|3WzTy8^0vqtPL1*(WMpLif3oDO8V^5NG#yoxE)DekzhG0% zG+q4eM^CM3F@tkR25Wy@^No$io4?(EPXAgq`$V^Au$rUH89=|wVT(ccys05dc}vR% zSgppIy>k@y<*$;bQt>%3 zcSNG>g8jP&>o{clLqz4;e&9Xrc%-SfStcaV3(&@-hTbs7&`m8lYn2|2c%6vm!+sMB5&3qQq?Xhm(q-@-;BWG zH{vJR?GN`Wzb7aawZ>Km;ektP1O0-u-LViDi^23tHOanxdHj{o`zdWmj&hh9F}-K! zZ3IC;AThb16@wK)Kt}7J@%hp0O=}*)yI@`OiDY6>h0zP?;54}yXb54<@y&BQaRfpF5*ukbLKeVmETmwf{l;Jnbb3}{<(o~QfIomNvXbB1 zG$}&Q8)49{8jq`gtl3sgx4IT?th41JKTNt`1+O+pe82AMtNlD!AO{h)Z#}pZVc+AkV3PZp?F}dY2Q&`Kz zpyd_=hu@9c$AAl@r0|quBeuf2IS4>P_CRza6F0_vEw7XG5kulFL(PQ-a>ezIQ;1dk zv-H1>F|&!ZF-k|0IAYVgo)iaoB@~F%L<&KTFxvV(kt?#*@Lw+HrMskwN_yAL4cBJA z17-&#h?=0vgUHXbEljVjMqd)=ej3&KJHk!@$4eEp#J;)p==*@w^2F8H%yrc+?e!x2 z4VNLe>$+>=>vN?uCZ#Mzx6?l!`IDd7mk;{}2G}tK>QaYmh1pI;JHy#Pm-b1!n4OEu zX0zL{?F0@MI&;z?HUG^m^j0J?N#SVRc0zuV{_ij1zfbP)ptNQ(pf9pltYNYD_5RA| z#@g7;gd5M?T+d|7>DnGZxU>lP;)xv0;JV6l;FOy_!Ze2CMGGUr_`^m%eNa7V0iWE& zJTuxfC;>>D*ViWRRhu+NIWQ(L0VQSx+-@MSY%sjQXCcxS_fA{#Jq0=+ht>T}f%o%; zIa9Y>HOsg&WW*y_fk1DnII>_m$`I*0qIZvB@q;;jY&dsgmSmFnEK=~Vc00gH*rt{jHjM_w%SxIXryw7AzGc@2I8{g~{mzSvo}! zyq}>KwGO7dzDXmg5LNI^XCY<5f-8(%HWCsXM+RT5=0t&*AYeJ<*d60xSM+WKZcQ|f zGOk{l;+Sf51GAq$jV2==YM#l_1E`V7e)zNFw8AoqbbuCKy^Mez=@3BjxD;PLkleba ze)N^v8dRmv3u!zG~=kCD9suwgvhF)~y#Z8IR zA$2)aRDM?Dzh|h&VHzRBG;suuz-8ZXIzu*mdkx5wWvkhAh0pq<9(Tu9qsTv799D!p z#6WHTbk;ORf)-p;ZDE6zM$_B3;L_&t;;Q-R9rxGgJtLAT^cr)>t=Q}%)uU!o0sbvN zh~nx7rgOf-V@0@){7LrS(^Hxw-zn;MFwep66&Ls&gCB=B8Dr5;l$Hu|#o7d=nM75O zJZe8P#SivweX&;kEDq%4YuuZhPrPASf<=xH-ApN6p|Bv!-#R#3^*y)ntECiA-9;;z|^d{5FP!#80*6&9k} z>QfI>54FM!D`h@L58!WY#aoj^O?wD2^@x9zLcJdwJ{JWTN&}W|cd%`UmwC^qq-6E4 zmWgHhO25hRCl#L?CR=O`R4G>l>L+bpqoQ>Z!6A^Q>e z#yR<^ap&L%<3S_ke{_b506aiV6M`5c;1Y*eQ)Q$Z2hrN)?;#z6t%A_g8#EJA3&?d%tv9ui=cW%MsetMyHaT0bZ^*PYd z@p0DL+LMcmfopjH8$a%5_(jpZFy9_`0tC5Xmp)iu3XZMxXUg%IS2ao5J2?@#`L{AN z@&pg3|7vSZP`3}0nlSq(`PqNTH+EwA%NUA$wthbpwys9d2{MRz*7z=gB!Ld6<%ye; zrPiGt6F1XA2bvpPXB3clVh|k&UOk@HY?qsu-$9_)e}|Db!-E1vzJkEUDx(M~!64(+ za2PR70o0aWz_=M+hHcK`kmGw~Km|^ocLbc%PMWLXCm!WXIRTt?FF9+HgCv`A4t>P> z3C}Eu@VvXSHAq!80jPtngIoNrNhr4t+RAU=so=*_P359Wi#&lel73yntJx00S+ME7 zxxYEMA_EyJz4gEs+ArqzxK=oEHCcs|M0HKbX+KQgJ5*YOXvM8G^w;VuquLkaPqt>fCqiLFp-X26VG}VA|B?!IbcftEyy51`hWv?M}}1CGgzIa*ZFw% zWtUhUud^xWd{Z@3Z4x_wbu5DaO1#p{^0#+j$wAF8Z)MvL%;Lt?lW~J<8mnqRxq^jX zE`+KuQ$CaYqya8U&qSN2$Nk!@BElJ^#bp0lV(eQtsg7Q}y=Mdhdy`VCaJ?ugDOb@z zHN=kPaQEi>AI^xdo*-?xviFb9Bl)OGFQpr}U;3G!@$E1=)Kbdtt(FJ2C>#CElAR!( z3!z|S;UTT`Gaq-U_)I&JK3Nw}yHzPS{>10R2g^Yy#j}V;ccyv30tZqVoca#;a%q_6t1>)JxaLF892qotB&y1oy|Qn5dp=uCtaX)B9qX``4jL zk9M}n;9}Agcd2#Xv2U`UhiFFx=hLJWHvFyl`VIF(nKN1^r0gv`Q z1Qzyr@i&Qwt@4)2XP(KqIjr}CWsm9{FMa|MojDjK_`S%j9syEL8B*8P*=kOBmysN` zXd5nFWA0ns7?!R=OlGMO0@`-mRahgVS44t&8b?f>X`efQ?=AMVk68u9HBX9@mYpbq z5oUo54+Y}GcOB#HSGNn`?^+2gkJ#83FR9AC^fAy(y)XT9$okB+YI4O@n{FyYV`832 zCZcF+cKQ~N*Nw(I$!Dz)91=oRa%I@eGkyxt88_v_droO@vIn~t`6)Pf{bE?TaY1Vh zv}*Xcpl;dcjwfrcUDQbjN;kC{e%V!{2k% z0WA&OAJ`0q7=|XMVK1m4^Z`Zia4gq{F+6H|?R;__NQ{|#xEH9zYRPzI>B(az(H7%P zK-gNVsm*@JvcOvGn>J&{hbIkz;$(7yr~Qhb62%rn70on;@SQ<)G$xFPwVG!kZI>kX zMl`-B;bEZIX9gJTO*vOWm9fV^DFW_zfH17UpIIaqF;=w61G#&g@y6>rFq}Ze>n}pZqPiU|i?@-U7DOV{DBpl!X`Q4`ZiY$?-5?)(h=F^+WUIh&ysvs3 zqezQI>3SbXhl0Gc`JqjktZNHt*0SLf{?k5o!$1QyM%WN==G48Jq2 z=gjw$C+*7!XrHvb@fU9pFh{d=BmGx%qN|aulu8%S^}{o2tyak&e?1ca&m1>v#fJ%tESyla4X5#4x(N9A=_83| z^_PeZ)6)69I5Ch(b$#Dq#ge0k=Bm*wC@cr@7^-}m3O^hT3j`wSu_Mw8NOEw$Bnz;R z%<(wA_2GGaljyY|O*HLys4Z}led(RwRf(pN%_xII#C0I6nEK^8STsFIxeFaD#gFy) zbwv`6HIR(C$(Vzp{w=)xSbej;4+ughsv;g|co)tco!S15gyWMN4~wQ4gt3b@p#wh} zt@K6|A7}4&{Qbqzr4H11HdkbgWB|)xPAHqi!SIqzhrEAUdVgyew{2T_<}V(QSI-=> z+@rRhD&&>NqEB90PU3!-4MHZ$#crncAxG^e0XQQj>h0z#H-9AQ`=V|`?*3aZKbZfJR{{!Uk`nMX$6bnI2LtC zuiu%HKu-w5PlHnC^-Qj8;iK62$I0o!^?rf&xUV9L@1cjR65^qha@CAkbAX_W7Pc2>}RPB5G|13}>VL^e?38^U&^% z$i|-nW!YeZuW`HqTf4Am(mm9SpR}jK-Q(N$1Bb`%_oQ6qFYHh4o#HJ=k-9DT!aw0v zZL~R1tJl;SYj;R-GKI2PTHl z0g6}zP0mvoRZxaIkD3X>(#8=<6-XX{c2Qa^Ymj1d3f3{ z#9om4O!Sp{wCtIo?55~Mrl>4yamB$|i1&F2e0t+Bfa$eiGFeNau|Ad71Ho#p)}trNer2*C?hv$wKIar;7f8?zzw#ITx9l1`$Z~*5FN8*|>QW#l;vUQLX zRvoaeL}Wy2wZ9n2r&&(Q#9n;AC(xP4hk*~g^foF*Z;1S+NdLQ`B|Mpw=hJ<1#od(T z>qLJC?nxZ?qsPxKmnF*TOk{5|2PIW`dMc{h@3NITC<$j>$?MGgbp0LO@%yFZpi*i5 z2BiT@_6+}xFHZk?!u?NyySp^)$zZf1GHi$P3O7vj*o6JM(=8ZWdM@@$`u6Gh%&w?K zgFBPH;wg{S`NY_-^?4wGfj|=A<+j@^o{mu*`Yuatoi}cu?+hh{g1`@X265;Y!`>esqfZ^j@z(DFQYY|dRZNk~@CsYs-Mpi9SZVnxx-J4YSw|$MNIK)Woj~QyYZcHr1x!BZU7z*F0XA zo*Rw>zoxzG4KR7(uw%hOeQ4fU_y&5 z9*9;d1M`i<77$`C*ADaN!PoVamfvDv^o7Jc`mGzoOP)-xJxR?>1s!!pI;!Kx{tP~L z_3D~)(jCAM#LTSviSJH}Z*VVmQ?$pUb+*!5l(6mPw0b}R`q7!yt{a*v^Hrw&Ufeo2 zZ$Osm%!d(*hR?5#>zk6E3l~DMdA7NJv8;J zz(GZj3l8?g&*icw|Fj3W-U?SbeW}zUH*?x=u6_`@dwoS0 z+-W|O@sK&hX+z&Z#?aH$u+!#>OV>Lm zBgIB1ht}6V^-CgdHRf^UOaDGw{x{F}f4$u|1mNL!$+tg-YQ+S9DlM@KvTsezOt?{h zaxh%&xy4eFp(J)#<28S0)sv-n=urk$e~G5D(y{jo1R&!v3<-9k`I>OAPZCg9^z87w zSZ7>7lHvlau`mSwz>~@rK^0*G^WQ^sZt^-$a z-TEX+qA>f3Ui6nUwYNbyWD@GDSwg=G7yN@MXuPlD;df#IVQfCw3VPc5t*?Z?JI z$#!p*A4qJF+!_ps?hJ^B4kuC%quvl|a%%Ix)g=-d zxfli%KbE2yb*h9+$*-QMatzgJ;9eEYT7qa~#o8R_to_dAr-^ga!NFEprW;vxbDV`Q zs(|Tw+G}8cF@<3sC7U5v{zW;bo&n5vaA<=lOa**QpHTZ7brwczFYUd|mFK9s+oHEb z?2)7%!#wGt1Dm>^&pt~3$}f#Z@7$G!7i{1Q z!5yCIxMRVBzmEuj1RarBJ3zyHGm>N&MWWmGnBGo@yTd`;R>$Qd6g_|TQvhBB&-yx# zUS=#WIyQRJdZXjhW47_SV@w{AeLtaMJbufS0ut59R|AW_{W+N0#_ z9Xu(W%tI~eiABDl zN`;|HzS*2@pprj)h;{PHN`2c%V!Xg;DSD@cikn=vPKMl#M{o7KU(~27TR=ZFY43b& z+I{bv!=RC`!fp%)3`TP6PD7I2-Ou$&B-g?=2*VtNA#RB%CYHdFr-+=WXmE!^rFf=Y zIUL)ljG6>CaZ7NF|t-CPP&M&e^0376q$i9z6}j=WhM})6~*3 z>Qr7`(-~1oqX~h|2?z^!@A!wj7H8&j9vw5AP4D#tyE1>BxczSRrn1jSk^|W+<*SCv^{d> zh(vO9bXV+pB`oTC=lZHL`#Bmr5O%fizxmWU=)*Ue+rvXi_h?TvH5UxR1|NwlUF{|< zM2D_MCkBEmFaLJ9{>SKb3<;+a7`cx$X&yb31xhZ)^S@NIw+@8tr&sTN*RDR8kE{Oe zOgR~_D5|np9~+Z{4aY$Zzf60Cac9|oi3je#L(2E@mQGi`in48jEjG`>7Qj;#;9V3E zc#*QgCdg)_?C)U4ZB6jhZ3+m0SKBa%(@c5t;#UZacu{XhTvACKz zs>S~qjfrMh@?^}82*q_zokz(iLlH+3y$3k9h%FQ&M`Q>EWTdis?8m#E!P&uJTzN*0 z@Wp3&`^(DhRQ%+&E%NpL!(%VJSpx>e@i}YPU}?~)#^$13MjO`&Dt==mw2k@nkcV2V zbNkje4mrhgwBfaCNYZVgJ!OKT_SUfQP@7;++irvS2*F+#rj*>%MLjd*Y^4C37J<|jU@!>RWPfj@r% z=>RhaYL6aXK71;Sqo;5IZAFst>zxFy9X#n16}E{&V1b}fVd3g3tBI}#VCV9_S=DZa zSNizR&h>70vd2vbm(t_jqj1shACNRaNwnr%4{y0|Rr1|r)pM>6&|1%Z@62!IzN~7L zKMcYQWs4#hv~;Bz62wvn_<5QWtc~ucix_avTe2vOdXV;#d7yCM~Wdj@IBflqZenP9oHF!tC~Pi z$6MlbTi;S?Q|YO`vbTD`iARf9)SGEkN}<s+8U$;~vA*UWjQAB(;b9 z=J#`ib7|*BxN@iNVAY|7AJL@FvGwwY{Gh3fwbS_m=^VD0Hy5ys!Rgzv1MC@5M4pW? zBxlCsud+1WdGAXLsF9y+Hn%t2(YhCJN0W26lwVxy9x_6el$6i72px{KYX{6hU|$wJ zMmkVD*pSl!Z2)U*>EbRV(5B!+i;AF$DixY4f(j{Q^S^RmBN*G)=4XLR zqYQs;?i-?_xASg=nXiPVcmE9zp|Hg3-o9ic+PK~wbQxoHgA>d$;{^KE=Y=#NJ_$AV z%Ug${`)YIulKVre?QvASK7fJpO~m!_@vuh?%HH@4}?rva{Yw`SRG69aJE{aIkEgZ42k-YP`%gZWn90ByDag}^?K zU1+?sH6Phwl7pPXwNQB)A;W0{EiCD$D*yB}8$TF{u6Qdi*|jey$@or*Q)e~NHi74B z29AbzQO=Hv3P&}>Z)1`p`$D0NIk)Ed*j(uP-l;Xvho$+qHb z1!uf0Ta&?mbC& zG0+~|6oND6uAs4jG`2M2sRBF}Qb6iKEa^H5cb)J(tySYZlGCtg*?e}7P&#MBedSDK zN~s$Mg+~i&V}bh4m1&Tx=0PdxiJ*1`FSE6l*l5Ua0!2sB9IXdLoJq`1wyI;{y@bhc zG=_SUhZo&+zDovSpvFJe_&Qnj-!P|5`RNm~fUD7RzTVs#okUOeU0J&KM_gXqt|&Mc zlvyTR0q1@FZPRa94CxGo-iRC9>d=+bY23d#6@0HXQtv}Fv#Nkqa`&A1XZTC@v-d9Q zk}Tq-z6JNOW9ixrPx>knenrQh6QX|UA7pqanGPq=GHAhnY!yYrz_h%?o2j@zJTALj9d_=;r{5bIq5+7#9o+f}G_2+Sr zMBU8|C$oir;MluJKOWLt{A#zXUzroQX8u*Hz#wYTOnEg+DIw}#m^9X~<#X~UZ^}0K z0($*f-*5tuE>8YBlDznHTx53mLRPNdWS=r!EQDPoQ7%>fRDbxt4`Tm0z5JdbAmRJ% z^T)ce>MIM>g!-QeS=+wax%fITkM$bxu;oYHNM($awjDqrV0%I^%uZW5K8XJ*IUQSe z|2&d_&hXy*JLH1*d62ZSd1Y{#6{uGI) zdFBfa z^ir(Oj|sAQF`GHL8|3*O1X;FSvYi2W-l0j89kSA@wuZgJZ$30^FYc+yL)Omn>~%74 zwvY~g-vsz+BrO)*tN-6xfR5F6!z6xcJ}P@VG)C`^T_4y^hmJ;v&hEB_rRN`4^}U>~ z2RQ_*CC9~u{2??V6P#TOJtM;`5oDJ9!sD*t|Dlk=7jo>!H9lRs zuj%<)RwkqHa-(C}C4#F~jyqM=6n`5pxz$I>nH5v9rtRjQ+2@{RaZhlcI>tqFM6#TO z8qxUOxv}DwM?iLfy3$wbD?|<~_lY1{Ib=y=WyB8W#W=j|+f-ya_qL3bO*D z_0;g8#K7I)5-aL&{V2Q8{nWNAQs4^#KXp=uBzxC2p0RxZeUv<5(Ui7r{}HU}O8IvI z-4--_(eKKfyi(CCT3aUXYbgbSfpS~P@OyTBNpNpS^#Xbe8&vlYSZNd)Pq+7veH)HF z){=138k08Uls^de1yjaupD+*?wT~MA{UQ`rcwv>lT2ser{m;8eg@3G$-Tso~S{28B zmcx#9yFPN8+3RT7R|vzOInHVd*I)~yn}>9`U0JiYH=RCeirK!t+V136+$FsxOfk2b z#eIw3JL})R(7Ra6zFNa|KD=~W?^HYzzu2L?-nq2?{AuWKAb`cQLpy z5&g@R>v*pL`ADxv181`9$uD8U2S6;A1UWe_sx#fEPj?h*TIvzh`cf^=|!*f0jt+3vM-fFP9?=tKt9q&$Zua7J8 zcUMB1ABjj`YXp9ZVU$NJ^)M+#S}RNIngpXQg~~yU#b|+nH?)oC_-3JdPHFT}x-0QR zm6k>axh~s;+As}V6sPNIOWhEWW6Z%H+gZh6HRqr^iNLD`sZ2e6A6E;C+XrU)ICSa+ zF97Dloa5C_gb>5n;? z6*4p_wQ#_o4_vlJUer%-i|af9zyrY)xS**+wJ}W@+Da(4Ko^H0q3}u3D<JFd-QbE4Hwab6to9)cbyR}I5Tht;ceJ^h(8k4n62?-3nqa^4`DU#Y{#f*#qx z8cygQ9;ft+S7hf%1EEYNn##5_fL-6Hn1XABh}2oLnoT4ANfap||1*F*Wqi$rL8M1d zY2s13)RaF;#s}@&9Pv+)V4(`Bg^@J(TVp?U$xVz>&bd6F*A#>f#W#kvA- z0ke&$Yi)(LPPxnK`Q6^U%$U%$mxsS;q)*(}oTJjytg|Th3400CtY|9cl-2*-M46Q>lSPC&%!K znk9SAX5r`o_qo&xOYY0x6c&q;bkm4Ig~!@wh2pq`M{_>R+l<>G+>d;D3+u2wrzTT1_)% z#)}T-@Sd!u2oFC~|G|_aJG_ry4YYmglA$g9565q?5kor`$b{s@zw|%_tZx{FjP)O;`9*b7ESI{mD3>fd8{rsFvJG_D*1?I>dr=;y` z^X(4(%PA$Mx4$FVPquez^h0kdT@EWHWS`e$*Zs7k_`R_mc74A4r`@%=@;dZRhT_+& znt>3CKbF$1F+mrFf4cU6{Y^t2=u>?uy$riPQc>@{Wqk?~l|N=l-5*elBLjY1>k_OA zfd)q*pQ?>;Y|LWks_Fy@3vb~Bl(ezzIr}jKFZ5ckaP`G1!bI+pHEeUnm=SRsOCdcfX1{)8n&2WP zl<1g4z&ehmHaKfL7eQ-+>vjYkvHKh+Wqpz1oQg&B85(;LYnR@!@1HQUOr>g&ReWm+ z#^aD(;Qd-cMd;l-pu_M{=-Kt)-bh;)XQb@3$T#L^Ne~D{GTFYjOmx{CJaH}fOA}3% z8}>4_o#e81{0!}~vmXVY8{DX!nLgSOhjwvC5PTa{;UG@OL2;feSPeh9FeUR=JQQ)v z5{5IzlLchsHfUsg$;EImK|CTL_ozB4*y3q38fw2u=Ww80O1Ra?e=2E(!+bQ6@Y=&| zlBvfN%nf|LM$UIU3<-P2YScrmZ|n?yuufQb)IWQIPdPh|Pr0+xH_vqwXl2gGS`Ks$ zoN!F*FHODC?O<=AYt$(&2ef^{-U9>)#|_v`nl0I^@Y4Fhni;MO0rmBD(ElT{`21Q0H1d`VB&w1#&$uKuS%uB9(uk!Y#NRj%r zYj_`_WDMWmO))(7!q~=AIs1t=K)kE0?sY8AfU+9y_?P>*(r!YllRAY}biF7zgn!i!&V#*NJf z22jQr58D*n9Z16hrrNKJWqpf&XokF+nfb^1eOW)B@4PWUq_aRITMht=9QoIeC{V$x zEroCC6y?Wparw0>fFO&^X$s*m>QN>V@0GjjA2-}%m?SKKR6G=@m6;~6R6vgrhC1xH z-TDboK#gkM$-F*D?zs@#j%qG>^!M8nj@O-f%}O+L@^Cf#r|@eI+_SH{MmT2Cw_aN@ zS0CA(`4zO*ygqjNu*@EBpzu-AlD65tg_3Y z+g+JvmAARmdTM#JKY?zy-{?@mT+ezWXhm-3xqisHk#y@I0x?>SIM`#f|M_3}Ja4qj!)vfzet!f(fs0yX`)Q<=5 z|4}^r4JjU|lM!O!Jo;H#+SZGP444&-6iA6cLic%=-j|XA*@o_LEfBrn-L4lAL6)Ck zQN&ClJnZebD0xwL84?NOkAvy7t{Jz)V6Cm`JZEpeI!K%&;lz)mNmf(skP(#2yVwJn zWPx9%-RmB>I+{<7kOMbXhG5sh2OD-O@sBkMLShBq59JICv8^KO$R!x(qjS+M4bV0m z1!G9!q-U;U^_avYSBHA7%a_Yg3xv{HNXv7|DsAgWkD+&YZ#6s6Lv)Tyy+Xl~U_oAlc3=#lvW@$L)dMz&L#O%_-IrJr z_IOgkMIdto4TlkdqyQ@Cr|dh}RWf;8c5a(~)M@?N+NK@?79tjnupt5W98v7-Jhh7a zLs&0K{{X`9mM`RUkuSd$h)D#{LvCm66x0p!N%N2b)nl5ziw0BiJ8dB@r(7kUEIKOa zU?9ipc4f{Mpt$ORXl_sSXqrald;5hVlTJ9(2M^s7lM2axMur=-dYY}gg89I-Uo5Jc ztW4M#+x`x}sCYQp%EGsD^m9WE#e2UhN&o7x4QN(Zgg?vkm_E&H3;2_VQ)0gUH!ag1 zr#TCZyh*8j00z{Su(kR@)LR4=(oFiKS~|vnjU3L#15tIx1##bIG1de^b!`!b)R5i4 zVtSjzGj`;ZfT48Hve%b)EGep*IUC(OCpP1U?nG>C#%<8mS(IkZ_P&2Ye~Z7K2<2j1 z`7pIIl9P{7uh(T%Jt=~W)tmt*`e7L~V@zNqNLY!IaXs*>l*o6Y#(O=p;SX6QlIYI* z4V@Yr02?yfbb`r59j%B})sH2vgUpR23eHFYf;BJl<6m#wI%MrAc9?w5*j$MRos z#+IJf`85igNBn~trTGS}zb!v@#bhG%t)G(g^P6F4--Fy~*M+*qjk+C_Sg`HDljS6l z`4z=I+xqnZB~I(mT&2sp)V| z{>;`T2J5!bvzul>O8fLLSWr;(Qwf@RTzTU(FzCTsmQI}z@>>fLU_rPhgcBEKID-dY zErWHCuqtcb3E-cr2J-?Ev`QIZa~I=xmphqxiuLejB*1Vg>9&ARk6BF!@0Xw#)NK{o zk33_ti4Q!{Hbjstu~o zKV*Zk14ngM{Hwg{HPj}pg(y=am);;o0!UElt6#3-^K=9(>~TIc2ZMATgUA+LyA}^Sio?`yC>XE$eh}@=-ciRzZIwNG82!r` zg3)j_0J3*ya8Uz-@aiEzZ6_(}T8^|cz@WdPOJj?8y=WDkR^@{Wl{vo+=#D>akHlpQ z01{|}S2;6+Pi(_M?13Zz;xfXVP_Cm}Q>89AHRyX2?(ZO$so~lRfVQ4>7w#@)zT^aa z^=-;?KE!l1a7ML|yO&uX&MCN97R+q1yJtL+$chPqF?$O>IO~TT!%y#Y{Bv4CYxqh? zPdZY;5xtMJVW&OoB}Wq~nih}jo?hOR94oQ)3ZXOK82elkns9$d`niN=&VlZ<+62&_ z!0XL^`{oTWeYFT1y()V!?AbueAGy25A2ti9k=PrQbN;HK7GDd^xpM>YkJ`D0+g$iK?%+jAvSN zm-{Y7WIDG}gB;tf$ybvd@88b?!`!8V;vZ33Q$BsF$hB?puwR6vE zxwr3<0waNFjP=hPQh4t!$uw7Ee;q)0#`!U54s5x)SR3hiJlK^E4Cq=uaKLRD)UHAU z0{2C@s^h_{nEX3)zQP`Ju7_a zcD-$Ik$B90qWk%uG`<`>K+h>B>db$`?naW{eb@1W1VCyscf+mu>wscV>lz>5hX28j znMCQ>-4WBPzK}|>%q4~}AphbeAdw;FEHHOoFrPUyxtL?WniJ7|O6y4Lzt_#bJ3Vtb zO&|9UhuZ(qzqsquz_~dpNHUC|jI;TL*&TbQ`-T8y+;h7Bpec}Ul9_qj%DS_EnXgi6 zR09tc_wr7kPP{GfbOWXsenvhEo-}uLfGK?=(Dp-|yyrg8Yrz+PssQLvcBCl7f`U$MuPz4_oU_Yss!G@NDjR;&XxY=CbEK zPcs5y&lYd32XXCBbsXl-_F*uQjVf=W-|Ya;C;yl}=GRgjB5}9Jr+@so|D(de(PV3K z+Abjb`Z}!tIjx7AiPL>YA4jK4G1iP~5B&`3-MDHgJ%{Ow0#yP>%Vmm93I6VY&GS;a zNzYC5fPU2*WIms6B_9^z5PSOdNw7=Qx78<|$vlIa&NL^qvpm!DtwCLS9>#|3e(^aZ zCt6)W(ut;wKkFQag5uTnv6$B_;o>DUaIDj!1;2y1o0b8tcFKzG+5gOA0%BKo<=iVmE0 zA}${PI5f7S*}dd|Q?#QR`vn6KS8v0gHpMYfHa@|5!(*c(d=DDrfp^P@Hm$)weC3IA zi70m>_nUX3sUvKjh~m(ORVMRbriSObw+-_`F{-Ih z!%p$ISw_ahX?Dpz%tYP0bTV*RojaPrNs5+&p&al3W9%)%qI~;xVH#%WX6TZV1_`NQ z2oZ@PR6uGd0qG9u0YpFsL}?h5FepiB7*bk7x}+QFX5X&8_geei>-j(L{xk=lhI@{= zuKAtk?~H(4b4vbXu~>X!ky-k}68cl#@jXQ}JGSSErQg%waM{6%8|$yRDe;VI;3Qp{ubsk^7No!!jLp85bG+eYWxA?;44 z3eV70hn7K^+(t1iqKhL}ivE7(LQ*e$zPuziSSOe(98|CIecO@}5O)JQfV}c}s2l8b z9NV6{z^q>ALW|>JR>yvkq%X_v>ImD=R0aVX=TQjMvPL=QF`W_1bj3VJWE}0TLg=EI zbsUwQK^u0YTBfMn__2OI$Q~y>ln4FaUs_gpQ7?BQG}tcgpkX18^w?_gc=Q+gXs^C* z(ttF z{^z@H@$NUheYDPF+&CTG<2TpTS$7vGB_SB}>y#*KXlQVmtr8e@y!RjJh_k-)K^@Lc z?`Y>Q=T3iz5wcDQ%uf1Tf8VK|-tXp0KLL-mLWjAMaSltHsJQLIpVc9;h*iIM#yY!V4H3IVI{n-HqC&Az7`9EIB#H=YP# z80BFRUP_<|Q}8+Kb}YL=CTz&!slTbgC0ubJprIR$!x8Py5W(^{4peMU!G0U}AazF! zmGX979wkc1vYM#2kz@SCNo1TYf^rq5;yQV!nxn;HPpVu#Nb8%CNCY8%FN!%3s$S1l zS!~)^bt;rVbn^N63~SGX%V0KPt@q_v1sWkt@2S2oOv8>L6*?4y#WIvM7tK4UJ$vpQ zIj17YhG*~1>Gc6Gi*Gdvg;_Fq1knMKyA3R1ibQYr`V_bNN-_I^cM02z{Aie((cXVhmO3o1A}i z50v^l0f-OoM+zI`$+^I@D;OZglDQWK!NDrz88S6iPiiN*olJ5AE(vz7NN*sNB!Yo~ zQMq_-!QWg_w8a+4?fh&~oNHRlNz#kcC&tcjpS|pR4J9H%Uajm9k9t5`*DBa9skb(b zzw*gb6!)k!tm{*bn8mz!W*JhSUzFhkHn^XCLZD6lQ?*sihDMZSAqmyRU+_Va35k>y z+uRqmBH_Y$$0g5Z4FE9m;TgjZTk>2kl90C<%E}PKQ^pXVGG}#m7eQ5ePd2;vYvQj@ z2nOkFS8cC(GMdy#`=PxNSK>5fZ0jjPOSyUo!UJhi0{Wv(nYpzZjRUtB1htWO5Z+n%J}6 z5*@nIpXxU!B78os?v~6v{O!s+pQjK=qPEd!L^DwQG>xUA=^VZ6Vt>}zIfu3ELS9$hqe>NBC#0cL)R11_Z+=>97W?`@Y7qz%q8;`K(7lh; zb4j;P%1}I4GPiSavxV;|4Z4U5!8K$SC(JQmaw|U@l6OG7tO@SeedWkTD2Hq5Ap7;_ zX3llGd)V4g>LEPI|NF(Vo);yk&3@heHEej)XZ~IP-t9;Jva$*kM)IN9A0q5Xxfjh+ zw+qjOSij5tR`RI)$Qnq!Ak_g1OxVCqHa}S-iaOc()K)C_@gEO$%M%^TFSJXg1I4R# zM*P@He$)F=b=SG%K}4kiV_&5VeTy|uXMNceZ_fvT1Y3*8a^s#We6QV(*7Yc+J|*1M zU2t{{WD33jiqdc2CKHwYj0E-T-bEzsbc34u3rf+! zkjAqHBJ5RoJ;_QhZq%jZ$0XAV%xBkxtIbhuH+w}+f`H}4D_xgGb3>s>5+uf06m zk(C){RwAaj{|e=kA4+quE1TTa7I0ySEXh{D7n-qFBx=|xBBdF==5nnsn@&5tb*v%+O>fcB0A`IWHyUp?DAvMu z2dMLwh&MAUTeVQTuEe)heG`tkXrYKe3XVxd!HdV>#Z+~74DwA>j7rd^pV6iz?1Cwz zni}*>t-oK3q7_K8e268z<%GPZoq@WaK588qxybX$SfkXePRzDiWo?KgAQQvqh@7$e z+#W7^?ZM$34LH-1?K9L@c^C%!fz2*|`3!yrP&EeT5}@t`LsWq}bRcaC%4kg?P6|(> zf~Q)xX!8%IsHsarG@A7>xI#l+p@=74o@e5hgKrp|%z|CQls(hd3M48~gl@nTK}HCs z@?%RLj3&Ia3T+6|sU+OqD+24983|WfI*w=6z_bdOYu}!%=`_S7%cGXuqbO@TjRtB$QE=&zyvmAu>p$(_al5$YdAc`=I3aE3DEy^Pi65 zf+Mwt(zP8o{SEBqu>o5WR*>})6PAhGT~w_EcKV|Lw6ureR)m<(e0gH}^e3`w<<`Q$ zkac?|@9T8$dj#PbAz1lqx4-XABc=Y`q%fouCemO1XRKCdFjhoSKD6=P`P@uEf;51# z{PtT3$G=8(wqVWek0^!JH*c_($Vn?iDBUFNwR~RU-H&ZPi#=PhxLNU@p7=sLcbI*; z5FK(BUE_FKt=&wd3rdwBu%*?Hs56+1_G=CEu2zg>}sa#?1 zo&zzer1&t)MsDtf_0hjy@pOl4{?^UEf_Rva-IQEt`B>ah<7MJ@a<_Y^i~nl<5iaKh9~G zwZnkyhf32?amiHL1Pb{FyMcSQ=>2XMUs1Tmp^e_-EpO?u&EuJ0J8NqJLEpoK`Rl&Q z$z$V#eb2C)^n6@{?OwaXLSEOg=#_0?)aVJZ;#(1;gal%u05wa&7@_iMj42NAF>5d& zYV~bVsGCiDenBq5ANZXD-*EhN+XbY&>yQMS?ALtI<}1Ai;dN1^>#R}nZlCrAsES1RK8Xy3_*^FUR{oiAgkvmC0Pgx# zw}y@{`BpDSnA3*8Uk>?Ut#w-TRbJCH<+x8sUYUhLsZ#A>#{*D*SflDHoQvT4Q7OYCa3XfpHw%I&in$H z)%{S+pA0fl&F_J(PsrlqKA5=fujNBaNP(}(%F&;}4W<==yvxu3G(L8?+tW+U42Rq-Q;5Q5Mt zgZfFK#wr(<)Mv}Jk4^1=mJY74&C8p&DSR9w-8t#$ z0q@qXu|};3AD?yjHjvI=m(#C(=^Gqa^uFB;>D)X3-|X()kj) zy;+Rm&7RPlovIG<-wT|NWxHx+o2kAYfAiuW|AGI6c}|UZzi`28!sfsikoo=EnwkG* z;sdwEBL}T)*@zfk-6hOhU9e`iVz?0qk8T%Igm{`2iUFqtJ|HL?Y(XmOr#{(&=ooz# zd%}Rs^}Aaw7>PqJ4ztIG;nIk!+Ec>9z<_MQB{mG4MZ07^NTD*H=wAIwLcLv)epQfWEs3W}J~?2c1uKKcr<(tG&q8l?1&0)WsQcw<1NG@_*f<9 zCh6%rC&9Z(chrKD{q(IKQo{WXzggqZu*w0k`Ncn>`A<};o-CE?&rUQ`x;E+t>?HD( z$pRqgyR59^-EmoL9Prw65i7(h=j!u9Q6*1GyzrkLE#PW|QD@7LC|4wIM4SE4RSQKM zQopdzmI6uC%b{6hk&^i`BhhKqO4Zz4c{+dXZf~rSkd~)}fst`$*D!|pJ)K=`+=n;D z^P$lsR0ItQ*!B3aotdL?o8_0*=t?PHXG8Ur73p#Pf;W-S(b# z+1*z_DIJj&Nya(j@m(yKfj&8ikNfIP7r(p=mOep8KM{K2cMOk9r|gsJ!%i5)Xo8S$ zIjG`gh3>3B7dzp#ab-y;*+pp}7DB{ad!)T_kK-(+-f%)J_fL{HRHx~6SH z63y)F&fPZ^<6ZIW$QQ-W@A!o<)fOGN9<;$qu7+CcFS!9lUXPFDL8zOb@+(--62f@Lv-gEd!6x z6>(==rG)p%>vP7o8?qYu6wpFpiqn}6KN?c`zViNAiI;ClZ$Qq)XyHDFzG=VC zDUjDCxvaM|`1q@w`?y!me?xTt-|6J->v&+V$uK!g+lkY7d4IK)W+#N=l zjQXjJZM^JD?2!)ucJY$lVUs9YlZ0@DO0~fDixVgVd1Q_q+ANCZqB<&pz$AL8Lic-2 zRgT2$stS@!yb_JTR`c4iEtOjssDWFYo?(fA=CBhH60Ke-!?AANgF}XC)A)G8(-xo1Y0J`ciPE10?L7iI6BGoow52F z`%Y>RN%>?-ECtxw3rdN7n@IA=wcU)xaBbN^5r2wNK}Y9%wO52d-d7|6&(X8898V{X z#A3tZa1>0A($McT=u|cp@T*vN!M~1EJ`pf&HvPPxN3Ok~t^4?_*1T9?V`jC@grhN(BxLdXk=7p*f*I&7$`wALurcSxf&+tA{_bxc zZI1U0<2-p!UshMyedtalcZH&a#d0trf#OC2i$gI?w!hT@L$3s1&Ljs;|8JQ^XZMHD zbjZY|1_H&ym(Q<@Z4*pFsUAV}@s*fek2(s?+@g&~D=tHir(r*rOvmBXl!d?SG5QVC zd?v$jnzQ^s;#0*EQ#937>zoNZ7Xp<{oMFzunOPgUFSp>)HAD!yGr~+~e?Utz9E~rn zKZpxbI1|~5b>5>o_P7@Fv#>}OCBJKTi8$o9j4p}a=INP*|7h)2iW42s$jvx);Vgpg zk~Y<1OLWuGz|$*d^7;a&#icz@3WTF2rpDL#81mMMg(OhVxWR4dpTw||;@Av5fP7ixBsvsZTV>{g_g3q!XGgWv) zDd1SG#>XM#S(-K;o1;A=vbV$ehw0U~(@@6LpFjiecGpDqHAC~mo<*~I2yYRfQ_qDi zs*5n2=>99N}Kr?`L7XJ&1Pk!C0S24w>lX?dx}y zD>7K0o?Iv_KgvmuHdt67!_bwk#xvj(?fOe-4hFZ4ResoV{5O+;6Ab58bhdMx{=R~c zf~y5?4Y~7`T-Rs|`SlR_qVCPI?yv1J>5$8s-)q5Z*91P z1_)U`r;;M{tYfZqflo!ugQlYdzk_c}GM-mr^8Qyc*)O-nu)+SEc|btkEbcv$aXdce zlBZ?QKZRY_;{zFDs4`eUHg;q<^#>D`7N9_hojc-=Bo;^iTWk&>_L#~EnraI%v~0_oWf_TK0jk9y==0>bdmZ;Kg1v5hS;ZI1Lh0(H$}c>eUY{ZM z#Gej6mAg20Ew;rE{mJpNqzM~8P_zvwnGSprmqqct^h zT*Q1xdvAiCC*UvXwFBSV_K$0Ht+{D7aN|dp%|EyK7W@+i~3inrdgJx*i19wBo&|U*i~IenLn8J&)M1R*S0G zlwrLMs5%9>mCbl;NrEW&3leq`k-c8n3y#Q_8)P_weLB|e+30qNU>`76UXi?CeO^}H zfLsM@_u7{T|FMkFyGKmTXc%7xJNe0AA+e8ECdN1UNGwj8Nvhs0iV%TocspR_7@>zO zBk?kgv9!?=;@H#_QV(#gghyz&UWvvra4E-8w#zFqaDT$%{~{muh*gy-6W*uzky@_V zwQ+5gz5c?Erh_lneI!-6k}ntHPh&5~8gG<36t6%cK0(jdM_%UvU&yzF9j24h^t6iZ zOpf=Ln|GImC~P!nO`^LZ4rZE+ZFC0`SzAP+x6+mtMJl?woeKx0mTguQdI*YrP|ox{ zd3V4yQYE z0n*-U|2!;A2!kqr)3615MBeU~1;veP`u$l{zKP82_1DtU3JqFN@yfJS==wK zv2+O_D14K%{`nkmC({45GXAue6n=gEHV=vZA> zHS?jVGfPhp{q;#)3vlhhi^Ch+a3`9d0lyI72Za8L#rIH8zQ$1(ykmqHa}xF9P&1<8 zEii0Q$1^b_fO+p1FSvgd(CPY(BKKL!)@d5}oR}|l5gG1i^g}2@Mzz~$?{b#)J7N*G zswX(}1hrYT+8HEzW|m{?-#)aJGqSMlb3G33eSNtMVJ>%2!hPX2VLPLI;t;Uk!mO3r z`bNQTVP*6Xsut5pNLU>Gn~gUk%R=`g?Cq)MT0T&}-o|(M$%>LkP`-vNhLF}nsrcb< zM9^-7dvwkst0xbzH?}hi=;aO|zn8am&8||K{jAH0^9~x0#c-R@epN^!}{*1Z0)LRav9D%I2x;ZX||Noj1cqr^q0%L;XxPa%6zd)uZr(YbCClb5Ku zz3s}fk2VAE*rCPd5B>xGc&^M221~t}@#n<_=0yF6inRU$KKkhWgM^rpZxvK z-DDrF(7dNx9daz$A9X4DP*sP)r30{Bswgz3WPqgjr$Gd505rGd1*Dy4Kb^25#-+QL zh|8KG9xBe2a44>xC-DhIpd-0nVaY^lLpZ_^@k$KnccjW@16z$o81itNMj>hH{?vs1 zCJFCaN{NR>+HK>8gJ!?;CeR+R>#864v|F!U2iVz;M=cv5ukgscnC|hRJ8qqrPQKbe ze)Bs22q0rS(v!Qr7Y#|@Nwu~mgJW}VkLNfw&fJ&98XzRNzIlGVtxRGT(jRPeg*x1y z+#q4^Gjx%IOBcpM;vir2UB240JMJq#HQ9$VXnEq{Qk?Os+)q!rk-;0vHrhR#T(a$o zIx!tQX}gH6&g1GnO^S1P{Yxmy?sd|nc+RbP>CD3y^P_QC>A`7ChP9w>Ngr(RGx>ZZ z^{_m4Bqeqvh% zE*61BUztXoQcPm|w>C=u>`PcLXK>b{>TXITvBNWvdY7wJswgn@AZ)y(9r0+y^(UZ> zVnA%$im6Ox$-mk$|J}+udEoF51NkdS=eImc`?ZN|W>^-uWw^nEZ-ecCNC}+Cl>1qy z^R&6DxBAVqY~EP9h0Wqsv%bp$mpNGZf9To$e#%MhcjSGYd0$fUPaF?0X1E!H`h2P7 z<;RtIAG*7t8~KwYe!Ul|^P!OgB|5HJBjvg@n4tuyZaEdz*;`6E@p6p`;GawTyHqCNq4o zg%gQK{<@Khp=juRc^m>3V1!2X(GfE;cI6ZDuefCV^gvst|?#@THsQ)n+EdJw43@moKU~-R>~i@2x;_7NlXd3?Sk(1 z={>9L+fBd*#|G`ARkkKyK!tM@!$rV7z)Cn~taXY5(JvY|tn7F)s+YaetECJslGShVHB z&uo$8{St71(~F?h5vlq%v~ATi*@|&YSsNTEwQ|glkL|TH**L-na(P(sMs2{&1z}O1!)t#C%*DjH%gURRJ32`Hr;goTjq8#tGl{ZwVzlTx7 z(fb67XL1hX)5SdZcX+1yd8SND4tBq3_Oe~%jl9?U{6z1w(ZVB5)>i@KbZ!a|-{Q;} zwO3ouDur6MJBU+r(w0430;noR-U7@D;qkXeK}${5z~p%SkvPdRl7OjFBiCP8F@u~s zfIU}j|IE8>HSGc~OBOxU@;scbXW=zeGJTNLzAyB9c4s&RCfOl;k-Za&vJ7p32}I!8 z<{=a0&Qn9A%Wa~5(%JJM2V?^X26`7GS#9jQ=IA&DEhGK&%0yA8UE8d1zJ-tE=MQzV zk*OR}KDG5}`2A9pOH6mU zC30#}f%CFCgE?eDSis!JCDpT&Ow_+y_fvMQZBjY+U_^_c2!|LJf-#vyoi88%HkA~3 zuBR8hvlsCw@kY67KNFK`Xz=A`NS6{DrXI*JF)P=@k#RV?;1vk&p0?>e9}9~Lk&tm-hI$))AJYi7`MSR z8r_bKilJ23_@NlCH=O%4BNj}kbC<$?+xCz!bgw1HJ39WnaiY7-vqI2ZCDJ`cm8|M% zhGl(V1?Yu87WDI9AH!-_oF7~vF-IX+g7BD{Jsl_YL3(WY&1yjdS z31G9r62+B_Vlp0vX5$+Lt7l5<(ba?KklFcPf$qmyd+#YJY~j_BKn=$ zA5MLHq$?A0z&)Voj!^q4`Y(+qw=^n|i9)>SZ#f*wA2i;f*JBfIi z+k;`i90R$lS{znsf-iui`4#F&t8AoB5e4N}Z9&CgAxOyR7L=>4`oziNHX-#LrGq!o z_+(jfzwbKye)4KmoxBw{o?^VPk4*Qr)FHvZt*s91yxi%$12jR|Lxi@ zRd7)9(Dnl|ep6f_NpEpx)MldK?JMp^7}SQeJ@f{YlzUJ_s$UCnh3E^DuIZ* zgajw)Ua>6;muGa`l3nArQx$`(;_F;dbo7EPNQegC*5sPLo%6~fEYQjbiORkNe!+-{ z`8f7Gls*kvG>h)((C=w^!x5GjnWuMukO<&oUwL?Zi>w_ZTYYk0zr@EqI5#G3jI7}4 zRI2&LzWg;0Q7NHuPMOL;M|RYUm*vK~8;*)+Mbx&_HO zwv7idi_@;pGf_MkumrBAARj)sbhUfEPLAX6wg{s)8U!8P5v?5CflneLGgym$9zZLQ z`fymSKH~HH_bHl4y5X(K$RmA>Z*%Gn`$J6#_kfnRrH>=dh{0NI-rCn*;<$*(n2Sd# za9<8_dhR9-S*?T_saERQj!Hs-qxV;4@@dKS=iO%M;Y4t>uGe5f3GV>oOJ&U?u=;sf9dNb(3$Xqd*_I)iMiG zqtQq@UQ-f*b^QW5-bz`|htcfy5C{WvE5NA}5CA{#m9f2LrW@yezXw%sV(|=pph{Tm z?eA9QST0`Rvz#|b=481$LEApXn$@3Lon{9yur$XM&IX=X`+3#0AaQ{lc=05S{jcOz zb<^yJX?2wAk3}s0`NWz!<81VhBqcO3woHC?b_^^H;lR(H+4W!9Cr7c6ABUiy6e^c(5ru@ml)F}G;h z8>B|$d9xpta)$?1H*0d=e@2aFS)=W9h?3EV8x-1#3k0XE$zjr6$DUgOS@rSf` z=>;@W4P7ScVr4ELO?#n{D=pv=5$I~TT)okXB*45)7BpB#1pD}t@k<_qKcQI+8T`dw zxJqnJw&t*qh>fO@RtV~(DMw3Lgjn>&CsWSuXuo^=GYdt={w9>0z$zS+ujL>v9akMk z8487^^}YNYCqo3elb5vi$d>z#v0ZN^w>`&kn}}^T3dZ;eQdCA5Qz;EQDNr6TkhA2K zN?d*95J#0$0prs*erTPX=w}f3y7gYK;d>jm?OnE6=Ee%=`M!Ss`OV{Vr+rHpF^xJN z4G(|a!_6Li49F9TNDIRVJ`Y#J=cS?0SA2FB8(7~d*6>2wC;p+VxYQegSuc84B)QL& zP&Scze)*W`V)?A&hmpi_d&L9@75qD)H32U-8nyaBy^94bS6wSAD_grOd^Ic=LMU?9M1MSbxs!8^ z|ME&#sk=8iDr!Ibw1KeHujB47=e^HG`yC>|l!HOLo;f|*bMWc9y{60kAr$*dTSbZy0=cyO6XiC@2$^PUtw76{cTZ6*Voj zYFR)U?y_>C-6#ls$;Ax253N;*HgTb9mM}v{{S$2P-&&k@lAjCyM?3rqcF~d}MAH~RN@Qy7c z_4->-^*;KCd^Aa&Ek47~tqK)_%iZV+HUJw~jQ8rrQN&VcvRxKuJOHg|p=M8VS%{C} z5_29kTL<^kWECOSI`Fl}c7?Iy*?|Ux?16l~0niy*V(Olkp%tEAzkeU?>{yXX8R>P_ z5~>lK-vq$Zibw7{Lhw!<9pSQpeSCt%3qG?yg;}mbX{Vp>f3na$=s&SeWTiPuTt4OZStd`qYHrT%)0oame`;wrh$`*(;io z!iiyYXY`Hzg6AKMY$vFYcX07{J486+ZZD9mX>-R^a|7^U?1aA0eiSkbbSvQnWx{DJ z>vN)}E4CO!rr$ibhpX%qe{Jk71I2 z=O0LB_Y!-sOCn5S-7a>33}f4n?0J30ZW3d%x}%Xn=zlfyJVT*-h;fa(2!D75GffQ7SnSIJGJw#9)}%ga4Gjjs#UPPf7FVfCfglP8ZFr*$0fqj% zb+z2*`=y~Y_v3!9*pYn+X-lb}M1=*RI!Wj+3{pE${9^go#JF?R$%&kK{+<;oVLixn|a%qzRzYAIj$#RQZ$VPfiI}Pdvv(j_dx7{@>so z)MJ$m6}%dPFHl_Q`1aWgIkC`E+=(k3v+3PXhtfK-7{TIdIBJG!5U!Kel3ipCo3(Y_zT%iH}Cb z5miH9;v(0C1|IM;3W^e**!E`mFWS=#YP(By2Rvw7r!hTK&ET!}FSXr`PcSyJAF9@y zASA!zWo4$9?RmLVyw#AXh~n@RR@@$srPh3Zy(K0-fy)%ot99#f5>sperIk<>J+wWr z%w10E0%P7kv~wruMS& z4m933a@tKyt1GQL%Q1Z({BA+Th44dZ;(!OmH31sFFFCn?%r*?Gv5t;!IfK?-3R#3U8P^Sq1Mj=fdmgW4b%%3*EaDH9)3I- zz3N~GX}pHyx0vk|rc66&zL(i@Vb2Jfah?KEwtO6qV<_3N!;q3cUOJVva`SN|P=ZzM z#W2Y(Cq#!IZR4o*=kfR|H5<|Iss{@MKfK337*`a;ViAynnjf`{_*mWCB*G?S~-5RcT{joQup&)XTPK zicqPCf0c$8OMfpKV+;y|`Er$kq_G3iM*cO01FVu3UQ@kFf2DK~fIc46K9BCXyV-VZ z`Wdvqgl5}zo__E`tYh2wqR=5|0?&u-4Vq+m5{Cd;NYNY0$IIr2kJi`e7Tbz^H1dW ze}O0f!f8`|r|I+tM0kBV+Ey+eV2QW%qSCT46}-BL3k+TXudt<~70w8~u;r&Gh|Dr` z(9cg#M5TfSBV&v`e^aLTGa)|XlIbY>aj%Z*3F}_6`<6T9M z#f4am;>!EL9onC;=+iXQtMOV=M~kY8bOc;|fWk$^Yb%BnbM1X>Z?W?y*&3DWf6^d1 z7hh$|z`IP{Um<8~-p%6b&$c|2WC!O?JCaOn)klf1a zB{SF362oi-VSQ(p`|^OC`^aKj?T<#4Sy|pkQorHO1FQ~r(_4ON0>YYNZ~02;r-`AZ z(2?g2FUv+Eb%k5Te@A3viDE#Yiw{Zr%?>zVk<7NEQU5+IDt$lJ{xMqRF8$ay9d-+M zaU-b61GL!`G!kUx%}~C% z?Z+QYDZZAu*#CKdU{0eu8Z30{L~tghGC~Nsx&V7ovPw$x(4AMXEHaTz>&fMnZ%qxX zV7D=w^JV6q#{Rr^Z<}+rk=w<65BXwmzE*Oqd~>#7XXS4>pvJnuNRlwnpNhbQ>S0o$ z7)dA%QbW_W-H?F83IJ;ex|RrL?1xD9+!e7D#ISOzDjzQ;CNEYr9*(FKRmKPPP?W(l zAH*uAA5P4wOfq4M>M(f8K?@^7(HNJm1tkA{{vmB#VF({dkC6Zbjf!b+IZE06nKyXg zkC^+q8gw7vb^btMxV6j$9yG^c%A-uUpU6qvA700Qe5Gf2VOnm)@vc(-O?^YrtdqFb zgu|nUmWW2N?sbHtSz*j(DNw)5DO)$8%UHaG#6 zHf(B*rn3hc%{Ow;+WmwJX&Buh7VC7ATzWgdFo4E#L-79R2J3z^3)|>UJyx7k*MNm> zPW>Ic(`zct`J<_?P8v_g|M41xQcv)Mu4V?WnS(EDLN3#{2LLN%ymZr_c)x`(LQ!!K z=MZ+^lWpUd`w?vIm-Dy5f2DonHX$XpAOAX__A3h&glb~`)`{CoZIog;?{qy-y3lJ_ zz9TX@l{Gr||FAT7n#L2xry0=FvPAe05RZ%)rKWZK-X_sexM1KJ%x;a8crNCDA}E}x z5F6$QiFbrlX}$``kNXyut_+G+_~b^R9E7Eu4-NtNwaM&0D#z?y69&6!5FcK6m2*8^h4?M-D~=Tgoh$@ICBjn69U{mY!WM z_zT6{7PD~)O*iKJ&6%nP9X~rw_h$H92>>k4z5r(Aag907Iks_A$oOxSY_tdl^wH%h z3d4u#Abt+HlbJ1_koMU#HBCO@iRU{)29L!gePJg%b|}5kUH1&-FD*!%sc)-09I>_I zMHzOYD#rcnejjLod~l|3k)Y2uM}qel(n(l@uE%pD?I@TEKugY&f8_N^xPHf=F&qYD zNN7F{nvtL&PfU??IcziH@5D97V61HGP3VW%Lt?FS&$dUsfbo_D)T#=J6e*pp*MWj7JvYoMy@Y*E@Z`?S2 zwg}`*M-+y0$pDCAWOrluK~t(R957)}Ke6U3&ByKe;16Y(JK7IxJ4F$UPs)eqmc@X0 zydaKnDs8Ws{Jad=yCa7U(%qid11sjJ z4FlKfce0EQ3om87lUz;Wp=#O@tJ-Va4#tFM19{+~K+H=C^zVWkn!$qurrZa%<&W~k z-$#JyC=Xt?N8I9hN%W#QSxRK=iKm zlnBs>|HIa2#va67q04?fu@!nS6JNd6l7^RB7D8$lxTAQ@u>U&-OYeE$Nl3+r@P8n) z+k&!5Atkk)*>oIf=kWmxHT6HNcp{frn)PI6YFu?+{^?zS!K6j!(ZUbjoc7|Hxq!#@ z-utUSlX8|Jw3yICxju{=(wYWG3YHnr}qpz-%R=>FasE zNDwIJtetoNTS5@Y?ODRD-#a1m`7tG+#&^k9B+$5o`toF_ts|Dc)U`{h42aY^w&;2` zckrHcbX4H~3BIk|fghQ?R`0z!l6*o(S5^)bJ4LDqvxWQz1r1))&Bn+I=w?x6mN5fj z&XsjbpvyqTT19`C?vv;zX}}SzukzUhSD^C?Q7=?h6?*3x?QeSxhuPOu{PqW#rlijX zCxou>op8rNmA_(-j6?f_jO7R^0-g}L&iO+5o?QlTJpc0k5JZ}+KBoG)_X(eT;f;p0 z(AC=Mq{a9&Tl665o9g^WLRtw(P3=#*u#u)+CNLy2HOTka zx#Z;NFbt*)x}%~<&0@IT0NL}tQCCzNEKN}=7Id?p7W#EMFs4|TiVyB^`Ee0!6#!XV z%aCav^0KX<{>XA?T@{qFlj=n0ulv0mi)O0Wwfc715_Sn$Y(-xANDeJyV~7B;!&w-v z_|AO7we)=4?Bz*q%sx!ESYiQXAelp&G3&ecYQ2c3%ofyO(lkY@`omUWLiY5hb4|R>o4QPS`&35~IO67VXx~7lmpXaVGF+ zIZ&#HFb_y$`RAbm7XT)u=>QIs+br)bPkc86H_9AZc%{%&!PK0=L^Xo#8?i9Uw@lH- z%;4vcC(7j3Emkh)wc%`YEDp%%>dXU4s7&&P922}|l2Y9j1#rVR8Mb3R4Wx;Fk_xO7 z*m^xG5R|5P_d>p7<^G2FaPRbNQnic1E<;%dUt~1yST*7l^;%O1`hH4F353?$$#lZ`DtQl#c5M zFEs}I+{?OMaUq7&RC@Gb8Jt6hZ(2cee7WzyhTka+%b1fPyr#lGUZ#+!UYMc@fGA4& zWH%TwF<5GXfdOXimKuiXT%h=fv<^H0L^zqbn8ly&adEqL8f9l?(%Qq%e-w|ID%aNv zz;pRGDhdEQto~dujRa($+p4kN)R7;hAC>&4$H|tA?EtS4h;3y8jUQ zPu7QF`8%Mts@1T`!^L=yO5xfZYeH*D^%@77K>dZ29e*-&_un9R-9`sd)0%I|cMw1` z{Xe+@0u~Q1ue2@GgE!2rX%f$+@{6swN&{!N z_W!ohrB@cm|2s+b|3Y&!6QDEpr%G{OYa8NzZ3^5k=1JMHqfU6a@kr)+!uqeI+3(=Y zJ-hTN%&?b30MW8iqD~v_L;i;wun}5E-od4z(qb?KROcanpH1y*fF!&D;Yb-qpb9FI zj8`x`vbqE-a`}T1?;T|VT01|Mkm*SXODE~KCxnN*tZ(df6CWXLIX@g{YN=r*-95Aa zpr>)LknKOTV>Xc&(fssUEv*@igx-)PhQDGTDmRT7JPis60vJ! zvn5WoK6Ric^P-35y?@Zag7RQatzjVRs(nA{+O{a!fVN0cN=w_ukH7>T*$p@z= zi|#q5MWi8X@2=8oCqOeiSR6a_DUsMPN21V6^MB%wi66K>j*5+)im4}mP z_BKM#UMJpJbobZir@bR|v+*(8Rm@_FyORxX8Lp+AgDeb1N?uHNzw^N4xpRK!Mg$vn zijnU!!yHyYym6Y5pYfsvDCv&Bl5VB?lzj(j6a=oN1eG|P4TI* z2yZzsRprGx3Q+f_B>oS^-a07Chi&_(VV7=@TpH;{nnhAT#6r5eyK|S24ncZR6jYFu z4q1?p4(aah&fmp7?|nb_`X!b+Lgm74j9&-1bMK4z|}MyAlCl(bVD^2hp-l850`1YD5 zes0@syehLhGmP1tjZQ3+rlOk*Q~Sim?6oHZK?e&JH_X@`u90eZ=J2bA;8&lgaQ6kj?Yh`g>zglq+|*&YpN1bSJ45HCoc}+}0h{pH9x|YDeqAr}y4P4L1^j z_`VHyIxuY?8m1t0lpL&iC091dml*w51}SkecMq~alK?#PuY2mBnyT~Y4Cg5KtV+Yd z-RpC{Ywo5y<)9j?nX|jJySH<9KdK!IH7;dVzZ=w-`kguEtV@Oggde$@%F0ShniqSS zcYmrE)`xeIT^(^t3lU8*E5CkhITZ@g0KU_+W`+{~6|QGrUWjV>nZ1-bEsI|O4rwmm z5Azfv_yq*Y%CJViocJ}L`2oJJCT9)Cmc8q~y*4Y^s|k&b0?~ikuK(v1orB0w8<~)- z;wI6t|QdQH|5 zxA<=@z?gdO+xa{fSzyKeUbsBQOXajnbJnd);V>YDOiDVwIMGl`;9J^Bv5}ulu=UXo zs=RMb^719Jp(Jn5Os;nMDz+xaYBlCo4m`8_ljyS&QqwESPzYBwpWXe?m!@%9IKvm0 zsVIsh@-2Avd8U(AgDw=?EWHj!*bdTE=Uck=Ctag7XF??OK0qg(EMI3dz}Ko7EpTfV z4R{_kmku2C0;^_R&t~qYxbdK)UTykEp+JvhV(m`WYGx)F8}~c!Fa#-+nrtX7974kh z=z^T`KNes4DuT|#))y5cvHP&jHmfWGeGh4uU=@_?`V)>~D`@RY*}`4|ANjV&%L?%1 zh4K@4Ls=ygL>jHK24Y`27_{OXVa8$gd{!moaFfeZ1o>PqLEx6N9bk)TGl5nqEOxW6 zWMQh<3O1Aqs@P%ro&vO=srcm}?}XoVqmjAZ`7mwt;??kksn2{Cf2#v==xGJuaDu#ns9 zTVuhJ&%(H7E$iuUfdK%!77*x4p&I{j_VkO`sX`XI7mEa6Uks%v#gJV-H+F%Ru%r-` z0S;xYadSlJaxfB(h#^M<1DrVP3;0-2GdA*k4zx+q;a`}1b51#TUa+JCKTZmt@yIrH>K)-!K9Cjr0(b3V# ztMR;&v~QqO`cFa6$1NpQI2MFZN2=a5mwc}OBuR9;C5vv``g-{7_kX&eJEOAO;_3{V))o)3-9A2><5PV6|i!&??04L9h7i{Jfn7GCA; z+_rHAqQu`0x6(MQmTyV#|3vpbtDozgyO>r-?DO3n@*Mzmhkq=WSvhWgy4EdirX!H+ zUq?HdxpSokpu_6u0629THp8N>a{*@yc@gxJBceY9{wgT0^)3VTuhoXHUrxJY@_llDYo_~^%HQR2pW&-JG6*$jg(fZBHH;eTCp&bk)} zU_#}?DtV%}YuhZ^6FIRsxJ~^Ztv?O^g(t|(7(AT=$NW`u5Yj|T_5NEXHqJ!DIuN}z zmE=%B;aIkF;0voA57><-b=?Dt=N*g3ld5qk7M2-F<5HhuQw22eD_~ambL*~OS{Os4 zNhVi*dGM%@<5F7^pVu#=(1qdrwqasR%p$yTZrMJWy*w2@9M${Av**v;7^!K-*%gNR zYD!@8S&XNc(l@RZz~!aRUFB?&*Tt$~PRcr3A#j_ZD488v(ar}g!lZOuPCnOO&x3;> zX<%olIjvtfJPnc{n0Cb_nD(S0nD*uBkB^Brb2euYBbO!IsF~a`)R+@157Jd89S6)u zS~cYHZ%=KWusVN1b+7+JhDdPVtLo`j&lclf!0gc=ec>IFW`#a%e>M&8z;k}nmCQxo zkMrt%EHu4W2w_49<#_#=-v7~V+^0SD^UphvI(ro=sEdyfVpmz%=mCrhy4GogZFG1n zo|}i)D(_8!PoOs1JJ(2U4)HvmFHTatzcplZtqm*W@~jSOPy8DO=EL0yAr6Ns9Ie

X5_TM7_USf1`adgDB;OfwpAJn05LFLK4lcOF+6)OflmgS1@O6d5}iCb3>UjVD({ zTvkazaTtu#nrui)8z3pj2ze;{6hpI}v+k21Q|N&jIq!y#{&yjD6&w>&eq^OS`fqYZ z78^t0xCnv(7D6o~i5VOiLyz2x6tF}w*(>=?h&d`RE8!v)LwPByF{e%mMXNb2Flud% zD;iua6)lqtM$!A@SPWUaN}U7C>gQBP`{cqfwGpL9pT96$j41K!d`N09WP*|l^kcK> zVM+I1VJ8ALOjcOSgbOvp22?B;3`K$|!vtGl-8j_j`92c()NJ|8w!zR#DBVsjA=#_1 zA}_vrVBuIag##%UruKU>F?-U%WztvtRaUa-;S>Rtxzx9eJEGiR&a0YgM zmkFul!Pykt{5M4E)v^2ZHnl%x|3xY-9MY}Ac(K5 zTXRYY8@YQ-D@pYc5)|y@Cmn}69(U7}lw!tMv(%w=nR`3)uBdqHzwcQ8={Np84k17d z!0?2*;P?8l=1eaaJrsOD*5!B~i_6@y2i>occ5F6pUpHXPU2VSJKVxO^JI_5BmoyA^4=TH#yRBccxizIAbTT7AArd5AJgc(WY8s62c9TzV_;yhZj`(4}n9 zikhd{jK{r2Y^fvO%-r03I0X6Bf06V&XYV3Mp8+RU%5Al$?q*U26Ac^$xLIj|@>_E@ z>*sQLyb)Vel_#MbPrssnocGs0@V}x2rT%~bPKaZeZ1%nG(GQ3Mmi0->gFdYP#uYim z^H}&tMqxg27%aj@5J(J8+rm%z`VtmH_R6P;lA{QvUAD6Y=9w-Jo{;G@2{tVhPf2Jc ziR5j?F5XE>=cahbJ=!(F*F?x%*!qAF_jV$!m?|vJ!?*l1W7uWKe%8cF5L zVG@p`T|u#R6x>WJwH_8u?fKq;xs|}r1-ik29w4~15J?}i?o`5`i%qs7ZqZ%7lsX|h z5qNA+P3YZO6@yNI|JHi*1QGfVZ+kZq&?Ok;Y!7yXA*?La+o!s@k5b z*Q9Sr{fwKX7OK#;4VUEHv|d}*D-$hK(r{yd?1U2C%lk?aaJUnvecQn)SbHT9NGI&O z``$Rc@yMn4>q$R@r=;UK_hm{tKJ)aFQ~f74*axv15^`@ZvQ`5YO;R2F3)9E>>AZ+3 z8@G7HmDIi~@jUou&%hI5N6GUKxJL4Wo4~tA$LS_d=S!phvSjv#sc@i=CO9dT*nEtTjfH<0EnX>WH}OO7Bd1x;TCe(`;e_ny z2}BOoMGT2Jdvu(ZH~Vv^~V(;1M`-LnhniFJ2Rp{VMoz`+c2!o26Su3)QmI*kYpq!wi)&fF z+UDCiB}NXi-)tu3?~_(0AUrySEm%emd%``mm^nj*I67 z{3f5>*q?s*Q4@UnTX2$#%bJHTkL-tY8xpqE3apF9Hi3Q7*jJ832Ozn$7A>V)D$xZs z^l_1k@slNpIl>sksMXiHSD1T9blz_gEMu#3w4D*lNrxH*%9b}JeKD)DGgedr1@sJ< z!Z3RVLSWN;6>-0)edxL-s!1xIYiU7cthQ|uT0wwSK{^*rR@BMBFT=0dYhEr7S>BVo z{OE{D>RkpYN7Xxm0F=>V;cLtF^ z5?W&YDI733@;%!`nMgY%#93+mMk}1x*Ps0>#8i}Z0ro?wRpXDZ^cenJR$WG*llfd_ z99!wj`(^hxdg`6AR22k35nJiU24{Y=7I{7Bs5)W$-PrO_YSjvZJu5eTv3zQWikmO2 zd78j?r`E#KdDQ7Dkm35}l|g6LdM0`YH`1y_n%bL0^gU6PupLSa~h-g(HYpY{lfC>-*{pVI;GdQ?(YiQ zH{!8XuD!=5&1g!Oq$d2pjU_jSd>hOGCGx0!JfcWj5IKZG%nV1ZvkCUB4e_)HE{Nk? z+GN!Fg8nXpYlAH=?xo|yG-47tl`st?TWm{Q91U?Ht59JlxM|ocO`WAibwV{DA{1_LlgU_J`re12&NLjPl_&x#>2!Ntfr$ zJB%^R=%irHPO$<_&jBN7eZLd`0 z9u8xsT$CF$QbNTuW?k-Cwxmrfyn zg7yOwYRDx#@h^$c&#h3tjUjgIMp$m%+i!XYi~qhuZi!4zr`lD$&@A24yiA`@-I|=F zo5Y+S&zNH#SCTB(d`*fFKBU7jyu6aLISS2R{jq0cK6ft?2Ex!CoIv^P16Ygp<#$ z*Zi)NFWa49e}T+7@wF_&<+#O}12b1lT-+isuvrT~&=05pTA`rJVeb}P!-TEhgFw*c z;c{23yVHz>46tsNyG+${T)3HtQ7(R^P~j7HeekIlM}6u)1?caC?sy7Dr_A~qS(fiI zvAWC!3!7%{>LGH2z0BDK%yo36lat%}8!0ukNtvfMj#jdrRRe=W zdj=eU_41(}iNVNGiC;oiCnwH$4t zV1K4=b__^TI||2%}Ii^B<6dH}r%JVenVVK|CU+zwpPv4MCE5?N+=RN=L-qA;=c`a7HYsLClc2?xZ~;)T-4V`iI=Iqo(2oH6w{ zNsk$!N+41Um_mjRr>Nm@Pm0`FiKm<;I(N0Tz*6da z=a4`!ejKS*&E9>kB(s63p9-1YA9R0Ts*GwfUL58!-TW>=Bfp{~@v_!+ zKp`A$HiN(Ou+X@O=-WB(Gs%M^Uv$+IWf8pt50!G?g`2oQ(MeW&2tA=v*Kzm+hisizol=Bf7pvc)!gKCS zwv&Mo0i}&r*V;cZ#&O>sFZHHI!7zgY>lmGv_b5?5D1eaGT|-x{e?(}CwBdUsxv%+j z21gz?bDe}t>U#%1Y)lEB_(00mtz_)$M*;ChRVVh%VxgqJZ=*$q2nDt)igexV285)zPKRzz1b4Y-9R^@koaLTi`rcN~bi%Wag5Ph_dx`*qt}5x1M;_jzqRJKKOaP5*n% z;zx`OgiR=sH8Giod^u<$!9tEO36)sXI8t=~HeipBn4j@|FpmxiWW2wWd+S*_ODLP- zGhz8*jAC{zfBk1qTx-X+X#m5zzPASKXmY;q-?+WI!GZ`5*%_3kAWm>MJh>7R|v>}|DHw0i2sOqt+T4$G)C zdBN`%k8l*%$tz2VYcp5TQxwBLbUq_NDs}tJ=CmE^k3Vb^tS#%{rpn{Q;uL`02<2bAzmJBd3q~=kVNOQxA z28D*7R~T7cG&zF;8ILyGAb0Ipp&IhMu=65sMM@ zzD_hDlI)lXIfRovB&r2ptw@o+7)OGrIg7MXFx4Jnn!qi61m)j7)mp3S8|aEyC(_c1 z8?=DAh35AVrDA^gDyQ=<@^`2_K>vC+G)@BWdZ0*9?MQh8O&b-lW~9m{~jGeQW-!Q zRqcBa=hc8Nd)`90>RK}_xA26o5yqb5ZOZHLoH;WyQ{3lpd3tg<{O`Tk|Fml%V^8LR zx4KXBoDAdLGaY;>%@2ly*B) zp~6)&7#cUNXX_bK>Uazn!p)1>vutWW^Drv`(J$(Ke1}=C0`H_Rm z00$m7+5B7rk7Wy|#*N%ooSD<4XZ6y_WeT9)gVGM`U`5?ucQ4kMLuH`rs6nx{;xrGJ z{V~Yw!xgUTv7tM{TWHwKq9vXtUY#=n;ad39;}1*&;+>IrcKT0CAN7=qan@{SWEexM z6a;8EDy=4v5hZ8zZq@O6LcdA^Xnt2I+}*0;*cQyC)s3|#1Grc&03GmM>HvdR_5g#A zRBhc)q6`i0<@eCNzFG?nOx6U~tfJp+Waqzu2;ayn-XojP$ahVIsf^7|*s4Zf1BAd$ z07~7vR{tUfP4LZl#pbJo}i| zzTPGvp$gL^Vb;LW5hgu*BMxL#wGxT+`s01J-f6xO>DSjChpgF$K%=KHMK*_!F#H!B zawb9`A<2|?GV0b(-vuGpfGC+tO!roJ=UmquOxJi4I zWpa#gSb9DXq)BZXlbmU>(~YQ(jnIv_P|5>cE-M}>mP?OF>?0)s9xZDVb!<{m6^Kc? zuq9?+@}yxYNZooj6PH(>uCZrkpm8|OaYs?rZICfIm%MFddh+0*3Qz;!s5G3+s9>&W zlGIOfaElP8Ohk_m@5>ywY@p)A)aHNs5)E4XDyP31$@LI3^n>%7e4lDmo;>Ib??ugP z<#BurHd;VAp1R?oNLi;r>^=Aa5u>5&A%#OMpshv?#N~puy@A+<##^*zWt|b>x0pf! zjhO-c&pY=|l7>3|wpyC6Vbi)ZYL2yCDOt9P?KnAofbvjzUP)G|`6dkIrAyY+&RbIA zcJkCY!|L0cd9B><9bEI*0y4_1MEbWF$h&Y4VMpJl{CMd(G#O$t6V96vwP~d z?k=fP&zU5=Vv!HPo;2U1xr9n+)}-~xI?KT0=Lac%D3^5!PJxzFE1eZwRWaD63CS{U z&I|Ll^{4UH%+3wFQ(_mSNTSiy=1ymHgH6n=J3VC{TJJt}nnR*%Y_ZeJd;MDruQUN6JcVcE~q zgIWi1N?eYNHNeM3k9+k$^|L#ZdD_I1i1@xFIeFaB#(!@n9?)h`}$mAEL zP;_z<)6Vnv*?#XY*38v|KQO*cP8=Z*@f4i0et7GAETK?p#9CL;lZL0QqVizLNzmkB zx0*BXoCa|ScQ@8Oe)}LBk@`U7(k8|cDwj7hlM*MA#CJKQ9+rqik!OLkouF!*GUJjx z=!vsp_cV2iYb{C^-Il1g-6%D}mNZLVy&`KM{mVaj&c zt~v2@L5PARx&7!<<|x-iv=&e_2?y>QOKU=o&7UbmisZ($X9>?#^Xb{6{pBfG=r9cF zSUI6se88q)x{n*+uaa`+=yds1kaC6KWOh+JUB%N}XY*V&{RRXFkK1Ydp42Jea}ndS zavL;ZYgZabc~s+t%D7f7FJePGT(edNNZ|k?=@?KC48JMneB^NbazyJQ`dBw6VcG1p zSF}LVLj~Pcm2N!Mcg#S>NS}hxcPt!vjs^NZ2ni%FQw+YAI01m?;?e3fS}5ki7y%Bi zP2wM3R@(Dru`VUZccQGNGMHrTZ)4(;87h@Dch+!b3k8<&pKlaZw@co9TJm{%y0$-B zr13u;%YR*${`JNEpQMF`=XxV|XF-_xtAzbh`_eMYbOVGLO-ATbUY80G!rG@^<1@x| z<4tsQIRw(MoGktCrOux1d>^D>0dq&ZeXoBmbx^VQNZ`mE%0e10Z~fwwa{t~j-T(Y) z2ejrBy=M5_RpVU!RB!x=XS~cMhhgBw%BVme4afE5SX20Y)N64-4=GU=CfV(((=8i7 zNWL}ZUDFIf*0vSK{{030=SNQ~pkV_v5IsGrogI@JuHE0xUW9zoX9m;W0HMtn_~U<| zXm1z1i4+Ygm zW!OF9nU%}4n0r?lzavKLV=t*4k-G7vxN19k@&KOm)=WS?P{c*DReeA{6kN;uP=Air z)Z%q8^3M$D)meLkOo6sG@j!w!^_EvglaxAQ;4F9ivFn;rxeQ>xp?I3pw)o|_K3|qp zl-*O=*ywoa@aWeiu8r5@X7(GMc1@gzfo{T0PHu!wOLbq^_QUV9(eRZEo=WY4rpdL$ z$A2aK&KVIlnbmLnCBD$NS~sG%)mZ`bZ8I0Ra2GNmsES-x@vtMI&7?`;Kx*Z%h>A%l z3#5jh9N}#HN_u>zeYTIHW_D(AlP(Y4m_Z(p zZKm@~-pWH|?Xk!$Feu+p#q@aSeIC*w+}g!GOQ>rpAC=C0Lfy|#$qti|#7dQVIxM`gefDS}T{XJ*4@a{I!5watFiy!caHrjOSXnlv|ujzR@d zo9)Z{;Y11xgDoFw7P`sZpGXc*(ciQdl*@`GT4VlFLG1gB$bkMxaQ6#AcLTmr>N|80 zh_zB2@&|)7t})}hC79R0R+zHYKepwJhH_4s(S|!quL*hIFRrQ2=;@dufJ@}}kMH{j zk9Rc1^O}n_bK4c5BZ_YQxKa?TsfY|Q`ak@FU|6A!}q zqKo92FGjc_!_WHlcp|!xrdd16jfje_c*x~S5I&1L!uOv#kpT>PeY9iAS^#p>9N8aq zdqn8SuAIMefBtGQy7^=D!&ed*X|{~+BxLqO2rEwycD?uo-x=lt9w< z@sh$PHDiwG2O3Ue)?OM${^b2AzA~cLS5iOvI&L$X-n-53%MY)G<=Evx3F%W7))_KU zv3l#U2<(AFmqPu{_EKRlm5EO~G{Q3*&Rfgp5R-7$)*e?K$W}P+K0}WtTg`&dGKPN7 zbo5m*nGg3qIxq5I>V;vUvz)Nu^>`MKl^-~m?>`XfFb&nv=%0CDNu>_n)&PIUP9`a_ z$8+}c0(QJ9QS)zi78Xy}6+$Z7&zr_N<@e`e#yWbc3#s2D2+4H452rsp5qCM|R)AME zU5-2#zw2bKky{y5uB{TAdv8lOEZy*Q^K-^e7Y)D!yX0$mQnM==QjZFb@RPhxxlKqF z8*?=CQ!s`;tkfr~Rd=0vOokUue5{ng+u~HIP6XuMW3)e0C6Nc+oM4a)NuaIbFhnzb zC2e0=R(Osg|FC|FW_)ILx!fu>T1rT}L`>ddzy1O%uacFN?>0UU8al^)3$$U`uA!O& z^3_aPTBTK$4~b|H{7SKf-v^?BeX5^o46g}13I%RL#snO>6C~n-BX?;U_`%dco{SLO zWnnu(+uF6$KbrcL#wJ2|-XE;XfPck>waNWrolSz5;;GPCnVetVJfi|G==X9D6TFw@ zODvfv$_GW9-lJ`JRK&%O0=PiysE$L6lC_4-xrBq7ttT|!U`TP z0t6u;U)U0IX5$L%%7eVvVos5Z=Ac*ZC;!!o!nai(?Gt75E0i{r9MpkF z%QybaGhApGPw&QX?i%kFW2N+R{|@iF?>8FqFv_`G`){}V7rTva4{3aFU3`YvWiM3y zSA}wI==vH$kWT(fG~Rbtb90j&0N^-!FEH)4y#Hp-5}-~n1p>oQ-Ca8>$N##RJYq@E zVBEjL*rZ4QN| zH3Dk3NoCw2=fAi;Akng0v@om$ZX^{xQL|M-K?STMEO%{f%R~xDcPe>djha?s+0L!Q zK*oU!p<(8}`n`)si$qcVo%LS^(ZJN4w3IbJgxcHrTQ3<~+l`Uk8bO&KJ^dEOezjCf z`mlQWZZnd-lV({OuUX47Z}jz@>Dy^r0^3%U!!10#xPOzoNzcHj+}JDGfTv zIkH-@Lq%Qe?>mn199`+sD~PU9fzbrX+0;{9*@ z`Is>e6Ks=R>y!vZ17QM$uZ+|H*s#9-!GcIfjg##b!xfG9;4bOHqX$AQ)p8~VVS$f& zk+4vbA#sZFK?wY1R2E+#CVPH{nlv@)1(m!gR=Gf^f2d(qgrR^^HLxFS>wcCF;ourP z?FP%gG%biL#Yck7!oeU;T1F_)v~_w=z+xT>s&~F7EZ=U%9$d0aAjK0&<6u ztf*khr!{VB`z(!CrPDzXQ^GF_`4?!Y5-!R9_EZ;71-+=grQ77YUXz1|7Itoj~#F>QvM@iwNm5{^%w`!Y+^H;{lICX4`(6Jz=!xQzDgX^esNhMi~9?+0|) z1m{2Zl~7Y6Pr7u75T!~6Np5DT($5DUrC_7LHPS596E0e(@ygh+Xj2l0{Mlird(1FT zadL~;a@(|Glek9JxR!jN6!|TM$|JL%#buZAC?07p;9D_pGz{x?g`t2~67W?vn+foI zRRij;tEv~KSGvWOi6DQ{06)e%t{C!3*UM|4F%RH<*$+Wz&`trDZmFt*SA^Svq&0eo z1Y_XRg8g|`rxAca#G|FYg#65GnX^vkhdxv-*h-b0X)1amx$eF!fW!qcE<+=;zI>9F zCj@b^6vmw@ka}WmeI?1Xt1fFSds`eU^1OtppH0w3*qK(NoL0x$!W5fo=taY`d`uu) zen7K`isRTB_-V=IayqZ|aX9cmf-z|BI2g~wFxYXOwXMY7vF;ZxpWcB0H1G!UwKGzi7gj;MX^;H$yS)&^kwpa1 zaTaiH{5w7LiL^M*0l8FV1AqkBPJrstV-UMybb{FPC2)SxyUUrlxpZ`xu(kOg{2VJw zl2oP&$6{>=b?Z)ZS-ob>GH42g|6(N+Wt|reG(hYJ&Iz4d{7s;P0MTw?e5GBm0( z*EmvEiW?kk(n0+eL376?G=EC?(Twb}C8GS=Jc>hlIpR_UUq7e&sTW~?$SXPT%T}Dg z-80>0gp0RCq4YnkLA}4R?7vb5->t{r;xwPyHIGWn+!qTVTXlgw=#X9S%KM(R=M;1` za0 zzNR-?12Pi$xVlmUe*O&FD)AS=xwU%`nB&~=KI4U;`nSr#4y@oT&(~5YWpIe^TKPYy9i>SD9+LKboo-YVmHc^_ zxH~pZf7Zxckm>a{uhg zx5G2nDeuxSt zfFdV{_~qD{QutFOz=Il0ECTO{qI|d`nZro2=wEQCK%Q=Yq?XG|ZxhlI$0XHVuRR^3 zK@h#uU*~@z{z<2sWef@nJxJ%m7IG1!q}>H0Bi9{=MtfM!An@6?zKyZlU)Vd{s+*se z@Mg;%<4}OUktl-5$lu9r3jXBSHA^}c_?(gECpW3AGGGBD^yEiM7{IU^0e3`48n)38 zRfQZRb_{FGJ^?E68H+>svL2l&U?RY_e4RR}*X}Z~sZ(MJ*@Ffr;LPv(0l@XNbinGW zTVKB8Z>pBi4PM}Ds^~13#|Q#98^JF}pt@w~a1b@Su!puft-6Yt4EGaz`CueZNerVL zM5Fa6*cKHWOYjf%CAN>d=W>^;Xj_s zP$&DhzCgSQ^x|&6qwwz52u;<;pCIX0;EDkik8lq%*x%tO&4Bn$AeQfa2YOX=EPSJI zc~gD-Q&$``Pe)jEB37`#t+XF9Cx70T8{l?Y;`h(U-p?cm*{bV%^>6M)kJYT}#5I7J zcocZM7S!Z14HT2RdzdE%$)y+??j0ce3*`0bM+1LZzy*@M92|C<&HzGKfN~-J|7L}% zjD|0Tt>Xo=$I$(JxdMzYy<>*0#lW8(8nIH(8}j4wkaIl4fJ+z({6#87o&MOfw}LTR z%0MiQ7L`jTG%;$sz1dddIszRN(-N0SPzQ&RCAsZA9lciP*3J?SVnBz9p4;jGI*|@v zGoL6H^2+oe*$ipMoejmZc@l#Sx8O=TPjr=Ct->ZO;x&VJ3WscPsqG|Z2)e=gKN56m!6YCU7OJ_%V380jLBQKb6M z%YfyG>%c#p3*0F0U2jB``i^SqNmChJb)aISM@h z{DD8qHa=*x;m#5NWnr=b$-HX_S$TkZHhyXI4wIwdvYYMceXiIM&!O!(tntZM-4}baOPx~snphJ!&%Rj2c^C@f0{Zpg zCuocyg>aC=jE1TEqKX=m2USE2(P#{@Xm>~mXyZdLeHy4y6*&UDtW3>v2hA&LjRpE4?@Kv|$l#1{ z#gGQPgg=l&iN~)L8>H0I_%$i@Sk?Sgk}zyY+-7$2LkR@c*VEpks$+pQc*H-)NVb42 zXaU^@uj`NH*uDtyiHzH(@5x=gk1Tw0M*?0Kwej7j)hVr&{6^EZ&P1Cq50&apusFU< zsuP zah=VK=Ghpd%|AlDtKR^x#swd`RZI1pF#+O(>=mioy@cnS6K zqFjOlgP=GZH=~LUW#c0s!STn~9`s=qM%iUqO9GR2PvCdZso#NXN2jQNI+0$pHPEq{ zLPNO!$4`XR7n}aj;Nh)?9fP}5`DhvY^?Rls1!*N?o_jw^7@#YcxbyDJwE-TY`i5ed zWV#aVHDUy;EbI}|6x3lJ&p_Hh9I1P*U_KlEtIkm4s{yTd7`Pd04-n2-?>Z0P=0Wvv z5u9d!d|5CkQ}M_~Ik-^4UP_Oc;eiw82>y=QeCLybzqJvSpVbJd6&{%j>?U(D}7{%695mrLRFcSvkCtlu!2^#InuDomX87 z!%Xs^OdZ(a%NyS5s^SbNJR#~R3;X5GWFJ!g>cawTqkSVIDT-=3lsk9t`20@WqU(L} zF7K;~ru`~37pu$uGTJ0J=+ZScN~6M1?$r*~P4MZYtn%@~-D#lS(Aqx*m|CL|3ZtU! z1m27V(FGle1s%zqx#>K(8v@vN@&3!q#k)Pg@%FU3Io2vSpz25bS@Ye^`Dk^MNE#I& z`N;J@RJ~qTmaW?h0Dg1lh;7mT>DBz7@0}$EqaQbj`m1b@7VC}c78Z zC#%%!uZ$HKq=m1X1ciO7E^YYb`WY6QsPx(wVNDv)EnO7|wJ;tgAWg~?8!a!xN>CJ{ z=138EnYK8_Z)BDSJ+#LYU$;e`O97{l=nrTLVHWVA5xQ&jHyBqW6v=*BYEK6H4>Op%2MJHNde=k@ z8vpE09z@ytCI+lY!n1ot>>Y{(a^A0{N5{+9ZM3ElOXO_BLjS-aOjx~4kBP~{#xYSN zl|J4iGODxYY(pOGK_2X=NzW?km=|`F$iHMlq-(!q`udA3CiEv6B8?@6ghad3gBJ3( z*IWin)oylw^+f)W%GU};at0@i9@uyAU5$y5%5G*77g2u$T;nL=f-dEhAPOe?-TLe| zh5fyiQVFHCANIwiz1|J#=a0utC7bpqVgAO29;q>#KQ}N9#aLqe#iC-M!C{hq`iVvQ zJM)7lus;G?RAFqd-E%gse_zBAX=$uYguo8Pvx6QG2D*?m1T_@z^-L}u8GZ50V5gbg z;Hm<2nSogY&7H1~P0VBki+Jnm8i^39Vhb=Cz}ODaSO@V6YvsW~-=K%QPq4s8S9UuSkmtaF zxAt7bwld)BhKI{3@?Xo63QFG0m2SKou=@LbyL4MjFvBQ&#IEw+PB^n_pcj0B_$RMr zK`g!f2Jy!Sb44Mq3E2~rWe@8wq&KHrh#>{aYVapdhx<_oH*kDuf-52_oq({2qWJlO zJE8^Vy~Z)C&C`FZ1V1xVe*!r)Z>~?B?nJAqtLG|Zq+;lRt8i||y;N=V`zk(J;F{!I zOZ?4v{EyRv5JGl)ZIS)bIZGOT!E( zF++C`NQZO{-61O7DbkHJ4Bd@%2&gD6-Q6J|-Q6H1h@6l6cb>D(dDi~!XCKz^hpeTu zSkC>q-|@Pxi!M9ikC?fqHKxeo;+(FrY-?k%Px67)FKx~~ICGa7nRyktc@?ApOdkgN z%w>^XX(d=W>cZ70Ck`fMAR;bK{eC#&w`-0^VofXH^EG4}M*-N`>^tAJJF8~@+*pHu zud9va3+R_tfYNXj{^24Vt*Ke0Q=W#q8+M}R(K!+X$X z@zoTPPu8CYcM@^UVPNQEVJu=rfi%)^AbR;+Wp;lS%AC6!PZ+C0fL1Jn0pC$Z5Kuo6 zF)=Jp=;soA(AO!*sk{#?XdhF#JKBmrjJi|S$yp0EP)9~sAu@$^xu#Gecx-DHb%NDr ze;poA+r81pg{KUDW{&y34CfNVxB`Eam%`kv4AGqH6+AM7x@(kRmnBmrcxaXy((LnS zz|55@0i6XAbMb-{0+2r+JuxCVuVx9|%*1AocX6~z_58hYge<^d(pxgDk)AWbfKAzTuW8)z_dLeUWw42ot-^-M-7Iqc!n7P2XA!h}xt;>cu;ix#_(m0sXIQ7pHDwg3@{@A?>_9^7`n&rz~k!ped6lS!biReyu z%H9})SD_$47gmBoA3AHmeFC1RzvlLU0=|~r;CXA>vV@o2B^p^zIG8Iblxe;%YO1xU zT`#&k$64ADOtdofXnHCMd1fvFvX{F_8J=832V2&gfTMk@P-oxKC%BeAzgFM zx1#4UY)~q2l}*$sSCY0)wtvb8G0mU$FX}Z>d3E~7$`ywJ5aZ7B-JT466A3A3vfqFp$KpaRWmo%glk3c2#M_yHI)uM>EIpA)@r-*q8M! z_08xg&}cZC#k(R)Y=W>*Is!Ek#uz51$ji}L7Ab`3fClZ1BD8R2S*ETz$i2zB9km|k#9sK!u6AMOuD$}LRHi7(Xk3KU~U=(s95`j`I&Rg z-f_6lg~s@isbz}<=7>w`0yiQii3{Z+2e^rn9_no=EUcHQYUYn}@!vugWvRizN8h7U>jtZq4V(JWm(5lA%0nwS32If-fIH_GV!8nqgw;Cnw=T1xmnp z$;)@2ju2sFm&vGQ)=;_DKisefIhijE&B845lP1L zzJBegZ3KE?02-IL@53`D{#Qm3xInitQS?(Cs?ZdU7iNHR_VnkE@ExaYM?Hvl#M*p7 z&>6G;vFc&)iOxTjNB`Q(WV}WRU3wTh{r%J*U~H*HL_~hRxz?!t*$-I^McG}_z37Tc2QicvDZJ@J?=`4WpcNt=@pZC4 zgQNCL`f*t))a8e4yg7s5h%2-cFJoXIZ{8;YE>lsK8`(sMbtDayh=$Qi)0R_WuLiQj z=vYp{O%W{ih*9}Jdp+J~`MA_8_-t=C!!By~J>K6hNnj#TA<^r7HwQmWDX`3Qy#B7Y zt4J3Ex$_f3;=T-$^$+{ehW^yMj)aF@GEqj-l??@V%J3D6wMnSa;@j^D66W>1$7c<1 z`tl`8%B8!@N`kN&o5eK-M)@^pe~E@}ZFbn_()wQa>@IYXosF~{rME?MB$ z=E!z#b1s_seRtgae42$VSCr-I;|s6LkENBqSF9YOcQB5O_brtE>RhK!EWXWU0sk?- zB_~Sa3;{I`Rr8Ban0(`CzZK8QaS5rJ8SoNLa50+)YCt@!o7@*~V?HEXJ6((jWsCYf zWggGo-Bv5LLlXRj1j%r^F0Fa&v`H_Okf|5=A!%vtN~ur&d5(LyXq`$f#89C%yl`OI zUqbSCikLZtD)nAqd+HL zvUJxz4&WBkv{Nk5J@j#BH11k)*Vk|Tc*0GNN%xvUd6Zb7txzrgbt-h~gQ_YGZEDwa zZ3{8GSq7U~0-yWbKCL1FON=ssFw5D8z>q?r=mC2mn3FeZaQ6UbWkxzRg2TjO_BYOo(v_S-rBLa}{0Ku(~a6!?jeg2D$W5b2zS zP7NQ-lvdJ|)}4=vCKu;}g_h?}?kOICq6ZSdokR`*D{jGckGbA_44$Ok&qLFEuAKOI zYC8BDFn3kx-L$F(TqxdS9tH7tdfT`?3@mu=qA|#04M&Lu|09#?l@CBAdD0IEW7LgS z%%(idm0PS1*UR`dTwnE@TGYF$)zQ;Qb&~kS@g`OSpC<9WQ^MsJa<0o6Yy+OC3Ofr2 z-Hzpg(QCVp0-@Ga?{4pB#s0I?FD_TmxmV2JoV(j2Yl-N;=AF1s>bMpA;mFWG-z6R( zxjqLUXQljZyQ%wrv|ZOVQj`H7#s5x&BM1l8z9s6L>^Y~oDIkgGYWVf$^U<;wp`XQG zzT^+6-q$C0On=c}+TZ~*CnAa^APn&-GPp6f5A;RV%pUi&P13awg*(26xQh!TlgSeW z>_^{6MyI_BqDblY`b-43QTMuUT>3Ped^!-uJIjBpS`=ZwrjgM0ZE&)ddH3snUiibZ zb32vrUfeO&?EI$CTI1IM4Ca)tB!UyD1f*2i!Gy=cj}4~|l%zs0Yk*{zTuP;^JcEgy_bahswOpk9@nCHl0G#V=5LS{qO zR@Ou|vkiswXZ0uX>A%AZ>8f-$HrIbot+69!&7q3YKh@zD}O29?5mA zWwT(lmrjayALSKsn-!@;+>qauPyvgkYPja4H+v#v0T?_l4f@-;=3T22KaYVCTqqI~ zugJ1*%hQ-*v$S~02Ev8oonyG5#TvaQMRzR453~QCZRHE1PnUd||L3!M;lka)q{rnf za*NaL)M^_#&htI$v-olQb+^dm^r!RZ6Y&nK$ZI{chd)}~N>RFMsHM-&S6kDq_rLwG z8~xul6dj2wYuo9@ed%qNi~zd2x^@vl{d=u|qW|K8XXWwFO~^$1ZeGBjwwqsEfnBEo zkM~{|gUtGV4i)fe4 zHj~^l0WO2a&f$IK6LTF^NF>2WG3b}c_L{w;-J2X7~PzTx@o8qD}KJbi5uQRdF}~}T;=d}=>Yf;zpjzDbBv~+Bb9cB)M%N))DpN2PtZO$LyWA*oWZcA za7a^mmbPuAl=M$u41&@=pxLb01$~<#IgO2WwORGO*;30*ewX%x*?%+(F5SUl474WM zjb8ZHY3YWoKsBLe*bw+#J1xEOTYdAwDZbC+%-1(4xWd46mD;Uq;ZK!9hkt_#s`2JJ z(q>}HqzUfkB%b$kQ-yLiY}CBezw=aMosB7doh@NCts7JMTXJ=uQTfA5|B|a^Kd^_P z`8(Gq`hfSq3N+iv&OG%#Wfu}e@H0CdlpSpjKg8lx_5y}0Fg5&A${xjFU?6+!cFWxb z0uH4jlx_ngH!4X>8kAf|PO@0dF+Af1c%qWCl*{S@Zj>`bLMn8fPm{SM1>zEV@Hb$R zO2fsO{X~q#3&w!ht!6VC13$qs&5_^2QQVP(WFc8Imx!liyQ24R)<=JD^a`dS6kvq?or4Ly?*c3ZW_}q_9>?`j+Tvx;FdBi?APSSM@j-Ds?3m7UOB3MU zXx)GXPE$rBZ8fJl{*30Z`ip^GZxA&2RbNRXx{sOSV_luNVqps8>9(zV%A z>Xi7KMjp6Nl~kDB#WSx1-Zod+Vf7@N7w}RBj{_P&XGK(XtuK=6RkwmuA^(8I1CNdr z$*ZNu$UFT<6on!BX@G5M(?))FsXi9In-*_Z{s$&5O8H(|eM~}=W?${``#l9&TOQNb z*^XZ6+0u7HxolEr)W12p>I1fnaz8Bo=PIM0DJVAfi7#L-=i)@O9;EHC(&9bduh4Gi z^XtOWpw%aJxX$j!*-_0nkBY*u+kL9>FT&qI9_FA}z1TzO-%8{xT%re}E;_jY1h!n@ z14W8)i_T3gTA%4f2pf)hLgl7e5S*JgThVMW6G0%HpP&|4 zC8H-2v6DyLcg-hWdoV z#%@p{AAUBs#cc!T6p0#-iM7q2@aRQBEU8vIpfOp{Owb@|TfXu4%^HOrw~ovReCyX) z9j<&n&|pNp6-<_dxSw)?9aMH%I*WX74hzukO(>XRTsQw*q z0R)#b7Zr1)dSRKQdm zGHsljjVY(}93pn-v|?o3JKG2D4qYVw97Cw}h^1WohwHsgDhdIQOa`5zNcGY_jiPYG zi!Lm5R)FOaPeA8|Zp8uCo!b{m2TfgGSHgXtD@+@FDCt?B{m@h;0|u!3LTGlpv;RAbcYY5~UC)ImJbKZxfr*+I}$JkFj)=8Q8dfDO+u{MP} zNwm$rG7q9e6~~Jn7b*C_ro`!l5`BYyuSS}mY@p=~cIU4u8)9il^38k?Y2A=~x9 z3h**`ADY(mBsINbYATe`YTv$z2JtZH%-~>$L4Z6(tOlxcmw1z|d^ueHAKC{Wcn65o z=#2#tzM5&#TyR~ir~$u^tWep$LoE7Ye?r;L3S$&?5YY$WtQb+9DaN@A?6$* zTw?g2P}d2xr}8MtgJ=K{()UHb-avKuH)hHxyCQ+;#Q=Q_u9o-Zr2Ma8G0!GS#{4sY zN=XbMJm!A5RDb0Ao2W9r&A{?s3vTF>0@1-BM~G_SXhv!>sQQchi`gZYhMF*whFFuz z*n~H%`0Js4gtX+{k_dxjb~qOKLsqYN{zN_^lsrkQldB+u;VH`{7d#lJ*QX$=qRZz0 zVF75u3hTTNpJ(#H=9H;%uG z>?S;RzKf*{Y1p*0INO=Wj4p$odoa%}jaF9icG~Mv?R+5^1#tIip{XiIK7_gfiAsEQ!0ca=$*YeaJwG>kf zsn&#}rBxjvqv1PJ3Izj0uXNIUT>W|hgYNGSbmKS?)=ZsP32wrBZtr3kU2;4UJ>JC- z>eWtM&#b#+2-3H3nhaE+eG0~}Uq4RgZg>EP0pxP+1#4Knu`E9okl-X}N|QiK!pMgR ztczNMV+_bzJpYg-vnNBl8EOazL!>TAXt&;TFrfqn!avy-_DRyRFM`@eXL=4f&@AM% z>s2JNq2HVVY5m7lQN}B#!X3C&v8(I)+E`H|68Cpmk%t9bVqxh8m5-%u-fx_`-X8{6 zb(!XvK;5`-v|*9oUo8K5ymRKrLx8II!5#)gnmMaR5Hrbxb`;aRNJ$aT(HKZ(J_ zVx~ufd<3x^6~HBMCZbU;i;%>G$C7t7az(@Mw!F2O%d(|Ok__$V-Q*gPvL7x$~s?L%u4wYpxw#FlIO3VvQO5qU~}Rbpjyl-WBmLe$#Ws z*+<+Nf$S^ke{LFr>R+L3zy3pbcF>NoXB-f}z>ax#iUbWW-4qcBY8@>i+4+M*toBOK z+p>={u|?PU23a(gUicL%nz|psdi$eso9_O;|3A5){7Xz2RR0!59t88<|B&u8Ruxk>#}5teE|y9*%92_&?D}x78qAJh6LE zo?qmsLYwu=1RM%i=8-&pXr7iAtr%M0#+pi1%MJ{h9QGgu6A`*e7KGz2xYL3lR${>3 zf@SM@jtJdxqB`B7Z@JT(%rWG9l2MPb0`s*50h?imTv~H=TF2*>77YV>`9$oC2vBm`9*lrqC%vs)`GwEFVN&8el2k2&$s*K9^T_boPBkIw~OHlzom>0ps+X4 zEGIz4UD(BA+au@x&Gn00+`?XDguCpYmwr_O$j2SofR1KW$Kj4mH4tJWWr`M-iryW9(?)8WJ|TcH ze~YRiF1)B!Au*ZM{}c{hhEBa1DJj97{BlM38jHF3o=-8#Y%&0(Slk5AYYvV<%8sV^ z%SO~W4#s%BeD3^Li}JT+#xHXVSY0^ye~@|@-{WJ{8+EPC4$twr^RMu((WnMvec*)% zheKb*5MBf|(GgRGLavjrS_NI)2S^ISAya7V4y)G1PT(*%-Nija`|XP`r>`KR@-pL4 z&Q~#93yLKw;^@!Z>isUNWmz6vmy+{tyB@JBvAjS~{qNNkYYr5Mr;> z_fZl&@QV$yDt5C z*Bzq^vs>P|>ZqGH+8MvptE4Gli`I7FNG@SY{}Ro7x-^kthm6R7p?7Y4W0{d~NFb{S zqwNgAV6pJ}z7~r1_EoU`!+FmTKD>z<0wY&k5N=|Nb%-#`Ws5J*2 zAAcd5yY5+=SN2Orrg^kvwq&!)uH|L>7>su$PdvEEv|7U_ojT5J(o`G9faaUSz#= z+daB`3aQbIts~yIo|Av{_;XG$X!@((;qEw;8g)V_xKWJ=-}iTboY#3K{har4^?zJ< zI9);nl_b}nRkQ@lQ+XE?-T^cS_g@F2?BPhjMftye3Iq{p*a)ktp$p+}m8PH{Od@SN zr60a&2!B%>{(DCm_S~UpsR7}#`8+CC9|!|sr*rR73$7z*_?AIq55Y5ojbTa1El&M8 z@@xKr{H#8L6i#a~?loJt>4?_1uG+TS+9{@@fLkBddkck2| zHX=2#bPZk9H|Kb!Z~ivcVPGnU( z>+>a}9~TAuV1NAl+Sl#QPY#@{7OuRozjpk1l((J;1b3#^w;LWX=UH@A+5-uoKMpm$ z17`f+poG%^#~rs*ae{+l84ZiUVlAeC7X_>=*NNp-6e&Y8S&f8nsR3$sPw!w}Rg#ut zuR~Pvzz0z51K09AfM(1J187Fa@FKbBdBFoj1?JvGW4dr$uz2jeynmVC(Nu`q&utcl z1JUehY*%d`eoLod%Hf`=4h*sBFzSs>^AipiIg6-pZKzHY;BO+Ct#F{PXtrbTc9^WM z{hzpXAuS8aFvm^A(iz2>!Kpt?^rHO$SUMcYdp!=4;&vBq6HX)?89{6qUsk;nAStp1 zrW-jbtbhT}YpH9uA>FGp#qU9Kk^;M}LO0?THf1&fnS7GQnn>P}O*TVD6NDLD2d=7! zz%H6&8jIq}5Ssl9p%|Mbd==|AWYM9Jq=PX73z!$JbZCsNl4@Cj-_v;WsU|VR`#Fg_ zQ-MOUT(5WB_|K=cpE<}Z^uqO#l{>Z zH?$x1OUt74kK_NZ*6n}$Q&4wGhvxq%Y=_f1>o@NI3UoPr*RfqAwf}41Ac|D9k{~$X zz#~l)Zz-C-VfOY}TRBpdI1+fbrugj6tLa32`|*i;T?l)@p#K8xix$>k22| z$w%ZltLR>(tv&^wb&Fua1PvpRNNxM==x0BJ?gchpwf9_ij{Pf)svkd6 zeV18z^jwjmRvo<<oCPvJ(0hRqh`&7H`AUSS+tjxge6gYHgQ*}x|{y5ah(=lVvS9Uz5EwPW34 zo3zVGfOA~8Zu|j^puT`w82^g$*}WI8@^@2_LM%hmCqw7hzGG5$I=tF=YCB)({bDb_ z7k#^X%3nc%dZPjx`aY7fju)`|ajJ|4*e}j_jOHcgXh`)Dc`qF@GbKZ4sk36DPRhhv z=>bahvB-j=NM4i^!^~LCT}VnYi1WpK^A!QmCUiPo3I7O5eH}PBpknq0lMt*Sh=2%} zRa!~LA$2q0Ohm%nx)Kig!1xfYf&5$(1P-nF+k>=g|c~!J1NslsP7J ziGNa~1`_CH6ine7rbD|KOmbRBh*ZfC9B4HPCy6OJbMaeCt=@(LmTAXxi2ZVlk8iQY z?9h30gq82dw7Y2vg+*Q*QU$PN{%gOYxOX}FZ~Pav zBlRV6n+ED(xb*i$fA$WOdz;54yLAgh^6RIABn>EmLz+#Nw843|=~w~3CB&6lXWRY{ zV@#f)BH$C<;EYPlY4kQHJnz0SuX|VG@mFIu`F}04cS<3!p+(Fta}mhs=I6#}#D|yl zNgI_2x1ZBP?F2|zQtmK1`&@l~h zR*?!G^)TBXh2!A98Aio0ynhi<(2cq+`2G)d3}on>Y9jsz@P@2jKLiVcaM;cE%)>^7 ztK=dmoHYu#J-0-MZ!5h@Bwl58JCT6Ng=6zH?w` z>T$FL2b5Hzz~-!tY$ENwbcpXnB@!h1#^~OHlC-TQ8Q!(|yVLh%mYkDgm1$PxA~h{B z5bH6M{SnfS>Un*sz^y+INUP(9Qh~YCc9gaF;5`zlaCKJqxnD-n<@HxT07HgNa|0G{ z@+fGj+&XVn?X&@gCDXU6jsKN$bRS+07OJB*Q zKLsZp8S( zB6kqgle`e@5DyNk_LrK4tgN}B0FO8PM6H2F(sWvWd7qxifajQ|P*@RPu2^swJuzhe zHdtYdGaRCo3xqUz=^#giDO<#GId6qRugXCKR+^0+m1p3U^ zB-p(&T3xp3q4PZ1WgU{$$(o*K^~Q0d^!$}}DBdd+f;2`KAjyD`3@v;T`-Q5l-@IJga z`>+;Cq+EQ1f1sXg4Qtpk*p&?%wCSlhX3L@>S8lEJLQ!TO2ZV(zY&oK%h%?P-f*~)# z1>@d zsS5WulN~L&J_H>v{ut+FzV61Y%-Ns|Hoh|tW#$m;$g%nUe`w>K>OmC1>=4j~G&rrp z4<`V>V}Im;U+Dqf_2KXOkD;(^<(U5pn$lG2F!eMlWk-9JTE=XyjRr{yNs1+98L4>0 z`*mOkdu1#=sIYFgfzT+tj=6&)uq;L~1PAn6c-^F)`c z`MgTnadpL?f^P40@%r5T+hRLsK)b*3bx8O{8SXQmVVr`2P4VZjeru}D(cT8{ACoTFciO??zDndvdmGz7oZo0f*^ z@T^dXL!5ysm+7ll1H%h5zo*vxL2WlpzjGJYoRARLj9cvl*@l}-6#LJ<7z65dYYwBH zzur4O-kIr~q8C^I6fu6NrYRJ#l1;sNGI#S7(1J{rMqOXwF#>A_T4B13a0cw`ck2SWk3FWVr!{8(t%8rdhOS1ed!3 zK3OliVe4KIW}Wnz6VsDG)!LO77ljr)=jJ#c!S`~bD*$( ztek1I?;r(hQ(+XaZQnX#(->zUri64r4(^x$r!QTMCV0Pa?*AsA53rm94JW18y%$w# z9fqx=TyLPiraz`t)atPv8elL*gqjP_EN?_0t2#WTab5N(Yt2Yl z&wfA7zayp@tgdZ44WQ0^Ib%2ze;|Kl-ehfMonh(OnSmvyqLUW$CBXus$8e^Uk4Y1X zjE{xPl+a~5Zz_UEfK>~pZRsO9p9b5rVaZ^LFz71iBoX@P5G`2hdYG0pmr~CFUsogj zeu8bMBD+hjj#lokJzu}{bbsXC8S%W>Y2D4fZ)UD(OV0TLjullH+KC`cCQim=!pB^#MlHR(0_PQ@S{}ua$Yz@Jd-L8C5hFD%$`V{ zyc*AMc!%11@g&AjTOvwWBb#1onRaJiBOv&vN$r-Ck@g;gMIF__1AW_FSozGts9j z{hQ0EXRlshs*8sAvfqcLAUni$Jwtk+D#3dj4Ix~iU1)2RE*G;;A(s2$)Ob0e&gKasS$iD$XlMQ>W83IS7<#;JfyAsMc$#0!}p)K@O$lKHHBkq0IM# zua!1=l1noNYcymuGOl?fWuoYqQuYqs|L!k@1A~i?V>vAxc-f6(60QTEZH4o`V-5<7Jk(qb40ugqCj;iv}uTe%g}Hc@3Ovdn)I5zV&`O|MNHOee)G#OGKGId8rMr@D9NA~Nc z(PKq2LlTO`&lS%k322c2-75%$GE?f0d?oQ%BUvFx<~EDudpD1J_2&vrggpMd?WTs> zg`{%{j`;q%l z2&>edms2eP0`BH2?O8QHNuz1Rp-ShAz-onQRz&?Q$&i>Flh@`K{^E1GR5-K(ONcKeoLRr1exKrl{ZHDLWGmJ-{$vN`^t z$((Nur3zN*M|oUV1=V9k>sNjUb9QVCtN`Du(_Z_r0$lZ#ftlw0T&x|zoQD4677^(cO*$nsv$Ei~N76~@nvx|f;1#`|2U^u$xy z2sx%+R;?lO{5ouw@#!lElLjCC-cTY(dX}&|`4wz1W0cnfK`l6vK{Is#B-`<00JPmU zfFxU$K3f;Lb3pdM)~k{R?+K-2J&IPTNdNfD01fd*(z6i0yn~Ktn%IlLav$Xaelbw7 zGLx7*C*xZN#E0{j3YuM_?6^^}lYhv(SWGFflOeNabZjbGFgZK?%R#1SIv7;QlpeNp zFiO8aN_Tpduq#IFI&Gu@gUM>cx?_t2^@xQEz+hKqRzAgobb|NOQ&F|FiWS6ILtd9e zJDT*gZ%fqPy43f75gV*|F_3+!8H!*RD!8`XJ?%w^@4qrFDD+gUsmalI99<%0DN!QI zY1J!f8>#u^23JXiDrp&&WmG~n3qBwIp8jU>M|WfhMc0sySjyCpjvad>?%O1_y}4CO zNN%(rJ^`@#`#tTw#XMfZh2zG3fyLwUBgxifoT8cwT1+mUcAwK7@bbS+ z5VvxCWXE{dpL^b5ToLFhFL?$#9kxD|zBYbP%@5Fi8#R8rQ2p-TJrVx(m=Lr;kyq4c zXljajQL0vlORdy4t=W>w!%@1#f`yvi4K zQ{;!X8m#J=hZo;4$&&p%e`vkMZ349M#vKx6+*6EDbD3OFr+%?7EApuu-s74W!*z7X z5V^y_XRS^QUUn~G+uvyX1hW4;d#VMQZ9!u_Dt~W+QyKHVgzO|#PKSqT-})~)J@i+Yrn18! z7(UD@Y|mptLzsx%f;+#ylV`}R%GNlX{N_~tGxPRbStX}gdN&mUJ@B>NO0O1+0OdqX zD1&;_2@d#2LI?&ymT%rV#&c5;k>G%F!amFf{5&CYsCZJl&)ngcdc)h;?eYgTXrjyCWu}opONKK@dMc)oAZU769n?+Rk`(|D$G|zywqN0 z`q=NKN<*DPaMu_27#R((8;r1<)3OYwS`Ya{Meg8;-HQ}Jdx(@f2c zlu3sA2o?0@4uTI?*s5E?wPixQ)uUlo+P9@H@Wcn%V7O`%PclK&7PkO!dI?LadZySD zYM*ez+^W2XYIk4WeBAmFT6LzegSdo5gI~(PP&#RtFWr;%KJ|(mETScykRf!J%Qs~- zU_Uw1pzrZ&5}`@~o0C|Uz>$0TDnpx&7OH54P+`m@y!fOcWU7va__{)Uns1CVNsgNk zkGU5czLzH){`-=k`i1fUF{h0fq15^kN|HIY!p;u6Rcm!Ng%+U=-nXIe6Z?JVmF|uExBvM6 zJS?bG*I*#&`!;6>K z&1aR-(8=e(cK5=0so_Q0du&H0t6_s8_A|CDOXk2xVXrrYQp%K#e!2;aMXqZ~&v?10 zU1FNI9Tyv6WI|FLI##-Vf~l@7D92H70Lt}0978n(%vLsvBgk)+mpep=PPZsW?!q72TM5EJPA z;&SCGL9ATS7WLutYiUuyOFJ%4G}4?|{Y}b-BNW;u8t}%e1XCWkOGC_u(-hYmOm}7HB%GXM^R@_x~_-ol%)GQvT-+9Yyhw4$8)c!&$`r?lBYNgl4UN?FG#K<%= zhlXHf8L8!{mLi0_qNCD2%mr-*=WAH_)J0;4;At=f5&E!9sH>{j6m~(qIf7fEwz;43 zRn3yDCDY*O2r|abtQ7qB4U>$&#t3adu0I1*^YmT1wE7E)j$S?A=TVj=XUEbj6G`fF zVkd}5&Gh9G)3Ln4;4_Y&PlO=pfXd?1>;+AOq%f# zO@HDT6=roy>>H&*it!R1m|hw}k??~y(RS0e?szVykNrDV>*@5MaM4}dZS<&|f9eiJ z@q-Tf+Tjm3?N9fPMJLb0Jv5NlR#8bP_2MCv%k8-))|*%n*M*7WRGd|}2Q}tl=1422 z;xlJB;pYQi!2Hgpg0JGJbT7a*kQ~PDkj7`U>Qik;NRNhwF!Gc%_AK zLd6W&*N-B$5yX%;e74!Yo26lfUnt@KUb}L6H#CsT#YZI_(nlm=_w3eDkFL{p zb6H-ZT|-a4)IwUwCs?%Q;Z zjYCQkPh#QpwgM;frL($WQb8mPdUzNG$(V66?|#*D|@_i{Cm1Vlu{ z&c82hV}F*ahlc?jspg-AGtpeYz!;pz=y$D(a<7ojPJF}^V=wRiTJJe6Gc?oWwNn}c ziG8^#gG*N|e=0OifZ9YJ4S{=N_IvGX-}-~4g?j;ka3VwxU#ei?dMUW0FdgD^p3|d+ zj!2FAq0RLA)c^z^%EZK?t!WyS3xWD*7BCP*X5i#x;5B{@?+qEQ)P6$+0{i81{>p8q z;s{AZkIwk}OMR2^`A1-kUad^|vhpt#>_*O}l z*dL=`OoavMY=56l5=$m%+G9Xuil&u3H)=d#mnvxI+%@fjE4RhgZ|_(k(ho_M!7qc$ z6idWPcDH*%T~saAB8w|2GV?3h3`pZupX;RV&7fcC6^An3U6Jq_Y3LB|4PH+T;(R8^ zOhcL9V6?C{mh!mfafz*<5vjtu+)Wr6q1_*+%@M;W*0oFg;YW%!zRi*(MOvQ|4PlQu zdm*Hsh^QT)o|#h@S{HBYs%H83AABziv?%1E_Mb01#@hY%;>Sfp66>0mP|iMIOQ()+ zJYOnzKGoZmm&)yxq$(QceXTrF+kSsXA}_K1HTVD($tt%xCq&f1-)na(AZ#l{Vo$uq zIU%RY{@=gXzfRZ#&EEt1H-XPX#eeWal~2#HwF3s>z+5F zL17~2(=3Qu_ypdx%hM7vRR;1AXO4qhjzYsM@d(s_hc2uj4jrP#H`TSKe@54>qg8e< zLLE{|D-f_6`D{dy?%vG;;b0sP^YM90?u0V-BJo#ems&9{dtw7NvXo7c3kgnaoaT>C z=4KK<58U}3$0B(w9~)xx!w-+Fzx3L_e>?7=x@4%f*MD#m;44%>xqlza7^)_`NA0R5 zNuEax8FG5LHYHQv9tmDa-p28P*N3o7*0|bnoeVT z2xeB1#;kyR!!MJ-cUko14zYYIi)6G2Z|$A}I{JjNQUX;W;{Z190*OCJXowX<3-z4v z7}k-GI~FY>a^Jj+M?c)OU|Mq+cKuyh?QFHz-wMT#>aAIC;Iof+q@^z)l%MZN>^BGzH;Z1_J zEIqR}zEPO=LNX#SZD?f2sBo9Tmu~Y}l-6(qOhc)aEpes}41Pz)q9UV}kz;~&7bOi0`&#A7ydgf@dWaym^hE%S)0(-1GsPfzPRGr~ZI&0Y* zt@giX5*ouE<@;5Uhh5;trHhgomLfQ0t@*+Gb4n`C4>S*g4-qYzQ3jZitw!S~{Yh|q zQDIu63|>45^Y3YnHG}L$$=NP#RS8@`l|slUoS7TnW=QyAC}Wr>J0$YBT*lm6e%oBs7fFDfhwMyd7d>*rS1*7|dQZmAzPZvj2r zM?WdW|Ej&jLw42~C@m!)q~P-DM?>`H9C8>*i&4GLt|YOQ;pUObzGd*$*3G_^V$)kD zW@f?JfLAsCUyQwVSkn#n2P}%n00lNu5Tr+gAX3sba+H8HNJ)1$Q@Yy`BLqb0PMvg@ zbVws9-SB?BpZ9lN?;nr%`~1mv?V@&e&iT|)vODbbAVh&4QP3DSHOCS2J(FzaZ5edP zKo&ZLhuEg4^y@jOx6lp6-KKT4?U3$>(qJC>G#++zU)VU;W_-MTUrUNhBork6WZrHj zr~LiRjMH}q8+sX=n&&$gwvL7d!W)?ERMlROb_r&?bmeO zEWyjYOl%t!8fRW8pIr4L<}ias*M1ooW_=W){upT~PyLNz`91;`bf{IjbTpz?jPyU& zL^e2x*TRIWtB7P|#TN}YiB9PP=Rk0H*Od5OPK>~kydkH#4SY8(#SN-c6Nyo;d%4G& zcoSNh%KUn*?-zqL@%9#E%FDy|J6OV_yvb~5)jf67^Vwl25DqK7vYMsaVUN@2h8pc3 zEc8e55{42F?gG_pQD%WuiT39xDm65HF!V{%u0r3%9HL#NX(?kUT9O+HG<(1Hk)P!3OhlIQ&BzVPe0Ua)uPk_ibbHo)F zmW@g2t1Mg_Le8AE;@F$ts!AiYVkt!t^m}x_j(KUf(g^1k1Yu510Y`(wzlD832E`uX ze_OgnK^n!affwty*s%-#euUTN_h+N#F9qA;N?PvW)kMF`b2#w`YuCajRG$68`rN^4 zkeZYKN<3LB)^Ed}PN2r)%(qhZU)ov$?Vq+5{O!)QU#n}&pW{tYS!ZEc9xPZlMmRX9 z_vt8T{$8;_WAq5bZVQqiCLVt-Ga(XXa{i8Wg1g1}-mxVGSqwbp0V6AbhV?9}MJ*K) z1cuDJ2Ys!v89J9%lnSJGyj0MzGN$9>r7;eAJ@@WFbkEq)l}QB8F8Qf=BJT1@d2kNv zYHjU{db;$eeHwA4k)%5pa~WqGUH5Z$Uc25r)QTxkazF`7DNbTvn8=3PS5~qgaVxn@ z+TdQxteb*A(4a>oag936$ruGP&=DeXv-U@VbUYYgr;T(TN zXvQZ9k$GG;my&Tqf5&Oz;kP&JJ0o$B9__ZQgfV63+|xjJtr|yr-+-hClC$1Fm&UQT zg70nxmq#EH!0D#aS1h!wcv9cbW;iL|U+e?|^NeqFyJY1wsr zhrs0rEMRM-q|Z6nI83@h0EPlxJwo7iUHZOR8t-;q0hHN#pQYWb&yx+ZCv-A#K$Jwl ze2O2=Hp39O9{N?o+KpEv>+2S^T1wxg=)t$`hel^&c_F7rQTEr6J=7%jxk)(_p2wH%0Qi#Hl@Heh3%xw7vVGJrUDWxf&Kn++Ip5cDx zPH2PVU%tdd;l8i`;Ib~R&&ST&gTisxi`>&B>7YmTt-8XP+^Eofjd%;fXKoh#;|*{8 zMZEELlok9NtkmujgRumR^H1ByEqA={33K(CbN8)r^{_uW6dL$vGcAEwW<-MAB415{kSIMBUeY+GX~LZEBIBeq@SBrqkUMMBc>lJz7UQLk}gY|VDO67E<$p-A1l%$3XykINl;NcdTk+|1Vjd!s>WVd z^s%e2N17~-OUCwTY8-k%S-GTYj}ZS2ZVkZ96ac7JPCubfjsAo4P~Ukw;sBMqlOk7v z4_{c%HjZBf?=Qv}?Y+#bS2HqSgx{tuV7Y_8dY_66NX}K>iPyRz8(Fws$FJ6PlleR9 zQs(~;x5@kXmxa~x%!E(>#Lqw3G^h8m{}*PnNe+{?Kw`(mEO#qQ+))b?v6oY4olj+h zqI#&IVdkeTdDv`3(PbM}sgmiT=$vAv_rH7vnDWzavn0_G9+*smM`BgCU-g$s` zbZ`qRm#=A7#r@z0zqocaB*T=cW0*?UVYzB0q$H|~C#Tu6_J9HF@CWeqg$EmBG)Mn|D8eD=dzBdM%}tg zqku;1d$Yb=V;9o{WV+wMAHLuHkPFt8gb;l)l>W%gro7L#Ih6VB1xb$QcwZ)n+-Rb9 zBrR=UvEMW$QVwU7hzVE^x7)&A5sELum>4z07e~P6>+8c=AHMg^B4wCI?GlMwe`Fe>V925G^SH4>2Q8yseVEB=a)+1qy<-Q8 zVBE}Zu>jEqD@+1N`f*Vkiu#7m(H8gd)@G!-aznz8F2bW80a120F2A6@u1SukMZ zE!WIAN!V&B=dF7GzMO2grg~eW;uk-wBN+7AG+eQa!Q*H*el9nY?$xcUoqC&;y@jGpssD8aIE2zgNfAw5ioul@KK%4;FZg+p?3D#)0Q${%<-Ap_{n&Q zqe97f_B-i8YisM=&f8?>F;7OJOW*PV2Bhe4T{j+$XqWC|XRDHWr@tOAN-C&(^2{0t zM^gmqG39*oa2EEI@#oFZ109qC(o}Wv=gqTs*6!SOoMz;~)n<{@v(nX-C=-dWd~BSA z$DWx*say&?Um~E&42~?JJi{NtKokNfJn=RcX;r=;^d||OP_cn{)}(Pw;P7!!T3=fT zPsy8RN~_HDJh+MZFeU=ba9(*og#8)qaHh{d7w6;IyKV8R&!L|vRT6{=XYN~}5=c8F z(8<|c%3&rHo|XD}A({k4;e|ufHrAi46Rq$OtgiVkuOGGpBkbi)o1y|r0*cPB7daV1ahl6#!4m_Yx^^2!dCrYLk-SYJ43`@D zVTMZiq+<}j2NOrhF`J5`!f1A0hsvl%{Ztl#hUGCPh8dVZh$Ju(w8T|V=RtX6)w~ol zl=NDj4XLW}ZjAaqs>&>0@|Vh`YYg2MP4|V^{QJ^%QUgi1ft8T+bFH|n*6=qcbsT^z z*8lRJt;!)S5mT$8N7g&~7>55^DuHxzMsah0dYNLxLT6I4D>Q2Bf(KGkZd%s*5_V!P1CEpm{EQ@hqNzeZaq!JBiis_+a z)cw1&ExTJSk3h0ixeEdhUVd(^y_kqJ6O zNka`wcdY}i6574krn{IylMp09NZz4_E-4$Le^*`w=N8)kP&~Qb9PBSejW=WCe<|j% zJnIl86_eIHRK?h`%WAAULq7>VdY-Zns^}E19SpL zRqQ07Y4iP9mzg8G_^w7U2xQu8NXK-venI9W!O4L~`?V*^tRayL`=O9vszdemQlqs^ zkOai^HC_DlDliAJ)lI#UhB z!d+6w9(rIdU1>H5)hT^{2oN2kq#T8LzsE-6lSfH8CFl{a2av;)zJ7&0*Ma zs8kH;5R3i>OhK3`Lt66dH$kwEPUyQuI5;0t3z0)0Aa7P9B*bKOfbG2B%Xoh^!6%z8+tnt#37FjTz;3Y`S`O{yuNc_-6)nsQ>x!jzuO9<1%LV`n678>#>Tk#l2;R ze<`#~z_UY2^e0sQrQg|m|1+3Mt1IRIGI~bZHtl#&bVsuM=ax;@i_FW7jOJ(>4*(2wR{A*c2YBObkg8#c+KwQtG7iX zSgODV-4+l>`RL0;2;qxr^=oM?&;BSDS1fcw=QFT1pQOv|pHTc{ynyPcbjr7574+vT$DhXRPfOBKa@eU)P@AC%$3KBtuYT47}4 z^Z2}-?W=7WwZd-u_y$@hiQ*L4JAf2nmTK4P;Y)%rM!kWjgSm}qgCrE(XyPT5LMRlP zwC6S98%`pc7~x!M5Y0HOp5wAM*M@8dCv-trH}*_>ktjrZ}z%2 zl{1f~iR1UCgx&I2F@@#u`U#FW>RtJIgEVgT1^u#ysTd`UQ1L zk7qKej4nlNME5kpPOa(fzL-ES$Rbn!*t^@7$?V zrLQ+94bT7|YTM=YGv2VzwAJ3pW)pnKj$~$sBtUc-~;<+m~t#yM+aA_ecoj(_Z__D0I~bGb8)%;&{9< zpSm-??6h!t%`(;MyHR22W_4Hu@~ih&FGXcqs_gMel3 z_r;cWlM4tSC)5N`W0k_P>1JQRF);(l%>&rGrxk?R*}u&imxK+$leidJ*e+~cLaenK z%5{}aJZ(-}BAdgHHiv7%aklm!9biTQ@Mm#0mn)b*4*UsV&FHqOQh>WNxCx_a$RTff5(Q$mPkCH5p2d-63BNxGPB*sh;CKw{>qxYRynY+SVs{i)sp6yg6l#K|@4}Im zhti6(hZPqihgO1;dwV?7L*WsXPBXq1+itD}QZ6}moC3Vu#;f%4YA?i%&kU^z$y7KA ziF$&R5dHuXq)O_ox*sG#&A?NF{KIZ4zlP#s=+}nH4|AtjbEYVBIlk&}H1_4ny3aY^)>-EUY%+Ht!E^(1OM2&d8_ z{z{0cF zVRZ*{Ib&V1Yh77%PmBLl=86BuHKT8AcRWhHmye9Rr**d&Vv*;Zaem#WqN2_&_H^q8 ze*<2D$Gx9AXka1^mbY?;Eteu&etl>GQJ;6oo;ZArnMVYnWqFpU{^ixcQ=I&P+6HSY zrd}Q8*$%6WJr7RdB@2>8PH4@RooD@->UAAwQnqB4?9IE_Xh*wH*QU9^> zn~hmQzeZ8zX@Ug6ky&)0)b27|bJimIfa(2VG`KMx04uS{bGO(`Cyy)Ki5(K+(J<;+ zJ6g$^8@V`R{wAw}xEAS8{93jl!B4ugkIgMex*yCzXUQ+Q+jlxT<+fB*&e^;S~<4{&$6w_f<%(#l*wwWL`?`H5g`V#mNTx?#fO;ihf&3QHZj~}L}ZU} zlZfSgEX;7-sqh>wzuj>k$9jGGiQKufu4YdA!>?nHAoSe&!gs@%Obxi@>vXr$&2NtF zNdD^im<;B%D%eo)d59AebL4%*{#F<^D2AQQXtxbSDdc$985g(itb>AuiHLh~2{<3^ zeE#gh*%_EYkIc;_!UmD^$)s0%V3oZvfVeno+wgb_YVyI%PsM&j+c-g+j!bSCz z`F$baMP4T#>U9Rvl?^g!AgbAN%Nk%UT0)Gh@N4>pwqDS0DKSe4;W#20Kx{3g`U)Bj zHe*&3ARH24)@ScnetjP?l9lL}{8mF8l>lM%#CfBvv4OX4Ud6NJsSDp=@Y#1Q(UdDx zlZeXyVfvy{0Z;!O**GC2NTQS#c*Un;B>;kH3=w`4nfx{!$(5H4)DIv%Cutbd$Yo4T zmTPeNk&(qyuW;9fm6nOaX4-bdQ)vF3N%tqId&)tQKwY2njBc3$O(G=?yP8%q)= zS$V0@xHLVgLp2Fli*Guci9AK~p;8Au+D}<*qwcMcok)kPJ@o{)HU5FwqEu@-93@6e4()p@4XpubOFE9!vSK#LDrEcME z|1I{>if`}w&zgHbA-je#_{RLf$B&C&tYl?nwa)xfU|3UrGE#^6ZT;Q_=fU82+eyg- zA(6Z5!Be_h zMA#bAT8iSpM1qNsms}>6SWw`d^Oxs#V4<;$gsI8L)VgfuxCJ{iSx$~jcp*{Xp_6E- zpaJ0#JF|34E|CG;J4`d-?Lnx1s|xWwb*rcX_QyaJ#pDdQ-9cvBjh@~IEP$HA{=&J( z0@`V?^`mz0fqW-rHjb2BPw~`NRNKg%S$o31$+2HT$;7N78!qJT?zP0TFG5s}9{aks zMlch67f_>ZZ$~~iXswM}Jw~zKixR8|;I!I|n>HIni<6(`EjkUBa>dX=x1*W)&2mPW z=d={%nV5iz>Q`?E0Y^{?VL^S}IM><77j^5q+xyF@0&aNMQ#wZs1N~{)zYoli%|1GS zl1thEdI{U_R16kVUCb=Na`%B@1BDf@XPLQ=ol$4g&Htw_+s@XbxJtZ5_hS3DqFagb+u|O2oPxO5~uw{rHp{r&1qRPZ3fEh7QYg<^@lg5Bhl z^}SYks7H0Y8BmQx9Jz_~JaYKfNkrjw!|9QbrAfRUL{LAUg2Hunb849Ys5jzz=@ZO& zhs)j2SXZjH#YOj1{VYL8<}xW=_W! z71699H0~aIY!7D%<&jc@2WJ4}!Q3#_^u(#ug47AB^?siS{=Mn%sr9)3y&?W#?Y}|@ z`B{R{Q&T!N_Vy~s?Kv_{qWlOB)X zOQf(a)T87yl=Qsi=y}fE`&e4)RfOJ9FQ}3?^q!K&#w;;QqIFwvSl4P(2p0)K&B?yg zHyPlQ{?Yo{@4FJ~H2Wvwdn09+w#>$GkFNVZsd)|)xReo~nYVQ{6=j~E&l4LGR-~VO zm%)TS!HRhI<8^;$j<_iQuW~}r^!Udp2OI;uLVWMmo)y3G)tH zWW9CI#xAD3{yBQBCXw6j^DdCK652?iCZjzC03Rlun@2Sl8md1H44{u-aDEylm%%^} zMmc=|j9?nukY^d}OF7-^jbU`*f%&_piqOfc;6-VpTMN4u@Rv(1*=FT+BGwA%t}Gr0TeC0No$_*UquKe4O*hV2u+mHIi5Fk zI9+0lyp7Cb(WA^wDd;)?Pz_p8-!r+TmDLcD^hi!{7woQT@nwmI#37)aA*f0&VG#?%aF*zn4j$<;0M>&+%$G+{#TvBxza8Y`8ytW!XOqt42YnN~R z#gszb)Pj&`8hOw@-7J6R115{&jC?uI$Dn&6*zR$h3j>H3%xU9q1ngg$`h7?_Dj0`8 z=;f-d>5%7OV~-}RE6Hq_)Oe^O5L0L?QqsVt^>OV{EPrf6nfdqYFF*Wu^AQG^KOJ-P z_D~2uciwoi%r!+|Jk>6{3~Mp zXLBcaz>5~bslBV3&c`C|jQa#%KK$ZVR zY$BWXl?oHFdcpE(=b?t~7D??3u9j=Q@FZR6EBjo%=BTB4Ti0NES_Yf2WyPn3L1;PX z2EvS%^zis8yNihgC-s=Gph(;q_oypxOI(?~yY3|W$~H)XlO^$D?o!C3OrR||3f10EXeUDUbAAo`wB|D)?uugo<+(?dV5am)zCozB z!hVwlpf{|~D8k2sx^6+^)b$yQoY?z;XYG!NbuGSfRzWw2-Sv6%A|3<3&9MJO#lCp1y}2N~)8o(e#+)lm@y!r5sF$LYms=2e9m8_K7@)xR86 z&jX4nB#b~D)dkXor&2LrCSY;A;}JN%&nPPxMS~e3_Jd^K z`q)h*tY&n}eN7&7-eD`>-Nb|tKXp3}F;FApy!SZQee^BwWKH}W*1|MNLCDSG$E=e> zv-G;M#`N&KSehR$mvXx#FUX9`G&E9RcACWOd^zpv1cfiQ2v>`7`TEbV_v7M(MOvz? zb4Z+-+WM3^X@p!ciaHoVkz7KqRKNBUz6AAwaH{u|Sq9!5nfY3+dj9vR?kkG0P~LLyg-_Xezh@fd~0qX)`mBF%ULucpu@Z6w|2ojGz`FmQy z&@ly7t*y9nq3hJEG@dqGobM-(IBhvDkiNDx@#Y_1G6GQ9d{Oq$kLK8Cd`*QWAAyff zE(&p!r&mDLr?|0_P`Zy;$y&9J{-%~@zS)kRrXkfC+~YN!fVykEffOKz1}A2;Ob_mx zh_=AEG~s6i zX3JN_eVB}24SResYf(ZGmhVqwlLA(U!0#XLF#GcyEeN-7dj zov~jP#J+wAYz|SmA=?X0{5|m8W}(?`f8e=M6{3nG4h3jclRW@#4ufZ<9)c-QZ)_F zOL-9_!NhVD5jT#`W?+wu_pE-UkTac39R8I<4#$`F%lr1^X8w$~Okbl4%M&ma8snq} znSR;cqCmL7FXy8|g-j%TSJg#@WMSO?m|Y%PcAct4$2aw=5}uwut^B6eZlAPN@+xqS zO(Sl+rY<6CN5s)R;!*3@v{<4Vj$nqwqkLDv8vPEG=^MWn>a3p;~; zbvw(guf+|pKTXpe5_|@8&;3Bnx*Edytr)5j_ut35Ovv3_r9|pm`RuEs$|pl)UrX!! zl|;VAjY&u8X6-g{x(8hEojf9O0|(>?O0j`0skYBcX6eE!GxEY_)~$q-GGh8rWcgU-F6_c zcW<9o!s>gYbdIg~t4ZJ8sy@GtDT>##m^@k$eXN{}E=vs?w0siZK>{>JE#wrfF-v2x zHhtP0i7?kF-P3=RSwD_3cE8|%X?c#m$o|@qjjfs&!m^nF1tgb`MlymkHvIh5jbDf_ z+W9JeNZa2o%z$(#;4lEcW2r*o;h_n-BpcrHgjR$m?BI&HC>utf5inLCrlGbW6$;Sw z+InqQzeXSDvo+rc{^?e~A^-uGK|=`JV4V39T?Ldu*!mYjVACJLf8$FF2$n?x-|*1? z&LNByZDPS?lP1%);$>bG0>-{~8LL|?Y@grjcEvx|d95q;<=I!gwtxd!{z|V+V3A@P zYwLc4NY}2v1hd*RjQ1x86h*>2=m5_WJ))u?fVT}dlNc!vo~Xd8e1eAe0A=NRI5y6x zHayjWg|$lhz3x*FXRoqUSsCYEtPJFkY#c|rs?LByuFCprl5gE(EMyJ7jU4YnM!Cz_ zc;(lG!h93@+S9+v=#cd`&HOS$V#ypsRdLumBC;6s!^edn;b_JrTeA-tW0edt+rkMS zLqY7~d{pL0JIr7XT|8WHYoR4Oy`IG0T%19ym721yj2vEf>kQ+Gv+gYYKcMMpQh8zOzicFd1vK#MLu#?>U(}ctMYnIRc$N09CtdHfj-U6T z=VRtaGY?4S4@#M!5o;-1CoVrRb`t-Lfs%eUs{w+s=Bu_{r}t8YzKT%&ID$$aAZ}ld za9;Ju?sRwlizm)N><{Sfu{p;4xuir{FL3W_V6V;arq|!oumtaaq`BBp8A53rl0SYJ z;T}5#c=3uoui$UF*&gWaXQCb#}0Vb(sgWrm=+F)4Ck?`GO9(ry^)YuQa zVW;=0DpJ(=IpVeKQMGB)-%p0AW^yEI*@dZAB0kkcM2B%?ACVgl?ppNf4n%Dn-k=1f zrO2jdlne?#^3`h1t|eY;E^wO}*0RfC3SDX+mLtn%-ZfI6d|+g9QEUCFx4(QcfN%ZX zTfC2R>56p;d!K`3FEH(-N!264%KG)TWkeOO*n^Srx^KV*Kd zJMx;0{GAULN1ahntFEvT8mMiU8qSKxiOSta&=R=hsQQ0tT9&shrN)(*@ffYi|WKD-J8{Q5*c!`#;Ahx3iLj}Ux9G=b~UK-(IeV^|E3 z`+5Epp2YB}LQFrYFdR@8G0oOHKNNB=wI)(Eem4KA)G+g|XR>9V6Cvbd*P-4PE%sPx zP%=aOYkEHucfO)5#wt}_aTJ4Ya&THUye5T~i%lA-ZM+R^V2o@UaH#FXbc|2yI7OVr z0iG{&^H@%#G?1+^)Yq<|G#>wS^KBdFGhP`dbS(3^Mi*GO8oG6!s5Hkk3>>K@!A=PC zf3f&zL?Y$Qi?9lXO8H3{bu4g!+L4%E$q0lky<`tCb1bT$c_S8Ldig6j zTBFoMFhfP)o|nKV*!kY>C|-QsF>Og_*tbeODJ0~^R27x+_L42gnSpQZd^FB;xiqVz zLntASkwxP{)L~-PY@{Eac;d!I^l6fBI8HS-jxQUlUSlI-wff1d&dj%i;J|SpH$h#4 zEK&ilgG8OFI5d^++aUP)9vkQWwo^LEGUqe2HoWcwl51XTB^anA zKzt=VRv1$couEGk1a|UX9K+5sYwg>!lm}_#M;7K1O$Rrp%U9N=#=oj39CkM~qps`! zC=(gDc7^ees{>wuJwSipbFhI+<}=VXB0wG#!QEr>Srup``FKEjhWGiC?ZRw_0E0~0 zUht8W48R`&(ikO0sA@QWl=M?*5L(K1C|)j!ktq`BSd2$*wkh_>vSc;o>{0zjVP;&)!-BNTXbDfD7D(IPo)wHqwj4W3Zvh$LJAt69AK`9{FU8tfMb5TyE^Fq zq-=jMbM8_r3!}^n&V;QY9W|4c?ElX1r1qb=@7~pWOUJt+tei#|^y?y_M+z;cQ^9N4 ze=ADh@l58xTv{kns66y`ZTZ$O=*R8x_XULi$*8}tA(`LS?UmMVV*djQho+RJZM}E- zrYFII1}(V+Y=XJPl2 zcX9x)A^PY1#x_NrU~30&xsF2fqNp7Q1=C8%$TZb`#AtL>6N9mydd>5+ttWL0tBYNH7yCuo}v16I?NR(B~|Mj7)op9Q#21D1xL)%1Ye^JbGg9$E=V zWflON%&`+-L@ykI;jG4ZCFiG~S>}`;eC_EQEzceb!%=Emcalw^PstAjDJer^yv2=a zV98w|g$PZn3Q5kC1pWku4GUUEMeYQ%joz~ha&R1Yja-e?6@#{2_j5Bh5GpZL@oq!H zGONYDC`GA)!m3RF)0D_~MR{R4e{K}mQt2Z_MEz9r+!xk$>xU(DGiMHfQ5r^rB>Ap)T%cT zt+&l&cJ{)q)I>xg&n5W&h4})W`b|kdzzyWkv=6E#KbGC|!D)X&NYoO%|AcvBR^)!X z{0Sk-IBX1r6UQj`gU}>iqTW;0n2lzvv#~;A9UNBs-c;IVF+XIwP~gQCaJ6yez4*-H z+~bCBdwVMUcTsi>H7)HE`DQU6KE_+)VgwILO{aR7WFLQ{Va1P6AY zVW8em?}z|q$oS)B!`Be34)eWTGZ|H54a%r8Oo;LqwdN(2Dc{EP<8us(?kM6j$d?D5i3VpYrc@NE!46 zd-1b)2tZ%Ts3rqiKu=U^c7EaW*HZ8DLH8I=MlqXtHMxyNm4O&wI| zYET~B3{gI(2Zp3%yFz^8rtXWQ(k86!jkP+=P3#%;Xa*Ay-HB4OBeSnQZjoZTO-X#5 zFH&*La*r=MsWlF^b9i=+{HTY&mBp{R!}w+XYJ1T71w4 zv`cbj3jNA1A<+=r0Ly8;XfjaVXb0|2QTO5;lG8Vne{IiuQEY;~n$`T{&fdEs1|ry= zX6QNuDG(BcGrY9MeT=^X>y!EG()6<%q(M;&zul(3JF?1TzXFSy#rO@o^I%1Gwgvz5 zTs+VK-D(pQh@-R8Zt#y(UVZ`+{hV)cZpWft%G^8fv%bK1Lw&)V?Z3_aXr<&|Iu+-$ zz-us(-<1C;VbiU?7D4{<$Y|FGd$?^A!v(|)J;aUrZX9OKs;lkC1s7O6xDeZ-W%&Ho@mJ_$>CL#)3ZAHG zp4DzZdlC1AoJShYFTiSpZ0rU#n|0#iT>F{Nm+QXRWr0RA0RB;pCNX7spbc^|Mup@6 zI;B08Cpn5M|1oVFcBCn!I9vdxZB76OfkZ@T^E)#V`EDw6OQ)!_vxkAwBJ}i&V`GS2yt)|4x4=G&aG5q$ZS9S=yEVN1Mx_FO+CL07)RZlwD$ExjTjHOzQf z!u^X{(ruUJz!``^a3((o6d75~k$|MWGWP?+Tu%bikq_Ztt-Jp0> zZ|WxdcYoRMCdk=K!vpcFV8bg!0o7W{_4zIF-fc!}>yH6H9Gvw*wng3B@mnG80skx0 zg$To&?$(2`J&b^@nBlBM5OqSearDHdb?CU%hx+ zVZ3ECA^xWjE*ocBK)!o)nJ(Pl`zW}O%VtZCp!w7HMuw}-PJnRYP?!+nmewoO;vDzx zx&{dt`9#f_$6KyH#jI+kAlA!0*$|W$Y^Zw*v7uf_CTJ+7pXA0%~75}Ttl zUvc*J)P>1M9Jr9s6ARiuNVuz}PF^TA)d*fQ5! zVw%ZbU)r?jcggDgcYBDD{Qgu-gBiGHe^$P?R-gh-J!}TQmJTXLpaYICkVU)Q0>X|u zf3_(wh?4m(5Cn<{czY+Bt)<<8qCK0LmUULAC3>;>1KDh27%CKJZ%*n}%<$GK!IWX^ z0*{U{r))YS3v*(dK~BRpZ+U!P59k_)Cw&WzItoI=A}<~+gn^p#xI#g!2}I#l4#FJp zP-GcYHIX4aN8;V<{1Aa`ZiN7HLdY7U`u1v?3^5S^y2cE|m*76?r=$2@{~|+IWcSNV zyqudEf{|qmtTA1V$JK);9r(q&eQK$yVTMz=N0M<|q(Qnef!&Q55hdB!_|>Wxcc$M( zeJHtQs39x%$Tj*;P6}so)h;F?&@-{=Qd3-RLx|Dkxjx@ScS!s|#qNZ%(*i?E7=&ot zEX>6XqkC3(q#h!-MiSdMkPB!LE7}zRz;Y}#t3JfVd?2Iv1CznD9BeVp%XY=+zqi4k zW(;usOMjp+?eBO6f0uS>#Yfo-&|<<67DW| ztrtDGAYM3zcpTPVuYYknQHR$#oY3C%$=)8f@!xi<_)y<;$M`R(#~41IRDz!^mYzx2 z%r_p6`TG1gy=5!$yRo;g=9y3_=So?;@`;{M?*DmhVZp`OdN4rocXa0;v6yfvX4_8o zpMz6HGvf#y6So*6g)ZOS;yBgLiIXYveEgnUTiR7@Zh0fAKXusDKypd2O`|Ru3 zI?&3!C}c%`^VnW~3=#FlNp!C=jJndc|G9rEl8bWBETAMthMz2|RT;3RrMs^n3*MOc zPrZl$M51 zcR=)P7%7y4_Nk^yEO8OApbhoR#xGF6@k(R0^k+>Rwpez?C8{YuhV%!3iq2@`K9W*8A9l)!82}XRu zz*)z59)%%#AS0L&Gf5{xcKPmdj!jbDr`R82;jeS)>1mO1^0X||3P;bf%c zOP(+V5n5D0fsV}h^}$DX8k5ph&@RLpD0_AJ>CkCstF

80euSSlC;bW%?jesA{)YUJ7%F6#&u^7~`JqC`y`P^GpfFxi*_`Lj^q=O#^ zGyF-S=wWBTVyWW!oi>b&fToO-;^$@cr~Z@+TXHqK3?2^avC@8a4ooR5l%j`cd!r%; zvjdu6x88dwYev1fai_VyM~$(fMeF(3Mhp<#R@k3o-d=S~W53{&sjC<1Xzsr&M0fsH z^|GeYk9y&Bm3oqt!|-r1tCN-bjQvXuP?jD`S7tuFD%ZVX5DU(-_5}C6!DyoI;TdYPk)U038uQ57;W!G7(kc?4$+g{reCn>J=NZqLv9hA)=U=sHO8E&y9kggP z2&XaTzD4ebY8J4IWJN)~UdjOZkLMS_Zpjqs>7j}uC2%vm*Hkvv=_D+}9FNW^(@sv+ z)&9C&Zkig_vt{`E{vQeQYmT~&OYA{!(OpST$2Uy$zpwsC{?8j3DG5Q8{DCh)IJM*4 z^YcS$HugqU)&KO)`PQi6>1Q3MDbGK;2Ku#<(j%_ZGJ8Pnf;RDJsr!g@uDUlp7A~%; zsflni2W7QMC3>H`5Fj7)%0S+77!zTjtj!NYNm=RQjTZTYjT|owswIKnb~j~n$&U2K zuBdjmCEInlu>NYho2zpqW$w0LRl5>G+mKMjl1%U}a+amM&|%5DuA**po71=I**c@n zDa@QF?j!k~0;Nj1y2*;Soio0V=U)0XM3z-+Rt}pp5PzBrFJYJ)Fo@@PuxT;<_?Hpe zQ#{=JbAt@iafM|ZtO{zmD+|=6xOW8%HQlvBPm{KiIgrwBOL&0vvHFb~37|spCK{-64fSY^Lv z3<^^e&5wLwcy^k+*pl&s`_A6`E84-u*>~je3nz1OqPGh81)?Ya!gT*u1byC4JR^PK z{yPoGpO|D;CGz%;IZJ3gaz0DjyHLM;c4B|FXo#-YT6kMs4d^Zt6tsR9_#YT5MjmJR z9hELpUw66h^$GwP=U2m`hvKtmE3ICeA|*Eu3`0sUT4F?1g_`mBJ)7Rw4h;OPHBA5h zE6w7N>H_jG^TFTT=>Mrrz_egOEh($U-*U_s{$mEZJ6BWZh5L_q%#}UA3#aylhH@e8 zGuQOc#32w)KF13tW<{{KB7@ZdJQlpm$hs|uE3LZz=KVz}qk&lAb-jpdBV^iBAV+k- zuzcLp?dnN+Vs7z?#|Ci>eF)?r`fR~Na7oOT$IEBB-0nk~&{c+;(2ltvTKv{17PrH8 zvPC?!kXB^fS~Wv%#m=$j8<$y=Km9>6?=lym!Ok3waa~x3cpa8ooRnOlbEou|OVcN( z`u7n}R8b8sV`gerV`>xHEv-@2{|{ep853t0u8ji4p}4zCp+JjUfx(>u#VJxK&?3d% znc{B6y+CpI!QI^lcXx;L^!u`tlXG_8gfKryCJ&QYYu)S8aOz_l^taX+wwn8kUy7|F zS&zf~fwc2mI=2tuzd0sG0%V`#*ipvq-$5EHOjO*M(!$2jP`A!3CORyv`WkNYbM=2p zsSt&txwmy9fX`EBcPd=Hfndwrh+~rd?|$yZtf=ugArI0B;7{PB27bT-FqV-dX5*xB zQ0Do(v(e!W&9Uo1D{C#iYF?2}*pa!=`vQ#}Ka+xEX9s{E1L*frPVZopL*R=7NPXy;KYrNkiE*_Pp{r_PCdY~C?hV}l<*eRIc|OgXIFa+1 zDfw(Hmcl$X@1a4snm!atW+tx5%DcS zi2Y@u-;;XvKDU9LKV}I96%c{~DH=f(y2Jj1>`IVt*lZ!c#_r3up4I7{=_n6b$r!*t zk0jfy{Xn`H3c4H;vCkhfh|#|6g&-~7P&%<+5)hZP7?fXK9z=BaA3IjPWPB~J{ldyn zT?1ytW<{A62B6ndTVs$g8^8u%qABEu|oH?wwkJZ-Ov}DR`|22|9!^wfscUk{kBzA@N!HE>-Ig6bKxyaM(X`W zgz{2rv!e6E?51aH=llD+ERFhGh?}=}9UamsEDWb|*hU3Lu{2?{=k6C7f)U{v+oh!c zT|)Dh2wC05iW=4PI)@^^0MTEiE0kZ{nGERN9v6FG^2>V5p3m8Zo_*CKZbvd6xbAzm zbtBbHeOpf-N{{6qpUKh@5bzXZJzswKJAP1yp z{Ra1U`Ny=wb{>np&*a+#O2Ur17xu)Tbq_bt5+l~^l`aN9DZh?;{ohBdP-+{k5cX%R`$a19m1C19ybXb-Tr`;LNY+Ju7eDI&oQ#fX-6>L|Lo zoStUtI#s)~AJxj6Q3(A>G6rPT_FD3bg9la|S4!tES~vYkX^&q=3^A>2$ILkGeZmvx zQ3_;2Mlat7;dE6ynPad{9)bdXGLTkR+?%hrNP*^-G5~(x3wu@q`74~D91edOGi=$x(wr4FZ^+K(TNK2bA&U(#9b+3$fV zIuKua0Lnpd>jz^RArH<8?inQyu8j|Srj7ZDd-H~KCR#Ky$i{f%REAUv3?w|b@YvbG z*+hPFG`6m`^kNBAw;e^9em*@xXx2fs`@o-QG88QqzKMH@*Q80nU{N% z8~D2Q=TGa^Q-y_AVYU&o80!%a4fQ1g_zi9K_X7a3s+@{`fWCL#9d4{o!NntBQx+@( zyuQd(H`AIXu1vIbpI>Kp7-s;sfhZLO@Ovj_v!oD2ddV0GA);Qs`vU2;w%5F?K7A;W zl(Qa&E?Qe)CF3~?GCfMm85-ZBI6!Eixz}N*Lsw4X>xhE7^LsogMM}9Vz+P>4NGZqp zj4Y->79WqnUQH*$pRA-d8ixP?rXIoPn`}M1FwyvU1ktHzM1YKxMj3||6HnI28$L{E zwAp16UP=3_Kg_$g^8BMEsbfJXYWR30v9{@QtA-}NF}+5Hbl_ymc~sOKzW0?Kd>|}o z-~oZGF*|!-V|FT`B3Fa9j0YeO8=BOX7l*e{S>{mM)mFp7zDtNfAazQ^RfK|GBSKiv z#Mv>UEw8ehAsWw;2pJ?2!7EO6dg4AJIGM`}D0h=w)h%;W#x8C;TXdD>Fei^Zu2u!6 zqs>H9M*8Z*t?CIw)x(X_Lw(!1FH-Ip)KGim@mp$%CQKTVRwy!Bpc4%lg(P3~tM)M^ z_==l$))%4QsZrih|Jq0>D&g%mDP0jN_ab2vJI|ayARX%-arcG$r>4Mck4Tf+)Po5G zZ}R6FoYBa+xIOuN#;uO43Y*}OF_wK#iEZl9kv6FRgEnPjggwLb@-imAQDEH|QoyW| zPr=2x|8mSWvO)MV{UypFu}&ynA1QJT$@>D=^NDptVVyAJW%un3JNeROSN_HbFQl%l z%>DT~@=Q=@sGV;3HH`Ee&~ z(aBpC!|xMuK#o4U3`LNt27|IC0|AkNr{m18d zv4ek=Gcd7wzW;h0ah#kFj_h^6ovr9A9V(T7$GtcsiyEre{GrD4iid^S^73qJ`SP8` z3kEO-ZyJARrUQ%l5M|$DpA}%^{weqYFeTPEx!}r~{g|_uRHAjXH~Vtl9|LIXmVZ9c zFbO#+Ts-wg7@1h2zoMmm^M;I!cwD`;ur8P_iRDm@*}>?4v;ZhSI~&viF?qAP$viuX zUOW5@;G!B*%Hre<9y!e2UT$D^$OqDCGo;>&ggY4N4B8@h*#gPQmyl7c2EtM~WW$P82EH1EAj2BJV+b^b ze(N^Z=Fb|EJ|^@7DFbf8ebduD6EOEd10z!aOOg3FLdx%AUISIFk0K8rHD17NA%38v z&M9`k+i!9DIz22qd*5a{t^x|5O$H(!bV^qhE^~~-|F$~PeU8@C;mT^ze*1x7$y-D~=_9xhLCA_OVMQ5>FI~$Ouu5zr>2gR~XM1`W^6&Mq+D=DBS@_ri#`s|Ggq{DpY4=Lw zp?J4Px-o5{WikDpRp{i(=76*d{BYc^`|>o&`=S0PL8NOBxyyCrv+7FvL+d5xZMdfJ zcio7y0JB!2QIBcThkF6f+5EFoO1JA;vM&xR)Xn?C84>_vwO@N_EnraxG~zDzY+$_J z__*v;c8rb*xb7ZuRo;clZ2yiLQKaSfM(yvukYWEU`t73Q zVJ*OPoy+z!D0JtS8DS!MA_d%rI^;!2D9WXS)5TEB!;2Ts9|`D6B_Z2)Y*~| zvtk5uMOZDGaAqrD%aidd^E7jMK{s0j7JC{kLGGcNm{CE4wkDvndC>S=(4cdh>fKeX zNf}Ha#2y^?iCAp_?LwlNKj~`ML^Nh?staxe!1o`!O;a%3O|8yZuSfk&@p#I^f&+KCCvMkI)7jlL)It{)WVYR=JkLEUwSP&(Y zc2)7jrYG?^oh%e?^1uvHc+BbW^hqe{mh&syY?cbT>;ra$B-SIwQ=A{azZ!H~g@A-# z{$w%n)-3;)mWBQRPvYGX5XQXjEm4@|3~dU1Y|5qzKB}^S>EitJKF%-ChV@aDGQ=g?+GcI|Ft%w+CIrq8T^vCZWn|}ZLH>u zOAIC(0J$#Gw}Wt=@}vvS{LG5Yua|9=h4ZHOpS zfNi^(fmy+fG5YNYwf?VZI_p?yl6$m5`q{)Qu$B@TrWBW13*|%}5j2%(SPmtvS>Z;z zE)W-sVDXWMo8O3EiPMbnJ?o~)2-|LMkWT;eh7C*YY%Z9G9xiv&DW}1S`D{cAM?3~HOa?nYZbFw(pHqL8%gPi)P&-q& zZzue&Qise__s{F+vYvd9wF4$nJgJW+n*l&fFGB2neVtMch{J-i%Gy1)oMX=+>e5X{ zUk*pl`=Dgbc@z0sPQXL!VtH0SKc*wi{Ti=fL)RtQ@L3H?lnsU@k)i|8- zggVSw@3I>ncArjA9J|4)We(FgEYJA za3EAYOfng%Hffph=BX4_EDL)%3ri9(s0(7uGy!Sc7kqT&q^x2LUPV2BR+X z7^v)qqCY*Ade7#2yAec^k5X<>P$+&$uyMML0WsHk@*81^!h^H$@$P|yZ3V5k?+03m zg!|$g=s;y4muy((*!F`>y%;!v?TFt+MH2kw;pWS%@2j~Q@79Fj&W0B;S^hQdmmw!b z7y!vm*7~Xx1AmXgC5!sR2+1p~(n=Qc-|DZ5s@&{fq8rnffNI0Ih4u-cnM=YNvoPR^ z8R7K?(SE0LYFEz49E)I-?|xIjERREbsRj%JS-RRPOw{?dmyV#mCmIuj~vRzqlQx>PUjL!g3fG z96HB{hMGhR^knclYqHxzGRLf{W;KpGy5vyNa@?qyxWrs+VK@AqrqaLIwQ~DpatCAz z2bBvK1H0-Cg%p<;(HW#O=!Qa!qqW z28h)OY|CNnaJT2z4jB&isV{$;B|Z0S9&F^WFu6QQO#FKjoaZS~49)$IcvL-IdHqhF ztpYZ9Epzb?{`KYn1f?eiKf?O^c5xEzcHqvG)oCd*z~CM>z41WJ1ZqnJ4_7St#S4!>+~}>ADcsy8^a%rBuyD1dp#9$Y%&u z6-IA9PU*SV@`~pkWA8nfH!ha@m6o=Pt9}fdGV$A*|A>!~d<1bAn=YQ^gc6F+vMgG4 zL)IZxbOf~$i?;eIyPN*q!Ru*#PFGh^khtwDYkAr5la9&*S)v=mtUG3HM8CkMA*8;YO;bQ1_XGY^J~ju&R$RpJ;oRq zS>iIfLi}N(`%q?Snbc!*f*6K3T3qxNdkv_$9U^V9@tm4u+=Q=4`DNGA#REA6K17VS zxK~VW&ytH^%pXtOW{EGfK0K@~Da7G^78`v_Hb74g9Ln_FgCnx51N17`csw4Ob{6Zf ztct1a6PvHJntzBSFj9y%a=gAw@HHX!16di zf>6^k$0WaLP-xAS>obzb$NLrn-4}pwlhwhlgNr)`*lMDGOW`pQe2X1M-7u;5A(Cdn6YC6ssoXJWNJIjD7;3SL zUc#x`v;1kcqdX@g{-?6Rk{$S5_K;rQmOswR-)xZIyo##sPHDDu*|zqab_*3QnHK77 zU))T5H~Xh9;)xfQ$UwSfSY6f^$dIP>xMok8<@rz6SO$A{tF2d=eVvk0t82=`ZoU`7 z;R$QweOG7Xwf|U4{^0Jt=KQ1cOUA_9ilEnO65m7osMVd;Q5Lz&aG>V1X{v~K@93({ z%8f{^2ie~0%G0Pwk7J!sV?~+sQ=r+#C80>m+ump;0GWC+^Oz(rTLM<|WOOs@hYt%K z8%454w|ciiWkM8`cg9oFfAAYj3;6zOR|^hN-nB{ zQuqw%$UBF9VF60kN?O|iU?sAGbI_fPD>J9Pqvu&>ECxXbr{IXHwH7{ZvYXTIT0OZF zIp3_ko-*AWHSFeK^a{wzvVpit!L-MP%qJ73d~gQTJDyPkwh8c zg5CGz3@XaO_i|mtIh7r%DojeGw9E>&D_wpeD5Kh9YYj=J4r;WFQ*lYnQ&U8+zXwi* z#InZo)isApX5`*?Om7Bg`v<6uCjZ<>uCmzDt@w7%XOHQx^V#Mt@cn0Cg$Jjns?-7; zPxS#qJ8#jQkFbDqctQL7NF4kd_(#zZOoe5&)%Cl{Hw=2sKS<;WmBf$D zLOGEqFru8Wzv{!w658SsMQ6x7>b$K6`U~MiTr2COe)N;JMknO~B~!S+pZq}1)R{CO z!cv#^+ShJrZ*}t~X4sB)WWNOjFw9rR<271oCl^XK;D^X+(}7{vIV76!bMh`isLv&n z%vOOc)d0P13sW$Jd|ATd30sZL9)~)65I~*TT|zPitHg?rL@PUfeOAK*Aa3RDS;B=Y zd31T(Y(aXGeRVeeWVScotXO7Acy>$EZKn&W>?92jm#+nShuT(7^!3yaca>Bv06~t% zZYWxshl)KeHj6<^8Ek7hAIUA+h@lJmS|3}0pc5_0JlN0#eH=;~EP?MKXRGhyg$PntQOi-YEW^ZBqP^kQ0~_c_I?^`dX34(1 z^I`>W_wKXR?u#fQS(C(i+ZIu~8Z_Xv*37z7bROZKyF*ml79EuV$Nhm?=xtXp0;|)+ zpzH3s77e|}N#sf}+6}bDs<-tj1wzh#ySHL~$iC-vYQN&hX?ItAMqtA$e70AA5q_dy zk;v}7p5yXzV9?y1^J{zbu|1<5H~k`}2QuPuS9NPp_84KsAK^g5p7%1C;etkSce!J! zo1wdBZo<(K8yUWuK|ul86d|pSx2=5p??(mB<+gZfsuRa3#uggtBcnS=)3~5BT(Au4 zXqxEFA3LO6P?WeM&4@{R^4>x_PV1EtSe$fyXq)myxg&#GiXgA+${5I4Q{v&GE9E>> z7>NtfkhhTW1B39N3!g?`mwmWy%p8NxetS-pcL8UP-J}t9=8j`F+Tp=!Xa#bBsM3Ei z42SG+oFRZAof7#`TFzlXr~qj~y~iL^@=Jvdtoi|%aEXDw2fD4#$+V7kAZ*g#Qc@ON z+}BH|622p?G!4y~yP-&61=AFLq`Ki1O`C)Jvr#;3yz2+^`xhmyMot#L;h&R>2fs}L zqWK6TQ9_0ZN|`9%AgiKs?H;mg{;*U^VTDw|~#LTsnX~iFV2EFQyB$R!y_iT6^c)%UNj&7r;{(Jhi4=4erFQbawOY@KR*p9d9 zg2CPP`Q@q9t1nHMea2{bML@tocC_T7QV!nU^FPHVqHu{ZJ{~dG>`JWbCrTq<8V)>5uT?3kvrZmP zp3%J?9N9Z2fQCx)2H|Ghm6uwP&N<)Ca8Kw1rg!+w^Bno2U9;I!FYj8#itSE_XPzvk z$V;kjw3DFj2WV%;J^Sh7Y^!It*N0P1_Flr5^-kRnO5rDm^Uxm*1MwcNU>gFa5 z1-oJ+kJ@-C$xFRj?Lwa_`Tu*-eo~;4AC4p_OzCuy5x9YZkLTUT1??%_fO_Vep%yG# zqjUz*1iXfNMbwkPTam7(tO?Mar4utX7XzR2SM#AC8Zuhjc_w3JHgiDlk>;!=Yh44q z-9#;-P0;nn);*q4x?N`JKc8CMpb;RU-J6g0?&gEWDQ0`$=VsJLEsGju!`jOBk!`J5 zpxDYfa~!Ts%%^0o>HQT%f7qE=g=xE^hWy-V22@%&+`NKPRV2V4O^9xlaFXH$tc4j0@}#gZ|!e5Ph{$nL102I2Ke;$W{SM!J(>h#VU6IUJPK zLmB2v`y-_D0-K}TxIsWZ{CATqenp%D+yX?~r0AMpumCQs9wIFh5IV`snl6}C+0o1y zh(%1J$ec~3Mr=gZ8?+&F!4z>RYoNG(Za`EluA(Ie>`%KAp4uAlwUVoANN+~6-^Rvf z%5I2!nc%4~WM;y1HKJxxP*7ov0S!;$i6slMw~cR<9#l0i+QTwPiQ*dV#_l zrZ~|l{mfsX1Pv!_3UcF_L8s^jv

!&2rY_4PSko8^ZSIib&hTiJO|anVax6I$w2I zUc7LVej`Lu!q7U%;_!OtIe(5Fu`Ddnbv0z6WG$6!4#jCo|LrbPz-!8>pf-a>WB*>g zj?h!!;no0A|T*MRA4B(e>$MXnDI6F>yO#ZPm*4?t4NCe^7JPvpLLptk0tB z0c?8(A?;(rthHI$Vd%f1pj8~)dR1W08)ko;M><_IxTQ8ZH*K|Q?3Wg4z1dlb+^Bn* z#CLy;HF&Y`065vkD_TTqugzD^Mn8Jz{&;$>ntk?Vf9?*f^$@~lf8IrE4Wf!uroUNf zlt**v#q<#Tt>o=F*n2MMGQ@e@R`=4T{Ke43LHA)<&aCqlb-J4eBV_NMIs~;2F2?FV zP&JuV5~|hQfF5#cNHjV?MSyM&W$AX5v)!-Z-FB2|PS~hV9DQ;_i<`oP_Nd}!H`6@I zPrZp?0|!u9RK*y{xe!HZ$*SLr$CEA`^?=Mj&>rub2YyXyWcNVHnwdns_GW>pI9g`o zELf+ks^spK+0%-4R!>&9xx&rfCmeV0k;**tfiJGv2WazVpa9b zO(VdqUH6I}KoXt=xWyXoZ%Xml_KhOzpVZSs1tc^2G8EL%!@K@e>g53h7Q&_f>t6$|yx#KNzYcsAP#pm~X{ zM21BZ%PP%bPp^(#1QC5ZZmIy=7MmV*)+)yS6-ZVp*zZjyV#qC%+O(1fS~nmBT0^ui z{CQWxX4+uXzs`NLU<>BOsn-Ck3@#Nlai0fl_aNf)! z{3j_6&GAE5RnSHuXLbiGw=oFlan$P$C`37o;hNM7aKd3qf*+>$v5=%*NDj^AnBxCQ z^X5|3Vvyin7R>vO4q&%C)}1$?zR;CboiibI-WERT$PO#}-XWGGx>Xzf@IjMsPE&E~ z$xoaGF7C^Hj~xJ7v%|`vGzv=%`+Tl{%`$UghYkrSFaMKL(5S6au<=f;j%u7|Myqs@ z&SaWJ{JQ`qO#m12Qvh7= z=7qfj9&Nng&8=1v)vrVe?N*^z9_7Yc;3VN!L(06~#12J+{FQ>;bM0?0Y1G__e2$(+ zcF#HdPFx5(4qA=S{J*IERQiR*Mdhq_x@bC56Y*9^iLWrzV}Z_zkU06MAoj#07hix)92Y%^I^Ax!qX03 zPZ(*+i(LF7C6OPLUK`UZ;O?aUD~Gyc0otaUHC=;iH=%vq^rmbo+vPN?FWuzg)R3oy zcOIP(U#r7-{r`nCduP>U_di;I|ADanLT=pfsovuHSPcTA5=7(tSEiS2sZw@pUck$Q zkZ$THS)&%8oFB=Ndz;%t_Thc0iBMZ_s8|M7a5s2^ zVQ>wIXsC@CR8==8=DRbDbt#|Q2}WP8Z1C*%1oGEL6M8rYaq$w0KJLF;Ce|XvyG~0= z&m2R+cxpE74ya&%Qw_wN;R1~S@p1z1jHpvDB?6u|>X2j`0I2vocEjS4-@#KcCl(iB*hP7FJ6gmmLc8E-=5#w)6h|2ln!J# z&;Vyoy&N)^a5W2rPf*#_ZZL+zuI8~(sC|7NS4+sID?oln=^OE~WY@>cm<|~=p9Dbn zC`T09HoEx@Y35cd{q_|%?kv75!&oc~tD3!QMkWV$Wfw@LV0;kO;De)>B{f6!gn*1a zBV!yImKqP>@wG?U2Xa04&P>R*L6WNS+k`)ZaAxK{O8S4C=+Cj-j&y^*bMnjZwU}FvMDGE0@U8>^DGF`giDTZH6d> zFZ|Ho8Rjnd=;#rOUcdVJ`?AYyOCA~FaeQ4x-AnCB4}3}cTV%Y*?KVeUbAyZ+4;7%ix461K7F9Gwk|DIWaYtIk25A8_D>Fr&XCoz|;P^FWH-pGxY<~zqUdftm0vxh9BoR5)&!U%+;mQPnl zj6yr~F58&TLw|akU9Rb%Pn|2_4Z)n>Bdl`pfA;o#g1J*}-4WR}Yb=XfgpLz@KJC-5 zxBivU-J&`Zu<`$8um9hywl7k=PnqyUWqkX*-HmSoC^A z$IapWG^!ly?s(|}sa83?eXyDj*+Z4hO2yPrFsF;D{5&JR{-ClC#W~Q$hBX2*X)8NE ztM;K>NSJ_?fUEJRjBwj|4^KO6%poT#JCLlZhL}+@schEoVl177s!#bA4sYCk;`e89 zcJe&DS-c-5QtNGWd%U-vW+|e&QpeJPCJ>k+y>B6M-3mVn&-1V6jXnjR6obVb*XG z5Mwt4Qa9hJib+*jD99|Ik#Y@j?%RGp$R!(Bg^XsJ5ohBvmGfiu)>d&gIP>(@_Ak_B zXd)pAjzRnxh$iFMic1g1+~-8a^g{W2SfgZC%Hz0{qj0%Nry0$mkuAmQ%pB0jQA)=Q&RGk}`u1EW?rUy9UO`0^-XE9I<0?WWNxU87 z?R)1>2eah(MGdAC&UW{NbdKRh0G(jaroI>t5o09QQ&iav`1$#T+c&FcADlUk&|3@P z440=zSw(ZrS~LjFF6mS87rRp}eNEu+0wXr{bMqWQzdy%Q8v8^r%>2;}K>Y);Bf{Wl z-i6W2w$y6t{#W0X27T1i7HRa#gSBB(st>VGlbxZ3J&lN~#o5sE@zK$dUNbt9&4pLP zj~xAze%};c-uxc%w}HqMFKWm(tzU9zjXeM3=tc@|Q%&A*K86I9r5^~%&@y4V@1uD~ z-aO8c%QP*DB+RUGq(5xf^mL}54{`6@Nw;3(-VOz>)O>BJc|+zo6noae`vldQ4ta9+ zJQTlOB{irxzGwMliFwrK{g^gNO+lnrQ+8Kq@A9JTMM-fxA!2#=OObr(Ic>$?`>eC| zhwMGnGG8oe0~;yuY)QA5LsWU~>$^(we{Vj(iA@fVS{A@Q!)baK;0WMabdx(#3Tcw> z9WnYZwdnw@yKa4urB}};8s{7KK}i_`u$0MfJ5K+;VAL&0Y%;#-f#i7O8ybg(1>_Q; zk%Uh_c51aBol^K!UcBsuG-to-a(Bjm*S4$^0$?xKi&9lRGXed6=Jh42<1vaCRVriW z`(x~b+p$vNt+XOlvPqrmH)a-!9J@uQsPmbC?zgj09%YdFQ=5*j5mxXG{rdw-DJczY zZ5Y}(E)v4{cs|n1w1|_p{eXW`!EOUQZU@{Jed+uG;#8KI;cp}P*V|;EhV_@qq#ofi zNaYtkKp`avL}o@PLj=||MrBc7+r8MTc9bj_>qSEO_e=bX4$=~?FS!8-Sofp4d5h%n zI~{G~lja{QOHufzv8brR{8@21d~5-JKnhc&Q9OEMZl!FItwUu$h+;4&TZcHt z|1gqO2bQ>15BI1tXrb|xuukY#)pPTveD{1HabTprqyul=Wrq*H0A)mo9?q~T=u@JT zZa+(~NpwCM2?b>ehh+)}jBSrUlVY8S?B~%UBLaV0N}U`D84(fx54`F-ZXd-h>$!** z%9xH;)4AKXz8j+xxTxZMJMXl4&bG(PYp+FH6V}eTD@B{VvM*uhEmP8PbHAy#1|I+& znJ2OnrA}|V_CIQB|Buf?j_NdWC-Dr)AX%)gu78%e&K8GI$%FXhvHe8C#?_Y8om-#0 z`HJ+kdA9X+69$=f6;RE{{5X&nDZX$}s_k5Y%KjW5xq3=V(W+5n?454ra?tv+^Y^50 zTr5^UTm1a2cH6VlVma(}&f1;vQpUTE+j^mK;ks@G5#AcnT-#&!JmR@&ygFVeff;qX zC8B8Zb`0K_iR_~I4_!C#r&1X>{G9+DmEy(rfoGUYwxvjiZMc{ulw3~SH;f7llw)=R zg4&xjzd2O}SQycZRK=27V=?cuLGgs8RE+9rhB@Wsmo;$|QI(XYN5SZ5J0a|EF6nII zKfD2njdHHD8~TfP$=oDEzyE2FL=`l+3S(My^CSw*DAAHz9{N2YGk5sL_Gwm~6$+@UNB6Z)Vsy_z^7&{hQ^xSs-=u9ARt<^CMJ^A238M9wltkya_XQHO9me6wCUshJ-JhrN*t< zy8{oFB4PYehz9tf>LaKMwMYs37G5XC&k_@6!+SPF1D@=xP3?rN#j5ttG1P!PW|T zx(b?w8p!gOM33uGs$bkZPTh9Z|DQwGsBOte=h6OOx!*<8IfC6!?V~eB&pi>1==tM3wlV)d1SaZJt^OtK}9XR zx#pNQ+48P|PuMU&dB$s@bg+Icqhh!0Ue@kJHl0y(|HVt~!*Aw9o;0~58h9A)g@|1N zkeQLkan8x&%o%!Q_=YazgzXHZMYnAo^(|`Pp0rJjV6_O zV4U3gnrT1`Yu1!t&`%aZHCuLK&0iOvfLDXn47y*Q^JZ5!cV4VH19dADv7`V>`dIAy z4^H;4HU}ysg6^AH)JwLDb9o|Ik^dcf^}`e!h=!DB&bo;0GmPD{modwa8d3h9KJ-^e zjZ+weYMQZ0I11}~mRgXj@`we=R1r|^4m|V3n`l=tF>L@)+!px1PLNvOd z6_5lu-4$M%7FWBDKhQjZ51UW11EE&0V`sMIMkqRC3yU*#KOUuN57A&|69uK_sy?!3 zsihJTNE(bde<5e$&JVUvEC}ELF4h=Y04l%*qdM0Jf)L6L<4oWGR(}OMQLJzDP zQQR>ox&TSY9PQq!*rBiok>Jg7_Z(0YBz1FKqgE41Ah-j1+(nZqD{gHhdyQiG3otd2 zO7$Sp{V>Hf=g~0|8evt4yIR!JW+sy@F{j1)F^PxQG8a1((C z8xgndBZR)TyE5)ZDEj*R=X5Zvd<^(y_8{ zarA5tRJ`4IPvlHU`H4R(=}#RnB&88AH(^=44U81(Yq-kI`?f}rV-fL=-#UhjvSgRbXHhS`9NoHLC2d_sHJt59xvJ6n zM(eUrM%{dsQkTW)B=%2Eo9+ic^3aW4^9CiuJMIjP0xt0Xme8bw<2G94^9hLymx*t z+=r*z?G&9|CO+T(yyy2mS*}0$LfNtGeTA*C?0xccf#(x}*cxW*OBE5tV$~Le?GqAG zk8$(mwJo|NsrS)~YIyL|KWV-HgQ@8u!a@AA+jjs@6OHaK$wm%;2QS3EbyhdCV@Ux= zf|f!gU{s&3tjYrt?1K?%EQPSwd(>`%+1aL^X0o!3Ia$aYR69b##$xda-d9&ba0y9l zq-(6H`KQ{oenSq&j6V1CKgq3L`*h&$DEy*l zs?|N8t@S|9^jqJbth?yIgG$n%R8e2GKwsNAbUO=QMGCw-f^zbv6en<^&%C&^+r=O( zZmiy-I1|woxOz&en#WpKF-Kb!${|e-<a9}eT8>661;Dr5s$Kf)cpqt?6ytyD7)Utj5?csQE*|DLC<7kw&dr}+5{LZ3itKB z@!tGsc{x>!EJm_JmK3Bqi=6oM|jF%FW7; zS55}b`t%JJf{_uMczB$17KRPsgW|8L?Sx>{v)eB@ibJzp0RBYD( z3jJ3i+YCrveCT%SEmF5nbfrRidr+5dj>RPl4&icT5HZ@Zw8gnDD>8$$Gf(l}QiLFVAQ`=EesXEl6!cJxxgs zB5fT*U&H*KdP#@}Ih;I?u4b;#_uOD&YV9Ux#cjk~dTpqQmX-)D>+D==|Mv>f|AR=) zk@*&G;n_S~;t>e$Z41-~lpH_wwzj)_A1ZCmZ};$cZGK(44ai@^R(9u!5L!opMjDrS zj{&O9oz+YI3>|O(o@Ch1x4&09$9sh=CZBR!*r3;y-VuM{bO*`_jxgiq$24!SaG>>^ zy2wuJv!urvyNDmV$Kef7h!y<$hPuqqd#C6{km5z3ec{LA;Ig3uU&kOtD`Ae694b4n zJH>y>wJEsZxEVttIxqNhF9@UG0$1&1X-Kn=g9YsJL#v<3x|d=LTK;&7i|7+R1hJzJ zQQlXZ8buv5q}j*DZW`rJb+L|Sw;9BI43{W*!v&|K1CbFUT2*z1ouS&w^5R~P<@iHc z#2(b^Ys(q^eo%*MFmaV*JQ9qD$kNp4;~c^lM)47#LBb zaR(q0s$aW+@m%(T%phG)oj)rUFTE1zDO;?v16io0{^@4c)jq>1tJ5edG<{uKq%Pp0jU_)B|O+M%aEF`+M6+XGk|5h@C7?``<^bXK>{$;t&4$69=oq^nmo7U64QS&;^BEsh0 z2CjxUbBJ(wc-F5ZfRh3f(f2oF!IhBgmB8S3e??W;=Q{t~#rCC5c!ICL%YWFCpb;uY zbTdmVyGktcr;O&1ivz@(F(b2O;V)&A09!m_{tV9vLi>6lWm4`{+xKD{5BB4Sx;msWw%on!6*9 zP*aD`hIP-nQxl3iSkvrNkkqk^p;@MEXueMvBhE;8c~B@?frqJ~xOpw4B5RZhh-Bi1 zu$2(IA_49L}M-Fx8hQuv!SKjzoceOsF7;vIJ>AYXsT;D`_wU%U`_X8760@XzbZ{~6~KQ+(L_ zi|%+U^AJpy={J{6O~_-NmAp_|E*PUzMS`JsYC1d}sIgtWG=D^CsU1deS*XxU=*G6e zK!f%Y{9w*8 zp#cIoD|>x$+YlMH?Y{lM(eO zkffC6tUn4jRK*7>7Kc8T5C`Zf_U?YgMe^po65`Y*&rNzLLl8 z^r(cPS;@4Np;c}`rG8gHa;U9e5uam#==W#m-But$(8*`fNF-9=iDbV0HEK=2QCj*OUPl zJos0SSB|DODW#^iHS5lX!~0Hh3kEHo?OmVy>)&vryP+#eofi1ECXY(u5EuPWIX8cK z*M-zv{X*0c{jN&Hdfu%>@yYFk-es;z{vXpgz#Hx(n;1M0ows^$j~!ckSzY+V`s%${12%bKjJgvZ?OB{?(OG72(eP4 zCgICuf$*~9>E<_iU+)zmF!ttGsN+Pde8w)r{_yK$Z8@Vdr&s&k z$`ZNqICW4MB$z-scgr9Rh|cSB?^Nb0f%M!Q0u0wkEtQh;vD(H**j8F4s+Rn5y%_)Y zeml_p_Q#mmV)CleZ2LObF&0Q#se~!)Sumg%pW)@%5Kkrn2qT{PQ~%(s|Iz~7Hz)9Q z4ozH<-`GPd0k(=(tbSX+I6z9J8a4ToiveDGEt(39;cK*bQ{`i?#Bg#Y5r+T9(iK zA;u<*#IKDg-rQ~-hGRAy7s(~bdp^LpkNa8_Q2rcgp@ zn7IQEt#Sw^piRH04L0eesTrB{BRN!ymt z>XhD+J~ycg1HGKEC$_$l{u!3>&R@?1-_*fnRagGHsPi#Ad@85&w)#+g-mUZ8ziC+lHkJT3Oc!e^P)y1%Lt9#|I%F>nr=E^N|99nZc z+Rr$Mm53;ZG*W;;!HNgQ{_v3XOkzUEuG1 zr+MfQ@&n4KU~bGcOL9w5FXpdPOFuj31nRkakLb#~jm>i7DU9Pj^n>H(VBRZm6n^~7oPaucBYxMbZ z{@5lK0O&?D_t-~mEFwH`S3{ETf4?v^->qW1bYSBlmRm2+uE*;=Mf0ZL(?;lh{clCb zKmX*{1{vzZ(q~F2fwYhW&znw;JeQ+?gk@>>OPHo-l9Co0s6n(m3A%cW%%XK05~psO z#lhCoUx?_+QYL1&rq5ZE*PLuNGv<&v`ZYzrqB3ZfGiw4aGpQ`V6S00vL#nD~U zN_8D<@%Gfk5C(~p{))CtEd$1`bo6)!xK#1M#J7a|DstShv(DXX*WBl>XV(WP|}aU5E=P-ANEQJBqE|%RvMlqQ1ed3e7AIQ{}mLuTX;Zk z9wejpVB99Wv^h6~Ee$KtFQ|zj2#c263bd#W{<%iI;Y?oXhbUDE@Y4`s$Mey&s!K$H<$?J&X{hR`OCM7Zx(Rkk2Is(6 zUm|d$2^}MtDH{cWb~~QY$IF<%+ZmW+wHG(IUk18UD$s^xOJ4@!^BE~4V=i00rO+gPL5l;T^CelY{I#}GpgMSgo&s8L%wnB@ zAdM2m%o2fMWbzW8rtp=;FA0V0lLKG(8y|#hBJ7CD<$#=W-y7Hyjf7V$~2j-f7 z2js85InmM_T8Q7VdSbbRe?sPHbr8D zYQW4dKK3CDkp}JMsvpqG{-?+$TZ}fpLS#cn?#-(N9H;anLP}zSewXh?Gk^Ry*FM{R zf+5kzk)f_zVsYSk`G+_5fk_ z-IU^=8t1xiss_Dx`cJfPGaqjk5;aOsD_5W5U8l~wd=$KNSt9X{E!ICYMH{e8Zwvn= z`v=-pX&42ep!Jw=7pZn~Cc)y)h!wc1EFdPkx@V#%ERJO#r8{P&*=I-W_+Xm(fdx{J zi)WV;ve}!u)@MZ=_JNLr-oz|Bo*J!S?{{DxP46G!c+v*~UNdqtU zkBrXX0ml!Bx6WXx?udB3H#3AM?=>9+dt_66DWV)`Qq4;7#?jIOE>&h{x@TEL1Pfjp zU6TnPhD^IcxPdt!M@C~WyKN5!5-hIu(o|ffvK&rTrrhj|oSJ7u!>?mCh@!1qm@gh2 ziW>zlZ~Gt6N^_f3`n4t*=_)0p9O1)DaYqbR26ePmM2t# zYuM=>qZj4%?yl{l-#F2PW=y<}&BN$Wdh_s>RzlHTgze35tgwbGd7OL<{$=krc}zTQ zz$BXMl z!2R{+MA!7w5&2D4{N@KYCWZtnTFBdq1WrW-2_uHVq{dW5wX8OiSoNiL zBBNs&;@&T|;I!`N^%B_PbACFpLhvBL&Jv!)o3avVV&6s$?5MGG3h!(e1(J5Rp%!J` zlp(nfoqH@sF3k@7J+aFeE(uHv4wOBV9?v3=xb9q{=*)4c6$7DbBA9j}SkGUtfhj>6fWKRY()FS7P5*Y{fwM|?Na zV+e;WvorVcJJ!4~WUi|BVHHw;;lDrNUk}ahoRr=XS5-*pN#x&re{s+7xToRmIZa0< zWJ@~!aLT_ql>hjx=uXq7cjb)sagD?W1rVWJ%`O&HudKfj1%%++cvh_s4L?sp`6Jfa ztQXuW71PiE!p#8^vS&!Y)1F03q1kyg|DzP60hD4ZeGh2`8DsD02<6t#kfsV>vxW0R z#g6rcFp=NOmQ+h^`~B3*-Byv>OO70`WMFe7fR3l;8AH$CF;UikNTmM6Pqdh`yu;QI z(QRE}Ce1-96BZn~cvfLK8C@%i!JSoyZq;m9%1~39x z@u>ZKRJlh4Ue{scgXLS&pGz41mIJ|IG>i#f81F2FDL-{)CTirtq&@-GXXeXR_lTO! zUI4pgJxd?-WnKh^G;Y~V|8+{To_GQ&WMJheh|YdQ-%I_hRhMy0af`VhLUc@l2Z3V_@WUW0I*71#uoqdr9wT)0Z3XbeKwWefc^nLFSPw5Z{m=7o6;ueXV zFJ&SkWFH+jvKZT2!>n4*S z!cK-*!i6s=!UQF4j4u2aVXl){HF}(xjG;I`BMM;Kfn(L z9ifwA!w1Xo!7+^S!DMtzAP^u@LxrnH?D|tiem>N!ycwTccED!{^J{CbDI3E6U|{E9 zEJl*5@h-1udZhO34r0=?&@ZQ_rg@EFIe57hC{wQqq8mlciwt_8US&;X6t4FwgD!o; zJe2lDbF){*FQw;BcA9T^u|6lNI63F-;lt6BtBlesgdZ6}OG<{Cm-GOwjhE66xRrdY z1~LA7UltD^Nz4C2auxl%Pu?wJ#3gnY`r0`{+@%^?krJ9_)@mTnv#)EiVIqS+T_~`T zT>~Fxz6z$BH&8vJXh&Y>Uag5$uTc04eo^rSc$3#CeZ-WXEcRH;1MwbVk0;3n0Z(_q z$Mb#SNWzcv96e1P2bUq}X#A7j=Zc;8q0t*YPs7nh-e*e#LYUC@qv&^5_q->OFMgGo z(`4^?ZO303J=J(RMgF_D%72ELE&au%9nEyf$LeUr)K*12Uc{Bw$rg>z-?PSrlfEhLo|MVscH_Gv0`JfvJu=9M1l8n-bR}wM*gHT_S>SuGT?-? zNp6}cTV%-p{_f>er}-xQfQ3HLNh~xA3P&kqhScwB{H(K1?~?_^nhj;t!vX`DIl#LS zrz_?Jy9!CA37mj_B9YJx!*;ySUmi2EU;+c=Q3_<+O#EYHmI7ScyeNPgNrh0mb$Wu` z?=CtkYD7o3`=wbq*iz}Y`(I{=IS>=>j+>nI zpvGr+`ToKpsS)50?w_BhC85a$q4xhWJMYN1ia0hm@+|&#bzp8Z8^srdrcFu~!o_2L zral4!0k$XL-|_CG^4aV*`YG~jY>%&bWzq{?L5aXgQ_!YSUEvw*_4g##VITe96KZc} zmL`R6jSZjava3K7@#PV6(SBoh(8Faxyzdm*XORfD;258Au^WxC`{HPIqMkKr1KXSA zjLaQM3IJ0-MXdls5>iV}R>Zh;B{v#s2CGCcz}j!gtnAt2|9LHu+qM?xop!jVxU0dG zpu3zcV>Z{bc7SnuVyyK{^Z8MhCYPW6Y#v|nN5 zo$f0xSf1JLTBB4-pjgFEkcm?ty8g{>ege}p`-yTZ`%y0EWfx7e-y*B8M;S*z)l4gQ zw#nJnj(4H;y{x}qCW{w!uTY-jlPm%-zIW9L{Tl3j^yB(I`|+q1@f)M8&CP&Ke^ci{m*MfG&d+7*HWr4=51#i;s}b+K z*AD;j1S+|E@zm>q-^730a~gze_x`L~kRkqxE;UtLkYy3D#eAy?bZNk4b7L(!_p8ks+`8`t_JkpY zffMmy?fjf`2e4D7#l)YNbu3qI4s1o6Uc?(P3LLva|h60w%q3rq1{BTZE@B4-a7Bp@Bv?w7^=WXf6*V<_->Q=bRS8J zUxFP9P40W0ze3JJr&g?>4+3E|DVPBRO%NU?JftNDBSc1Ed+?+z=%te-;KRdTHUQAP zfLUd}ZiP(mw{;H_b*%;&Oym5ZFTh&T-^?t=%G}yFg8ga!P%YKd6IZ~-6_Yrc=1w!N zrL-M3yMTf9V86;YEumb!w`zqYNyk`UMU{LdNJ+oUKBbQrT3}7WFZOuK%-|@80!Qxc zWoG(}gPSKJP_liim?2W$l6NgEj)aE_AX5~q9%|CQg8_I4d3{l?PAB@Z-JphZ+F3V!&k8Vb;vPsD#TZH!32$PV7DFH#H`gKO65c+~$h%-2mDeBo^ zZ5U?%8f(i!;(ImHPtREGp&cc$_IfINdVyQ)+S7>PF%#n>UobP4z3~y$M^7GTyhSo> zV$kF{q#M%efzw;%i6nA6uFFx62VUt{1tg5>vAmiQQu<_GIX|YZ-;_1tg^%-z3}vB+ zBJu^aejg!kd6s789>ITId6}efL44`w7k$qYnZJZI>1RVbW7JP;SiUFs0|O3x=t@;= zcnPzxaZd{e|DFImg=bQhFOc!jIa~eKKZ-vSBU?ZBAp|5|IW&K*RxMCOJ#qt9@0KnS z`6^hfg$=Ij@Yb$;{-DoN%nluSxo%BohyODfG3T%s#Jg`$1?m_h5WSkb+C2C9gO~w$ z16e*U-DdUjZ=ic`+OW@_RHbd+FAhd-CM{Q%n~7#%{40U>AI^yx<+o^TT1X|o6Y=~K z&(w`Yfy1<{S@DFB55c#72U(7+H#Q4f_JGEZ5Fw~22ECBy?Y2=>lv93)MLys_{O7ln zYF)^$@$-Tde)Nc~ni#U*463tfLc@)Xt(C?SbAPrwyy5ck&&T#HFCB)%(3dqIh7+xn zV4BCSyvusM)HA3XICD&rzmE&RW6ywzitS7 z4~5$&PgZRM)u0N-S5SaulCA>;sh_R-rXI|LjldEvruL7ID=im((O zOi<*961&$d#o~u{lP>N8G{v*3)6A{Q`@~@FD6`P^^AD8%&`I1z+Rf$A-ia+IKvGH6 z+L;*xlZsO3X^ktWQPwmcERA~cP7|^l*BltJ$-uv#u?uu#avA-5tToOHrn^rEMTyaE zS}|!E{$ZE2sTNvPfkLq%IEdMDM_761WdHgIGaC#T|Ni6DL)6Mi@$-kGAzN?d6FuEK zL*~J{cc0>1l9G9y^nN>Xig5?PTV4bDZ@@y9TU9kBJF|D`;Bzd;__%~s$H+*G!O;O5 z$FF$PJ&|7{go}Hyh|FFtnP8IxidQ#PlvW3%pN&i*15vre&e;9tO~zh59Q5!;)_1>+ zNcZB~V!>&=m)YFmj4%#J%-UNdez^gGOd1ld+<3wT-TjMYzOz7GG?TI;77EuQR?C>s z7HtPQ#)LcCmv3LLDb&#>`%cUKsn2Ge6_-_E`O=cG*-YyYA*}-Jo>|XFjQ=LB2Jx$v z1u5Eoj#xGx;v=D7flG7QawEpl6Zdq{=2T)~ADUwQGI%aUUZ4*vv2A0ER&oVbL3iM}?@<=6?rlcg+e>^lL*Zo^mbu}j#61z1S8hh-#(fWG1(wT;= zYT0Eb?1r?*nqn}kb8KZx-=Af&U*ZKA*;a}KMWAyyqZ5D6=KB z?)u=(YH)n^oe(R>ccWXsyBZ#$_t>9~YjsYmy?x8g^-B4&q~^E zQM~#)po=iBztp8Iv81L-H42n7CDwC>ugw4Bg(+bJj64;MQKt%GDGwmZh?}(zz1P$P zVN~iW_Q93JONcT@dcyjJPYn&F1Bnd6_&8AEh3ym95*M!auJ2kk-j>?dx@HSi`=E4e zuvdg(-+8|-J>J}Zf2d1TDCEi|(55Dso~K*2svQTdjy#?nQEV%-A15Jj7b=Wvl?3et zRSIZj_()Uo#SYei7XWepE{w?(?u_!sJ4?Gbaz`DO0z^bW=!pU97gEIlovVB*j*~o{ z6nLG>$lSi$gQPUZ`ko%%!7536M8@%LZ@Zkpc>qJ>8Mum`x}sVi(7o+zUh45>7>}}4 z1&!KoBXw1vmr?arv$UBYoLS){S2IC?`AJ5?i)eh(;7K!JMX}7{&jlf$D4zrE5Pf+@G0-4sNcWDGEU-VuV9{%E+`vcY_ zV&dBN`oMlT>gapf6#7rUN8_^?FkSD{g=-H8$_ zA6qA0U}FTlM?F5V@EHTPp7Qe|T2%~?8QU?y&D5wF*u5^d7BqJmi%HVf||0 zfbjSQfp?zK`N=C{m zsEMxiIo(BaCZ|rXP35kEv~4O-9}lRK4vUzy=<<|o>z-+jtVijk`LA;Y(wXc$?&$*t zw-?^ji}X0yGhjd{~kap)sutU+mC9HT{Nb?;&@Out@%=*@SayQT#JHkl0#_{*v z6k#SCm+B`zZ~E@9W4!vYRLCbamsg>MdKg)EIv7`{3Z>*Z17-hqF1@WZp=+-}mSmh- z*C!`wylV+6-Re41xc9U<-tpJ&^cQ*$A1=_{Jkv$)&+b_n-uVy-4dNL)u6B<)JB&72 zHXz+6`#7(q?TRD(>nHrD0vB)O40m*+x;dl$GDZvNDIAZUK<#3dVi>iKNe^*HI}f|O2)^C-drY3IjJ&2vG8-}%tS@l>HrVV1MxBO>)2fNs%y~5 zm6Yd`;c2Xs0c3-6Bomv(yS~Hwnb5$n+>EREKDULScs9ehdKpfSJf>4I5@+kshB`j! zLS+>&n9yIkAqDpf+3v%lHQ|kWok&XdJ8_=$edsQ|-IWu?m{lAd$#Wwbn(qj9g9W8K z{mypZG-spbLDDi1C5nAft|Ow&PjXJ)e)vSc)6lP{;P9vH4v**t){*0Y$HnUN_NKDd za*tb)Y3}78A3SPAvT8RCbu`Zc>4%YNjOS^UP3gr!1Q?hS^pE2Ah=?c>)G@SF1c-ro z^cd)VY;;BetO`^Nq10=AS-a_n$*ywrN-fWxRe`DW1a*7Zw~l^Y+d1eQyay8Mmr&1c{7(m6vcJmJId4XR68 zN8p^A79Jh(+z~(_pq~R<&XmHJsC7wsHM9Tz&j*pNqm{N|3AkSMxo|M~Fkj`j*N%hc zZm(b|)wA^t1LEsCVrO(0EgYT_i;Ff2T|Sr0ksJm^lZ6VME#X{&c;q&}+L;MDhw1#n z6A^rS!kt7p(>@COy=n1Fh``il3G;6rjfyhoOPx5lPT7eMt9LGl&y6WDcDj|&qx zWrybU36xl1bK-FAIDs74U3{9eX2eb2_wGQWacP}$PPaLoT3UA+74wGd#0DZsA8cH* z>%k8HK#6gfQLb%)FTCBe)WiXvz3*WG?V!*7?h8FaW#UPhK!hs~Ph=s3Bx^YHw0A5g zSs%uN!7LRO5Ho4LsBw~J^}XTqXKY*B2fR&Dm#sLM!&hYU?6PyhPB}Sjn{8{;eidc2 z8Nq~yYD4e$2F7PgDUhNgjc$uz$-C{kaViO>z`ozIh@F$N6@qNhe6VQF|u75UB;X*tw-6ibo2dOI^_Y`S?i1qe8X#IGby+vGQM9 z0H&MbCx;tkR@eXg^#As`C!gt0fc0EYXX#hjkpbPx)P7iTU%Sq@Ii^P>JvkoyGjOqy z|DW&o-%mhR$T3T>Z7UL7l`U^1UjV#!hmXCb7TaDZdtaISD*a9bVa58jU-k@LdM%z% z)@T_az|#~d<$1XANK-BsdI9g8p(W+K(xxR(pS&-UyCi2IlBCOcj%0G9q|WEX1yr#` zDsA>JW9%w>QAWF4@WpzoOw-*lOv2V$QhCzf5mC(Z^DZQ6Lt+}o*w4`R`!tjjV19Dw zV6>yqd?jd3jEiZC;bzgEVB$3|Heu2fi@dqHQU5ce%JZ@~W^Zc&nsk8`d%MMtxYD-J zA0Do)QKXOzEZFtrsk|*z2J`|Aa&Q|7&vaw+oiL!~!_V4R#qT9Pbv155fJ7i=53X$9HaHzJe8EwWL!Mc)lwE$fg+rlCz8`U$lbH?nobiBMWzT)=z3-cE z^2PZH+eZitZwbn@T$0?>1={pyj)%f5(yfvG(Fi`7X@mC>Q3acH2C$a3Z_l{g`?v%c z+sutrsSmxMoiNt%F4{ti$!?)taAMH2=Lf5b3N|lLrl_Q~vhzNRC;W0en@R_FoiG<_ z7{rUZoxTrylWf&O6FsK89Wr>|SnS^xr}E-yUjTlq_4MAN-HpWnB-mAyZ?= zua1u%QbJb5JjAcM-qh=59+QxHY=%{NXZc)jC0LyqRNTD6#~H`(5iHz$@e~btJj)gw z?9oK|8+81Nnfz8CUFEtift{LUuLi%z z5zHw%NtqF;_QWOKM#q$zarnz46H!Nwt|5_QKQn%F=hW%x^sE07yI7%y>!B&^w$ixo z$xv%%`VdfT9sL->1jNUYq8R7PhO5iX<#SiCj<=`JsFQoK(mGulT1O{*WDhL05fDJSZ<9TRfAjO5?zpEXE! z{&B0o(IJ&wrT=o)Qr8_(eta}i=qo6Dv3gbDr1%vg0{q>!MQ={_016b$k%4P7@j)5( zK1t1<1!Q5_sb$6IKE3dHYoMQ##w2iw0Uf>5;CoSh##qQ*zoU$F=Q2~l)(#tlMwyIB zX^72;W&tKttjQ=R6-o_{*pW_n1%q&|v#SVD6eJXtmi4u>z8ew5T~aOJbdJ%ttvm8Z zdY7ToIWL&Oc|fU7LhLW0?6Ghh6tsBNU|Bwd87Y-i?&1gaIia3f|nODSL3*nGrD^XrJslR z`o4A3>9&MdbA0losc_9j3Rele+wUEpCL7{=-cAKp=w=L}+=5+wBr5-q|AI3^Z4WfF z6M)dgFi6bfO?CN3s)ME<`7r-6W`m^~W`FZizN-E0X<;&?^Zu1|0GBj2VG)yZoN|I$ zYh@1U$~PSG_37&m#3nNTQ_TC%W9=G6P;%7y?y~wxIxhmX2VGdSD%3jjQE&6IqNq3e z#^zk)+U~N_|Mu>qC;BZVZR@S?;mMWsc@xu3VVA_@iUQsWQ_}w!QU41xYo!WnJ`aX6 zKF7WNs{Gd2q?o!}DBh3DUbnX-10-%Cq~!l!(EUQ`D}gYT5yh*D<>y_#S;m8m2%pDCfEzmtpugk;Ng8$|u!H0JPoN+PN&W9JP`rj; zt!=VK0c5{Bx1MedFz`WUW{5#M@bt#ZrQf4H-F%nkj&!5`jlSe>Y9b8WgENI%I>o9h zx1A@te~!-!Wx$dwx{AmvB&8;k@MB=MP(JmFx~KZor11@C`e3=tZ1`SxEB>NsoUrD+=&0F? zQ&Z{mJc5jv*q)`B9&|+bx`ku$x}QL7~)1qt2tu$@Lkp z3pN$VMF#CU)Mn1P4bNIGuqI!$G_4kq=)1wI+HaZl+_s6_*MDgI6Cv|hvhOJLyl+0N zj=Us6f+Nb$p^A(C;z*toCAj7xK!9Ca6ogn&`#CV!lBw*efAr=27#OqYu6X0D5I!HF z9*$a>tgy;0-<+akA(}d|uhY0x%dn{kO_ny8P_TkCuMcxseafzduo#3T zBWKEgoKkhr;MbEN6Ao#;NZ$SYT2K3OnJSwNX^=`D$47 zHHSJtBrNC-j57$n90cQBL8u~_I`0YkH(9`uK<0Xd)bF7Ieg!^>4Ofu?X~~MALOfF} zcQcN?ScCpGTLrN7HC{HS=+@^ZxkT_$?9!m(oySR&aMydn(N-ggNAIIUn{2%Qq^w*% z`5pVG^3oUZIy9)1PTpRnPt=Cb*{UajpJDp{kO==5YyqQ;7?j6Bv6QLfWnKN4NkdZE zwRzdK;uWgtY_E?`^8%z4sQ+K!dLpglac0!;737tfJDejk!t?Zb=F$-1k->wYa6~vRrZ5hJBXz2qJC!XK4B9B(-ZaSM zx|#PpAaGiHaFpAOlk@4bhn46N3Jl`$bLkAO3N&T(j2_C z*_S9^c_7Lon(&Kiey9ARa_p{O@J2^Gp9)0WNUl#N!YE1j&<|SsS-wocMX@%(7?wNt z>i3@mBzRjgd$g`06u8J4)pBf=UcCExF{k|>dW zbrmWWB6VlvGe52+tUmqIdeZZiWkIe#X&Di;uN?!mb4L0H-U1`0S?D0uhX>r#&;t=# z8>SXwvbKAdA@VBMxkKZpb?b=R=x&`j}0+fOnGw`IcaeXiu1O2BDBG!e_zYGDG|=!{Xz zG01TX0q4fkM*#DN@q2t|OWUX(6hyZH5_G+lCv=d$Ecz8!+(O2BEA zghrPxkF?LeTr+jim%YHbzz2(atDmHygRGRl(jAXD z2&p-#6-T~A`U}VK^2g`Xoy)x2e%<0E8aVB0i$YTLs9uhcJk_Dg7VAOO@L=Wch+iT)?=%Hal-pw10C1M0j@YmkaL|3Hw5&+$Z0<9wmI3cs*Smzk4u3h)eR9 zlu|emQ*HH@x2)?lIrPlQKje-=i${TP{SN~<*Kw8RZjC(s z)+?S96kCT0y$b^$eeSVYe9q*YVw_d~ogk}UNl^=*i*HC&QA_!Kje#n!0N_RU7P2^@ zznoy!sT53Cdu}66v#VN{UNQyh@UfA2p-A=*n0S%l9~2k4eS4bENr*2<$0G@YX0-;B z1ID<=?s)I|4K&t?X5b{wk-S4g$UueVo)eQv84Nz-L55qjB7@yObABaWM4%CKXPMDx~4tU>tKF({84_fAapztUfm(X(fKH- z=k?%}>Kwhi-#51WeE0JCLs(?5yUah4F(N~jVqiMimqP|AHkAg7h`~tsi=^&3<#Gqh z`0n5!&xeW${l&B|BO?50m?K{b%2-RjW2NGrifB+^1b&17*UMRk&&Rl8N)bp3ib;OaR;y|4h!Ul@c?F z1ui4D2h)880=U$@DaWP%GPFD&`Q_G!g*9h70{&{yTS!(GQ9LjHW&k!mAGMJ*DoKGq z__rq-*8Xsf=nDS+g0481{LpT140Hgb<>3qikSaXd9}%A{qBdk(Fcin&jRpCJk?jX( z5I%RiAZt$U4ZtJo{MmTwCJq~f%tj5JqG6rck(v(e<+0SD(q72Ypl^a~2A-2EF0tje zHuqxE>CEQB|Im!Wq*hFX4MS|-o*KS8?e3=Pztdn^X?uIRLKHTrfx-x`s1eJ7?5oa9 zXT_6oLAF?2PlR`buUm5{+_XvQ+2%jhK!q3^D^=!C=G6muJ#5;~gSLA@v($&~eGyEWI$V zG6|QZHfJtk(5LSL1u=5+=-@Afd1eu;gl0y&i@AXrEw~(cHmm8=gYmpvZ?9{`;g*P? z=Zs5evNQ&M8%Ajlgf6cF@j?j~Zp8M#H;$+2mUrJ(I2Af>!mS*uw{I|DnzQzvpULeA z-@J*))bwx;h30T7qOv&7*6Sm?C*E4{kHflcy=UFS!WwgW5EvbYXck4OAWNT77)_^t zosDozUk?+J>2*i+r8ROE(-mpMnhmp(!>*UXAQInL3^}wIp zusuU4e-(SGTk%jsEtctS3^bUa@hdb4)b>;4Sh01#J(KU<9FYm_Yb*Pm4r_bFG5AZ}(7Cb0 zzvZl*yXMTSs3Une)*zy8Z8g!C(wrx+8=v@{hqLk!i~ET`3$wVWEK(e$5w8?taR(eYerC_URi$v82Q8RW5$L$HOnuj(O4yenb!|qguhqfT?16 zJ2=CZP#lg60rSZKMdSA8kFT4}l??rJ%jfMnTiaiJTm0f#aOmX99zl3k?Rqh0BNTadr80Tyw&9|4;bP;qmq#PQ$ypLWK8imY6>VYfC|j3rBG~GYY!;6>8XavR z`Z~$Y6M6GcEdr2-fa5Kh^*Nr<`l(mz>q#f=gMFgo?pUKBkvA=WEGU$<2#Nf`1Vjx; z@Z^E#dOLjb4KIr8#ok&>D456eFI*A){!oF>T{bHYcUO(t8aT-5nYJ9uNmxf3@P*>7 zV5(@E$1)N$5c{g1@xS~f+2hc;W=SNnevdY~v!UYh9<%wQK&~Q|yykN$bCL0K|C{%v zyKlp#Q0ZF63w*;GTt<=M?2>TMLogMKYr$Njh4NP%_5?h#qZXp|jg)=(2DbxhGl#vW zUDZ?*Mg7d7wxNQ==xi3-ZkRj4!=j;FHwJPajKz~9VFXkPexM^$q|JE6dz zKqg-S9L=uGv!qdQGW9-8CYfo)qy|R*K_u+6kmr57oekt#L zYNLG7lfFyJODyrP#1TOHtZ6B%iQRM^O-}YaB3#uu+X_(8pFC%@brLJ|xr}bVcz#UO zCi%Am5HNw}NBmdhijYs=IM@BlM&rtU=-wVO`pDiqyenyb!USlPl`c88BL zoCK4`U8gPIT5T)bCf$*J*hLNEWJYfDrn_r$HRA2eeM}+P7t_aZ+0U-hEtf6dC>ewK|?-$8F|Du;r$PbCrk0yPYFd%D`getzx&Qp@}adW8VjX=Sp>)VIPkj|5Bj_KVM?{t041^A77 zs2{c8J1zi{*~TezGv|rE0ZU_aKZqmn0cKSK)y=&@bHcqLZ}d~)gS}jQuVgm{Nqp#W z@tZgGY2y|RDbx0R$reF4z%4)mS@^7Z&yzk6-EG$FO#vDLRo^5W$&Zx*gP8 ze+HNFb4*W%vV5}4^TTNFRvvi^pMr-PlIaqX>6+vrM`SRWnz^PC1~^Ro0INF6l94s0 z@T4wp)SbBkq2g_ElCYx?V;G6pX2q8x{i(Rs`>%Wm3-&LYF%PMAWqxMmLYnKKYBl#Y0 zyhQYS=?;&NQ7os~WY=!*^!b~k0j9xX)W&w*_pi+Ghy_bvIWiqGBCOB7*Rb}kA>Ts8 zcxLYXXugHSCFE6bM3OSU^#B)&gbgM`$PSc+mx|3fi_Dwf02yj(RIrCPl})~LzbR~P z-&g136%GE#?tVE%6!G6G$9_yO3$xE92Z7aN*G~ht`mXQicf6CIt~>_HP4e>7@2_r@ zMD<-e3f2>U-ji77xd?S0>pqj_xVH#dpBhS<+15_^p{JQkPmw_C3+-^ z=;8n7U92<;F@&b-a}=lX2t)#>h4vI-Z3alwu)iCH zCZ7p1j>Q?YV=*5Hm>eBswdj-R?;RweLqs#hjmQT3*o;yp zZtGXCICBF)E;ZfHUSiuq^=0n!ruD@RA57mIh)WG%QmPL<61FM%gSE#YMmC%|pE)PI z1qzycF5dk5!QmaN|1g!jM+6j@(xZe?qi&sPCaJ}cf8yulw;mXW64IgZg9Lx@p_$~8 z=3rv_xS1rt-e`X}TPRZfRU8~#pWvq2jnQH-x>K)LUC3oNBtjQl$i2xr(HjkUz01YANW+xKdBnWhe3*pJ& zwDZdQnw9G=yi9c-%6(wns#N3LA^E&z@4Wv7i7%r?cl_c3vvpfF8{PFq|1-w=jTy;h zD#jV(IP%>=0VAS|2!dBCL+4<~%!dX;t9olCnP<>hZVC%&d=kw#x_r1q5)v^;dx%*e z+kowB;o2ue_-!{sA7(lpp$e!eobrigv~*S1KquC!`evU~K*RICY@nQVY<#+jeBzrCs_$_ zK?b#ipTog)e+y^X5=cK*@2X25-#l?CFWf$!28BH48o~LZT1$TYytCLX(f6v(5y0u3 z1*U{J&J8jw*gA(Hw~UXfVbxEy<7<00SQ~>kH~*yt_$#>B?UB;s_Qb9;h18OEuWx>a zdr|{!kZVN7m_q!A?Q9SD?Xr)_9=fpL0o7k_5NbsFlWWWjRNSlvr+0w@v& zUtFTjK@o^wDQS}E^rN4%{rI4S4cns0uyfeZQD!wim;F zY=z3kfr4E;wiDk;>{OV0(Qhig$y`2d6p_9)&kD;4L?b)` znht}c(ifQ?4*i&nmbZPrJ#a)gizKLJ*XMC7eW$U(MOrerj9V*iuW^~xNN0RuF85}qfz@s< zrEqsaJlSeCO19&8os6OcPL-4o^?H>v!3&?k%s_$3@cnbdtDpMOo!6UU>;mhS$L*Wx z<3x?4sLc|F7}@_6lwy_iwVAsNh%WK#-n(XA1EWSAx**nO{mPI+-J|H^)#7jKG>Xwt z1s`i-{Ca*EOYHaitB1d4+jSV8B`*uh2oo-T#R1f@dy4xSlmQX_)>+otVX2LelnYac zw#y1&h6J~uva(ms>Xk~)3?M4eRnhdOGuGXTB$64w4#flC@NdTDG9t07MHNC6^Str`yz)0OnIKNL( zo?!}1j49~sW4g&Et0(WB4++p11a*5=Vy@gFXLFUNx*P zqVpoLdSZXJYI6U$Q=z)!uIggfsU+*LjV$U&O9AMK)H%!83BTjO z`#{N`tQqmWfeM>Yu%ITn@0A|cTTLz%O%@f+A2a*KJfvnk6sA0+e^6LGjWVXZ#isZs zI#;L3nN8eqR8lbBlJfaX+kE@JD;Rp8n*#@*1*5VZRM_K$&t``0Ad=?cP~XFL24^Jr z6xMSS3#(7Tj7wJAxH2W2M#@QQ35jd)s_J3!`Nl)p$^PFCFvAaWZ%ZZMz{?_T=(2k@ zXkV{;8^ru*NWbYsRMi$#xi=NYe@{KNH*TL&`f4O)iLaLf@S)lyMD~A5McP*eMH#;R(y^p0 zv2-Y~fTT$05+dbNB1j`8-LW(*T`B^SyR?XebeDu6jdThKNO#M5JmPt%g%G%*LD5sW=%U}x`)JD;mF;Ly_4#0J8+glRIWt*|CD+EtBy)>E{eSFe(x_9 zT>f4g-j|t-s1%~`(WIys(%dw?&f}Nmd=lHG_^(}a*;lQdo}=kY#@CSu{JEL%Z3#^$ z{9ZpM6wF!Gr@OpTD9RRbt-zhQ2j5HT?2^=FS}Jf0d;mwEJ;9>jPUn~MQG>@` z{;5BgARG{y~a4#5^QdYCB#EpzIp32MR0&2)#HUg*#+@4{Usi#=I-^!@*j%MxOd-Mr}H+4Z_X*( z4%cmj_!%hwN%<${v=1uD-~ABXA4shI83GU+m*8b){Xc55w?Zuvv$tKajU}v~UV8@y8r2n{b{4)9nR^H;$4^>GBShLL^fdAtN1b zf5fndyW$1Eaw%ALu}BBCON{nc__kiF(&*W2@88g;xvN7QbPq;Pu_*kAg+x#S3}KA1 zK7u{?5}6}2tY7#M3$%}{s}5v)^pxZu02%_%C@`Hmrx@*y<}jx?N=;E*-lG_5-;bY*qk<6fENvM3Re4gb z0p6J5PUvwH$y?(6>{}hzE>easEzdj?G-YPx(Dh;~l}DA{m`DSTsmOX&SM@ls-_5Xj z_@9=^<#19i%I_n_3tkIVxa&!;|qUuad+=rP=j9c!aK_Yp(ui1;u^$s*jlI*w~)~pvORkWpq6sNg4Zl~9V zKG)STeteN{%agoQQUA~)Ss>mrgwWG)ib7?$rlhbsM{9DpdV}6x_CAp5lFA4ddsQq@ zXrAcW`J$rv!H-3Gs`;oS3v0i?d2#^E zQ*x?+#SgZT&J8E(P)8`^A@0Zc1;l*8RBFLgOADp$3A?A|Eaz%^9d({jsC)e4ZF1C` zF3kdIu^MwUQRYFWGv7b8J=wcBzt`{S1#P58{Z2fQo8i8Ryx|l&o$L2*18W&<%BuAK zoocJv0)0P3Emj2;@I~7mR3+au+=W7SrRPFcHvduo7!*D`IIXVkPumXh$9oq^MF3`` z2q*Bc*966kXtbS!jA`P75o_cWIPcJ)ro+$qQ zB9=7L!3%4FXm6U()P>P_vZPCy4}@8f|Ju$ptlLIxs=m$;c8Zv@Lv?$wBKazGk~$+M zZFk6@jQU!BWkxMce;a4%`DQ0zj*xz=E-bt^!KqcDk)i%F)+gKQSC?0YFy)A8EGvcW zS%O^1PGxea3^s$OAr?3Ao0FnMibX6EQAHg3g3jo>M~2g7uuv1&1W^`gqnQ<=aR1_sZ38(K}91KI(rzXZs_&97974XIKTJPWc`f0mO9`@C@jqF z&U=}4shNcRB!Gf2xOd>7)+iQ1%PzmVp|8wk%+xar;bstm1Ja+zm>|o3?H&*nherz~ zSta$T3AfDfdOHsR93mo3Ch?3&B?Kg`u#@aK*P(DnHEWF=(hK{bQJa}XIGx#oiFA`G zu(dMPa)q@7R&V(Fm#sJO#4O|t)*N^A{s=}sSI|-5& zvZD1Yxw6a7YZSbRQ3=k6>bV2uy27o7;7a+6#F@5;a*CM1-%AA-Ja@zv|DpM1N`@?5 z>}9qdwp=!(_J*n~`)V%Rv{gR|`WcHDcE=I(`sYW=9>Zx2ZaeM_+~~j@#-_{m4l@6` zG2?WdEY%;FePKr;08A>MPD4w6g#I4XzS_+q`zY3|&^^k?o#-^+VeIgck0@7Ysja+AK# z3vj^^DN)!s-pd`N;c#JP=}B<;tpD!BV>=g^!kPtg7Kc-~LL&)QSE?}}G8+}^?mFKf zT&L6_*WohJVeStnhduV|>k2RU{%*titXMWLFd z@BF_5lCXz;sloW5=uHGZQKR$%f%fL?Xd{YtHGEF{%D{ws+C~n&-K8?`K|?(483^Qx zFaf3#Kj%o_3g7z}c{MH)yXRcHSRfLvlNac=Nn*ftU~EY}Q{6?JW%V_nb%A0v%t2B- zyaLd>;!*W)TXALUT8W{72PfUVbpnA2znDDTKKXUJ?e_Wj#d^AE=|ObRU>9jpJ6ID~ zGr^>gjG$UDfiw5sFCjolur>OocGWVSk#Tgi1tBaelL9!v{DsiFZd{@d8)y#)KbmR1 zJauoCuR0izrjajL31?C~QOSz_e$#yabwhb$=n%-DgDfDR5DB*c{wZ2+hZr25k$S0q z$h&dnNmE)LxKB4LvMXHqRz<5b9N}n?PN$xx{rZJDHl;*yMV_r^FV$~fsbLRuvU@_y zHZRsljiw2Z)Dd&N1~7B6gz?nl^32SUpW{@iOo(bW{h=I99@S!i0$#*GlJW|-k34Yd zAYyFY%y;H#EMRf(Y^1U|G6EUkQyVUNX{a>VZ@<`GFXvFkw8X=v1t)LCeOUqUi)z{Y zd|6brEV)XBwU~fLv_%&I33Vx>OkaSrT(W=|FerD1g;MlK67>)_j95@s+BF;dGI8d9 zvv;*+Ry{Eu^Ut?4MI|tM?aUkSyPX=! zyUMa%nX}RT&~-M+1EO>}l=Mvy-=!@*|6M`7IDd+qpKaZ>RQfZKG4{sc3}v-HYioHD zbj7$FzClV%#P+XK!Nr`y_I}XKJZs=H&@s{Zp_qJqWe)z+o!Q}c60MOkg&5FM5jD0R z{5txQBiBL~4Q1UeW^Y&;}C`}+3MD_C%?vguvB{EVigpii2 zS$h1B%X?ti9P`jo8CEf;fp5Bdy}^O6*W0qs&q$!ccM)~H zy*y&(#)t}m?Q<@|kj{sB4>WMES5sc>FGl{^jt>d{Inw88Uq-qbOv+b%e&p3s*qH>| zYdC#!Ulk$!^VX(?NiZ2Y^zKqAj`GNpW%~n3_Y`eg;I6%Cp6C*A`-SGkBw}CdJJY)^ zLSJHs&E*iB?4!R%u60iGt{@@8O#KHN8BgA9=uT^d7ws>#Y5=&;UiEdI6QM3dM49lN z*rHua@?mT8*n?3Sjnkg)Iqw#`#$54hi=OsIYGOyL5A&v%&*{eezqIo5D`_&=#ipAK zTDPL@O*y&r>^R~_#rJ5T%|fc1{m{w4w2`FS&hVG7q-o*By21Bei7g02AL)=VZ~wwMg?}0eqHr6y5*Zvgwfg&UlgX$z0*kF%)lk@6Qr`YA$p1M7 zz9Xcx=f2x8Wi`B&Rb-yjU5eit@;;ILT5j}->q=Y6gS~Lm9nhJ}p6Z9nBBBNUiID=i zGdcf-u?AgGRpL?jkWyTH;NKg~xuJ0B+*sCKCI818v_DFf+f4S^c~+XxGb&bBbVyqS z{u$m=p9PVhnx^m0H@LwMjEm>^$B6nhMUqnG`_{5Pi!rm}%`=Ir)4mnH z^kPftkA*u<3Z@&roDZo?rUt7`UL{US%>h#IRl$0#Jr#7si3`2CA-hcihDd1Kn64RY zOXnBlP!8*vH$Z{p>>Wpr21O87m<)X36~)Ip8nmf08TYC}558uXUJW1bQjm}lLp>cy zsiOT1tdW{UU&oEU3(J|ajekG~2<12@xt3n@sBt?i#j#$|&yd1xyERZE+a!kOjRy*9 zQQ)KM`F2{+tM(yQMR~60CQBk)NSvgj+tVDESiBNIUV=H+gDy~vhC8A65@^Uj#8Zo1ut6@gUiJrm7W;v`< z@Xgc>9>v7cHv{&VIJ7kR`CIQsWeU0kyTd9^zL~9Ic0t!Iq7OTg0hW?2gpi7paxDpw z-7CO)Zjku)!D%-mjpw{(@ehr(km{jT&zvtix}N@WSHx}VX8QjCTrmixx(O%l&P|Js zm~SE}gNpJmpIl)-SY_spHlfu%AqtBB=^eDkB_l!1_|JNdO>TD%tj=G$?6SM|4&fUk z7o>i0@PUI|>GAF1w*IEg5+tzjx_juKi=`Y^jy2#oFyFMQ-zq6np=-J)Vm!(I{{7<* zlT#D;f~l~Z#A(q}#p&O+gWEo3S5X$xLu~ib_}Y6rx5nMX0o*&JE8MAFviCkDvf=*h zMqS&p%N57Jc&w|7TH&Y5dwK)3dY@?DPi6?#TP7&Rl^@)1AHA3tOLoD3AiUB2213d^ zA3~teH{v{n(M8TxJ(VsiCzubZ9?-$gv`^ zBzmx_wbn)ef%B?yYqurR&vC#KADj4>FAB~}?P>M8)j4bm_(lRW`w3ySdIvwRj$aP)y9!FpWSCkSH3-@X)cn${5okhZo+{Bx_qq6fXhXE zRXPREgtNdRDe?ZYBqqI%`2s#e)<9P?ZZqcVMsgE#QleZ0P}Ng&cBDHVrsFu!>Qe@I z-<zr_?9g4slc|8zSW#pU;B~JNL?&oSc0e>Zpq*r;DeNb z2_X47NamA)Ud{&&q2V{Fjn^ef52*Y@RguHETBcsDpO&^tOm%=Zd>DtiE3zy4F0 zRi-wTd%0uKe4g5v&@8i!huuV;>vJvHvf`YHvGaPX=w3qlVgbRlBrS>Ckvxdci@tR7 zMVWE)5IdwbHkeMk*e&PNQDdn*&mYHCUf+sOx?WvLN|MwERhhwzP7)8r7W~O5MY>;i zi=o@Y3{~9s=c|a;at-{l{8yd zL^I<;uj0V}JOwtOm^R*-=Sjn1H&lA^WBs8Jj#Fcd$z3WohxCN^9g=58 z$-<+lB(%i0M3DqB`y0U>E>{l%i+k5^s1_n4H9H-BPF=ix#s9c4&KT3HimN@hJKKF^ z?J)wE$x(8^0MPao;Y9IT|4@703gb0H+XjI_sQ?WR24KpqeZ|dI`(k?f8xdPJXZhB4 zD8-c{T_9wJH0!hNhU&1f+-TGt8%<1@?^@>XI}e!1XNrOoFDov;n$WNi9`VDdbI>GIh7IWO?vbopPV<+UaXJAuFbZ^ISzj>gc zLyCZNr}6E)fj*@k{}Y+T`pHZN-M+mz1p;a9J{OkZx}I@2B>q$d;@NL2r!oo~z_2Z* zJUNYU8$n%YWoTf7@vhB-qC+$eQz4UaY=^n?62uG=sDt?P#k{&QQ%*ck13NM0Y^~9q zis_3=3W|C;LJVlL94EHIr32WOrj(3ZTl5pvXIh9+IWFzm*4F4KsiIhprd^#^N0P$YTAIYeYZ?=)5NY6NK;DFz#mu zvrD-OWzlF5TPBmb*ZmamHoT~jaZ2jc8P*`;nt*+o2pt9W8V?%D3S8tC>Z#=LLA*>e zKKqpo@XT|Gc=}$q^)^z)B?HzUpg1bWBTzZ@{?E|8^~}zuThlacVh7#m-Y)Ag${fJC(VmykaimUHH0-Re10r2 z=gW!;k#GbdBB0;Lq>Ufocp#5VmSTjba_aGJ@X@F=SzJ#4X|kJ~U46D4l7CiHY?|CH zJy6d(+}%Mt{Kj8b%+>$h$rT81>e{>&ms*;9BN7NALve<3+SgrSZAYv8V`>V29t%tT zhyF`*KZH+iU!LPBV$FutKf~fa#N6apvc9-uY$o*_?&qV&pO2_M6YWhMN#uoxLg=ig zpIs++e~?4Y`^}G;2=G(2!&AWD1r7%!CX&oyXPP)rO4I#^`Fm^PzSHXq)I(vSwdZFl z*Pw?atT=;uD~>epu620}b@@2P^ar@s&t=eVemVW$0s!C2fbe_VAA0DBX!TUAEb+AN z4g>>B;+u7H(dVbz#FUf`@Oui0Z^O$EQBoq)EJz6|jF2Jo%Jq!Y1&Ng-kLWI`V?BBwMaLgalc>=dZNG133mpiGN`k583lg>c&P|msc>ZmQsrD zV!-wWlRpw|40tSu|Jt*~!F!A+$I2WdIWeoG^%zyqyjgZX2y;6;jL_3=kOQ4QeUCz| zuh3az%A;ahwW)1dCCI+EAWTmaf57NkZxIW0Uv({4+PM8v-4!Oj+`eU3R?KKwwEf!6 zb(?(-0~tpF(uBZ}aaWaX$%T|J`Kd{UZSs05I>CUsnut-;cGy>vl*2;>jSs4OIa@Xb zqKrv=7vq~}opAb;ZPi6%(zyKAulY|+d7vH~$USLj@!h2>2nz{~5wXth!6ua21xnX{ zc9^B-PKB^Ow}vD&OD~NNfO2`Mu}B-ee9rkaNXhK{e4w{$%fTt*T!PlnBVNmhPgFl} zX|kx4jQj;M^{0}1j4FEl!m8~Wt|QWge(0_>uppHTK)~|Zx=9L>?D$>$ykf|2)JKWe zIi3IQtA;`ryB}YYd){Cmxh#?Mz4zX0DYAF#!WEIHc`dAh+G5tlBd2yxyXsNq$uCXe z{Az0vnXmjUt-tb7WxjER$@~$E&QHzFEeMeXCYw)kA)SSn8=7^spg6VbLN_uF@2?$M zB@NdSL=Sd`#^zYV19y|4)t%!pW522&DQ+fp;z3@eB@H)W1AMn|!^>Z^@Xa?0=SBz4 z)UAw)#d9MisZ&Jc3W>CS>q#P>u$TR|^j5Pvs#ea4B@XrDZx`FKlR;Y66WcuMeM+Wp zPxJT5o{SyakCEX`cn>3_EiwhRPxEcx*|Ua&m{%5<0_6ho$+8nGo|T6F%VqulN*n;m z`u~9dg+xAG&ZwI@p$~F-D2o@1PvOHvp<lu*WB;p!>$$_jf$Oz)8$Q#R2aG6<@bo(q0CwBO}ezx50iMoR4=kn1%12Z5pN ziv`F@N8^bg5jbOTvLX&+n6W4w+WgDKbm^~V0369A9fj3Ok|)D=a@s$r{S(g*LExse~9t+ zIqMc87gDi7z8N1ekul;FgfDCbfUyA&`^%I20<5AvAcQo+bmn@SG85jevY2h0=ZF$m za_SDVpo!6f1sb!!=&|#VSodSz6ha{4u*w&Iv zlbPd9yUqt7&#UOD+I%GOot%EhEOerXMjO!b9ytoV*=iDX8tKTA^zhk8IGGoT#RX_( zDjMNQn};z~X&DbDs_n&z+mg(ZAj2m)o%zrF?y#?lMp%)sHGZ_j7gS>FvWe z^yO?wKWt4MK4ON6z899*lwR^kGKu}SYD8Sg8)XC@E*oG2?O>lO^MWgNX+RzhY@`j}n{ZQm1KIyJ*zJdJH)sBeC--cN)YlR8_p0t7>|qpQBwAXcz#j{u$ne__fj*hz7y--C2l+$jRw;-osBf? zFZ*{a_J@|Rah9msc^ZtI^>{5CP|~xP5f)WcFF}g7$3})i8>w}Y^S`o~ z192sQ5<((vWSzn+C^R2uKP=0gxjmdu8JFPlMl8P~mwWglA2H`c2#0Qd5`>=;5a`R# z=%h7t5nkpzm~V*ATb7=QQ?6a@b+kO=pHt3@y4a8ZI0wc;*3?Ima=l_*UvyCD{lv>y z?q>H+Pm@_=(!-{;peeTSZwiPpiu6_0ZT1!ni;7{v(_JEU+Xvgrm{w@G)|*;$`gYOL zi=vE&1>HsXndX6j!_K2h>2aH?o>?=LY1k=IWwxfbV{HXYB!0T|a88}VkUtef>?9Hj z2EqHL%Bmc{*R9-IM-cV5BBTM5wAz3#V6N=>$&h}VYI)mr89s=XdnZwO(1%3N;R$1I z-Ls$eytUDPjT9J^fwR#T2pXA?GkmNfBLrna6etqQ&3PO^xY9K^s?9P-fJd3ox7Ge# z7( zXn~m`dpQjYvY@a34fGo^Sk<||`dtR2E+j>%Y)A!2#gJA2M8MuPY{>cg=iB@7I9$Z^ z*vM6}B3Gjkm8(oVg9oaWx#6=26dcDA4^B2I!e zlu4PqczVmZ4KdqnS7`9ElHu1G{}P46mE0O-)a*`;l`LY$e`PwbZ@3cr2vPa!4h4aM06oaT=34`7)EF$wk<>@y6=Qxw*Hs1CmOTFK?R7I2Ert+hiQqma-EqktpYxbL+J@crU3+o>Z5%4&O2xdwPus=_uxwGFUOgwHrFA7621$5fqZi5o#yC$axCCvzI3GdiO!0m z9{GiHZ&A}2(D`!(>+^)xwcN4-eVa?RUSoZfv7gLYrzK6hCR?Y)K)c2SUSoK%Dv0-t z^nT2m*>2Kra4+u*G|TJ8sEl8NTdyPTwqlt~tBTNa)1~{kigTY$hif;moE*#FfV&=z zv~wxD^v8m3xxQ}7Dbwb3gp=A+R=i1Fv0|LJoeGXT0u0)6&tHkq3y^XNGr&VSRWYBQ zSxnDyff6Z1g3(t{yyDGjY(j6pfhA1j;z89TJDMmUqN&+Mr%Ty`nfZ;Te$9~W_v|PN z!9ZeYX#=Ok3kTcAA-hIC+s2&_S_yDQ{Uv&lGSZOwcdBL^*qD~Vll21T)$z_a_N}a?&)JrbgztyPZY5jy z_Kpp6_6j+RXNR^_LfyF$) z1jUBFlA%!-DIbl=I4Yye*X%7HubEdbxQL>KPGo#4Cp4;D1vOywt+D}u7OoG*?HFci z8@$E?1fEaRC+2@6@p@Loe;VEMR37nk;1QHDWM=)K&T@S2DGn|E1LtzNy30kQx-6}qcEl)56hf&Mt;S({D47oM?BHRAB? zf{A0|(uo#dkK3%z^`IuNoJZRhKvBc7=#I9Jj67Yow$p#jrBlDm^<^6MR}*R}eKZSK z&QL+{dEcKr1RR9hbC}6)f%IlTQwss%@_&a83jG#*4%m;{_?4(>?8b<>)Dba|qc60> ztEcnLCeN~o%phhFyI3PFOUzda8}F{L!gv44!2V0I@xQj`WlX}Gg*p4H!F_eRmfefh zc@;83DJ8h6Vxk#fvazU;uM zn@<&Y9N;~a+Vf=!3zHhWST1=%q+UNSq+S0Yk(!#An%giefFv$5>Z zFjo$8bQnG(tk0J9+4-2dA3o%a7<%%;JTn^k_DdvN0Qa2R_|vZm;X@mCva&CpJfuPl zqLG>J)iX%k3=7E`hnRjff2%bVGe=x|8bIWFYw_kDPyt4t;!Fl@acPvBn;h=>ICcp& zxP)4#Bvpr-MhEv8^7z$K-dMIHxe`9DnohN5PCCA2`G?ufcsYCw5f#=%-MUe^&?Yl; zdI8}8`TIQ~IOkA(R1*ms405e|MMFwNVzT?DT!!#y{ z>JChoHMbn%PwQYO(JjCPwCQ6VeT~j=!YtZ_Ot#;A-^0-WhL&&wGE-; zf!|m6lIe*7wGbXHcwiHkrkFHd$H-{|J5KsuGF;J9X|ul(bjE0ZkL{|S$BpjYOQ#gf z7XXtHNk~Phi-Myi@s|%hB$%{B=yW8QbVQh*0(N8*!`B1cTli0v?7q&itL7OZ@OB}o zmH@XHOyx!tjj2t#d{87r)i=mWG;ZpK|_a{m0>AZoHX+!4J*%uD0 zE}bZ!aRUwWZIGksTHgR3WVSjq+<*F}qh}(ZLHXo{@HwPC+)c9qN*2;tk~>paIMbNB zm0omu*{ot~%vsHCIXgl4`IBOdpfVP~INN8VVblc;#Z{eU@;Il>$NqcQ5%=8oDYrUo z^N+6swi*uT;(CR6)ATmIz8g>NGDT3KPm|k=&0j>BRmmkDPYb%iI0t(f5g<6 z#u64{_tpL z?;M&qF%TF$L;j` z{>WW$2>kn#H#Y;?j1VB7mzga*^d2{G4aWDBPXiGI4w{b#f>S=&hV1#{?0a}K;!H(z z+J3jt3hwP`cGvVoxDnJwSz1{r!%3iV#L&#L+UjY_>iLveXVBH&%3G%)V1QrDEVGgr zt=foZi@fs;2>8wBG!#adUC%IoIUImqRNNQHtom6c5Q>4Y^{gF_8$2#5*qzY4K_J> z6n-duhy&RAzCdwslDEF(uU?-=%Xfb32&rBLi11eLXNoWJDFINge~PDssGFpr{bLNw z>7q18`X84OPkRSD?GkGkI2y*~x4Hu+%^TOWMbUiyjBb=E+chlYsNI+@Ks}=54nfozq z*M}Pad}}*|zW4guy!0QlvptHC`UNCq`Who!gx^QV3tKaGn%{nV3@>a)9?+-)c0_0L zLY}_u7>L>mC{92pg3zS!7#bxulWgyi~j7g1tclXlHKsJ~dlTzl8KVus6zl8w+X%z=A zmrTb*WwCTGXnPYDq+wWLChD@cm(oBysd8e4$n$?$hJh18DiF(&ATg(|qv;9WfrAh6 zJ*R=sHAZqBTYky|{!e2EVP-HqqN0os>cgV$vd*>H18xJm{a5UcxZ)41-y>0x7KkK2 z^0BF!8P+BO7D>{17z79wRluH+GM92mBOzf2wD4dLmn72sjSXT_Aw#j>BvvM}TlP6; zUMcU^txV{pzT;>BAT#~cYR;&;6B?USxKcrc<)K-9oB_wWn<~|{U+0gRB7c1N zxv}xdGgk~e9iWZ)&H^cD`m!Z?IECFC ze|fHiOD>}`8&y!U9fa8V0)s+_(E!nzoq-6y_LMV^qI3dCCD+c(5ZH0ybi4&327w2< z-dTuK{<#qTH}#Q}>t;;973OTzR2xPK#0m#$22*Aqf1;sQz@iZW>=QI+6J}8cBag^NEeJbW(ap^?)_Kaj1z(DwDeErh9Ew#MxGsNTFbNj}L z`yZHk#H~E#?Tq2dlQx9k24x3uIFqwopLFa(pc5uU@&85p+2Lf>C5R6q%MJYmA; zdgTuU5_(pmJu3_KS_{Lv0rCF$pzhWC=4hP%(gJ+*Q^k)}NH695BR-trXk9(eXZN8z z-=;l@dBx`$aLyw%$1!+4d{&_JV=VMI2WB}vEpL{?!qh8afdOr8oN25I$a(jB7{6?L>j-?!*|8_U_y~_l=aS0EBH1AKD_Xm=*&oV&(D3D?B8R{`k+*KP z;_Tr9iJ3~g@GH?vmUrqwEpdLM8Q*Ow9aWyL5f_fi=~*lH{9I`oXst48TcQ2%9s?B5 zz5Hr8%e|{>YFN6nJnu^&RY&-fsZ|2L_Zu>y%fZJ$ZdxurPmOj}pu$49 zYhZ0+r@`T{kKptN@A8KArfEV9u+$-C;B1DK7(sY(K;_FT#voi@x0flc;%Iu7GHP=$h%udFOogpRD)`iZ z&p}tprT)qO^|s;b@exqM;LMEH+|q)ex{m{vzb)Wv7a}Y zzXsT`k;Uzx+g|X+2-pR6pdcI8dbh-bi4i>VT47s4Vom&gWY%z*UEcS;nm<@K4A;apu1#2A#NQhaoGeI*$bUZ};^ zgkE#y-J_NHs$%YctaJxBIZMe!-FCocurgxym{ML#tcC_`;cC0wLLxYU?GOs4JDKjA zJTV#`OnIhw%sy)I^wy%_mMSa9%A-&kSrDkhJ<$cr%U79$}TLEOR4Pqua> z2C*QC*b2pQhKzJhtOsNCl!#f}cH(6s?z1+m0L^FZ-~${f%>UNQVgS~imGOAcMG@oe zxMz18(T;3(`|ul#z}_2Ylkb~B*K_VyzYWgZcS4=V|I=R!K@eubK!W2C&hQ$R$%u6N z37v5foa4N_1fIIUP4C$yJ%q$WWH30lO=kYya;I^0?fvgnGNqTNtwUCHSM4O2^pn9P zl?iVXSJ1bASc}pY5~4+Rv1u~dAK87>RnOS=D6>7!p37~R|H!9Ov%O53h&UQd;OG-xaIy3IQA_MV_Y{2n1~2f5>s%m}R%mPLn# zV}a;iK$)WCkq$K6W@0CoQIvwZsrP@1?_7~gxt22a9I%)Q+_Q#|D}HdYWm5}`*~4VV z*~CjCS7Ch?nHuKnBe4vtaI2)rg_~pC62qboWN-Zs`Dz$Xf44$(r$ysY;lv7FASG^1 zTa@{yG_*X=?^J>DT&DO=8B9(}F>MsAiSPRiVNOkCFf3cXob@4@BWEaxM1a_dO!ISS zB)E0wRZs}Uq{b6Z%bL8qBRn~C`MX?|m9}}R@GdZsvMs}jxSay`GarT3{qRwPQ69Np ze)P&>*&7p$4v4dCMILI3^ZUU9i=x<|)z<=O-rhcQ9Ll50E_W<-f-q$**a_wxy0dsC z#Y+A*u5HPD2m-gQ;Rb~egivy;Kt^C0CVJJ4&97`nk~%MEXcax1B( zLh80EtSOkPVmg^4A)U-_=SNnHbvO)A|D~a*{o!*>7Gk_-p{~83KIv9{F5!mOHZ@81 zdrS7S{>a_)st&wO7YINg)S6DyMe6GO-EG4nUTPaBvmJ4?-{JhQ#36^9zdZ=189zv` ztPSps_W2GmpCVK&RxzK$g6|Ogu2S!k^B}LVN|Ua)v* z3@PB9q1KM_2A>{dAlnIbRL207ah2LyN2r$4a+JhKir?!C_p7l=`OK{u$i(@L+6(#p z@s72*KtZ+I%Sm2Yp|JF%rE9u6cPi>QuOCAN*<+R{cyCj#Q!f^9$xQ(((&##&T-wMR zTYGwRur~L!HFwUqTaNX&gfq1KscUD#*?S{d{}y!)4-l02=ZWou=vz_sB>aer=6rxs zbti88<=+3xohkS;ixM`gZUy;qrm+cLCh!ziz~qopX5;HVj8(KB3<+@$uFVr}ZGT~wNchTVR=EcJNlF!Ajw-FoLmA66t->jt61!a3~ zIly>?RSzuh<5I;JX^i4?L&vGNLeZU&M>wicml*W@H?+J|{F&?@SR#Xo-d$GkWy|Kh z!ir>H2c6@P3~>HNVF)u6Zb{{xI~XX?SQZ~_Ho}_|9(`$*V0rs-ty8*6EqJxE#=IsE z54!RzqH!U8cK7qdAW6+-N~6ERg1OpmphAE#g(r!Yj!xp_B=*B1F;?d%S)G^@VEG<@ zn#H9zMHFGcG}88Y7$+HHX3NW?(LprbdfO%#UPjnOfJuoL?jmHB1hji4Vq9*24Bcq7 zY>!Md26_568_JYl4vh{gJ@gEP3Bd_6$@j@NFp{Fb^9L7a>NH)2WWFdf%$te>&sKiQ z1Dk>)h$HM3HK-dLavkj=&O=~=MhACk;4hYOSM7vzwRwe%zk^y9!SK#{JznCp?DWb9G#4v>pS4rA?kPjzZvVSqc)D-s#sJng4ypHDxo52WRsu>T;~*+`u~65N?f z9;C`x(pa*&^IO#+{I@CENPLEZgqE7Gm}CE&UGnbBF|iw`2$Y0_MMz;n@q-?s?6g6C%zfd z)xQ7jRV}*J?xVe8YmY|MJs3t{sVk9+EfkH3kRts$_!b|2^gs8l+V$6P)?fG3oq|s+ z(gc`<34A!ap!cQ(m*uEXi9hM|< z6@4}n*XW^atUJs%gu^9eIyTe&_L_&=ZgNf7o>Y4-^UJGF0W`|>>886=^m4ck667dg z=JIo{j0C~lSX~^eV5x-=*1)SxEIpOsllci+YCX8e0deMj_`0jq)_6sJ10674^PBC6 zb|j>f&qCXam zv;8jK2Pp-gND--v>&=hnzh)xmh7z#Sg()Zy9cE)9F*&6q^Nv4#vaBX|S(%|F6WEBM zvLHXFL>*lJc@k4(k}8w)-#S44_xZCg5n?<(es?&GxO%ok?a}1Cc=|gapzR1JJE!1; zERKTD?tSZNPQcy!qV>rA|8Q*WvxP(^wbsqB9oDXT#-(D*O?!|$cI=WfAFrt{KhvGS zrPBCVgJQri_eAB=7CH|wu6t_w7C_K!;Sr=*5{k?|tQeg>jgF!YZT8IPe2di9Cpiwg zJ_i0#Nw5=IEMdTjD|e`ZLr@J$ODf{zdZzGbI?0qrq`r?DCv#*3Hi3(RA;}M!epRkl z*&1VzSW@2GWF`MkJcd3Qv%hrluoJ|b(NtWTa0X-fYB%;^wp zw$tcR7(@QLO!^@h8}#{iH3m%rhJuJ^<24?Uvk9f_%rL~h3*G6w(-|1Jwr=Q&&;>er;5PrpU{o0(TGHkcmO zXB)0(+(k&m^C}aL|ByrOSVJE_LAiBiglkC7tP=v=c|ip1U&Lc5cyun&r=y4egSYbx zYVzIIenKaPCdB~K1f_`r(rW+#>7gS%A{v@>0cin35fBj&5D*eNDn;o%gsO;CsnUA~ z>HU5FXWsL5?=y4uoSA&!OD4l4&$`!rt>1Mmv{f*M;Rj5EyulpIAZk#^cyUjoNXK9; zAy#mF?QiI>d%d;AHJOya>S)x|M$NA^`JM!8cLJFIr!U&JZywF?7p+X*sB|xgaL{`~ z`q7-sOKGGETukNBdYT>b6egmvT->a=*99%;7<5Pqcaog*_9*0ERhMB%CIv?yxH zQ=UM%%QC`U(xOXVo4QTG_s7Jm{4?s~NJZ%>h=E98gV&>Y{(7r8IaXM1qfNQyaNa3Z z@&38xgTf&>M4J;jYh1EF&4##A+tA#~Qdpq|BliY8HO6e!=-cv$iv`r`DMsK)Y zW@B0Pv>tqHdK%xCKQEtdn*9ERY4sKn?E|8xQGwFq992f_m#_QPh==2rsF$Li4igLB z_3R)6)4a?BVS0M9iYEUc>KorjS2gD}jT)M6)K*1Wq5C&j7CrG&xX@Qp8p6_}IU>aO ziO7KLgu12K;xeWjR3;moWSKdFPxlQWvWqN=+Y}ydn9cJZGH54Y#&yJ;_U;6_Ae&$U z6BB8@&(o(0HJuFK*9F&~aII5pVJW(OG>^c=yNqZmy;S98}Q3>l#~ z2%Bj^PA>}Yd)`13WEm#+iqgT5?%%&K|n*k8W;9tudy{USw({>;#?&c1K zYgA}LJ~EXS&UI>^`9KqRJ8}4q!_e0dWLVJG=?71vPGACefkjM;SAVrm_har8A-c{Y zF9w$2vu9$Tb^=dulv0RemBhWppkb0HsjjdGt7RQzdc22jp8i_HRqNtM&1WgWfK#d2 zzL54{seZfg&vsay^QlKN4~g9UZmdtiAIYS6R}Zq4F;1NVB#5j(^<7QjU#jjGmS{+N z#IoPYvmU-I_C%;C>Bo5`7{0ZHTL}^w9Y~}Z)zWOt>J|ZYfAAJ8%NqX|Jt)B^{!#fM z;4@}^&I4?tcdV!^6w7PhdtRaJ&-D8ezUN3^DVwGTX1Ej0kbNh~p1;*y0}jD4U-Ru; zVN)Dkf%|&J=_#N-!f3~4j2S%Xs<&xEbKa|Sm%=9f_f_21j$C!op}ez7+?CU_1wU<- z!}!C#QN_o%DC053CdM@raW8z{SGasPMibQBfwe{Ny@sz#24tc~4H?jhhd##&tKd0PZ9s6u*VAGWQ`$YPql_dtUvV+Sq zyh(y(^%*dp0r%mm#W6?-7n^wZTov;%ytoQ%GzJaNS39qzs3+E zxqWN0^1{@v=z}jd!AIiaKBYxg&A68rX)G?2K`NUsbS5w3(lgXD^uV{qqTbygVsung znBMiiiEcT@-iq2;F`HlA7~u0l4Hmq9f-&ILxCQ4Dn9|A}Q(!X=KZE|YD_x@SWH{l5 zV?+!_B_MQa2;dRX8gi_PxRFUj-AQ{Z#z#t`xQhp>FNjE5@U*2U+$v|Y zkm|@$B@l?{=Ne}l-YBVc!x74(%F$tm@;de!X|$&gueQ?xs$^Q&z^qR)Jz?ud#5-jt zzsawwttD?w_VpfXwSv3vWu4OF4b8apSpDR9mt~Psv*sT&iAcFC`pT5f=^c-mpa|T! zhFw=YS4ZKj_wnnd8(=cg%WBIAh%B(fSK0sOY^}S?EJk8-e5QCFf+X}dodfo+p%ahqa3q{zdC%WQr=V=o=kj7vaGzuf zNkq-i)G8ck99&DHE3ZwmYU+yiHvVcp0WU6g+HwUh6aGHx7Vz+O@y%f;HAHvol0m-&sNSdB2($#9ZH5PQo9%rlazkdT(yXQZ^$l6S@S-=dZ4 zmDXf7mVOZD!x&=GgsN>4fwt4LxO_3(-46y5I(#2Bso=2(=_AoHAY+ z`UJ|COIevnNkhSs);BliX|CX}7W2HfCc8MFu_s-=C!I~GX3IgBDvxs5$tBwsNsv6} zdEekii-mJJwTlGZXnCX8L}Zt=!-d^>6fuHKdXumA$rkoh{=lblE@Z>P=an{0;U34h zN@u@;+{<>jNx%EPEEWTy7eI`zaW*+I@@<&cjU`n~jdzX@+p=j;(eQ z3(t4o+g|%QbXk`ErzdhJ%)`Bwa>H-wbS;ojrYCL@Rc6J?jRCu%_cG;yn}uLeZ)X4R zm>XbTOMZi>MFTd={Kp-ELD*>aCAY@0-nS<-^se$f3Ss~9G5sGZ8NneWJKF|Vosy&% z%aWvlo~DCK=xNYlmW>k;i1L04|HaZ+?B&*C#${*9u~ts=u-JKx{J)l2X96{6xDsb5 zJi?ayy@{e)rVudNCJHxrm0X2HpbFdT_Wnbm>IMab{tY8BQbut!Az?UDcKki?@-I1) zDL6o~S#Jw`v|c2c*+JZ}jLBaJq?^Dgju#l##$AMpm>&lQgynp>^`OVCWI0?JeAtkE%O&j+#}W`fzHf(+q8<^^H@q2hS%$w`m(7HRz@VJ4XPXSp80oIPL>o+S{kOf zQas|S4p2#~;(8KQii~#+RVa1B@9s=5BT+lKuxZ0l;dtKDOng{fbdE#xAyWDn_H1fp zS0^7$QK0^a3w*n+0enAc(U&+{P!z>K6RC7Nc7qfvT%{8K{*{d7E<2P>d;1o)tbHA5 z2Lj$?qOFLwD8An#P(E&@FWl++GiXeLjW?AggKWY$K#<=Ybk7iOZ8=Z|skW~KZeVP1 zmy3_9UNv_6U|+AA{Pd;8fDfuZp=r{@%VMaa$b3Axc<9sZbNx5C!1z$N8g=p;Y=!;z z?A|PW9kIjiFzE6{`ZqB7+jkgP+Svk{1BhP0Xtd~q*c1>XAJx0rudo^<43f&?sPvM^ z;puMU|MqD_s>IWJ#7mQmA?<|ZXtiwe`i_zl2x1Dvj{ZV5nfL!a&k)RS{TizNJL&dO z@R944P~hQjQ!pIa( zGT0Gyqb_Z3b+f;cjU6fCgNTS+a^FZu3LVr+U!I0SO(WyDtelwU_H=$=BzPhc=hgZ zyYaTozn$BJaHQZz??jC}%U7SERPK6nfrB5D;s|?f7A*SVZ?xcMs+l==- zr;C#~Ui~h+eyJPxuf$bRFtWn(*q7l^<^0GW^!2|lhy*uYUsmw1ys~lk&pGh69;|bA zu&SuI$66<7A@a7u-DWcE<)RCgd{1xryzee*;RsAmm*!D z*TLJ58Lb=!SV%a~Adi7&SE zck(Haq9OqLJW&t8wsRTMGzn03NzBzVsyolR{!_UY#*}1|3 z^$xhux{xXGaH*6s`iyw{Z&yGg3xl zVy`=@1~<9_qbsbN&5s;`5=vqofK3ls0?{ha{-RSV@&7_Ri>6Cce&OmAZtXGx5p#la zGP4@_(yq)n*X9Bp)XK(OXumQjybyk^H-ypJ(yjF@HOqK|2boJ7Xz71B|DPxwrME+Z z8;Vys0A{ej;+(W7Vr^-&dH2-m`;v;R?s@C}^BDd{v%LK=KQ$Ya+*!yfZ%`E ziQGs4O%N-ROvh-4?*7%8KulDV6vkUj4=79<>N7$anQogaoxRjkH66eS6|P*{a*Y9W z&tJ!!Gc64=J5J~@l&Y1%ST+-wiy^k`NqpH0C&xOq&#+tbS;9KC;RV~0g;a|w0!e9uWlr0<_LPBs)<$+%R>kp<$asXe?fbi8Iu(W(!Xl1bu zDtaXoj9r3XSFhn(V$`NXaXB-EP`f`o{@TshIRfVVLOsMN z?QPuh{*YCTvcA>YjnJA|cfod`T4FYvd1hq#(8Y5|2JM?ji6e5iBhlk(7iGYl*BvQ-JPDPk_)mWxv%f`W>7!B2+l)HkRVqR(ts z;ShS`uLrUY8~Haln*2#nD;X81SSsQjf$~#Gc)-mx3x@H2_5Owg7(aCIRz*B>%j``z z>e;g&Q?1_#?rhH)&_Gn{u0jq5at*RFGU#+H6qz=RLQ~R+U-;P!diVGB;PTS5aqB8t z^c{DBvFTQ!8FEx!9(kRvM7P%KIHO}aC5LAJ-RTpG=VHC`U5K|=3{RxgAXLn;PO95mK$c4DqCgW^BjVgq$TbLDAv(~6 zi_CY*GnmC7z3!N6U`UEqiLeuzp6E`6_sqdIfOe=+DjH|dUW`3ynW&cV#O`!X{R%ia z;sldJro$3v>UZYpg+C;}AFsOohXhSmQd+vYwG?qyxIR)!DhOZ<2`+(BJ2QFYuu(C~ z$90*Yxv(Lj6<{}q=!*|-t#3ZKzMwPe3gcpS30Jyt$jccnu=-cRyGd9$hQW!I0Rx7M z@d;ky!T7-vKKE|f5Z^0g!{c4yeuowDbEW` z87vtaZ0f0XiKbeF(l1#a{m$e9QW(ABEqED^xrV8_TTj^KVJR9$MZPoiKZUkaEHXfoIytBr*5=|5W7IbG#*Nw{MU2gsrLejp`-_42@k$#Rg3a* z1hB=}+0i1QjAWMa#px8B4Usf2={)$PX65%0JG5to(6Y?gFfyPL!EAw}o4ZE0i1DnC zDogT&HZy{DQAHZ2gx_;kJ@uOziSUe|?o(}NVsw9c7Wvj4eT}z~DBLZYfw-YZaGZ(C zrQ6&|#m3d?)kn$w)4o2|5}||QV|AzkL1nEDbco~@d4$SR*?YS`vmMy_M}CUiL&rZ*KC#$%CEcEk7G-()(IYhdr*+JE$0M$=urh4l&P4(bAffUR zYe$iBBF?~~7>gu(BVy*Z=cbdq`$s-Lq4ZlvxR%`4?#lZ>VAHfFP6Y(4EH?jmpQ%9z z4@Am6yL=mQ@v~ZH`ds!AK7`;yn_W7$tOv4L__H5#^I~K=pmp=KH<z&!Z;`aJYCI1;DEnIZod7KD;~X%s=36EV_N z+S+=Z292F;!3AddOaF(U2^qb@V^<3vXQdE=dSGiN zxigr5!E^M%qpq%8&4t1dpGYu(e7QBcqHL-v1ji8~*-TxAzi8Hq$Pqyx){7Y~k8@AQ zvE%WI6F(c5oucIjay9DfR#w&!M4`7|kZ#ubZ=3#ZJq6r9;%TYEMba4>>K8AW)s1#l zSXL^!`d@P!|22Gp0H$b1MZYs!T57-?atC&ublbA|ujxECgxZ8><;&bKu*)*c z_WgsQ3_Z3^l{4C3+^jUM92Bv$1YWk**NS>lsysw|Bru9pTJewhUJ^DU$~9emB!Y5T zS^c-H`aG%@qJjO^p62;lGTq6RrYpU@fRfN4IxX;^i?#*_iX3!M27zXE+~kq=JMK%e z*`H|9@e?6wBUj3sOKIZ=PHS5w%y%;wq;+CIqJ1_50PL$Wg$s#4|?R+czbEZn(Upe~x~uUHFtJFRl%@u#$rCExqg(45ge+j1VQT6y{7dm!b zR>iCjK|s7lnGSmun#&9gMAzkAZI@&#Zl~vvk(AnL)4=kvuk60il+IRSet=LJ-L1i> z&aBFQj5f(+Fr>2mz2*iP40~xOd9FVsOg-GF>B!2%St5=e+65 zSsExqhYR&hk?y$h#3q`+J9;znx+%$!As2`Vbuwmv z(oZ${sZ^KR44SVmEk%4fhpRRfT$hZL6Iu_ zvadFXH@o-{1u{C9iJhjo{I}81Zi$pSk*kM7adr@;qfD~KtGZ8 zweMp*SGXMZ6^MUmmfO|GtQm@j@sYsE1MbnqQk!v+#RmLrjOs=W?p;gEwCUeJPe|C) z+PdGHQepnI!tz38Y(*PHs82P{t&`%rk

4C#L)fEGD?}p?k zIbhr}HM=;K{d+l;n=;)uS6Suc*%x&0Q~<~Z>A0h6%${cnnlh{Lm8$7|>%QOJDSs4$ z4`Z2R;FcvOz-Sjf$lzibQ*#;gGkC^#ZS3gee~Fa-*V~W#t{)FJ;jV&A`z7lmrZ!l| zZ<>#r9?So(zPPgy`7&4W;&RTXs`r^;ou%&T{2p`Q%Xj_Lmbv?5%$LzA|Guv6%<|Co zmG$47n4X|1OZf!$ojeo*lVc{4m8&d{NbZN*Y-_~tbyU%i;>pb|RLZtP$~MYp)ZJ>t zr^4x|!s*bU|A+jdv2J7?=NIzdZpxqlXHgJJcGvUA%_yYudQ2kc)tf(9Lf^G>1(ZwyZ|5G(J`;IoE@7gMJnj?26cjt=jq=f_?**SbU58d~n9R{m6N zPkSxlU5j+}<1}}xJVB*vBJv-!IIvtf5oSz#y{HdAPGRy3-aq+15X3c@`5uNBfOBc7 zK8RRSJkR-r8XpoXb}FVi@Nq4ip44w{o*#D^d1(I&jikvygeW(O%J{MoQ*`lIZ)9Pd zB1>6n<2VC^6;N<4_)R5<0}&R{r<@t#cWWX3jO#`Hy{{(3kk@RPV7{RXk(&5^<(6YI zEMgg%yA2a#Aa+NeFR`No@ z=2>3^;^%jNTDFGWM@ zK80#_U*zL1o~fK|CR5k5E!MLgjR4gLNOIoX6mogt>QHUHcc!C{uu2|s`;5{Igi{Ri z156L4h}41vm-h49smv0!d9!LAcI;rl`KXRi{u7H>B(p2bo^*E0d4d&Iqu4~LKT2O& zsSDaMDV{ zxv>6yE%r{gXWEx9AN&9Q4K-QTGSAeo_$Y)j+2-fp;u8WfXr}9HIf&w7d&7qB;&@1P zDGCv1uU?Swx6Qy1vm(sC+bs(ee6c*+SljiAuXvZ`CAy1~j{%k(BM1o(0N0#ev~5np@T-E2i3 zNkvGt&WJJ9s<*InVcuEa+oj0mr(u`r8}W=&;y@^~pRdAq{C^$A=S$1C6Oo-`LcH!^dHet(7ZbslE3){SFbGkb@~VDP^%>ahrD8LB~(tS8pK|Xc+KeS~yaHR2Q6V{#h-f>b!4>x6U)G52!up7b9 zY~JQNU&XvHph&IxzQwlpLkr^8dFEimesys$zMHN$rmi8B(II83edKpjZ-+8H)99co z?!*7HD++xHyYn<2s7Y#ysXye@ASax;7?TQ!_*5rfbQcI|{KsV;?C@(1R3s$eo z5R-~_9HcH_L?LUxlemPXZzpk|6imG9HvDrPTL&@oottRFw-<$u=AS0w9Eb9AT)QbJ zhfxo!-|xq~P&U>xaw@K){2fUwZmi+**j;r!gpsKBq0>Q{$j|%V;_tf3ULJcMa_V+n zn>>0#sLMXEyGIOh*DU6!qA!jF7JMl5Nvc0<;FvY)?6f0GJW)!dWEIs&*8fCxG3LRK z!b$8S6|K5-j7@s^4cR|w$Jc_ETt;PKT0K&~=eL{&t zC`D|Lj5vZS3=cM?Z)Bn6n33i56-> zvWasv%l95^=w-Z%_0+vG_!aNEPZ>>?fP~wJ5rkLRboYB9LLcpCti zjB}_5<8~fN`?R)pxZhGwXtM4m?u(;xoHE~KCyV*Rz|zL~cjIpY)AQ$Iin4YsEP;T} z(bSZP$$ao6`7@(XvQ}mN3Y5j#qzTgSkSh zh7izn<4yFWMhLmbU0@0w;`h-B!e%=U7errpq!$Q8cp*xd<7CaH8e z`(}kGw?e$-y$5OU-m1tC*Bk2BNgv49a+tV-TS_wM*DK1!L@VGD@{OFUp)1CyNcoYf zk|L)*7MIGpM*+7ng@k2yOut+-eZTgXhuhMU`kUPeocFjeA zTrhidj9={(WFL8X?AAVz*l81C4&4p?U8*g{M^c?appD*u_Yb@TEmxG2Jkw zr}l6xBl*6~z}m?_@Ja`x%kV(r+Q6u?99d*^gfu=hG7U!ysKFv1A zjKA$=@>FGT#xjeSiyP{fmpk>-IyO1~w$>>=CMs~N^9f;;*pWU>1`<)7YF_*o3V$ZL zoMuX1C%A>OS%flf{g6MBY*(8qr2)9uKljrTKILcEeXcceQN@#grEezQiPoGdy*arY?zZiFpeS-}M(+ka|iHNwJ(@b>rO6WTgL@XhFuaB)w;r zG>ObEwa>h;u^vMjYG>)JGR~4v#%%VN7LL)O<8|3ppK^y8{qM!n6SSiPZU9r}jAlmO zA#L42dD8m^IaMw!23-XMhuj{C!W>QZVgw;#vz(_#%0lNro`HK}sAB3%4U7Jqs zQ~+|XO8X0Sc{hBO{o)OA;(-)<6)l|cHLu8YYMOg?a2+nCrsr#|@ES_!!xIqWp}e?` zj?SPPv2N6l)BTlv`Q%rv@Y9Fi0FdZK6_m_;y>Qe2(}~jOc@xj~^Q6GQxDTs5{KcCV zn9d*{!K!|3GwZ_Mh!71F5-Zro!M5GgH8&HI#(DByq`A2yVv;!ml|P$u46fz8t-Yss znkquzF~tfu+vf^@ewpNb+ulmG$b<~y-rBpcl!fI$6DVU^Vl_VnuuT_o1X9bs+H1?W zju*y9pY_Af_9cSa=x4rLQSKjJ2rd+-*C~cktjdE0Dj<(p2dMzJ^#m1Q5DWoEZ-tT* zX)jf^BIviMg$mx?`3ve0{~)?{(&r8I)~A;gLID#g-9^hYFrlP3YvVaADp|+pyDDI^ z5Pko{-Ol~kEsjGa?4eeo<}B} z-r^Ow76Cc?#Q90n(V|8iB_s(CM<~2HzDKg6b2`tFxt|o=_xfw|I|stj&x`QZ+~eZJ zE5{*uQea^f*o(wJoy8>Q5mFRKIg>VeO{7qG!^TS|V|?pa3fes1uGW`*D^=x1zMNyY z*7ZLhxVY2rqByyiN*(^;~3awo8$xIk34K)}M_qhq&8MP>;~^5bAo z9d^>I#rtA2%fZJ}rQX8fY=Tu_PhPw|WbK$*^)Gn=#Zm-@+}~4 z6$=9rhv9W_P zu&=zdpnf!)pPOz4*oPyN&mMt=k&wIB8G%(kTl;mttr92St9R8Xve#rn1q=#XkHV=} zz6xdL*VP}iz6zmTv;A%42xaoyfq}zs^kxm70PV)^2D4RzZ_7b@L6%43tILdgHVxSB z&)nsE)man%^S zD`k#$ln;Ow$9}FnuM2m;US!`Z+;aSsmiDPC`%@7ruqH>oPbrMNTV8L%7(ioFn{r&f z<^a1rR16W;iv#FpeJ!hfMQ1(EHx&G_535Deo>x)m+Ll4pp6~I|$WQq)iO>Y;r{EjI zq)8dfpY8rEh$jplvn_NfDN2WOawHt;f&z9=Zn}0(#T+>#KTUGbDiC%MC8Jnoc5Bf# z|7V~KSnJ$y#&}G(m&WI@=;SejB@LzqXsM?_v8(1&A>a>1l-}DD#nrE3G9CthYcug@FabZ&2wQQ!9D_=kr=SnXAtq>@3P~hIiH? zFL+B9$-i`^D$VARf!|_>b}yMtC@RR*pjZyN4I*2XB1AP+x5V$c;L49YDOA~ON)1Urhew#mH4~L zjrCTBO%we=^#vC%Ixhmsk-24~+qFc0=AB3;kYaR|tWD9`dvZ+@TY)rjx+k^=6uz+g zZxsg09WUDQSrMrGcZ7F`mCjB2zAhl#xKK;sYg^^|iY+#Bx;!*x`CVV(6>_ILp%7;8 zFHWC6N2h&TP(Y|)F=bC-QbTDEo1jx?7aW)Htl$I2z{|xHgXYsUzOMyODw;RyF&vZk zxd0(HnDY7V4G*X~4eKjzej4&Q69#h{FP}h_`g(Df7bCz8#lgQizkc0meA)#S?4$0l zdab_hI!W<*s}58cDN34|ENqgx%g zp-W-w_kmZ|aIQ$Zu$3q`sNK-K(qQ8LP7a-ySZdHh`OV`l!?42~ViuZA#=4uu^@d5b zzsr=>#NFlh4r0a!HuJF)z!%;ql-FGBU_qgcqACJx){k)Ltkay{U#+d<4p_HiXj|`i z>$3g1FD*j(!j#CljM*ib{2WdZ_Jqx0Si~Ue^6y+|^73+pl;U#qNUy?al)f@&_;PP% z_+>zC?xLgW-tTCp?%POV{$9zt<~W8+7OeUc9_J zRK-CI`Re-Q2Q=&X@dJKnnuao<;8mGFgF^EScOCkw9m*e0rQhZkdM=p83%^EQ6F;5x zWDJO;85zLHq*TuRISIBpN9Z@YQ zndAz*s&OqAYiSV{06lG;U`20d=@#JQzaN+}zq04B$D#?d3kR5Z#T=5{fb)0_5@7kN zgicA$ioc4_Uv?AJ-ipF(AShJWHs6{4^?h#=hEKACMvPx84M&C~sKj+t%1<&HxRZlZAV`uPw6SlWvOk~vOkIM8Lg|rXw%MVRf) z&1;m}z=69m5_`Q0%=_gm`I+1bn;T&A%Ht$IiXK!=XQxnL{v#aqlbGiNKip4d>RBwc zFp>yM8^x);L5W-uXtguSKIt|U3*L=hS6&ZDHURdY<|PkkXz_a|G5UxJRV5{{YC;?y zG-P#2h!ztu+!+w=TNa?0H0sv?U|)~7hq2#&a(4KW$*#%k#65JOyHcf_!WwVskVH$vQ5P1zuc?Lv~94z@R|AZIy( z?x){e9fx9DTUM+gO^C!wg;Ji?#BLb%l8DkrMJGH&gsSZJseZapN|F$hs4$>DSv3WG zmjYaq5Jqd{_;1FKR@NRW9NleFI2wjv{J}QR7yciWh4Wm>KVgSnPKrz0UBtvs?TGm} zDXCLUrNhGPKcj68`OeUjQ1KWiyW;JFshWo>7bnj$zX{sH>Nc1C-p zA-ymAwt4nTgXb>l4ioyr2L@-E&y0KAkmF)W;zIU+N=ff8Kz^3ZYOyHB=4fn>eq&DO z(I>;ns$CGiSJHEOvVV*N_x5kHDoYtXQ1p#txV7Lw#vowHr~Tc`1km(P>8cu|^a*92 zt!eE6>2&=*cpbkXYf*>aqdcG6L&k^s|1fX{sd;;HWMa+5(u0ER> zbq;v_fj5V-lw59*w&%5!evK>G2QuD+HF)wDPZw-R!^AG}%(+GhBf{=@cuE8bNAl_uUWjC+m6 z$n=T&jl8&5@FFg?+67c6u3ouq4hAs>mfOX3!o!jkp!&{=x>l0AZ`l3E4%sTLdMjrY z(8?{8c7<-qJQa@&f#MIrxfAmxrHemz;e6^9pZewh*E}`40=Qqyzu>A@^6)37^?l--Y(ziSJ#mK!>PZv%p04L>}gL5g@dG) z<{O$lR45n0Hh>JvE9P9Dlim}ePdKO=GVklD%C{P0=K`wrcqJ!|b?dyQ9KO7FEN7%4 z$Tnh`R{HJycDz3)lIQ6Jb`Y%`qON-PMwiErFilW+UkS5d8}|C(j*QOhd_y(L-Vpk1 zD=YWFgR$p;HbE-ye-MpkR0uwZX529Qc`0(W+rG{5e^d{E!h^5ZopHfW(Omfdbr})W zL{;X*Rkg>xBV_cq5HJ1?*^2%zubrv%<~m?LO@Gq5x6$2b)Imwg`DHws6{`Zmm<11t z51ECZ^jFJ$w4hmivi~4r4D(Cslv%2%nl}!rx9D|$qFU;@>-2!XLMJzBMrEX5W$<>r zy2&x#qQI8$)!vRjZugOYj|8QK*x*be%!E&&C#fevj0j39_E5_~b2!ohS%}OYB$c;( z_8?8iFzvRmt!OBDd3J1aB4#P6PkG{77GjvbM_)EuS_k!bIF{j8dh#=4m1~^g_TL{N zO^EM*;dff&k{4HNo4I+9(Dh#S*`tn`3@(4BKPxiS1%$ha+z9n*=9Vxw8{aSyZ5USh zajlL8Rlbg7R zzw&m2eWalU>!Mw>w6}5+qHhf(t+h*6jwOtbMW=ljl6!0*{ZU`~qn6gv{%JaJwP(2; zs2pY$00tE?DyZMHSSf6cYz=_C&}2n+^}g1{AFm5G46iQ^osrR-%abxh=)^W*wwb@l z%pd1)oQZpE-c-qGaPc)btyTE;j36%5UG_NVtYwOkt`)QM3`mia59?j~%_#KiS51$8 zZ||z><>mHj;`C**!sVvxs$uatIgez>Y~{QdgVlW zvEucWrFz%Z(~0@R({+GfXOZl1s`KBAaH5H)C3p=jJyt6hv+IfzY&r(VtEzx!Dd%w^ zP3)6T=j`Wpoh?R&#DM-p$3=+o>^^bSJZen!0gs-m+isOhpNIn2H<#M)*UEWUvRW`E zf~1f|u21uk{7`K$!#!cR&V!PO2KOmCWvQt}FmF;Pp9*>BAbAEYp7U2r(koSZcj^${ zZ2MlDzKxS>KQfyaq9vLevYo%qkg>tUzq>lr)#rcTO3Sa8v@BnOkLBjY$`lLPmnS9M z%@OOa@yL27jrWX35b=s(-Q?Vkai*7c@LBF&85-BEHu}Y)$e+7|o5X?vYxe+XQUpQa zWr$Wbn!yYYTWoGSwo}%F7I~C&&**1WEL_JWUiU>R|B_k zy!vqB@qhWqYug^5ofOy;oz-6`k^uh)3XbIr6`EYCt`PF65UMihW@{X4#??P*MR2X1 zx~Z?XrcD!DqV~Yt&gO8;ur5A~2E#qvveTS1Mx-sF9e6jzke#3cpY`>bItb8fWXi0Y zG+g&z`$nUbqPQ^bw^0)+NoVz~;LCmY$I$u*x7C;+%chgmLGt<;8zj+P%K^?E4`ro6 z4)8@U*4;BYN7Tw}`+2NM`E8-{@S(BL!P|{hu81`WyrFc^5wg)RIt_BGdpc^^gs&)SjK(8!3ky1zm9=vDgFGv_(ese~> zlz%fkq9~Ci)T=OyX8aLEr&E_aqPQySB7e|*ZEaaF`$S-9ZiCUdTkungDK2PF?@AjO z9jcE^Yt)}?iEnNX8*RNb+Q@reaGM-w=uGEdXwD8FXja`$D4QyTHO&c`#i zIj$$SU1Uggt+OGA{2hUOxParFemOu&yVjDNy93c`+h3?2HnM!*x=gyfp@tJC_PJZ@ z^mFh<>1s~%rPC?w@~6#}c;LQdFujR_Wvf)n?$Ll@b9o)Mp(@xt3mN)5WUa&}idpLAt~Rp2RcMUvy=FM&R0$$?m$O?}qnlbrzjT~*0p zUj(ZS)DBB~b{B+Hce&39(DIJHj|LsQM+W3T;mj)GzHa~#_lx9{eQ(o9Rx6Sl;~+s$ zxDx1D&WD7jKZHI)bR!j}=$?LiKFf}>dv$QPVq;DE2%rDkGHpqinQSz^L(-|7#nC31-wvt`QhCP3|p^1yHdpiqFZDO z=4#4W^`Yt8QbbzjK4JS6?o?)ppATIUMUL{Uix;O?K633tK{bNv59tEL38#!xHa1ST zPe!)7pJb25CCQVVq1|&iL$fZ*vq74(E#ryN=v7)Wi&hXc3c$dZ{X)`(BN$}Ra@d@JcU8% zY&^+-hDU(<)U_d-8cvR|Lq>;?TzXM3r223OQWE8PTcwW5y}S(;!7X7l z$97t3A67ArwBm`fo+_*a(Au>|KL(~#V#4cJ!ya(J0u(l=dSddA!8(ur;`m?Yg`wIb zO}VHk6ydUGH5}R!g>o6u#$P(DGRew+T`%}ed$+Xb&7DO}HRv?Bx90@~4?rzL{9axK zl!J4E1dkn8ydK|3DMlUn$jPZ(6lGnGjh>L3s8w0@xW>~g;)k7{W@7-qZ_L#PqJXPx zgwu$0wY*@$IIVxV0q5{L+HOENb*}8gAIhhJ_nE_a=U3?T;en;wsJYUPYu<{LK<34E zwCQ!ByvJ|zhGQALaxpNlaZe7QC!P=6!BnW3xOM8vE3qcnQXhEk#pw~-3 zNy+)8%4xk_FEo`h%`LmMg)Ry=guXhw?-6hQ6+aN|viPQxfML@;%tV+GO9 zF&!>+*pMOPoMjO0gXpI#e;3YJ5AT{D&m)YFo{C1){^&Y1Fs9<9CBb)C>Z>;|1q70e z13X`x*pE1dglL|e5LVQjc&Tk;YsB(vkeH-{9#=TleXZr@awSyDz@ByiT99l^T0ECt z3Ikxv6soc$&LUA^T6Ai(%JRJ%8(lB&Ca@C{oR)IkA7YY5?vz~R6G8iwCNaZ;9i`J= z80=KZIGp{X1tFZ}i!SKcqv;m4?fU!-S1JqCLe9!P258lbYvXx^$qf-va3o-_hI2a2 z^wpQwAA*KKPi*MTvIJH)=pJh`%D#%Pv=1DLRBt=K5=Cc<;6((rSp>d-1YZXAoh4-B z%q&|eYy}My-`O(-KOcGBmOJn-{AVD=`)r#E9UP`mdgA2>tsEKo8yL;u*R5UGKJMK0 zYW@EQZ|(m__CkgwycdBIo{5^)HxZ^L_*k`%K`IeXZWSDqTWX6$$-)`R~R$?oXuAN9riH}8o zvXr0t@b@~*dNmjc>m;yu?D44(|6=!1Ls#wB`;T^FIW8h!<9A>?ap{}63Oid7H?nO- z#?1~-o6DXxgPpsj-ll7HOdG@FdE@*PDa-r7agP#ERU zAgd5A@G;Fy!K`EB#W&e&moGR#*CiiKT%hd~fgI6|^AdLMv#1(Jv>z+)tqc(e>xg_1 zn!)nc<#Z<2lA8N-(asMOv>FT_BRL!;#-=u^v;EAk# zR_MjEfcshd)75dUCb@^x@s$7{O31(HaKVgQ?n%IcU?8uCD&XB$c?WlB>S9NE%KiLKyAu{nh)ZT_1bA zsxXL>aD*`6L=5U``i_R(1}a=K6B@o4bwR{MPs$VRx`4E9}m;9cDq2f8#XrBB~oSB&%w~ULQ&b@fMNbux2(;*WQTv3J*`92O`V=O!C z%dgX2(>dJf?vqEgjjj1tfAx!AnEcBB`R->X=ijWNpWOTsAN8K8?Z|RWW;rYKe+AUP z^ODa2iNB;xdx@co^+gv+f&EJE!hr3qNhiv3`S#rY`wejAkAsfPYS6C9%8$>CbC&=b z&sziv|BY3D6$V;0=M_R$IX;A*??+0z*$hzLF;&nT8J#MG|8FgT(zng$O%gSM zew-3hKSCZzk59ZDj|K&d5U($h&tu2ymlk&%9_RICAzNia1QV}DK>Uy1%IXrd=jxlW%v>RP~cXP-b)Xg*VUAk^JNh|4uGU?Ao=$;7`2_Om$L{#M6~ZX)hsl(@=cOCYry~l0I;a{eXnL_nI_PtVZoV|BDXsB zYJ3-`c*aF4%&oZl3A5n^`KlN`iP|y}<2;TIUnz{INZSaBC@4?sa!63Xa-Bw}tM-^V z(kG?YW+WO+BVp8%-iOF94RCVfk5$6KnIY3%91AO3&D>es{s)5&W*{47&--R`3SO0a zdbX_`#i19A;-m~u9UcwCG$R4c?Wfvc%RpK=CkH4~)X^pZffVQse~$`RH08%U*&e{a zFPv$ku(z0lZyE!RE;?5C_bMBMFYp=)j?YF}6Y9++a|S;8H4Y8z)BDy3Mn_Q2V}Oh^ zM=SaHex3iq7YICes5=}XK3Qy0m$y3guB4>q{uAtb_v+imi41|q@q*usysRy3bSm$W zpnt%_B~9RyCo}TWo^ihDzP)J~Z~5z6-gW^6%1c*DDDtpj=z=L=>#?oF2h}Xwcp_@0 zF7XjB)AqpVof9w9j?j^_?OS@kloZ3^4Z~qOLqTfOQkf_GWPBUxbdNtG!ekiZ$#v|N zeur5IdHuSufDk_PE5gZ~s7q?F721nwK?%PkumH5~Y^KQ=4HR`<3r^Tn^&HE}#OLmI zA_C5FD565wPOQCaM>j$~YHBVqGM2VsH3fiUvyh>se5`Q1HPPyGr;msChGfH59=;fV z6@4=hkd|N)9(I4kH(P&xi%dib5uQVl?uqB>^mi5^dX~fA76GuXdpW!td>WmFXJC*X zI4iwg8BrKxAQ?0E>^TmV&dUSDg!F2aG6=&{wOpCLH^$}Vpjh}jK1$sXyBj{=>2TnX z<<;iNl#GNw=v+n6>PFB7i$GHLGT@{x3?f?j9niEiRrE7CrguPgbv9DMu(E_3&Icj&(N<9uH*BkHww%R8cZGLmf_@FwE>xNgPx zxGs?jo3y-xtgjgm`N!8O7ELA*wi_r!=GLl84K&)sP5$ z_i4X)QVKm)pJaZ|-UI%>K0Ke+IVOa7MZ1Uxh~B2<6#+rEET4kov269#O1~b5kk{Mo z!dzR6@pxUh2_M%`N^ZBy`3q{{0z*4$4yq&PW%GBVT&1KQCzkHLWU6Dke-l(R%J(By zSPs$jRu(ImLX8HGJQvIiDRDuj_VnP*f{GF*wb@uxNW>H42=Mje?|4>~$46qxdTn9f zMV15fqPU3F4B-|&TNhni!q28X|E z8-c$Cmc^^QIY_<51G5|qwB4^ss7r)T&zZXK-gW?>sym`&e3iWoQMqenKx7 zn)tm?OE~ykeS5qxm7dWm$Umn47rEA)JT6dv+o@DE^e=!5dijY7DZjHGyot%FrE4=W z<@_r2?#jM3^bC0Ly2IDUH#oti?tT4hHnVavT+9H^h}RS^6Rc0)|Hy&i5R*>UUS?N`9 z!YDXjlnQ_BjmgDGqSiab2i$|Nb$1(={=Pj<#xEw7rCYj@A%K29CdDS<;p4@VYNCz* zz=H{Ih@+Q`%jB)wF^al+Z-JWE-~QqWdPB)R(aZA~iC}!c+hkb{iMuD)F*LxzFnCjj zwfz$7rA}SsINsjJ`zNXwccDCo7bZ{*N8fbDVH%eF9eA4NrZJ?CV=uDUNSk@U3yq$r zOzg1Gk|Y!1ZE7=+9PLIk9sc?I(#>AaytQ-KpU?m|JH+cLmysh|R0agZT@RkB4OCJx`NZai+O?W59b#u7AY9ONe?c3Jcvk(wv zq~ zik<>F&7H=EA+w479O7!OCF;l9!)!^WUYhFooJYbMo&rDWm$~bOaQaSCUW`A!u?3e| zBs?v`a1+nc3#QMFcKl>v{6wlO@UnV)txV0s;E7HtjuJHQdBS@SNV-H!-a#p%#u8H^ zuKLP@#ohYR4`H&L!4Z{COW1uNiQqg=gA3WJgfTxJaF;PRA=onVJ1bc>J$5va+!)g( zTcqUg1Bn44iyX5gKXuyqqs*LUtA(m?jG^j-fah``E@(0h>@%*njKyaxB|MOH19DJ1 zfmAz*vq&Y-w7Mp`dmk|N3v=o_c9{yks)}ywb;mua>FwT<$9+TSTEJ05=p+BnbgtI6 zH-#2KZw7~ard-CYp)5=%n&%mb9Dy&~-d|CRMj|Br(jLpU+F6zvq4x^^Qv_P9%8cl|Quolg67! zjyU9}uk%&gbOTrPyiRd&=MDG8<>Nh*f0LVc{BsR$EZ>LEIvV8B=)hx7dST7fAAt8W zJil=Al}K`Hutf-OtLu0AhrFH1*vm07{;oS7EJsPPy-4+hV9u*r74k@$yIWYf$1|u8 zbzFJ7my}O4VS<2as?eN?Rz3!GRWOU}rYr#n#p;ShoG$C&)3(0ya`CfgGJ0)#@=@U% zy8Cq;SBsDbKOCr0{(*6u9dnZB^VZ5Q0A4&Lg^oiQiai-q+3a1Jy5Yk1`Rlkij#VBN zy^RV^?Y_<&SL)O}%r_uf{!H*wH{Xm5M^QHyyzl0hHn)6z9*>cu=h^#;uk7VF4Mo(^ zg=<6OIMhI>VeX%M{!f-D+weIhZXcuNYk}^dG|T!yA#*aF)FZmh`8?}Ran541VUCxC zI$zr@@f~CgiLmZ_0TBRPo-!yM)m&DFX_FwW&HF!oqI+vFQU!Res~ptfMkEi`YOzIm zntr2>&z0}REeLe9?H7-+{&6PE#KVx^11)+}N%MXlrNNeipW>p!c8AN2_F z2p-L%YB|=o=p}bdDo$KhmLXVxx9#5jK53QnA`a4jyhqy)bh2 z+)aa#Rc|u(E9aJC&wm?vSN|lOt|>`kBT)CZ2}2!}GGBauh@BC||p@+eP=Mgael_BI#cm!E0V&kc}X* zxrMNBVmSu}O8jG5KNXDUX_X52bI6?FY)Rew-od$4WrmmJKCU{|QkF&-w&BP=?R|#4 z#aWfQ8bP1hq{&N~Sr4XJ4^r;w;MV)ld#%iey-KNvttHQ+adS^iu%$MVr}&dyv-kab zDRt_Iy3~SG>l?oj)hi6>CbEkJsyg5$lyl^epJbe-JatLt@Bg5F7BGX?(mm|nqJI(4 z@GGR+kj*vP)A9BF#zXx0K}T=@#gf<8`e$FPq!_Km(o`9e7J9sIb|j`}1>Yo$!yT|X z6tIAxd%T=&Ru0U-YMW8P5!#D9HOW%6a&XYit*Wu5`}RDs5Wvye*7{>%l1egt*nn40 ziCeNM!Y!RDSLitk!+21YMT0U%`5>AgUuW$x{sIr5+{`>IC?C;oZ9eyV{?ChhD!t^R z`fo1!v%Sh1=k9MrhMm0UquoNv?~eihvc+HpEqZMU6}>WYD(=rV=z0mR+F~rFD$u7M z5<;hbqjrJ)MfQ=n$@!vZkq%-{ka=OeUH`tTMiOgP8%PK&r* z9H{1Pk59Yml^8RZSmK}C_%)~yMD(-<%3|+6OiW0l)A3D;Wm=7~Dm_#PA^P$fBre@i zto9%#(7IJ)Ry2BUxz(_)9Y1VF2ude%v{02|G1KDHQ~gvQJD~nu2SskGlDaDtn2$WKe$m8h} z4)8a+@G$O`QbL{OC*pG9K%W*N3={A;Yuj-TB9AN$ajVA}vghrj3Rx@ z$Y|n#aW|7)k%Z@5S;^Avi_OhYmRNe`IanB~1PuMmb*`zs$CxUi)Z`WBazS=wL3-s8 z5!4tRB-B;@ zzhuk0J;csReVVB*G&Ad2E>Bk{;IN{(e>Ff~Dt6pD2+5veXP>{btc8IbpCp<%VadU4kb> z^kGwASOVML5;BNjL<8}FxIS0GuNe}p8qEhzc zG63P2{5mug)HKLMRy|7?5AbUg`E@jmg3;0fy|QWIVPg~gOh{K~34$}5u=J(q)NtgU zG2{ll6Eb1WoxZ{n20mvY6OHH6KuSjdtYHNdR;tYndx9da_>&?SAr8z(Dwyyj?qO1M z)AVSHo8Y($qs%J4ui2D_sxb~mst?rhH9z)Bek?TixM-+ac8|Ym;2m7wF{lAsQo!*@ zsF0&13VTh;(sK$tq!fUc>_RmZ`BkhoDk!AjN}%$@#EyDu$b0h3#pT1?qr zEFU%r0>`<}NlD)}s}MXn6UJuOVVaizuU>%g&|RE%9ETqr#Rp<2EL!@qH&FzR0m=Nh zlzdY}z%lQWtk&1I&V0vkbuW_^M--u>G_?r({Ae!;K%QN`)1CC%Ybrd@BC6tK6qi== zEPYA_2$J)+aTH7$M94sW#QeHRQSXulftf~5Z%Hk9! zZhT8@IR|~}XlC3w%q&QC*t)-#zrTVbn>hi{9PRaj02B-@+3OOaICxLk*}kjaaOL>u zKhG1bh_zr&s78&i`p1}Uc7-!qIA957?D>`)`5LP+6#7&KiXHf8%i@9FfbXs7bi3Pm z&H7MqovU&UXf7#LEFX98xJgeQILAJ(w%z%h2+!A{HtbOmWVo9<$2a}*hC2eg11N8KwpPt%z8Kup#vo=6U&l>~8PIlYbb@QD*@Q>@KmFDOP<=b3+>vrU4w8UpvjzRC6YF=BW1VUJlyny)wn+`gkJxj*U!Db)#5m zn19w$Tj7bLZni}#)B|PL+$Fjtdo2$EY#Ae<*+9h}wIPo=j1@d4u}Hm#SrjTh9`xI5 zOb$7Ffe{4&$k$1+=-+t!6&hj2z(m%Q7pX3&5k{QxNd*CnKVoPCjdJVaO(ze!Mc!}lwc;!BLofCNGrl{h$>zdH|&_; z0XDWWcUVo9-DKC)DpP2~;e>nu;rs((n?_}0yS@Q>C4D3pVTFy^XyERn362h}NjH4l zekY6%Oc{T1GZfg&f~4-Fmsql%^k>TNPZtPiRt?OPe;3aF2FBH&exd8DART}CH| zXWiIY!?N!-6u~*B>Vw&Sh@jF@mPo8Wll(Dfz~dp!2kx1iF{rr)K)Qar=Ws(o!3 zkCdy{tRD-$Uw4$d-*zB4V5prm`z)iv);DCXoNuOoryW23r{{|RSu!2fAPI`!FI#k2XkNcOmvtI>=v^=ncQ?5=D@i3N_GJ#$J@S;co-ENieal3&@3IStR0 ze@HGezH(wA&X3<7tm7l+6Cf9;Bp0Y4_h^HdmXS^3T7gX2Kv29Y)ueK@pFg-sLT(9LIPgXDDv^=+Uq2kD7=tbS za$D>Oc#IHxKF`^za02j)ljDdrxU zS>uA09{1Z+V=d00INIZ{wN}z9u=He9fkbkXKTYYWCq&fsyk+Z*(@WkiG;~*Z30llV z_OY=)@i^sy8QfSbn10q6Shm@?9#j>a<*PYQ`_OnErO19Kw;GboTNirG^ng9`fX+3# z8!$iImA_Y!xfwA3k-&2DQ%cOHZ}a~(G1e35d&cYlt4PSba$4s7?{GmT0Q-F{7guh1e-}vBNmrMYT^VTit=!E1hD_;UGt)er$0XFVas%|!YM_=ZECLD79C zM2q=M(_D>OuS@}4?1y72z_0QzDeU?-?v1)0dTH?8euwAKo|@#3qhhFu@e194Yi$L2oFWkNz2cBwxIh^wy9h1u8CY1IroPv~@N;upg72j`;; z?jNP$gPT2p49jGG8gA3|mlbFPUzuQI;v4QO2)aB+XI-OMfR=M`fd1{Lm;7<%Y_-S< z>*ZW=A%~ny+zf5k;P34nXR)Dcv<+t%^|JLHN9*@FaZ8^Ug`N*GHJ6uva>uf07L~Es zs$&r+v~uxyu}x5;-Rg;B&op>Zluf_|sQU+0TiMaojW$Jd*m zctzjdmMW-}X>4M{Z?oc-cJo4mzBtbG5Suib5mwhsb6Fo4f|56mmTDxlu!)~Cbq7UD zen+E3H{#pO5C&y1SuP2|$9M#ToQkmYX9+pGe1rw$6b~!{UfA)vyIQ)B^{Wi&ZkZli z?6{`d+QqwilNi*5XijGiGsL7^9e#hvy zyXuG|$}KV~i?#`qYhLPrEuBjtd_mqfc9)TiebYKhtPPWkes9mRu_X?@?c?dGu-zV- zKk#aXUSev6+>+bN-}lwwQc`>?0@-4H$H(ID(v&Q}#Ew_`Xy(ct= z>5gXDh0u;S+wjc40gweEokjqcx|Lr>19Qgi@p`hSy$pv~4nF0vRIkh*aSVDgB`npR zf?u^|${vvO?H#(KMa=hi!R$Bqs^=tR2M2lbvx<=PLl0Q$4m8nSNr)X2J{IAfh(7IA z9}#@x&{8>lUA;<8+VDn5LUU+^>PW0^ zV%*sB<-NNv$G|zdb$ivhAv$DWRaMJ=p&WHWHAC_r{pBdWBq2doKJ~9#1EtdYZu=gA z!OKbk36VEC504?%_06X4(}kVlQVwbIz+IY{BK^HkOk99m=Xsw zi@uX%G7GOr4|daMT!Y!z!WvV#fmnLKEr!qCv7QhU0EemF%d*(IiJ)#~mPwyvt1p$+ zKhdVo&vj+GQyQnUy?34@3{$9)9()3(ft9tX>$#zF@XGmY$O)K5<=2!E7S`W+6VCA1 z#TuHNVk%6SFc``b%4kVOf`G~XP#q-BEy-w20|-4hlVL9m0lRCI<9&R&cyH}4KJ3zyBYDnS(jbBzka=) zaiMDO*Y}Fh1_xA8#GkAuZKVt({9)5Pfg2HP{0>q0632&|CTBJpkGS;!)NhX$R=bRB zIj8j9Ji`>-VuQ6ap3I0{OcRG@gh7~?|9EvV!})Y@!z~)WtTp-t#ecaAjz7Psu4(8+ z(|T9gp0~#wiRP_{>*KE`pJk&#T=VzsNU!@Q3bg!Y#HY1Ay*${Vs`a%%a>cajE8bTC zwpKa$-k~e$3PAx6FVFC~IKr}Zud;Q~;u_i|p*-g2AROkIc!ehPV@C*5W`-upkrG2y z8;H{FCPrCd1>b(`^zcygfJUJ`Dk6y03?(J(@Sh7(G#sbls9d4!evKta)Ej3PkLRH? zmpKZ@g;;QO3#=L!e>t!Mr^zT2k%KBhF>4B;wKv6_A{s_UdZQ>8QcUo&}fE5yvXo=7D=W#i(ch z;`THi$XHLeM;UGR@IXZ%WU(p7EIu*x(VejNH@s?SY-;Y}uiG(^3k`fR zn979-7t`=Z-e%t(VT`WA7QHV}$|rw);H`YL-1+w!sw`*4uW3Z|eiO2>TmCqhJsD-q zD)4JQnSj82Wqnfz?v{vV_G)o~O(F)gtn2qI!SKbrd&tJ4Y_C&NE}Un_q53F?W4!(R zY5XUJGxASS_x-{oiCR^mLGftzZrJ9vv;LGdy?RE$-2?nqe}JFG8s zkRCTx4YDpoQLY^`OCiI73z_v5#N7w*Xqs6T3RGj4P?aDoPzX!ZQvxEW zLomZ4*wa70;d^2%CUEa&%AgvYBkn`jSt$HmW};9!VCr@@{}-+OpARHiN#yCcVkx;Q zVGuv>R$?nLE$U6{@N;5&Aiw|>Jx%va&VM=rXwqjE`PkT6rTJYLEbA>-pw4GJEPc?0wN>xS%*?#hnWt3z`yZ=H;v zX0w>^Cs!I22qb^xUM&IZY{UR~%ih3jW2*+qk83BwZaF6^ni&XwZz7*X@_TP($cP8? zq`6~L{l01r%h`LDvCsYQ!)muiK#Z03^;>1nvtHi#UhdNNsHiX(c^quU6po-*iE*^x zNA}r=>(gSdQu^a7>ARZ`n!vmFoQF5;Xk78&!--_w=Uzsf&nMDF<=VoSl9n-^mWlEs!V(f0A#AETPV|UE)qpTWc_ytJ@0lvg zLdyP&CIi2EkM!i#ttjolpw$sU>n^`U?J zLCFaaP)B*4*Nzf@Is13 zG77kdQB$s{(qau;1EfDy2z|h4I}!+Ju|D>g@ ziTG#kk~EURV`nBO-lJH+J#aEqL0Kcg-_-*W!0_Z91{_8`_H=C~(;h4QSXw2`Ac6;I z$`!VB5ZmdLkQ-T2(jRXEX!nps=m+hR03lhZYd3h1-x%V+dAKe zqok+eDJ!aZUKyrvAB(7HC+jP8Bnv{PIF7$rAQ0xSI68V6qZg=89^dzNDh%gDa?0S4 z$$jo^I|zzesdC+)8!iN|)W2{|B5`xBbLm(g$*h3~%?vl7&pliCb!!)(nBsY#RL-lo z9~Q887H}8^HFlanAi+-@pEQe5sV+@-{JceiXLsbj0c@>&kEDvHqy%0`6&pyEPt6p+ zA=-|ZLpZ;CN<_Ob43jGWMd zliMEE*d`Pce1vzx(7bd6=<~CiqHAltNHaa`;_iD&5IXdx1qRa8PAs&__wRlG`r&42 z`~MQ${xZyug-(H?8y=NlW$+mr*T5!aL_0>zs_A$N|WR0fjNym z8oyXrj3{;dhf{7;ntKJ>^DLCb(B>TCL7vqGJOK}dZ!bC`ft(hbft0gra zFo$v+&QlG;1|xxv*#s>4(X17C>@JhvY&u8fFhX_}mwPrcHAoS@7-LPzKihr6Q7B9| zoTk5J!zSo_4Of#j;b10X^e~Ch47@ zu#F=vYa=acd3~a{1@OkXuRqA1UU1TQEGVj4)fgqo|8AZp{X9+ZS+c+kd*JbNZWg%n z^S^Z3$!`(>n(7o$8%4)rXX46KxIBNfkB|KW47GPJ$h~ z-&t!ROoeh9hwIJAkk=Mw)bXjtsa5u2kN}OP!jVfl%VAzZyPxtcVx)NYqS5fDTe8tU z&CyRpH#v}K>Jba*nxINT`o-E^_C{;~@mE^M$?<1hM@{64e>|F~AfFb_x-+yvymYmEFu~*4=)uMd;k0OB22Wt#R&-9^$j`_r3K=*Gm#7a${QZwKrpu za$+fawZ3xZLsiWHUqPjnuB!2y6+Gx>tF-&QHp=Bo^Y4)j)t}-N+b{pp$)*pl#~f3w zTESCy=vXTA{@9KO6g8`x6vb<2CRs1gQ18{l}5t(#oHf0~+>uS*gz9#jJ_D;0Dt2kjr;m zN72KWcczq=Z2xQnN$L+;jcyN7dqVQ07cEWyqeXJlXlZHhxp`ITad$78$)uRS24Pe3aW5KpL4HesSqhDmse~V03P=5rjkt_~877Y7_hA1#Y zVU%O{pl;b(1b(_5;#3&C5@|g?f&m1$52%IDjX{_jBEpoEu^#7p&~(#q0?;35IWB0d zHR=5OxrW*PkqGm*Y$0EV{Re6|A$o(%fm8&w0*pY2_ZB}bq_FVVaQ??CKodWj&6wNK zk<_&)cYT8c+81T+vuHF5_L8w(<2Rr!v+oSRtKcp1BN(TJ&>bSi)S;H`5YR+SZrIH$ z^~o?85%-wbS}YdTnL0r=mNsLpMCuJRW(Kn@_Gy^duX;eLsS~gM%>A^f{YVk*#jnR_A_q3%1t>55MkKteL;d z2bgsHK64KrxO+Vp!c>_bGApjzmoRI<7346`f@JK9UF?5uulNZ=ABIc}e~ML-dZeHX zAl8f#Q4pL_pdd=d!Dgp9JYCtx`LIHA9>rEMkySS0iCD5qU$97DSY_@|s8l0=CB6Do zQr!9pEDUlkWa@K{%cMW*48E=|7?JI>o(DcKL zGJ*r;E~@uJsY!yC5#kHAvtfaaEQ&sMf90f{Jt59}Qr@GN9C+L={7Ej9q#XIUk^}Ws zIbT$!W(Nh4TGf8BZ_t1CPTQD2k621pXeK=(#`Fm3aw~}3&@$Y(CT93NwdyNp8>1+` zAw;3{D~Q0Fh!P~YIRE}5Yyan%zV#!MPog@Tk|;9AFPH@UVhIUpoYrak#|TEliTQ}z zx#Wy}Ecm^V-Cg07tRwd6IEwV{-m#$n1IrqG=&^OX%oF6{->v1;O`JJknX{tRPaV%=mo7yZA)~JyCIz!UF<4zc2rBa&u>h3V;T)bYcFyJaevxPtKyP!-(lve* ztt*YN!&hC`?#&%S8>jS*Xg(r5AmIQ2ciEO@MTsd#*w9w1@8~HeeE*l_78^K6{`GOS zQp*Dl2&n0RKz#!t5!GN?|Iu0`%r$>>v7O)eLJB%V+thRu6bbrisK$uBC#Hy?{+y4A z!(@#DgvYr3w0pWq8g`E%KKVMk@FrS?W|s*W>JfH-kBNtm13GVH>XWLMUKfEn;C)x9 z#~R~6@RQpVRM!==n2}7q?Q&8I{s|O@qv+a={MC#4$ z*3UdSJYR8TY?d?=*iXu72t>Xm<2q$-7l8Klwv}9E+U6s|AnBY)ga@i9npq8F{PS6u zHbeo4%Ow*UIJ|Lo)^gFfdY-7X7gE_P#4;H&<{Sozrfd$)M$8Z1)SEwx`B){dEcMdn zlSQVOMzA`wqHZKc8<#Rglq!ng1?Wg@XCsJFbtymC?d*?1ws`O~HsM|*bdQ*;Sa7s+ z%%IfLWE7F8^!jsOT!e`oMqe1R=QWeIpu+qP+wR#R3@-=$$n?iOl6rd{pMyGDWPBgO z1hAbs@XGU2#m`#0BsTEV`cHP3_MbTQn7a~Pu!S#2p`x0H%;7L<#{8|?J_Hr*F^^Q_-b;H#_OVE8}f+MnzpUo%W z#kS6(dlJoeo_`du)$5jv17AmBl1PSm_$syT?s37FzRJ6J1vx4*dNYKil;8e*b*zC6 zZ+~xp?Y6MjiKz%HQpCX_pUP2B2}Y_B>CwF8KvuCLg)EB@a!Y%AR;fh7YEh=q{RGj^ zU=$?6v?M~bs$T>at-dv*Y=Zwf>Db0>LyVQg@&5&YBA|~VK~Fz)XLiY{I#@!i3!(1L z*l@YcF$?)O*CtI9Q|oP?KdglA25SVI_o4xac?c<2>qVO1c5O>>lY!5&f&vzC=34ee zQaFs!VKWlu){M*W6EE48ohDZ7*xbph%n8B~i74!J-C~&?nf4JW6`3~5){2L@7WNE-WqA>uB0?aNIizo{?KIKd)R41n@E+uah@PbV^ zw2Q3$w6HW|E>cVLt+!1;`ZQf6CQARwaKlCa1A)B-7x_D4e(cjrtvBxjD=Q9K_!ooj zR>(Xnd#4DeLzqU;5GySgd+H(jwuu6&tO;M|kvDV=lT1&w4Yfkq)_FVZx7LGo(6Z_f z9hV0w^8g2=`lBCbZ{B&=p#th4^{>5TLr?Ig50*q<`@RU9y}4*?Ykl5qXrbXM*XvXb zHk^*9?16=(seyAIerr-nDudAeGFYIH!zKr3VQYuEWbFAFbthZUaL6n@LM+0?>uEjCp=0;^(PqN*|qY!D|F=>D4VmHX1_@!m7tCDtM*q5!ne4_T#x zHina(57mvh&k|(Z zI(GOYvq@z#NBNhA74IgwrumVhav#&yG?#cCGYGE*p&u*sC)}+AVnj*bc*d{UkI-<* zpxtl<*|Ogkf00Ltk%=c1I0j$;cW#Rtj!~({tlX~HB(hO6SDQ>ZkuVU&Q&sUwAm147 zTEvdk5OTR$btn*rs34wX?54&$QNJ1`Vq&FV_H~`t#x_w*>unm0VXT$tG4(gbbWXBl zp&teM<#*pEbWh?!6tG~Q5aug4V9OncOT)B_@a+EJ%fpLjS)}DJ!cl@AMD0q*(OgQH zEp%z)-qjZPl)EfT!JQI1qX_NBW6!5!~>X^;|lDHinL)1;oxCR-i&b6&B8WI-)N)cqK}hqWqX4Ri&16< z8~B~)i@|U72L1V6WjFPk* z1nsA+=B?xWD70TgsGFf|N0Fgw>sXdg@o-Iu2^Uoc0-gk&g(UI`YJ(>Fl!_ZEoL)3? zoG~~NnTe>U)}yPf z()wpcdA$eUsprJ-v)kEJ-LUtqpqT$#3!pbP;UPlq?;+)VxLosan(cAAin|7*=Cr}rT<1}*@Ov%@L_Co!nwpo0JZ)>#^bnWEWv5QYMwHbOn}RO> z@c-fME#IPQ+dfb_hE8c18tLvDkdPWs0qO3Pl#UrdsSyznkVd4tdnf@3MM}Cs8tEbS zy5Hm2`#;#vr}@6tn(I8TUoJZ;JZ`UST6+QeJT>>>*sMz*_4+?GhB0GDQI%`uYy_?m z{r2Akm)%R}E7L%Q8xxaM3<|nzL>@8fHUFazb&-NchnL(?dxQDg;-+SPcUNmrIBzkX* zb@Z#Cq1-<3bSqy`%9&Xj-i#=Vd4_~~jRnh(ZM=WtNSgs;aHG^WERF^#@U+w=0*MEX zgkw?Br6QW(XY6JZu|k!XOAPCWc}S9r@}pO_Q;G(2K&1(YwvtkOf$?A2woeM33jAd~ zAxlP$Bt)Z9&o7QD#&`zb@Rdy-66<)SqmSduN>k?zmGUd$=Tf^Ax^qK4Xz&o?0F#}0 z3>e})Z0UCaYif;62I3ZIdL!XDeldU&jiWd(q=}XqjJPGa@czf3L@PA6*B7%K?%f-U zzr>dRdG^AU)Qr~K5>NgcB1t~}kFSC^k@A8W@C=(00Xl5`aLb)Iq7El1M`1v?(Q#t& z{QR7<{Ug3wd%IZxCq=*J*~JRCFM;^~=gIj0)sKB0LylNEC2(Nx8uZu5XYQ5HPOk0F zRPJriR)&IstJ3i=+GwCj;@vaO3y;v)XHTo~S&Oc==NI!}u9#F+G}3k>Nj$dAqRl|>NgBTm(DK%1NOiFQ6^SD`i z0O!6sXaH_KJ!lPo!w6(CV0$jMdNNX3GN)3704r~rHTv(%i~0pgW*iWGR!uNkmVHB8 z>E|)?^aG3p9mtA{!iFUMw^xXi@tr#&Ov>ATf1D%vmi+7DRXCF(LFMG1B5`?fNr(~5 zaw^i`j1#)bKrhlGXzg5kwg6wnJrqT!xG}W=>38{b9s@zpuzFF{9nY)~KT*w+$>0_iVpvxoml3Hsc zEt*7!tJyWxyoshTDVjuspHDjBJiEGj=^rxHC6BfK`iD-8&e+MsO0EgjUMB)hi7zKnSQBvwKZ%&vO z=c3Ujvl`Y|!}O(Idzj3GJM%?3Hj%U-DLJ!r5uz6I7gNmYs!CXle?QeQ#lXVI*pc>E z_Cq{{w1H@-SI~&i+RypVduVwm{GXF3d-5!-0p9bK|m{IKq z=U?}*ggZfnT@5EmcKMI(4vE7iB&B~;pV-ImP25$-$}E|l{-;SLL(x!r(Fd&Ard^@V z^TKNyL!Lr4?(MUVJ!Dq~=a?afZK6|6ZpKPVbXwRkAZHnt0e15LF0^VO6|8yUD4Sin zNVv6vga)`%&v@-yFaCapH!@H6Wa*=PHq+6%Z`4tJo;@7_B$C%Z> z;>dDIJe_A_E(yaw@p!?lj4;ag^%OMCv4Yr4tQ4eY*0oYQ4jHb|m>?Za1wO|o>z%U- zddz4b+5G@#MX#l~Me!r%A_RsMe>U0U)|;$( z{rGXN&re!`a>}QKcpn*cX?QAbWf%YU5})<$aC35Ta}60>>5`IWF6jHcIZMIg_N_!d z4k@h>c4u7v4M{2>)(@(*nJ@jqvvNGb@C~$F)$<#son!M($`b65;RUw{ieBrc*t6NoNFH;Y(*B={Dwzj8Y0u$#4gfPma zk{6}1u|QdiLU4?2G@z7sdNc{Ncf5JDG74W>3eWrRFu|3XW3DeZPweD$ix(0^B1ck~ z!#o58yaN2-|7q1MEZ%TroZQ|Ao8{(i{9TeY>kLnRIzh|DE|y@z5Q9Mx1lU{Ye8`#XY>a z8i7R9AW+74=lF&om85-)E6|qQ!i|K`;n4ScP(ZII!-lsTJ+DM!T$p$zBR$Xg<0)>T z;CBmd-nhS9V7rV=1(NcR#}m@~J+f}y7`EvCfvCO#4F21pwB~u6NdHOgf1A84=IHa} zew^aQORRuG?N_TafvWvrrjKfYk5Z!q)H+TGM9asXikM{{5xaV2lD^k2qSPGvn{Y1C zn44tBVRIABOE$w>g+cP`VqljCCEp>MVb@P4(qY%X6NEctFTd}+Nt6SuXPi*$G*-GJ z@bZ#+M}4y0RYZxAAM+?{4=V|9s9*gB0=?5cvAEcaP86VX8~-|sU(Po6q>Odjo+w&O z-Uz%J_buaiw;;X-i@N{mYm)YTBq|?SQLsmT&ux7qTJj?}u?@yNTL`W4gGXh9 z9zsLjrSz1Rsp@~g)GuI>dcRz)^fYUsF8U(xgdn?hK`GMGO1%4qRU(KXx6RNbqq=lhlWImj5AY=Wi~k59O~u3-h~Pa_ zhyqT2bfxN11wAfn63q-2;_tKhnX6mC!J{3J<=+zDS=7&6RYPG^rFQ)A{^ZukS<)cO zXF_(1_L$|W->_Da&(EfN0~2oJfER_F#lfU(lajQC@yiaNKlRtCBU;*(RFWXMplLuu#e|vHOn#QT>vUlB$XqE$6G==6R&8AT zQb3oWPvoAJH>dclRdj1&27E1k9^5=y$&6o@c4*qsdw|E8seUucuqV(gRno>EiIX$^#*56A9>^Y*_=`u3F6 z5WC>%4&Cnx(CLFg@vKg=pM0JBl+?#TBk_gMkzM6jvJbCR6-e8a4M=ho z9Z~jgLI~@uzWv8lMsw-a=v@*_PrYc~^jLX`x&5NL_O?^;#ea_X&q*`KEtN|IHXnAl z|1n^%Ad1M9G)TeCSI4w$MOO~9vn}mi+s_mGEn@HsHB4w^vM0MPBO&M`SYZ^QogXsz z&$e;IaYB6pbLH+cvdZ3))?q%u=$CWS?|BBn*Tmq=sBz0g2Ql~IFR{4AZ`2(U*-u3q z*H4IK6rz|S0lxY9SS$JNjNGC;8YQQ&U?0S#>9+lZrfp8nNQ~_twT2VB)1$m>UcAj; z%tN(2mSmv3r05V7vD^zziRKCV$}z>WzD5kl_%jf$sV5OFZzn$~uwtV9mDM2{Ayoc= zjy?VIZxBuqK`*HEs}|M($XXNg3j;cd@0)0`155?-3X>L{&J^AzJLMW7ib*-)1b*=L@|c%8!^ zes{9*m1D&>tV>81KF`q zIa26PS0EKjQ4fE+p^|$to>dK>Uso#5hF#wdC-+U)oWjIT>@zu?ma6JZ!uhzX6WvVe z3`9~T8l0MkGEc}C3g#b$un*zTu2ZpHBnXvxI?uLLeiT~qD;gW4EV|%PoYx&r_IftvsM+MXRthC^lJDt zDK`byt7P6XCZr84f)wI`na9!(-B!_@67wXHwe#-Bztu$gA=m@M+LAZ+h?7YCz2!bN zMT_Hn|EWIs$;9`8q97{(uXL$v%ehyq|F_o^HD^Qa&;6u%l_Q)RsTvrbLLV<}}%!`HD#x*~1R*Hc9&ji1T>vp5VyAY$MAGid7 z_$7EHtof{K3a`dj462)?e{@htcc{4pG^=|_DT`-k$VdnfnD~8iNT5uyPqDYjygQz4 z4)p8l*^kGzFxRLg%P0PR?fjIGfx9>J&^C2aDas)eimdrTnkLO!MY|rd)&q$oc)@Nz zlKUcFhoe0<4_PBX=Ea|lYiqQBrT<91eWLyEtFPbGlcfZmp}H3eX8SAcs6H?dsL)un zGISdMWKLGvI$;t>`U@y|kw8K$q#)NHDB~A8(f#P|su^kipPPXqD_5nWqhQZY(9(&~_MKrldk_ws6k{a!TFX{6DUn-Si4|%172g(5kM8*&jElX(Qd20o}ZeaSO zK8UX4x3Q^yS$S`Eie|9OKh^3hrfQuhVz(-oRD9O-z2=iQUCPa9`bpCl!+|IQA9#H5<~8>NhtF~^vH{+uV|iDY_Z+oTpFKF=;M3N)IJc-sbxm@Xa{pPUb2lVjlV^Iz85T(`l+|GvbmyVm08-nSI$^>YsJR1A*jaCPjwJ}PXZ%@Q$AAS?)7O9aBGSz z*;MYR?EHy$|Ampz-@nBm`1bw^Ad?6I^15AOamkN{^@}1Fn@2GJ&3CQT@v8q-oZ!$k9yI@fY)KlSc6+Qn)_FN+) zKO#ojC^LPguLba2P`~PBDR>!GBomup5iGT)rqUl$((XA^aFWjb^chMo66tv$cH!Yq!!2d~tddK~dP!w$&-Acbv!lhacy}6Pn?hopW-kLg$vU1luY~`M86vR5!fm0_sHT zQw*PC?+731e3-e#p6hH7_!HOP#Dr%gPOrDMeG$5h>kSB$_E^FJr@pqgCuUoWqqzO| zS%(!j4vl6Izj!7e9RpLu2cm`;Yd!13iY*U5Lslf3d}}P8;T{P#a>od?$gM95BV;U+>3X3cL2S~ z^?8zZ)nbVns+MI&6EVBp>l2YOKnYGAx%kY7_ZJcLm`5G?< zC%%y@%*5Lory^V+bA~jm#Q0_LflM*Wd{Rz5B1{d^Fer{Bk;ZJ!wl@oN70$np!J7Zt z*uN($L6G>)nUhU*s_v^2Ws4o?=jmv@P3D6`7;tJgwu;L0JY`gZBzr?5kUT~eq?8ZG z8oOe$K3&xGdTd=+FyNN-V2=7oFAXd|cmN-rhvZCmkOnB(|CIdl&Ohx))|?XZX4Mhr zk*ZHg0$alYC!t!3>f(BaOG3uiw>@V6SVoC!@YpNr8=ZN7@6lcSCDwRCNd4TLg>P2P zris-@AXFSgGrOrkkFywypX_Q4$N9K%Xje4(a+WKR#lz1*^!rgl@}DPp!5dbhag9D? z+dI85YVOpqWfr(Eu^R>mHB~~xq}X?|`?++YmK)>8HjwS%>JbnxzI=c0hy%js>>#1M z6cSPbGp>}S{TsFpCq+ymVg4pKJ(1DaW0MO7Ym3F{&yrr{Xo)9Qf$ssZ0H5dJnQ(P< zG8txEL36Vg(2 zCQiX36HjSGg~EV#nfnvW@vcWEM?uT=y?~X|^CP<`?xZa`&2O%(JJk=)|0|>5oiILN zk#e%ibR;J0FkiCyz~9l-Zyw}mAxO(>W~cSz*Jn)NF_~@iTHr)RV=+B1Uir4eU7kXQ zjB-n8+nl{?51l-|;`KQ1^S`3^W!?nIImJ(N9$&=F1=4Pp9MGdfj%9(pT=@P-(saL> zn6gO@;v?caj}uQFB+iWs2yeNAF-X$zkv2dVDJyr3lCmExkB+6nj!D*%C<=c#PpA^) zEw*RtSrU7%kM#3QT|Ka4j>VOgT6~h{6;u=NCOM z^2O}K{j4-5+*80PFtin!Rn8G5>u8(>k(! zmRnXH`xa3{Z*2$Te^)LWdCYm*IZ&b1&HHQBIS%?am2k$h<6)41tn%4zONe=V3mP$h z=ZN1D5A*I>Ac$ zDj@p)56YC-afK1QjY6O`T=WpgzFQ?vwcLW+KU1+$RN}V14|UePNVNK=O^0f->!iy_ zZ%EV==ldwiCS&j+v)(472iM1NYx;+4&RR=AL6SGSg(+t@Bn;a~zDb_4=}Y$8id z-)W+9SCoGs?vq@xy}m^?37pYgUHQl@D!wW9f0&x!*A4{Y(YmV;{ra;7ae^3P)K4*# z+>2cyt2ZI;R(YxD%IE~N+znEtc9WhMlmhQV3V+rDh`U6LtLijK;L>kMF5>xcj?bE9 z)10@nUd98>G8)jF=iYctowd24XPh6+Q`|&h6b}}G8vhgwDQdiLQ?o}`Df_+rIPti; z6#gjLbvS+MHaPo(<^7*q@!9l=mV~{6|B(^N2}73L*p8qgp0GS*!0$`7cVo-o{Vfy8 zK5o_3KCYQy^(jJL1V~oP*~f&Bh72fy?`s&XPK9nzS0yQ!Z|RPX$Cg$$WVH%C-CJ}# z>GQj!)$apiw@+u9WU&Gq@wO#} z;_0h;*KzPLdawW)DA6B^VQ~CJ^ zPn3Qc)DlYN_ETxT?2D2$v%?`{aM#g=HzZ(e>BfwN$t|62s5qLyKD4vRneNY=T;5Z3 z2*}kLb~kNZhfX4)oUpzdoEluMKen8g-Ko}i6~oRnZ&zoq$x`dxCzM}#e*NGbIq+tw zahmQ?%5-&#s2Aqyk?S!lG}tCJqnSTM59jap0SDy!iy%w}Hn{|AWaVHF zefZu@0%uNm*I1d!n`<=KV|kLt(w{%MqkexT(*;G93eeC5XK^z}8@L zOvK_%eSPRRi}#~`$NQdpRayNdbL)Q!V8mQSLsakjj|N8_>WC3BHrbEYvr!r0duNJ`mz*h=P@Q3jB_1whV$dDl?v4YO8y??lDV$oy>14f^2 zUA1pfFfNl<8E@cRwu8;bB^RVnZmIlo^mQp!Qk+GI>HXIjqbF!O{!c%jSl#1QJaMYS zuF1ii$H1Ztf>EToM!3|*B)?bun8o#p%bSqwkIPWgPH^7fZqBnaEbfM(25bUZ_YQi+ zrIvu$;&$e`ZiAYSOT;TpJ8t}vPm5ko^=n!oGkGJkIU?cba*`UAbVb$6wt;v2IMrPP zC)2@I@qX`6?YN683L2g5LtF%u7GJVg|DI#LbbhAK0Dk{%z>I#vkr1I6?ZW0%ssh54 zMNhhJ#fiklYNAUgOLiVkh(OxwpSz4#$C%Op5s_Wi6#y?&=1X`zIF|Gl7Z8$FEt2?- zHhA$cs!x@RieH-;YxH5=#&n1>KKbt!#_| zg7d?xm1g(j6H@O?RwhCORObbb(1rT{L zr0{;`_K~mpG3R8p+dVhfAPm zZ7Nn$0X)`3FTv0}DQS%4U(n!yjCCiv1?n{?>J6*#sNukfVLPXNw6Q~Mzy;g9NOCk` znl~u~4Q$8c7CTd(p>43z+qq~-bRlAH{;;0gvb7|G{8Vwz+`md@c<)8(-Dex6;_Tt!z`I$wbe>=Ko`wW@NKfM*USiM!QFd`esR z4(D5IvC}&G$h{|2CkdKJQmmU4M8jf!xrHXRpjKuq(F}}90mBSIL$lI*-&*9nc})ER zVeYqOdpriH6Jdg%0bRQeA;q7t3G2nUM8AUNz3+y4Dm~!O@>ExaA(44ztlWm~@wD7U zJ85`Y5Rj43rM%tawGK9tXy9YYzv6`rkA@a+HPk^^4olP$FJ2)jC*gcdJ~a&NK+T&q-3d@6Wfz-?VHcZU17us z)p%kV16smzAmDIQMlRbGCsUlkzQ&$uuIPrCl(u|~!{@G`;V#O8M1mNhyT9Gj`_jXN zLl~jlmZ|htUh+jhw|>Ur8`-0~usbzFPP8JB)TBU|>YnfI7GTde1U%TEt;DEL*!m|R zVOZ2>wT@Sn?o>KC>)?^Nvh#mlaY%Mo2G6qoApdG^(Ou@g@2VeA!R=H%8w3Y;bCD==p~HmaC<1fHplt8z*Mn z+@WpxIB1*W$sY1KRkot0eaeaJR!{eqdMC|xR&!iTk_zaYeol~h7TCNa_A1B>g-$1^ zv=Qqb?sZ2T&E~RV<#k6idn3|!e<*+CdE^z&dw5=w>-F|c)ab_-W;q7(%I4E}NIYdq zHauIHK8z0idEhrX=2t48E*EfnVUd+$ION^Ro6~XkZIv*pGxw~qcemwb{bh*Lt_BUR zWd63XeRC~5Zf$L}GH<0%RhquOn8mCNR(I@MwE>qYuNz&)CE#1S~I;XktiV13ob!mwWYM70RJ6!k~QNekc z8B#p}s`g~|QnXxu@O~FN#BmkgI^yM>yK$F~2(o0s!)Ve^IGxuN9u#^$hlIhnQ;arr z+a&~t-=f~e;PZEUhg!d2<1-Sn$9Z9I0C+SN)S)0_jcQQ~jcOxo?N3^j1T+pbt`=OM zng!GBiCX$x$6o@^`U|(DbzHfsAOUvSlV}n;ib1ZIUMwfp|%VU>1so|H%Je8gX$Hr!+F#Dk^e_%FYXN^TAJ_c=TCD6s3rBzsC^og%!;#gl-CsuAJ@!;DX-j&W=XsNyOLV>uN|g zVsAKllx;PEi6BMF5k*G$KKq#N_g9B^{>y=9vyMlh8Dly>vMDsBm^WZ|@MWDqC)P1& ze&uTf3xR0p*BKstPW3Q`8$?o>Ad&3!c<>)vhAa9H{_Wghl?#Ct+i_dt51w1rz=X zTTnaF$;x#^oFnyQl?W%+Q%EW>@%)5n`ybVjr-xTSXgrGEMN;bKl*=qT+e=nae#<0P zT$`qk#HQ3bVT3>*DFii^h(Y1_hO*>A=@?P@mTae5MHcYi>D0nZJU9BqO@ zUY2y?*EEyxpu!&aIrmqD7drfo)#p0u|A&WW$1bv9X(sU5OjXYujj-S9PG|^tsnkB%d87q!D6Cz z<(rXC+lROquGY2;)^!Y(1+BL6F$+!XV34InQIM-?kXjpVFV1YyNzW#rLxOaLkQhKb zWyRj{m{!%ZS0KAaAEVoe%X8(mf@{X^B+hr;wXTOCN|TE9D@MrUYgg0@On7JQ6UE^WVF`25yoE4S#R+un(c8xZ=X{St6hBaRGyVYrMt#{EoG$I~0_S`sI zaysY8%9Sp<^=ZD|^3K%#Q>I=RX1{Y3d*X*8{B`!ofB@p;NF;sL@*Q>Wy)*+!>2O@6S+ z&d3nqQL{CWS~GKK4><*S<>$RC$dlSdcqtT{k{t@Y&)=mdqH)@w3LTHgVQ>TH2hn;VufqR@S z%i5Y(G1JMWD+>|I9(Ns;+Bx&xi}4x3gHxKv{+Bi%&8D0 z6P7^TMn{W0ar(P5XHqz9^sS!C1p;cVnyh$|K!q%TbI+WN5CZMv7-}}*H!sUlNq2BgV=j)$_cl1j6*wW4w?K8#|HQ1mVapki+-(M18 z=S08mZ3!+gVgu}Bpj&A9$jAqS_tEx^ujXk>m*|{S@-qK~c zYW;c5`oo%a>vm99u86_+%8r!Gv06SXPG50B0!qJkWmPm__Q0A7UNyYosBZ@wZM95j zuY$U&_?8+6@H|%EtgDASk6TUC$7>L{rKAM;D+-D#DIzcDG-fO&PS& zqpmG}esW(fyaB7m=Uyz4QA%aUTLctNwHNM_EBbE$EZfVWk*!CE|IIlup z6dVLgG&okJ(6Z!#Y>C!Y~ey$Pph^Mw{fgJk9OmwBs2g^VdgG+yIL&``q2 z9E4z`X|zE9%w@zkV^+SjxHIh8JRa-6)bF?W-2T#~C4j1**aC_`;PC zpLJ1jRp!qECMFz(`o;H>h6D$1J!3+C)=uNGtl&oa35U5M#q|^ykbIN-KpJ*KJc%7P zi!E$f{lW3|?2K#3^^l_1*+lsI<`DBjTTlokQFB$1AyvVDp;`3&Me&k*tvQa}2O1$q zM}CVOt=I>~I@BDk<(*prR#6>h-DDk(WRSr>3>q5TYk()n|fLBYrU$qEUxLQbkPyfrH+ zB;8nZSjZw0V&ZBlrb#4c*MzYMxr;_Bf2`KG=Y6^V%5;A~KJy}^5NwHOV7z@&M#$}x zbN-zlOaFb-uO^@<@Kth+ZY;%{Zu(J-Co*#X_BP>bIlOgW0lcX7Tub z;GjB8stS^%EDM5`Obd$y2K;Ud@`MNKuI!>xdDr}vEDa$_?nFt`>;~ij7KLw>H?FZ zGo<#N?i{K!t8?dvI?e7W@uOx>S=A0m+a-P^FiBiS**;{)pAKkG5qI}Rns&EJpDz;? zol36!v!pvEfyhnaThk| z$xu1u>^BJ_2%8i*AEs}0Ei8_l!~3uyC=Ho&J0hO)X?`I>;-h4GJUBA;*5sR>VUMKQ zWut#Hx49&fW`-&|FaDP1-7lv?t=C$7n_C``ol1J2M1-lUM8p^u0)r8~hxIZ3@DoOgZ{dnfCXjr0U$Ba2CIxO5i6+II3ULtq=2u#cFgSFN1E>T&8XoHy`i z+xO|sEX`K8?>Z`(!)_z~)%#@UnronZBVX+`Dp^w$EehUaaX?<;0kEKgawJZ~sT|fz zbnSaWTg5)u0v&~5-(+fB2Ttt@%b9D{xuEK%Ut&jD@r-yH*8MAvx;i&r>+C*+iJfU& z1n2%y+f@5!ZpfJ^a9FvX;Z6zzWh2{8}f zNDAuI31%l|^9`{N1l1|tMF6nT+VzrbN7xl{^>Vkm2+fJ#@1<+$$uo{wu({g*>cFxR zMZXF_F;`c4-<(8@qHxU=Zg#!Vc>@YiKTnk`I@>>C=w(gq*8spjGLM|I!Ach2)z$Y zXrT)UmK!`>MjHy|Npi&O_(N};q3b0RiGw+acYnig3nQcy;Dc%;mwIMJA1I~8fbYhA zS@PldrYa@PV@p0?=53?jMEarTG8Oo5>m!-`11G0^Lb=>-&$tfB_6Z`oqo^*ck{NH; zyk>K*`eq$a#xhHdjku(s-#Zq^UdSV!<|7XjUF`L1Z3MKJlMav#h()?vC!DRymkpDZ z4GWj?OtS^w;R~PNNEv**5N2WXvRX3EMuadicain&^_94qEy}H~Z<9TleaYh&h@d!lwaU?A`H9eMR1TCm#ue?`Eex1$2!DEHY-g1X*~Lw=@j zOG|5O+cBseW`)(;18qbdpx(7ld+jxbIX~&E?1&H&ifaMs($M5`(Evk@Ym&7cD42?$ zUTz(M{lGsFajbo4$HWho%3oylGX~2$Bp{&3vJ@9)(NuLygx^@B;`}0@S1np^&4M#F z+fNXs`DfPq7_0;wE~OtxKzCQwN}~W*86pB16#@CYuRoqGDOW_z8G=D6V&~Y~vn+9O z6+YW>q!j^?-Ga=M3eD!Zxg0&|=q-virOD#NV5)HX+GM1rQIR8z1tC`xOcNdv_tZFzOV zBv_ClDj%z9Io&|$AbK^Bk22_WF=qwzb~Be?SJQUlGPr`VlT*<^@_TzFeZ?UML$K4H z3MXmnajM(Oat0O{`I8AL+zShC>W&SRQ^_DE{i;$rOgfux)FLO_w^br)mh;8uaYL}A zrKO{HYk){Vz_YcAH(EvmsMD}DsQScQ2#LrGh^@I>(HC@2By^IV>6&7)N<-2EjRcDWkeWdSN~iT2vD{&WIfx zT}wnFlQD^fP6)0L^R~yTYp*R(u=EUMyfh(T;RVWi2cY@o)+wyoFI1i12PzG*3`jm+ zU|MvCCrhq`4oR(Ld3Fz2iP=zopwmgkS9c4)IZwZD`o%c)zcG-kUg_m|a^Lyh;O|^D zOSN|o-rN(7AA5MUclFD)583+14;>KyyJH%)iS+vf-e_|8R^#&Y_od=r0w{O5t3X4Z zC_7ez%}UkMmoC9t4*By)6_f!kq_we)%3a}Trn|U9+J3)PYC&hN^j+wnGBmFSzM{a^ zC}?tCO-T8MWq~C>xI=s}N3NlcXfLv;5z5amWvqTurm@-^!Y5@djW8sPkcpgyo&3e~ zn(hrZ`@+8_tgE4TY3n5f64oJV)}-?a4VFT#`9?%LzgGb!Qvo;wp(LXQBKmQUeK+t0 z4IVFxj(hzOIjCM_Ucy)_ z3uuahVX|oHnK_d&3qbE(*pzt7l7Tp36w&(j%NCcSE!2rl?e>pa*!$zPk}210 zOGPi)jiBVL#$MYaPcbzj+8du~ba=xdwQ|FXQGvu|Ditay{83`;X;w1I!iSWd4hO&U zea9Dah0n5n@3_NNp%tXmJtwjNuj!(}jtZ?VcrxOqEQV1{}wRWgX`$ z2GKUu%4B&?ex#S2Ov}PB?y#s9989Cj85gVadU-~$ZTWAOSY-F>|IqL;;=u5LrGcCe zT}*!he7w$l@g!X5<+fNyfcUmM~2fR*WG|&hoplU)LcC7~Ir$o9@|G!#* z16WrR7k{VbPc6?93A5J93->cvXydYj9hU>KQ<1wF&>3&JH|R@m1qfW6Nm+$qw`2vJ z6oOsFhnVp0T2D(5<*yt&zr>2N4=)-MJ!;hxx+MMuEd zbv<=cwwj4`0~#a+Q}LYVI;Un99v`^CD*0RehGyuW;BL=B>6L@7dOiEo|J z3ri!pKH6`5*w&dJ?zi0D&kz#+YAzIA3`B_T^#ZYUkr-^H632t`^;Vl~gePVOIM6SEa=F zKmY+xt6;dRMWa%F9{fw?W94$rpnOtDIK9r1XsB9-s>$Aw8?O%R_u)gdiFD8Nz32Pm)dq~V2O6Imx*v%dVy zRQpz1$(x?ux20fdS;4}IQDZszQY>KK=bEItOH^Ej;(IAP%P4vRsKD@Mve4ft^++lt zdi)wXycR`F=9?}R{H5WVMe(m~%sBl#5?>Ns<#oE5e{df2hP=nUfBfO!{ZsH$2ngjK z5+UO^p>y5nf1nZjID2I2DLXIRFccF4mJw6TG257$mRB&BU;QzIV(lp)6cQw)=4eEV z@luJWyFEA!baHCvub9J#XRSH!qR&Cb6Wg(4##BC!K3@U+@UoVEJDu1`KR-&Pq?h(S-?wZ?0^6_PSIg& z)6|j`cOz5zobCIO42A93T{Kc)m0D8=1-}}kX@=HGq$m2h7jOBtRMHneSv2tj;{2Z; z-ZmwAe0KRBc3v_ea$Jx4`_`Ch0LP$(4-~fYB2P$_9BVO*f_w4LqdDqQTxqSWY_iGV z_N_|uG)9YlaoSrII~p)s>SUpe>QS=(XUbwt{Tl;%Ju3-mc7rYIf;C~aPfWOBm@0`} z8blb?%H$MMyKG5L&@1yq*t2Gv?7KDqF6X8@*tU|0pArQ0m zpA%fm^q)9%OG&d5VPc;^83oKvf?^T{5`IGs+f(iH>kLES zb*(;kx7akMmZt8cyHy~kVEtO_X?ORcc%QP9`qscaTkPRF$f;WEzO>HtE1dA~B3cPD zi$`F`jhNjl3Z_MzlNhvIn7WWZ)8w|s#-%doJ`EKUi!WJxJuh~>-Te^njr4RYe6W+) z*ihwWofU$4UTt~a`F(BwANgE*962V3@b?lQgHK69I%Kyl*DkTR0!oalL@H)zXMpJB z(bnzhOl4%908~tRB*rBY#4++!6?<>?+)@P!egR+PdQwmuk$L_laC*%mGXUuvsccO-jCb?^RsF+$}}gtTG6F@E~3DJULUWKTO1EtL>-+nIKqv31=Y zcH>YU1yxEOWpmm5Y#Pt=R?3$(y;dc!g--}BxQGaZzi%WqFmHth`(GBEkVMFqpXGpJyy|>rz07$I+%D6-RNinCnLc?TOFUX5az0*l)vLs zUO8&bRJAI2jLl=V+y`yHw~Iu&Ryl;-W8_U{$`RXo(<-2YBx{uw#^rZwmh{5HX6v$M z-_&(E1sUxCFL88C#$6SjKXHIcGs6@k2_kD><^5f|# zh%22$s0lYCrcA||s+Aq>Bj=`qH^&IT9s4dDKNc@xDo~zD6SMmo5-K*) zo|n7%lX!04{Xe|DXHe5&+wDt-1f&Kj(n9Y=n)DE)h=2iUN*5874$?td0!R%~L_oSy zrAhCEsz{e!Lod>s^z--Z*>m>n^S)nBc|I_iflOw|WUk!zy4L!w0uPxAkp9|!amXBV ztMH-`*T@yFZVT+6j7ivC*v<3gssKEa zWeJ-IQ5_no=e=Rs-G+}TdtGjg%<@~W*o*dl6Wo0JXGM38xm~!#9z=fGkZs9G!R6Gl2{KAG0x4AcAdDG<{9VE|oA*6AolSzGBARO8sISR}Gh0s( z4X?h=mUVzSyrLKCx1xlF&uBdDKbQPUV1wV<@d%wx6lcGt)Fovb!@2xU;+H`G{gDv` zg?fq(@janf4kBzcRcG8AZR>tKlzy?idP3O{gaPr=?qBZ;Vd5uM(l69@lazYKNoL<3 zk7vGSS4%pzdRkip5@)wdi{Aw!XVQuy|zZ)=3g7piqi8~S0o*jDc1@ue*5z~=;M^o=~%bs6^c2I9h%}71vOppGi zG`2u^N zmQuvnoydk4S^L+wR}}NtXMyftq~;dX=~d-%Jq>`a!-lP`wEqYhY0)_a#>$)5Dsp=} zaBFepe<}X1;rjjdV676=f|_bE_(xFnF^IE7nG%2`aTR@i7)!=wg(|npRt4XCUIZ;c zCx77%dalh9GT|1o1e4Gv<*MHJ6ahYUKG{*;x)y7?y7nV>t`3};$3skTSDOTTld-s)v59|+Idw?Fi2&klGOzaE6KxFg^Ha9&;ztoiqXpj*4sbe@qp@sQSw_wRwWWgDu>- z{A19?=VL;A`yGwp>424@W|ZdK@6o}YIc%ra1(Vir>$37d7OPRXJL}bh70y${RJDq^ z>^;D=xy!dw(Vh|aUCke&zK}cZ7xU(nXzY(PIdaGfS^3TB@r=+{+QS>!Iz;jlBk71r_0DsS7bX-vR9|05Y_cuN zKEjVCj}uMlN9))+e%{@)dhp5e0gKfG26xg99KhzVg2zCB`+3N-t}4fQG=nq}@@`Dk zeBp}j31I$g{fm3^ZH~iEbnreAas4X>oxlr_tvd;gx<$Zer2*YZYeTktvml2k20p2k zd7rC3(>G%M$^OUjT(A=Xgj(k>tUB=~6(%KXh_so0{?}Sx4G785;-j8Qv{S~Q_UQTj zfmlA75BqwN%1wbL=@nx(1;IOf3>cp^B^FOB4BB0z8yM&ubimpEGTl;Mr(TKap*+?5 zItHXJPRDi86<3VMHui}-UH(}WJy7!`iOtsgBBEjC zXw}-_Wa$luv{Or^9Z0O^ba#Llo{}*-y^Rdd0BTmdfPSX96-FW{U3_dTrtyZa2Wr-UGGN+q zLXnxL_zvT14z-YORtvmmp5>sa?=dxA@+_A<0|+REWelFXjzP#6Mk>kqAd_hMFh-B3 z)rf3|IGnJ6=srG3Km;O|PH2J(kv#e))18QhfiOhG@tW(Jh;>-Og~TLK%c12+niI40 zF%w@<(QBw8@r0W2z}@JISoqduR`U5*j=g2Es&3=T>3L*3b}_R;&#SWuLtpE zL}t%}@8cFx7Ub0UY%6#JmUXcYns*2wVf&QRZ-U`3I3nD$wnq19f^@DxQ{!!Cmi~i= zF1Irsol8inSP);j8a>6+yw}kZ@5MAYcI6V$f1-&LRZvI@Fyb$Xv+4Rl=k<`I2|-6{X;sF z?=`siI_`C2wCQbz{KAs^-Nj|7Ras6`Q-B3)q5HDBa6#GwY{F`9D@6avqT&qCThWN2 z6tqCS$ZETv{wT-q1P=Y*Uwd}!wJ8tpS2Y=nk9S%<4J(~`7w$T3-E|rr0Mt`_?Y_Ns ziHZA2@z>>%1{VL!T|^bz)tp>j%6^j&ZOPCz*U}*RIz0-X%Q|DQ{!TAq{^@trC_dPX z8@V?ffSuS;KQ8Xs-c}Ct2z}6~ZY)SeOA17Clv~<{Ics2ma0Y!9N_klpE0(G)HlJoy zDZPASC#x*WWGTwT&U~l-33*fp=tsCK3@d!4=ZaCM+62b#8Z(aPpru zhaZRUs!aw8y2rCyMvpM8FwW|Qs0JQc9pf)k3q^~n zljCFX`bV$2y1K6OE@nX8cfCYge+r%9x34qQ4(g^5V%p@V3QEIMc)xSsAW2SiE5E-I zA1tI_w=P1@n@)|`uqC7Qgk>8nVh<*H5?y}847^Y8xfkg6B$br_$^qz$RY{^xi0x#9 z(6=`4ckgx%ZqvZ%<9|fq4{o)_ zyKWs+tXFD3Ib<}75^(x$F+f`Kk#>9=JXO@~Yrrl-n&=Un!(X3d&@O7FOZO0x<3J!P zxWy!?X~eFQP~u(S74*e=!HslIl1g}1Dn?5ji_ohaPfoKd#@=r|&l z%B#wJXQ&fjVi;#^-u`!PfPOB1>4`V%9TU+o$K-BQXhbvAv zcq$|QqLtNo{~*#5quvcFOnwzK@&s{S@eO1mmB_ywB-k7w)ftY##Qc`*wiZGCVxO1} zShaiEI+Znz2cB}C3M2jYo!vQMmVozd%xSZ-u^?rlJwQhKYT5x2V0uEMMI66~R4FW* zXR@l1?wW5WySxhDVm(ef5v$v`=yFktd;D!Ss$+8ZFTYQz2kD+P!=n2FmCDE*XxcS~__5(do6!?f|Vy zc7shQ^)Xs+J8s{c*j`AM_7*3_y{A%sV;hG zzDh<8)-fhA)VSuiO+mA`tHxFezZZg3p?!gph?g2ke}Q5t$__>INp=*w+OapLI~CXD zrx9skylpwYZDnBG0`ucD>5C9VFOP9a6y1j&q@9Q1T#X=iUv*&b!n;3DoBmAn48`tT zi@yjA>~?&&+jb=kvxFX_T?0)Mv}OXfMfNTn{{+mIo77&TcaQ#DPGgVmX<$nQ!kd2`i#!T=rLZV1tTJcmc^lveg!Q(i8oB)i10bpc<77UZ1DWT*{5v>!6B}m)@Z-LP zKml{47-(WT5B7A*-raGhph3Y?;g&`j78^N;fHLsw6LJB|m&5?o8B;(Y9QRvVpZe`u zM%vK31`e)wT&r9-J_qo#2IQ^$b-p%X%g=topjhO0-CrkZ(%}ze)3N)Ok+~gwvE*MP zJ`h+z*rTnHRuODDNA>tDjW=su+OC+^5iOmw)i^C+1}fd3yG47Pwez1V?s1y~E72@Z zFORYDqc~RA^^Dr;pZ7E5sQlIUxF@56Fm3b?qyH72_;*-19ImQWKP~#qwT^DKwRf(p zgqk<<<#yeXJ04OoNU}^pCt4I=%ci3{&2~*7zm#7KrPRnU`?&q~yjK_at9=LUv?=qT zyiYlHp|ZDvmsC&)$oMDQq@w|E-y24xhE`A>A(-$a*XBIRuczg9`ev5^3?!U7xIvb= zhQ`q`7qvdIjX5|fD4+K0bevX0z2qHeO1V{fxxIEB4=*5cS(8FO$j^VI-AO)ke)BvF z{YsXczv{zx6z=O+8t(}dDKGTCNYa1B9qDA##wYuL^ZJboj3(t#$P{O?EU;bR(MX_t z&G-T)nf)WuU~K1f{~?;k`2Kshn7h^!0~sQ3|B!GCzJ8%G$uu2J)u~xRRqNY71eko}>OgK6*nPE^0W1ZEfO-y>_=G7MKX(#v&9n*@Eq!tCxJ7)4 zx30fc+v|aq5pzqIW%9L&-$hC4PT1+w+M#mW?sl5qCF$czMU8dCbKB0Fo9LOV*}|(a zSauzalWC+3hepP4MK<<9m@gvle|iDxGw+BFKtIWotomE(mP*+H5fMkghdzbd^kPIR z^TGfRkn?2m-W0y0Y@>*l;JD5>wym8}F-V|;nv+_iBt;_?$lw&ON%G>4LA>%AR9Y!j zl9B8LK!3Knh9p^6KU+e`XVL?{rY`2I6Q+4zt89$SuM^^waMF}Z(!Zve#Ne6e+8{VC zNIDq)Y@8+W-NMvEozm^>a5pVkmArt!TlqOgl>pWgpOk&R^65K4o}3!_w!u}YS=II{ zT%=nkA04USm+!ppTuP>+=bZy61@xYijXH(R39`Hc5EC)vh`2M|r_4LKECWNF98Mmx zYYn({m8mbTGaK9=ZA?2vKj0>#1?oR8cKO^6UYTCE=6l`cHJy}Ir-=z-!wrFGqumIf zNq=kPxQTVoeBMaS5`sp+S-D-tCIe~~B&Ga_NNUmWb;XnB{IDjT#&mqrRr|-Yv@Fl{ zx2X%j-X4T;qFqIu2NI4}m}cFOO} zVk}0r3v_$sE;Sb#X!g$>vR!#o>pvo8*ky6l?7SNV+;%h47nhE!z8U4`dp9+AbpSRu zpiHW@5#MYd#K{=}bPlt@e(`3rp{^Jo4UrdbudyDQUN-%br5CN5S^63=M`t9h?U1?c zH@?#!mu5L~#%5*D#bIxHPFhs98Cf*5+dB94MtMr|{W*2I%YsEJ3P~fIH^jo>b;Kk5?(E3qa%O4R1jzn+mK4o#g$y^X}o(djRN!YRt{L-I!~0 zSO?9W(NyFO=kmfGiQ}Mnw89bV7$eWbO72qkami)DU@K0PC7(WyrZ6|rnaucGjWn+Q zrZtlb52J6#29Ndt{okq>7YzH}^Ne-lGNax@vS-O0`KjylF~GVb|6V^Oq8BJ}YV{i; z5&XGbqv=6Ozp_nx=n&)IT;qYte0PJiP&A$N95^|wX=6XCFurvB)=UEQkBVEF=zg>- zV$%MEuU~A6=-m->VrPpOPDv5aimrMFcpE~&XIF$@Z>FW-U=VMfMZ^Pd-AXefuF7vU zITlO%?zAKVd9oz%=-iZGc&RQD&8A$>>u}}!!Y^IUbO^9Pv;QQ?rtK-D#^V#srfM2W zIi(XKC)g<~zGa%jq14%UZk|lPvtw2He`fzD4sI0Z{m+=m0r>lHnzSQVzscI{lQIce zKH%eWvK9X3KY{RSvtSFJxg=bRl4MyED2+R!y(@6hc#F5)gic-M<;NrHi%B-JU!lb! zWhQcdR+Ji%-Cffr4*?b095bDPM1UGw^Fab3&R>*-N*1|QFe|RH;we*r$Ui=QZO>5m zEq6#^??$ot)@y5Lp+lO07VNFTmVLY`^A$AnGt)t7nXs~(oF*r9@gl83rPZ77^lW6+ zGiK0irl;{Q>hct@@XoLQoOroEThqiDYB5LAPQsixfs1<4L)pFdE*(eNAewx;VX6Zm z&1G$`Z9Ob0%lb1Y9h z$w~V1i3S}Kj|`;oXvfvpuN-jQPrffA&(=j}g>e=fBo2j`(y=8ycR5!k33(juM6xJO1`Y&=HYU%=8|_r* z1rEpulQrVDtGG@4os=x~G}Eg|(zJCrhQVd#{+yK8n!j_JaN9jURd^y|vmyivdQDN+ zEd2cWlL+NO6`x~;qUMPLTL80To;&Xs%FU7mqLI+W(CYr&XGsNTR690(T|0O38QA0T|QeKp(|(lU+SJXz^0ym{POq7KyVICzGP5?y?~!Q zxqVg7fwxrK{DXgQSST8EyFR1R6e!tVTlUI3P|Ks?sAx0>fBUNLdtGg7>zR$wL_X-s z;GeU%%=hJ-m-#K}_fm%4ToEwQ)fOWi5K1^ah5*@wkaD=Mzn^RHY6pIDT%0i?z?+Sl z3>pyp_by)*0?77v=dTB-kurR;cZKs9$U!PD^{5kLxg*9?wsEb#$HN(4=*s(Z2!GT#k-Zbi+W(@mW#p_>!;RQs`c<0lf>Bl0u!^wa0S#t4IJDijAwTDut+?@VTu`GR@?_b&6mvUIGt($0I zM6ooixd#TOT1veaOXHr*m3>s+D%}PgM`^UwKp!NQ+(Q^2_4&UW3i8rvWDZ1nR2n++ z9O{2}h&g!^b242s#@LsHgYroh*U_Nqb|r=Ah9J7P-6%U+qJeoFB(4H;cB z*ZA)u>erN(^lGyte0hwzI{vv^sk7w`7KtvcXOjwp;Iq3ry$Mcfa?NVzPi+b_oC#Jw zip|C5#WGxQ>*@EviL>wX2bGfVB;|Qoml4Y0D<>IL+Q{39O}-bSlefM-Pn!D4 z3*Oe5noK!vFi~wv!#=eYvu8yH^M@Fz?|)bE?t;7%+bIGrGrj$bEfs+00R-ZOlnjY+ zL2{23!d51e+|A8KEdv*=sr~4C+BgsDZcptxVOXm&F|A&m@C8FW5VIEVSZJ+3@}m%T z6$uf>+CEMJ_@{%|1u7ly?j&V+r6P$Z=WWQP)Ena42emz=jS57~6I}$GO}EGAjh-kS94cP3-h>Lprx#|i*?g!xYNvD=GpG_Xd_X*>#rzBv$U0vS7k=0 zV?tF3%3t%ob3GNR9d4HP6B4*Sd|0(&@`daSrrZpc>{O=g3>H#NjXok>ljhX6j)7`d z7_^>aWM!HOz5bGb8rx!(h?Kl>ZE4%w0rS+_r_YzT_%?>U58uxPWEvvy zQwcH}Lx*jb%wTv$T4y8Gy~todR{+38ACLN0-?Sqt(@l%{?p1yke9oI>S`0QA#v;l2 zS5AJ!K0gZ|u#$0V5|)FV_JGu0M;x$WI>&E)8^deEt(#=%u{*;^d&ckxyiidI(_Hj` zqx62S_?D>lS=DnvkxSp9FA}&dyV3zapNpUOaSbUo+305RgA;8)TXa6Lz_OQ~n=@e)q;X4nD*S;#$QWT{-z4v>_sFVZ88}| z7T~~J6WLt_!%#Ok9>9beMw)R<#N67vb-k`F)5i0k4 z==(C4C<~@d#RQ)Ma1ak8xJ6CFsZL)JK)QkBp;k9&LJW#>jd<|Bj{8x>5Ic%f|M7OW zSK4cQLAu9+$&U+bgf`QEMcxJ4ED--%M0G^dbVQlRsk*3gcpv^sa?6?HD0o_#^Mh@JUW1VmcMWm7MNNM#lo$zJ? zxpMM7M%|B>7cn`4z}P83Um$7KI-ny5^grx6V=kP5a^$l4=8kOu38bsU9OJ7Qz%#y$ z{7cakv|aR{akhwLwsrWU#$TGh-~d5bX^6ageT znu7WjFU;zFW%m008J;Y322Y#l$BEhH2G|&+0O%|j#6HhC-Ee=;T~aq3_y;U%#A^wK z>XM87VN=qC}fqNJ^I!(tgs0TQ zuX?uc!zaQyn6&hqE}s^z=%Jh2$4)O{*|bZG-`4gIX`B2(BDoDA^;e*qIR-LZlotUc z<5?oY1@5U(;y3&}gq-dzOO%52U+?;(hoV{Jyj9##XHr&-P|(n~4(7(4BcQ0%xeclF zZSykpUZ^vVRezr1_(wO@XiTdBP zRdl(E#Y>Y@p70Ltm+xU}$u39^K>?Vhs4*MmOi7TX<*SCqhTfV-P}g~AQnWA@FuH$L-H5J({Z(kbM8Q7L~(k? zz?J72lZEN~SikG!l*1|SB&G6c5HaA^-FScGMFQkmvK#Ht<2gA_YZy(+JbE zc%9ik92JFx+PNR6FH7$VdASuVuL<*4o-+cNo{@e=0}S{iBu_!7zD@E*6MM3T+PRg$ zK~?n<0PRS1CXh*iFC(nkNTIsBLO3XfhGEP@V#fz@NX4PrVbltg1(6Tn$cCrEm-}x9FH5%P2DCB;cJ8*M8 zDVGmED#(8lSrvpTJ$m5fyk*E+2j$)ndk=aSjpmeKvKo3kyv?=Y_4N34U7DrGbkED* z2I=TGbfZbQY8}FA9V`>r@;(iy*Oi_l2SnEWD66nW{x&fun_NN# z#dmSSLUa057&SzlvJdyfq+ zT?Q1Mb^tj~!`?o;*Ja@YmO(mYaU~1tUPC?SA1%5+t!H%9uV?m5t!J)ldP6%Rs5R{%4 z^-g`E<0;tm+zXWXQQBPUY;}3}0K^)k&3t-!Mg*Dn^Oi}mekK(?19DlV88H$%CIM(MCYP~ zT4%!n?5X$raki_rD9}j2{*!`|7VvB+z}%McmhRwz%fR||$}s5aV_0^BKu-CO)2DAs zPedh-T$*%vY*>U=9J%PV{9c*1f!AYeTozougU|B1RK(i?*3vUovn2f8s3L~lRY{-y z^m+WmibXl;T7B?MdwHg?{3>tCdQ8rcG+4CLw<_$blG+@r{pYNV^oI)RISe}WdK}JO zxF4$eeE^+luFT_>JF6TVjA?i^^W#z?5;8wOi<#R|aM<~C;d`JHyKO>PdvAtoqDsy( zOLX%%@6{X*FDDs7>#J%y0QsSLiG+NJN$4W&$z{s?5dT2N?av!)IrV+z`*sAp4z8Gn zJZsHtxMIsjJq!kR(ZGNjUq|b3SEqG7XqJNfb)W5FWVKL8f5v)LQ6t6hmtPI-Z46S5 z+nqFi(7~Yhm)BQcYH&F@2p84k5ET}bzDKYjySumhqNX)2v{`{tr>?QOiw(eGm$jU8 zQ|#^i!STN@f@}C%0Jn*Rmvblz4Nvx@;hf#XH6p64vZn$XnmOKnR^K%h#tXag$Y4Ll zxJA&6*gtFYkY=8)I2O%b0Qea$l-o}xpPQhHo*f76|rykQpf=dIpbl8ax|cT0*Z z*C-$xp<$IJ6&0>@X=x^tO^v9=v>ExP=3E$7uqRrnfLD}(f`R9)26XJ?gfEWuNmvTv zUR<5K@rukL`?_oz^WxT+T%E5YzEP=eb7--Vw2S>RPUGbr&(nKL!+MwmaAifh5LN#+H6+-Vcb-vj#5&(E9On(_c=EZe^LfW?p+4@}D!?VjiF ztRZbw#e@eLDU+$QKo{`#eeHHqw5}4DS0mZ|!yQ7c_eBk1CH-jV5%oK*q?-C35VJ;I z5bYr!Qub!oG)MX|8I7<+Dl%c%r>ZAowxjOA2g=GVrf+P(8%gb&ADTC+Jo}^W>zr_g z&$&&y-+`+9(a7Tmw@Xp8M9X3#6q%uB3V(<>d;T!m6+5 zU+(|OFWa7GwuMH&zYb;kp3^2M5R9b4i@(G59iD4_NI{CrDz=E?x7kB&qc?MeR!FLP zN^(w4xgK29`%o}VBC756O0cNx^P+(t_QSjGwmqQnFtKrBv2h~3CnYhW%qJE1cdccU z`KPK&-?zzy+^rE(lbFE=hk$ISnGoKzKe`P%@9BXWX^au6>O|MpbLQk+`frC?xhFHu z@KEhF=0Tuqwck54icanzvyMv(wnRQNPmKi%Zc`W-Xh;3*wKCTfLlg0Vy!rTNUP{VQ zVC41z4T}I7IY{AbY;?3hy_l^)v_w1bJ~5qeM(IWbn#*zcVXEJwOS;^5Zr54cM4u#9 zWGnVM=bwgb*GgBodJ(6YG)_)VV?BMoclLhZ*m#&1IEP({_V2yf(&7rRH(D`7m(DDK7qo5|IW34fa+cg9Sc z>4&Ww7XNnBaS?*)gbDUGa`ttEc^}KpQxJgH8^+Fd^YF@6qst%l%kaP_>s0kXmhPfZ zBlr@D<&oLl^@%>era3P6DaAE&I(C%JXb>YVvQ#$9MO>tuj90((j`nY58jmmCdfNja ziO-V1r&50pq~f7|r%@)Q^Z#VJQ~Sx#N6RJKnZQ|Zy}NMx9cylE<93t%mjSbxaM!2; z>2FS?QYq20Dba-<6`1N!cU$fU^@^AuC)wjv+zE=l&1B6^Jw^>w&~)K^3eKweCLiKj zXXmBy9qrkc=5`PJ8C+Bd&A=-pP&yserDgD_g3VFi}~TBFy8(= zZU&eJ6dJA@O$4F{7tOwB{`eHaBUrVj0pSHs4xJ3^{N68XGJ9tP zl+-nvNz*~Gafw;*5K{5uxr2%b(1nE=i4xE2Rqxtq&Q-ZAQQZQB7pu7%l*uFoZN9z_ zhl3b65Wx4AkHRv&wjk}J5L*i$!olWs1@F_;Ltc`%aG*ac7y;VMH+9t+Tf3-J!EnTba{W;-yH)$i&XM-<~NHVWmm}kuk!1 zBBrQHX~5M{df?Rua$DLY0J@G%*)WQ?$$kcLpI|)Tm0HmMHN5Q%cpaDN? zE^~omfo*2PbKqhHFH#3HaF-DBMnmqnCo`t82}!7{tVH!%82@;M-n$viEUq%2CqU4_ zx@FpaLQzGQ8xpcK0h!^yWs;}Z!kN!R^TO5GTF4Q##Iv;DL?Sy!0e;t%$8N zUQUHS~Kbn?WpEt`Ss=mt=c_aYR zA-7Jaf21IzSuV?Lb$`pc#PL4k#sRholpn7H69*=ad0P;V* z05tRUA>PZ8IH-&!%DVh6uu2L-mv#O0K`)wOVf@$r-M9q8xvSY^(ywN-gdb%TA3L(9 zp56DeM47!NzV-x?Q-N$5N5hhpjXCqtM&>XxSM01M8F_Nk!I2I(n+d#X5@N zZ&oGf`ByxNJe-kV~{mB7@Rz)nY&FVCUF~PbgcANdRDWHXf==P~9NWkt3_T@JB~d(IQl+%rau9{>5+L z;{Vlgo6~zCDt{j{We^57+kOd}F@DAtl%=)+p04j{3m#r7fpC5!L(8zjS(6h4RF4`1z{${|G!f5uilWDW!>N)dj*}SgjT(5sLsL%a{oK;IDS+Dqupv z#J#jy;M_7;`~|w8;A{o=b@olC(KM!;)`VyWrw?P`FnAZ~(OS>}JT*BOqsG6CjA2J< zx5Kc_F)aobxv_aaz5bZx2F-fycI+(^FH4Y|$KJ>*)*V;eng<5m^EKA0jd)?bQ+vJs zM{NEL)V3yZ)o*F1(1pUkjY{Qix0NWy@2d=_;l@J4iHcn!S_D^P(~O}@VCo)-@A0P$ zi{Xv!*|oO@VsPAEk~7l+dGof)di-d-0$WobXb6+f}{Xs@2zLXH1|?^=Lc6Ym4{)(SfqY2ULhXpfEfcS3o5ccdU+{9s*zpC z7jk#2rjD4^Av2+WyA9*hR6fUr3Ba_63qAcgDujZ4{4+>SNtOww@Crl_Upj7_dNY+N za8&2V5T>Blzwp?G=Qo^X%5`l>G_h_es&~Am(@w>G6sBg<{Ep>hg<$L8QDFj22+b?A z5!~UI3M{eolQjEi7kH6V3`X;T73pu;EJQj_$cf&!kEL_n{V_tbb>C5GUIp`AG0pU8 z;%}%XVnS1t;q4&tyw}8!#;yG0$BR+Qw7kD}*2oHEve4OZLbcD>yqRD39zsb9ZLM2m zH>!iI;GS6|>s)!|YMI%g+zHYn%HN1{?;=y7+ zW&o^}0T_IS1qEn|sq#zX#M#lJx{#0%r<96v+*O)qd$a(hLVT#2Ij|if;E9BC- z*IMv?)LI#N&tbOdy_~eO+gzP1{)C9dnr57|2a^J~cQh0ZCrL=L=jkVC&5cTq<>`&m zDgOm=OH$g*q%?g%0kB_te{4+09|th(?AOleGJvh%UiZD|8FX?~*K3*SHb zJge>|_Ln~h;}uCW;=3c(V5nh`x8DM@O3g{j+u-7B05XgzU!`A@^S^DxUhfvpJAIn# zNBSw`#!t_dCyfb(VNJM{sQ(nPHSn(+%QDU@_!Y z(Bcj#9ZgaU3nf)uc@i2-raY9JBnd_4mrlE#+a8y z;d(^^AmOqn75AB~H63lDlL~QA;&_y{;-!9mmvugVbkfc{i{0PMW}BKjI{bW)ML!V1 z&wo~9(^B@$<2#!^$hnycFgwLPQ26=mPeNLGL`~dm^rP0#vZ#w-I6hgb0-3U-1<4;dGX;u}jq}WhgEb#hxl1-lum7*WNfGf)q|z&=>CEKS}*mk<#IWhzSHE1r4B_Jl`SmZX05#b zn^vl|pJ8O)Hqmq?Kaj3?E+KfRBC>psZULULPI28p+-w=(7cIVBO<_|HAtbF)vj7-bjT^(i>8=CF{d^;D3hHjxMV7-E%Wk*Adc51vj*($4*Yi?X(vH9vYC~SPGl5&A-dl)F zisANszpKP%xbgi*q>0r>$p;zQ$GzEpwzaq>4|Thn?u^hWHbWM?6Mtf<@KEl>=c1z7 zS?)#65%&2U>Fq=8p5OXJmGY)UbSGan~rSjw`c>kpXW;L~c zpz#3unYfZbgO*pznvp2uS-*?hLk+9Qr`Eu8wYXKte&8`2``?+ch~-hmox7ARDgPB* zw9*X-{_ORqvLED(CO@f~ScP)S2&%0X?0^uqYL}_v!9Zq}N#{_e+gl4q zUk-`SEjUPhxq#oJ;(+#Gr)F=uJ)GKxe8i8=4hbWlSlP+juxcdPIe*Op`1n<0$aiud z<-nk05NGpxlzr{q(#2;X(|q4cVW+|S4z!?}!-sF;+52QQdY?RcnrAAmc2hU(lg`K- zXm|C>)1-(H{3C^(DL$5XiaXeqZ{0Vu*eP72NJ(zAI*yFjcR_PLGYeD6t4qhVoJj^r zPQrLs1`+izsD%D?F}jSqzV{Gl^= zhwnk)lBb!Wmj}2Uun_;VmMkNEl}!o2%voM5X_rqJc;8AR8ow+darHF#Y^!3HkYYas zBZnW0A2T5el9b;z*M!5cp-w1rQb+?iVc*qnh;=Sj8!-9vLrV5x!SI$xYZJfi>YhGf z{;U5c@xF#}zRWPo z_Iq=#n?eBz^Hy6P$Ve|)?peq|LDXhL>NwO)Qb%^J5!klV5zK#AUZ;)+&X%qe9 zCGyA52zvu*GAOmq0}e{pTbz3)GE#d3<@J(BCt1-95he9DreC5qocd2*WqQ0;W_@<^ zwa2lnFE{pW!kV)syd8{B zHyp@Tp5N2O!pbwExy#w;R5BY+snHt~k0GDX#~QSN4D}7Zn!~^+lN5W7yQGk<{*RA` zaZy*wAMh%h%ubAi2zd4L(ED)4uhw}^E^DZD*Ig9dzaN*~F5t0?uH1KtQ?{9<;abu6 zT2b~|ks3o4s?`04!mma4kmgJO(0?<{8>rca{=`HX?N6hkymwBd&z0`_Oy86l+H3!O;dZH`iF5lldF|Mrt=&{_g#sbX^ZQX|7)Um4c@e}Ih^p`D(=r4?VAN2 zEUU{rPW$+O6QKWBMdN=Lr~iw;wSgX_?7xFS0|wKyNW&q!e^@PV0Tx{|J{)X|q2Dk% zI``YT6Yx2s<)erO^jd7B^J{`P8NtKhrxF=0);bGw`3E5epsmgY<%z8!xNoe9N}v9I zd0^}?yq(x5eLN?7lR)6DhSd*rwid_ohKDLCgKQHTs)9%Me=zozL2sVG5VVtUA~rdpopw}FP>QN8cMW;LOsy&I zsFq+OI14?Q)t)}>+u;NC{)N=K81_zyn_kwUJ@#i>?aEC0vakqJwn7nEqgE%G{>C^B zLKa3E7Ul+S`QJyhN0yibZDsD6TnwDnp>>2D+P*jf5?ZKH#BY;mk;8XXl#cbPqj23XiK4GqWgwC>Wb>h#&l)uID`WpRe|rpWxw*nvKb0%v?^*o z1oG0m#_q6Bnn&ZaMGUpO2WoozjmKUnZ;31B^|3#xQ>v2hrW{FBg@-Px-L5a$m*NoP zyx=ljR-^HNsY$w;sJ34toUnUequ$W?WsPQ!68zwn`K&=j;N{kT`+kO|;8>&OO$gfl znMiss`hG8Z`Z_v}pc8^)v{l-B)jxYyMZ=E}(|*ou(eT!bj?9CdAh2@|DY`1Yk>>$% z)k<4*dGi~mt2eXlErzbOZ>^Tx8~K#e3KgeiKYe9WFP-Et4d{Ty>tJ(4qhMzyk-%g71fYB5ahKwC^qb%>=zq^u3fVu#+530t5{j8)K&?f zE;8`-fkj2^gI>mE&6~W1!PZ2AOd~2@ZBKKNp5eU+EKYwlN(MR`{>Z2F@T#wWfcl&U zq-_G8+*8tHykkZ#=Sm~bT}GdyX{L`P+<)(jR6I9SOkvO0Z>~MueU}#(5V9o5SQs5S z$HcVLaD5ec%n0w4sg#a0B3wAe%%B*_d3Df_lyQ{q^?lgGf0Z(*ePR-dJn&XK)5-;P zkHNd7D+=!Ck{q{g@jstWMYDkhi(?{T;xAwWLKqr=yKKz)u*=LU7=&*1pk!S{w4S;Q znpplhwrs;`dd*`Y>2O1>h8To~(Tj%h{eft?R2d}_{tfX(mY8}^x@+6MD^@5zpH?Y^ z?rSe4WJu2}`L!}IHyByv^6V0FIsd^~3WLwtYjl}|_sH_y>wxSq#1?8%T#D{qymMIOXJa^L*`laec9)osBW<#)n{f6I#6ldp($|WE zmSuzNRP~9&qy=ZV1;eNoWgB!F8a!quNkbQ3B@gG9%WKufCHeLx~eLB2*~DKsD++VhpB832VTQMn?Zg`*R+Eky3UN*uSf%Q#p% zsFj&%v2Q95M@D}_kr&~9P8jkN>K_5cN0*&fR&OjsQK zV!aISYn31Q`&_~Bc)DZg1O2yiu&13H{@yH{yVD!Ky3-%e!NfcIMPYN}UXb$g?26{m zz<2jx1%=!{{UweQa!b)2J@K+aL$y~d>}8H6`55wwkXonopKo8^sw+J5gb{eMWFHsP;p#fJ~3kIK^WIRg?V?3IM=Vfri;U zdQQAG_?}6Y1S;u)hE}Ka^peqlXVC}q^2v7RuyKGiWSkAhZ^+NGv_c|ctWw$A3N@{p zkob^$+9kC9^d8)dZf?_Z)bl}=F!7PjAM+1JOy4g5N?PROn`t$!hfaFS;3%|yRsewe zH^5U?eH{#iJk~Ol8p17{eHy|hB07jDN6U8(ZZTLV$5Yn3DH71yV3e1Q4HT&2t;>c3 z79(0mxy-n+DfRglYALO*JamXf?@5YM5wZ%U!`Ua*0Y}8|h4sB3*F?nQyNr_k(K_}F? z2@I}fYY2+Fd0=q_D!n}q+hv*H!8W;Vj)}=D!mkd0Oc7BGeoj>e6=enQ8RT!H$ru`;q5J-0GlfNE%2+4HT@Y?O~WuitotiQoLXA2 zV4hf&^20kvler6j`8dq=P|Kent=s*g49|mY=BlNoenY_%=Vkl*d55-n2a)-W1)U@w zvxxXZyz~4`zb&J^>)uw3)a|qnmh-aItg6$bP8ChskS8Z9>JjI^_F3e@bf;Z3UDol@1U!3yT>xI&^}?W_6m2k3nM$BboGv!wrl#eeD#6TrWnDTcvtq@(U-ChK{Decw z%1HEh8qlS%(8U;dBkK~ckM3bEr1LC*h<8D1TAZGMu0~a@{`X*^A$(Q|r<~o`^v3NDbW^apO{f`P0>tRM1U`7o4we;7@LdsFOTWRjf zF?Hk{4cp2PIyY>;Q7p(*tVQlo`$KL>S--#j-#{lYIhP}38if(zS(B;8F`=0B1C>2P zCDp!>LM=axxnMrvaXmns4h~?3R8W|aK@MzLIl7SF|CYsUX`^ka8T0+zODz+uA?+2tA96OoHj5zUhV62+%Fv5 z{w%K!3Oo7yd2Kuk>+tZ9Q#--DB;XhLja zaGOrE*Fxz| zd}SUb6q7*BO|ir0{yu-OMaWGDVP*WdKq>Vbxk}|1CUaD^=1tL;o8#G0ht8+*@e4z~ zZvpYA!!o1s)=cU#N3A>&WE`{9qW%*On z8P$!dnaiJVTIzDg6HP8|(&NPpm(;0_GNvj~O4YS6hM5hv~x#DfdPp)7fbFztda zJB(MO(oXv{Z*~rXQNN6j{XUxLReij3-D`0#M7iB88_}h=Jn7mr0oJuz0n+vZ|xY*Q5vuzja0X&cQC@EbQi%n-EaXqD#$*Bv#Jtj(n z{9>wdUD>hC?rNrf+sAdM$Lmd1sULjP?Mlv_ZTJIY=l3bXY<>BzyO!%TlD={k@ofKz zJ6*&<<+x0`mP5ebCRUE15>a4@m~(vOv0B738DpT6VlPQDm=Kdlt7s4jA75P&k{W`p^vewd^q6Bv(UsRNWMu;8oq_f)kHxdkCrrCvbB&pg zgpkWg0)Jc~s4E_jy7zaampiyG1%lg3kCNr02~&_Qph>I_?P(&U+9W}+&|2_Z>xE#o zgA1k@;IjAPyzcW9{dB_7;kUqszBGoh#Ob^=yKXGEao$GE9|!0nR1i<~8kh)Ok|VNP zT`*goh#bLCqdaCh`FZbB#KdA;deLSOWWvx@D%^LSVx8XIDSsEm`0B8kjw@0$ z-jXTv0m!W~VV9x5t_mjH)`=v8hXP)#sd4Favji)nhmT>@tba9OS*{ zTNfXTrN0(egtrgq@ALl61@B$&hf{g?NzwS8R_j3|?#nS7vZ+}(&^LkP+ky4O`sUrm zg5_=hAD1-M5>mAiqrviWV1%8qZLduE*P(feQ7We%P^{@CUVKdZi<+yb$5eC9TPxIc zPp5D?X-sc|s-?j>XyQx(g8;#dU*g6mz_ZYBeKS(v@f64ZsHKbyi|= zXkzHOr+&b>{rnYh203}uy4C$-EFS(oQ2)QY0RMll@mi$P+WR+sBweRIx0luu(G$Mc zE-n5~BKE6YA&VZzVofwH!d~Y{**@38nUVp-6XFjX**?emVlPVZt-;ZmzA%Kh8#YX=uGsE;9)dVkmr^fS|hC;qlmKv?Ntu$ErOZsmAit1zg+K9+f`HzDP&_sh#rI}Y zlK|tn7*bdxHH)eaRPzG+dgrNC0jyC#?~wkxI3hw=*A?n>un>T1IP6QHEfnI(qv4kD%k*o{z+8 zeOt779+IS?n7TcGqTwN>3FOf<@U%+tJF=w9fz%-cAZa>qc=(i4ePG%if0ST@nP@%3 z63XKT<)AQwU`1P_Ot4WEJy%HtTUj|KJ^~s2WP|qW{;V`jSdG;(*H;dUM7ljiVVYuJjME+22zB&0DG1nJB ze?9D&yU#Rds?gyCc$a|+8O@w&MLIIl6V%r=-tt+STub|sxbMp^EzkLWcf6agGtKMb+s_tc)UV?V z`ZQ__(uuAu^hdb{lJOs$5d@kOjlNt>S{nPW=!Bu&SsG)gE5;+@|H`xy>@7k)$= zaX+5X)bFaKbIi)Kn}t%dj`CS5p?`JT>;E?SL#4=Q&d)Lp;=~t>F8AYIjbrVn@X{Zc zixOJxtc5NcTw?0{9#nV0zLiTvXP5+cF9b=X`T%K9?mo+Yzi2sI=2J7>`Hj@6!!WpQ zu^i&Q%6SgQ%2A_`C5JMKIw54j*85$Jlvt2){%TtKT#$oz-!qu)n__Iy8z&svG>D;0vh0b7!rLt)>14(B zEg&G$Zsgc};$78Mzr+8@lu8v98T}(?R$G;zR-yE3ZG|jua&-dC2iCAoBt%^w*7HA@ zK0YY(i*s!rJ*38R=^{_`(oEgTQsj-g`DDi0XTJ7}0=urVkdv>)>Vj;`T;%%c;NOn`qA{9G!GMC4MXw}F+S!ngeE7z{FT)l zVJ2VCJUuX{S`z|{d;NZ`OLin&#CDfp1%iK$(LsatrmJMZZJBV(;gru|y{r5~k2W1B z=;d0DV3^nl!pz%}TK{W~-{YCR_upppBwbRz!1nG%m~CzK^i6ln+LW$#`k@<(BE2V< zpWatD|67d1(|{nCCg}D_@)2L+1}fQqJ$^jXMjr3l8Xh7caXnSt7v6pBmrJwcIsFpH z4gkuZk4nz|a8q(ZJk9-x0xqfpRuj9F;(hOcEz7y0U06y_9zGz;L3sbj{;Rzto)`Y! zpoIdfnUqCP1_ao}jngCj!_1=n}=g-8qy4XQl+dY1ge%OBs zZrmYx{tm`pz(8Vt5POxuqzB&d{>imjHP~qQ;?mfy7tQ!>bD$>JfJ7P{t)H!o(#qJG zp&{XuIx1ZVFnx#ek8aqs`u?I?FFNd@EOdXHXrNbCcJQm z1^ZO==lYj)%V8l?X9jQ(E5V_9qvS&ApXxs`2)Tqb%huR!@63X&j~@GOz8tk&I^co4 za=q{X<@c6$cTB_mg-kSUeBA>98~rPB+=W;+4fn+T$E5@YF0t$4KWF}Ap4^x77SN~& zR(zJU!eA$A+exGoCwAB1w(!zpI5h=Z5+^SNr^etGBWZ0lFQF(tIHb#>h*EmF1tnbT zO@Btk(hre6q54sb$;2gO%E41V$#pc9m_~{t#Z-qNW{9e7SYc#5jJc45*9VAUXc~MF z5a$6z<*u{T>JNS{F8nSr0`%q(g7=kz1lP`pAk#5%UqH6rSoTLy6PYfrjJd4AM?JyT z84&V?CWfL1Dzbk+`X|%1>ty$!<61W2v8)Hr&>QhZ>Q~H3AxYNj;;=cs`Qij4oY-ZOkZDW9Qz(dC=3P1vKBwzp7Yor^mh?NkePkNSSoeL!7zpp(COJ3|Tc*-_`G|_MSj&owT8sGZMuZ> zoGuB5Y9Km?*U0E-#tiGJ2{5nRnj^)|tYwlFNGm4EP3sT;fN0(OF4m?Id{HFAHiM*j zE{wxeqHDB-a<;=%rqwrEBrJ!gzotla#N?@BeeLMs0b47h5w|jFzwJJPVE4P@(*|HeJ_Ue{;Vo52DdfU=sXv z0uKTaTK>Z_MD%5>5plgd_x`)>M3GFSx6{I}^TY(+P8;e7mc%Q9GZV%)h?a$ReQi2- z9o}sYjYOHj@)?b4dwxbHre%vo?tOoomMs!Fn^@ww|INC_eV_21#o6DlSJ+IyjPWEsq2O}$p;8eR&rCiqf*m<4zGp}!waOKS<`fpWWkW|A;mh#o z<5fb;jPxF%RJg$d_=UzWR5TXVFRofuyuG28V2H~1Vvq|w zx{C8>Le>hpVkjwzD+l5XHP1djsTGzym7{pKL{FqrycvvO$$LnIY$JTrf70hG;@>#K zVY;Cn=urfYLq>zVobv^iY{ncBOAsLAdW2 zQ4|G5--mMB_|Z}=g2UI|tUu;mZl)DKy4mYqd-3BXi4B;&9F`w3(;Yjyu_e~En z2^y0k@Uz;eXDXzaq7(hoM__g6Cxr8|&7DqP(l9nNxkxi;1w0| zFq|WU6dg@~D@PQoPCYkKgH|B8bLieoo```q&+51LbwBArB2bBP!0tya5%390lVg@kEZ9nQ$9rao9sGqjqH32l1(qdipXp}+npDMqS&!L2KH{3c{r>B;#Nw?#^4T6+BL zP3FptmG4XKQBSGKp{z$I?G!YWi`G{<4+HIcvk7CjM~K8nsdXa-Ycr>l!7V3+x1!(p z8w^3}dc^)|O&S=$^xc9==>9dSxu6~G%CAy}5`}BSie$1Gp#F<{pfPi(91=5aMDch0 zXfclzC!a{Rr~HvhoC^_wCkhKDFppr%U#I&7^xu6FX5Gdldh_cWZBjxy_G{}K+yIxX zxu(7qzO^VkHZc||yH@EuwukDT(5h)i!Y_50g-#*-uj&-pmXl`G00A=|cNDMg5x8YgoMj~s!u!B*{iZ|Qf31nBfbS!&?~ z<8Coo#8s!X8Vr5!bAcLEIM8L5_>tlptXI_kurn4uy?dXTj7gwHRYHW1jQ-6=I~QVk zeJX~S^k}9C4Q79GmKlrKkwAn3q7xF~^j#b-Og-~}&XggJ*L828J;YX4P6 zbNrad)5j{*C*{@uI76^#p4ueGn#C`DsQyvG11?_Qj_$lH=eY=th5|Ybb5Wm$h1B|b zeI4s+7TRNU&V!Ya=f?Dxst$Ol0(r^U=zrHaf;`j^E}?O&&siE9B>rb4XZ4ad7xVM; zo^utVmAeX(_jr#`$(N(s0f$>siSu=wg2khb^53iPe*gDh_22&-p(rFudLo3t_J`M$ zAc$ge4fu|{6E5hIWtE9URN9wWuG9$YP&h0-7-|O(yPLbcL&2pt3xUB6Jq(|ttAi%< zBtD?BOVy(CF*Y;6VIXt$4+5OZa6~AnTCWyA#>~?%uhUVkI_Xl zo=cNK203m-ky<-y$~NqFaq(?y=ZR2s!>AoCuBSZs7xh8|16=V zj1*mL>d&v~>DYh8`iH!!;1SR;4=oi%S^GlqzEN%lI;ueMquwPvX<~eRH(g7A9DX5L zT6IOPDuOjY^?o{e-Nja>Lc<%Az}a|@SAFO?^qu$N>vK<&_V`KBJ4uJP4S^=k7izK@ zg1DvVvke@iw=|q;8&O3_Us2@_dvE zLwrGKeau52cxW1fm%QFpLA}+0yyTOuxcx#d2l^|tty_ZJgc>jz%OH!6#JkId{ai5l zU=?jVOA$&8zIvE(1%yJ$@9t4#Jd<>NDH3fwD|78I60O}JlrXAXE*!Jd;29HS&{U1kPA-Yj5?^yJJgYdaU-`iwRk*O|h?LW*4hf1Sqc^*B z=6Sg1OYTSa*B!ad8&9l?@qJ>>DqE%>q-KByR~``wuc8X&CiDm_6bDx@VODA~#i#|s z)w1aBj63#RC)Z}I;ww{h06#XX6 z{d8aIdsHB;`yPy|wM)L56{y(P)Rjol{2O{LMJE#~l5GYyP}KaT|JDQ6m=ERn&`yZD zk3n(N-XLIfqMGcqcUcnAaBd+_g7LBO-F+eLF3?>ivxaqOe{1uV5yJpQvEBdqk{VA^ zW3s@%CXY(Ib=_7p``GI#ls=(|g1><)`aqYRkPHkx-e%xwMo}6At<%n?YwH~)r2(Nf zHf=rncex4gl#;xJ6Nm1Kmccp3{RxST z>v-eH>5o5YKe%fpa3FFi0$rO`aGMhXq5(n2##X;1YF}u*hXn`0zS;(e4ZOEP?%PXZ zZ*&3dAa8dj9^CIAy<8vsmW^(XUljcOMT6f)jKTi>91}W6atFda6x~<)zGoUH;^$u7 z6xN>iztDWoMJ0n~_ckL9{D29$TNqF^eBXtCn+9g)Ld%(sjDIXg%N^OVbgx>99A18?Nmd?}W(DpSth*nYP6)h!C7+a~f+ZpY zKL5iI=KyYmk}kuF4BOEw{p#q7Md^h-+9P+*c`v&0K-&J=p;S1ia@H3z*r7h*hHQ6l z!{YlQcDDuOaURJ0AUPt`FKh})ItH&?SUR3$0y*<%@WRkQ`aP3*YX9N4{$?&TWzU=9 zUzHgV?#HwXtKf$2G0v4$`yiNXPiI8ggCV0IxR9s+m79<&>w;41QOOO3$4QuJ)4{#V z8l|4+$rznDm~vo1{n<@VVTK{G6l>kZs%V7GcyQ8>KX<5;vvN| zh&Brq7?xClY@!O56{y~%Q+L5G*wvxi7q@-WtmbmyCX!2tf@hV>r}h|Q z2t-PGM(~$CPMWg}D(3pyuzlQGXiH{`2NZ4e^NJ5*f19TiY+BT7%QgU$bzZ5Yb8z0= zWUJ!1it{n`mDXiD>phh&f$!SZjOW=QxrtCS+tDFg9lu%OqyP*(HM^ErdOTdLZ*eoF zXD0JMrs$P9~l_MD#{{h+-X(>Cm3x zztW?0YtwJBqVl0;j&Y+jrFeo)_lDuX6E=m`rPklk_wf0|rduv5bFulFnQf}Qq`11K zn8&TVOK!@oW#yVEz!Qq-XSGct;WtU;VRlnN0EeA4wBZQ|_3O2r9APK6av>Uz5)}a$ z3uAwrvY&!5^u1a*C~{NOSN6s_IzeCROoCj;KytrIe%0>HgFrCss?_4pp6{|)#zE+K zkM|+Yc)m4bk#z~Xv1f<<&2A73j?EI9X+PSKSk2Zt|1)YvbLXpw{!ZS+03|+A19y)(2H{UJxGvrzvt`UySZLAj4vtBR_$i850DYyw{FoL9dp#i$ zJu@K|GGp-22Qki(3|<{>Jvl@@sT`b_zuZrJ&%+uoZy$dkg8Kl?ScoE}|4z-5+G z9q;bLW@sBLMA1|eXG%J?v;}7bmm3}_3&s=@$M`vipjw3%^pfQkzRxPOn++s&6mB9A z-)BO$1yKn1JyFP0qNa61FIh`<-a&JrSnyCJ`{bc=vY%~Id9h(=3`v7gc)yWDpqPZe zH&qlbq|6wE=wiz?^Wq{{E0EYXfAeSy>9W!kV{F&EMmBmKq_uJwyYo%FQMvKt-EhBm z%xJNr>PkI^(|R=9ypFzZF)$iOp>a}|nAF+6`T#Glhhc4qYbgDUEXs2(781&F)2cfp z6pz~G_vIEfY;Nh9ZZ;2Ex7y&D_ZlQdOOhW7Oha+BQa#)6Mf}v^Ud7RKX*B(FG)VK9 z(cpP&Wl-m9CkUGS2o00T<#}7kGjoIeu>sYJ4rHMJyR_lUOAk_daO&mluiV~GI57lm z-XHuzbr8@8LkX1`G7~5eL-y5>9;AHc*(Zr9Pb`B@eZr*Hvzl@3mgO7#FwTB+$}Tn| zvo3W;+h|Z=5h#`l<(0QZ54HOgPU^FYOrMChC1A8rpYXcaXY}!q-2ArMAQ^Y+?Q?H* zD{MvqfKRiiSBleqQSlj2zY?lB^DuBJYTX}iR;d{(9*KENaoU3m$4+$u6FickZhU(CVChGD^il2jK0M)6WT3~lcxlak4#?**vnFCq= zBii%KVO=^oJ6Cn`_ve5_)o04vbnJHtu4o`ibjUo_`Pp73i6o^x+cNxeOAhX0b#1xKm1Yl>i0aL;Ss42hezQA^ zVs9jvYYL~;d_-cWbbaeukF?C6lFoFSzVrL+@H-K(sr)fFmCK%BCb;otcS`v0ilmDH zwXap3b^L#FlUs{(Dz(R9(g$PZx=t5BQTnuUyn|_L@KG$*;b(hirhWicX8$pYKTcYR z?uIDve|H36y;db<(L!7PJ6>Y+xcN61l6MmE$|momC2V6m2rM#(!k>9<0gDU66wUi> zjCuRv$9iZe(SFTpOk0fE#I_I|bV=G;$C#_B-6~HiFVGf9OjZpn@~KOa(#@Kr^C{1( zSyEz+$Z0s>$J@Mkr23^cCT29M{5<)lOZ34l_S-w}jxRg&=D9UJ?@ZS0;RxI03cPq! zBjEQxtd+w-5eVJ@x6IrGhq=zMm8yJ0@;T{im6E_UUj>IM4^AKdMWd2Q8EEjta8}yx znd{tNd!a`cXX~mg-J{7y4_jY`(JU^V(XBA)NzrC?EE#Bn|0VLn57GMT4=VBR^qGmL zzyHWDB2e5Ny1~`?rJnXu19SUnv@_v0`6Qyql9|f6n>!s_Tv6g$X63cqaypbc>a7%O zqLoMTHrWiw1(U6ZA{WOH5-Z+?Ae=SbaV~X^J&MP@Fd?Le(e<^h-N0Z{5_5;!U*MVaL z|2~KVGxPkyO7FzW-|F?BjT9OK2vjpgw7YSEr344%@qSqGfE(K|=ZCe#4T?hg$^8PM zCiFJqZn10qBJPeT4oWW!Vu4?Qx;|&h7E( z<(g(qqw8{uA#JhUn)t+Pi|CO>^1Au7bM--d*RA~|o2Xg9?=zb3#LWww#LFGGSkq03 z;B#&FRl%*#%T>JOZ>!r+E02rMPbYBjPVpBfrq8A?EE3n&68AzRy(>UMBhW#HB4`4|5zsFXyfnQ_C*grtSrnFZ zfH_YDWcRc}IE7UzeS7KE4-_W19hnxdpF;PN+qgta7m9f@DB>+UaNsPQ2lyk86)4W6 z)28R?!IsaxHajdoJzbiUT%pfOhYzCnT1#<42LvoK)!x&ID-6-*L0f4<#j<%|Uu(gV zfW<2P-2ksAkTr`{h-~{#%27cMM*rAiUYZbA^i#4I!xljlERqgvAGt4bouzW0Rg(wln}MwjlcjwfhvQdbqOgeQyr8V?hF+zVIT$;msQR*{+_?lJMWy_fz2k%fRKkdtnYvw zQB_`CHOyEw3`nE2-8_AfltHIC=4t*OuK^_IsYC39Sz5KiyFNNTO*0vF`;yIZnQSV@ zqcJWmt_%Pvow=;oSm9jYz*K_inE?q?$3E_j@m_c!+UqbR=fYkY;}A4SnRzzngI2VR z66_U`VlGZ|ciKp3`*I0{RxjKi%7K8{TST)iWA0Yz0(CMwo%qMInw3(au}mE?gYm$s z=%qGfaU0>$OFftO`R0+o^s(pW%%Zp`vSZsLG3szmN{vu7tWJrkv>m}yg}ZA=X#I}FM9M*k&{vaUhhJlw zCZs&4GWg#{>OiJR=F=bConfYTv#YYw-CSvi1f!+-rt_gu)zS_=ZS z`wTe5ORzv*`;~rj=xs>e0*|`wA=mS|GZW0cPQ-pcTplP;Ce># zYCd(tjCk$%H?hR&RXokBN1Er}fROIz@7>ot(e^Xc1xQ^lC=yVOfbIHtx7ca^9~9Nk z!xqM`d|dv`!v2o}?&-mdivYz$c!PC~^C4{uSuhy=`_G$!kdu~~n-i+Q0SPkRZ*PBS15!{I(edUt(IEhq-{4^Q5s`#&)Dr5AMEu>Ccy$Ko~^s0XYi0+0F4n$ z@D)YtH6Wu;ff10&K!pZ*kcxzX*GBWWmZi9KlFThNKn*Yy3k}3U%8fY%rpMUh&5Hok z8YI$bp)zcqBr|x7zI`XykUsHWdL*N{M|Em{Nl6|=nVpet5x6c=nalU-(-H9Wtczx5 zzHn+k!42ubJor(B^yU{mh6sn9HZx{t#;)FOR7>U1W=KUZXMGLLoNlntIr zI9XF}UO3jWIX4ffzs{p4i|iOGG`_%p_BoT&gy7>hD@F-MLJ#r-wtP@j(U#ax{nauMp~#&-w@qS6+XpmJ;VD- zoC*SU#YUV=BMLJS0LDkHn3WI?qfmoWWckG@4iyQFm&5%-L&OwX&71#^1|zs*;Jd?? zf3&z{UWv^NUyvN=9FPgouGIGa-dH z_Q#|pcKEoGf9g3;VgEG!m*d**CeHWJ7`F4P@G`hiD+wIJ6uBRPc^OT>&r^$@$WIhB zjLoEdPDA~ORHcL@=JLN7(oW_go9h=P%wnn#I-O6)kL@-MX@Aa-4^b8j5dS%vJ8AnL z3JJ6~DgndE)tI0YVE#7ByC0xv@i`zqfAU+!c6TQQ9Tu|g22@=2F&^+KgK$Ztp?~2- z8{f5MPk(gd$%}Ex(w^&ee8LYWeYG6tb5GtSbHEZs%4Er#CF|BEoj2&~1Ti*r(6jt- z{I_FL?c3XWtB?sbC&h+?EF(|{`J>LE!*OwJb0q?_T`uTJ2EgBy zSbZZ;kdT(Y2>;b^F#1_+hC4o6_R-N=9)L}ZWg7G*pMo1-{8%cWXyG^T=zGO6$Znh#3v1f3Uq z#%A0ULy{*m{%}+QSe5NCG?3AV^DFf4Q#|534&~b?lE;6d4Rs8&opX1(WY9+tkh63z z)ABc>4S=0IF-zgP{a3aHa)wxQ>XhA0fu+f%5CV}3pzCXex=n4#=}^M*tA(ND=cv%i z!ar2N@=@WI=B4C?jQ?}M ziC7T#b1wxo_HkO#sfd1Q*R}4O!W2F-Ou~c9>2x=hralTKlrra zgGTVVJI+@IyCzq!&jN5>9&?@(2fHY7>ftgnGH%zxC9iq7y%=YnZ(f#H2XUU(N~niB z?20w~|H1X#Ee@spk9I+7V}wIK9#zGO!%AgUD}K* zmOw8?(Ub)O#>ceDJ_I5pj?ad%%+4uLJyaMK|INJZ=bV~Ex|+TYfxq8*gPtFYCoe;m zkl2j+QHN6}IZlGmm)~I3hQrYm-bA4y65xc1p&7hEfYA@t%yYFJVnk3l>?6k{q{b(3 zFCPcvi_og_f*V;WKMOUlNWXdpVSbPFNm+lfK2gu*7#_dxd9m~ z2Yb_mf*V8ntJF|S1^fyPKG=+O!ZWNlFm_^;%wixW_7Aq>07HzOlwJ?d2kdAhFlx|s zQ6sICmaeX@+nkB9;XA8sAMPgM)thCX4f)OTvWkjS{bc=BTjOQVGxH~f{#c6Yho@;5 zQ4R>Rb!}2UA|WRd5>W~#k{pIvEecTO`6ILZ&(wQCMtogFIvArose)EMsU{`eUIQ)* zZ~i+dAu%T2w6HD4KH#|LgABE5r5F)x;jKyG=w+glG8<*$=Q=ud#s7IG{P190g~ry9 z8E%J=E*55CpBZjJ5r|JK%N_BF$HegnKX{f2iCrb|dtWpQo=GRI=lU!LYARO`0>JWf z;))T3?Zn+mJ=T#XI0Y{WeJkbjN>9kkYEC!v-7vHcDBHTb&JEAio-8q5@+_QeGQMt0 z^zC&0STl6%EC1#7^dQ7^TAr*qum#HZwJmKmyskU2u9;SkZZ?bhr#p&`n`Tp+(dzB2 zP??U)+K1Xf{RP5dU?BVTH&okN*Z{3n0}r1KC>OySH*Sj>QDJZCXgJ(|tAfnMMdImS z)N?U{%vD9G*d{Jp$+Z#cl(Aoh_&8r*3&~TceK+@M*1q*2*~2v9L3fzete?k>){T(1 z5XO}(jXu`h)o4e(5qh0%;h=n-y&Y6_Z1UleJQ{1-dD<*0Q)-YyCVP8mT6O9B=tW80 z&+hdOFJowKBomrIk*B^@WGBXqUfP~{*Q(Q%3<`0LLv1`_yLC-KZTwUFee9cV*OQa} zthV9ELYj{VH@-lt;L1h#?r#dk08K60ZWz2jOSU)q1eLe}`-pCJ{t#c%(rGbhI>`yv zPe}biN$~HBBTFF{h`om2@`2Fz$B-G~$I9cb_2PR8byblfP}lna8c`K#(O!(pqM_9| ziCmD^ubz~tzmA|LRE?zfde1`zI*!mpNf-Ij>RP;K_GM7>$ozt4|B#^eW>Yr=?b}fI zuuO>Gz0GcvSaeKu2)>gZEw*A_ig&F*f0gAB&w5qLucOJbrP+P^hW!N>tiW0RL|djr z+pwRsByf;5)$gKTexQ}7PlDU3N`WUQ9&_QsfSLFZ$WQUSb1tk0{QuhRyvQDu zSTeDWvvNmmWNPxqgrcjj>H0M9$@9?AB+R|Nam%OaZ4m6n?{wq#bWlQIN{nRN`pkIs zEIpD7c+aZ_!Hyi0Tq!)vfmg_Je?i$pA)3v9tr6_$OzbIMK7}oP*AsRqL!&|GTh=RQ6_UN&5{i8 z)1Q@S-STc1J{G*4bxp>4Pbd55GuecFWc(qSZvu}X%E6Qb`H~t4F+^zl)rQ5i)Bv8U zO|Oi8*kfGRD>7o_X(M3ggu$sTG^8s{3xH#rs5jY3lVu8jOu~gRU`Fc{ZlSWq?s$j( zKa{<7P?YiCHcH3R-Cc{MbjM1G#0p3^(jiE9?E(q{D$=!5B1i~GN-Pr6-QC^Nac+Ol zd7nAwJihbJ`G=j^VRq-<*}cA>`}$mg&$h-~4FxH}&v@>Ih?Cwsxj)`PjSO9Tpy3Kb z$fKit*IW*VW1*fQM^5H9Az5Prp2lmp`q5JZ4+>yIjh3$(TZoBM%TIgEKp{d6YC%pC*rQMhx*KD3lrBrh5NziKdWaq;4kuK)X!tM1gxpHY3(b-?mOr$f{ zM%ZG$SFRfJ3cBGck1$$9#a{N#c`yVpkj~^mx3Y-v_mt zQ%@|wJjnzp!jhEV@R9*HKELZVw`e7F%bpzj5}t?8kD~f$qc#?yF`B3D+7!ye(-xA( z?zq>mBC09jp_)?F@mPD|$7?@794Q-f->_oQm^MdPR^a28c{1RqkYvlpFP#{{3IX3CC~WNJI*ev_uN%jKVbTdgvTG8JLi#S8KlkL4EX%^KahvX-Q@$fnwGfgcJw zp_>#f%QM~lc9sVY!vJlGilkx{V_4rcMX2a?``_Z|<(8 zlvlNiGgv~zr7<1f5&mH_T0jZqnf?+*M*71p9GM(G#tWUYD}@5l%7uCLFBA~Fywj>^ zB^wzw_8v%{yd(thhXjie+MVEchL1{$#N{gOc^8h{(0wZ%s5#m1g}iz?+=WZ9)Y&zS z1}`%SI8FXMf$g>uQ`QA$aDJqszG>BY|2heuVrIqrV9To8rw9H*ytlGucRs@wu!<4k zQ$AYL0%WvUTxSKZC|j=R!j6Y>0irrSleep>y!h;0>YV5*8{E9PKqf}j>P<`{GFPO% z)mo?(0#RFz*@aIK?=w>s%y@y6%agsYRp(#DmM#x4TP0hG4hjNY80~_Ci6<7iU{lRW zqHq{Pv$-|nfeLQzN6P#B^u_|hIT50X^Ioh-vXk~;GH@L0sLzYgcSu>ik&q+dmzd-L z^%utKowUuOkZrCAMfiW{Sf*+w#%A(|g(II3$@h7`^3iXGGP{etB_6H`#de({tb4J; z|3Bb14CpM}tH6k745Vzii1$5hxg4LI*S0K_e>d5(@f`Z|lK>`YGUq%PkDM^-vY@uv z^-l4dXHVqK#ma29Ux!rD#BY)C8-ehLlfXk{_8l`T#BFNt##FVePAJy@uJ3F9mq<|Y;i(D0d} zlT&h^u&SEBG{t#T4YulWw*q}t?Fe&*;L)(XY=T-MOEn7kaljfhoPFw%Cg zT+tCl#J(P5!?64DcNM%g7zbMr~MMaS&~tJR%|&>4?!mj(kmGB^gnVq^GrEjMRrQa-{eeG$%NjLA{yM3DhlC3%aE#HFQFL_S?l~ybJEgi4)0COgz zf{w|rG2Wi@Y6bW{ck$*$-kw12-u{P%mS>hoLCAJ)ml{2_;}UU9_oJ@TG|jyJnv^I^ zQ2+B*L5|c4B<^ogmc$AQlN)a9FGtf=DINzSqM0q#?0?ps>m*_-lT_-`RSaq2GMUvy z#5FT9AH`Pm>7I|+r6y{vNI|z2Lpd1U47RYm02g;Wb)>~3CLN(6nV`XpSw->K%7a^1 z7&(DmCT2ID4v)tSF=-)-#pC8Z$%*bUC)+x-vlNkeU&^IXe+Sluomk z%}-oSM8@^HNW>M?zW6bNr1cKbfl)s+?L1P6M4ddlUmMk4?R!He5qv9=QpKeu2<;|t zd=40r!F83dSm7e^H&*O+o||JnN}BdHs1(KpwS?sQ_qAuLF7}g|egm=Iccdxy-XFQl z0{EbYk+^i?TXd+V{iAa&;pGn^lH{A5SBp-Idm(Cz19JI270N)fCwpdMI3&P9HWpc>-%L^S>>qVi$QEG<-VeUq9vG0 zR-x6wnI6&e5wh2KvMP08N;45J$E zvUyZ$HlTJj5l_P*TGcdENHhpDNKI-`YotXau+}tT{&_=m@f_%Wm&B4`vQ;wK> zz}MKr;M3j9#>8(y`+B)YcTCq3a%aQZnj-3$ATC-ia&V?gc0+a6U+9!ROxiVo*FJA7yYHhiG!?ZXOeDiJbp5d11VY8+4bkHKRJAf&4 z&*a$V;Y_t6bg}sjuQrv?6$UwY&aV(e?j|Fh<@p*{=01IL*@h|f^=rJj)(=}Pv2r12 zRdlIU0?d5>D;Gf5e)wMp$I#y=mj+0gyWg3^;)ctow|$o}$P10)pF1ItnQgUB4It8L zLj)#jN5oe))00Nev_*dt$|a!;?cF~qR>@EjRLU@+QR&v+W^o)uQ%%dqi)cPW+eBGB zQse5O0h~ zX%93?(28=+RcRFKWup0d8gBEpnT0r=n-1mc9U7CoYeDb^33)JvEtBH#6T@?oWKWzP zM#xz{{|y=$P$^Bij+uO0Yeh)m+vT zbp$oS3n%|cS)^wdF{!%W6+ZGA21WMciVRuK1Mct%k^&(8qd!y z`AEHDIe*|%OuQr|GVtCv$=mt{x-4_a2TVT_IUovF^dvBYPxb?*$m!T;rURx; zoqxtl;k*7wTgim_coT+SkC!tGf4ymp@2EP4c2tUKk@=pzhx|@efSg$3fRoTvZgBYH zTp5gVhZY`6t=PH-#3BggM7pLwM+s?)G7yk07)tnlT>=yrK<$GWOP*>NejKuO7UTs{Tiv~o&2a`$P0are>(pAwvKoh z1yuk~ws+sxp{t!VI^s3aF_uNr-lc|r{xzBQ$3_omL}oN7f5;lH|Kl_u!p)t&d6Q$^H-N+Jp|q5f{({+3+Or8imOMQ@#U@&| zc#btTe6qfPCuyPT7Xv7yn>jmXE`nK|JJ!V&duloI$Ecmtt5Vi7^vvYjE{%7(R1`+tgQ{WY) zG6mlf)8-~JG2_yTSo95DJQpfM18pNlkuW#vux-Z1nH3l|0o3mZ5iM9%(F$yNoa)mj zz6t!BL$GY*ItnKWMPs_{=K68twpX_wdX~{bXmNy$t_^DO;fG$b|HWo45$(U&Tm%fW z?{rgspp#9}=N_RpotT*aKp!138~GO~*1bRjI%6)DnC?~|XeP_dTE_FQudv82uX65c z{r;>bxVj(AX1GewFNa=R+~bBEUOX(F+nIKaHTv)^$pb=_RUY%(_1#osuIZis0QJg$ z12q^bQP1oB^q))+@MOguX+<;qQV-)D1vQ~=95tBT;n8ZkIpNN!pnNQHrHH%hfB$#qsaJ7)%>hps3$4HiDy`Rlf`Yto*5^;7!rJxE@-P+ zDySdHTlU=yR+D%^Ndr@4Ew)qo9z{Z%J4DZfwM?()5ML`fiJ|MxWv}cYvi*+pa5*$2 zZ*U@!c|0J(n#AgHY;NY`KfI7LlH6DnU7Q|nSgMc$qSYO>*HK}_4NraQ?{EwwQ(=L8 zXY3P1hhuTQ%uiHTJdZRaG6m&QpyIZ{o^yK}t{j;HsWGSk-jWav_MK6KGqbaiBICMd z*yJ1MGWJV)Qv`VTkKH!PxaB3BW2y1rdq6{36?wNVGaXB}E{1&qP3lqgSc(>1?l%Pm z>DCH!s|wj;ftTprw?~ zhs>O&5YCbJ)G2de6n_GL6zfS-PJ)qWk*R@28-&5Lx(b0k<4M}nzv%a+Ty10qKGr`c zTxgzQa{-FSFL1cobzzpsRCma#-0;z85&z2Bse#A!6^B7t3PWkKD}Ild{_u)b6z(d9 zl@hgmgrL@C-Dr&&DqP&%k5(c7X96xI_p+`@hd!IM?ztBzk)6dFom_|E{n9o|Bqih8 z>3rRz4Hd_sU)NH7Jxv+b+#BRIR+96oIAGqyc$IF|Gyr^flI|$-p-wmEneNmoWpG5=_C~$wHF%Jkj#R5LqMYZw?9z&@QRT$)y zdiMiyraP>}5%59*#}DZNFo!2~3-U==DF`AI;2bkpT=>sP`!@YNnfgwpmTR<}zNwlr z&;*_|WVgPnXzy$*<-6fbMSS{BLnb0CaPjLfcKX*S8RwSw_5Ns-V4htFAd)3#^B{}k zVy*4K6-K8he9<*v@jx3i^cfb_yV=q(go0my?f0>&arong&AO{FD+Ve>IH;caWg<{+ z<&OlS6zMG=X}kwIw>eGsCh5%AD)#t?VNRRp{& zFu=l$s!$fieF+f_G@GFUeOfxQjTNm#L;F4%?~(dN?X!sgE&g&U4EBcJ79Fur}-T-_FeW$V%4bn>_V z4aYL)JCn<++H#Whm~NI&J?K|2hx;3&T`WI(QU3eTQ6Q_+CS6(#5$4xt3B=Gadf79f z*`2tu>_O@Oz2$f9l0E@`wHA${It6Waub|}Y`s{kcpwsBhk|ic(Pjv)#PoijU-{GIT zr~6_V`{myTwI)%>Ix2;yvhMm^QgS1|Tl^RxNbfrA-*n6LA8e_tbwGsUZA%bC9700{ zL{Tj;i1i&<`c)kemhcGDk)S+lu$t9-R#8iYycSA3$!b)ZBA#&fE#?=)FVG{%f;|?f zUmn#Fb;6`rLEOVY$+j{iwyRx|2_eOQ|GvBl%gD!a>9H^I6}wd|2USK`B_z^cGHhtA zCyb@t7OO`Dhb53Vs>$7HL&9y<2L-vq&Ok(;jFB=;W_m8nd(I5rB%wUTDdyiK(3(;t zt|kdn$Bqfggq~#F3F+bN$if5?EP-mq_^Ee;uPLvnDqtA zddJ~>n?TB*C(#MwH|>Zc9m{mtXJVVTv%+%cm?Ld59!QrYkd6eas;UC=E6#x0Zh`P` zH2CA384hu#PSK9Yo6QPe1EL$>Kb5|S=wOkisV-z6Ii+2r>0x@-hiBCu1IE!iS~6eX zm_BPD*0lTx>~7pYqG$hF$v6@9Ws%80+Uba`3)m(tg9ab7PTFf_wsut6AKz||n(F?J ze$q^06jMRb_1cCtrg!X&rVU0($^Ix#QY6w%6oH%xtf~?!+aYYk8utoKpS5U|m;R$K zh3T)~1_K(sirv)A4L*m9EodE>3=btpM~Tm>w6rUVKg9!7--4Pn2CLRldCXooRy=S0 zQY}Sk7>UT!<#zUe)s#8D`q6UV%m=J*+#x1p(9~oOodAja0aSG0OHZko=cEGg0m5J4%fR9TE1-}Is&`1-b<7WIzX&DCj4@MX-p7VhU;eI+ZlT41xz z1>pF~?^8JBYvA*@`i8j_F^-!L!sK|WDPTRt%gwa>}wcyL_^p?(+%KUe4ud+xW?MgO%0JkUau z|1&Bdn{#(%Q76sQk}%O=f8i!`HB97o&1g{{K(ToH)?j7rw{Au=zj52(U7z-{Xn8=; zvxx#8BMV^JStd~Ap$jw^{?`UFS7CYW`xwXn=2J;;J%84U3>!aedxll`W$NKvx*y~D zXCRHU3nld2v$%wJuE{QmH!u5Bi)m+3ljGg`#N>0n{0O2ue_Iw&Pq(-%!FYS>S{Zq^ zMs4RXNCYE;n5}|J)EA71m$f}(n2LdMy1yk*OZL3R&tPpNdf+dJTb0nH1Zr0H)Wn@8 zW&=x#JnLV6h;P_epmw<9?9YiOyEj>MzwI~;BtY5K=Hsls|&R&dl^6f_D_Xt!8w zs$dzjNc^+zRb;C=KP7LHqqEAaCO<{QAv;wpL2~zKoMICz8MQWuz+^7=h#xc*_7aQT z)D!IpXRy_M)+(`5l&g>WL*BOin3m70E^4rdPgHUH3JTJi>Mtu@kN3R38z9?F3BIDA za3jOo6pL*E3mPzS`oxAK^XESdf?t){Hh3vXn1;)g9b z;Yq&Sp^Kw|E0BdY!jkwdjCY-s*hNk9u?`sSDJ<~p&saC3b95Yy}ou<*it0 znP-6O2P290Obl|6)Ml%+SPYJJbq)pirV*;ukEUCtqdoZ9P$KNLU8Vl5ZpL81j0HO5 z#6+bJ(tEy}I=4DL##g@L3tx?iSN2Q)whdi!cnmVpIp9H@i+^eQ)Zl2ZzQpf*jzT8z z{?Ydd{1ZP~WubR6hz71B&YV@OW&C!jl`Y!j$BYA0=jJEhmeUAqqT1jr&BEw?S{+EX2JaZfhB_JpB`!`e8wBJWU|!A zrT{Dj&%vPLHt)sJ7x}Z~6MCum!gN-%S`LSgnH>!)QExU&CAoeLNFzl*cAw8JAJ}VbeY%oHiUsXy-9>rpeXocy`JdY#1YfW2-23Fe) z0!8~7gmY5iT^R?eo-{Tj3PfcX)LhkS%OeiI4r#!=>iM?eal52I&0g>tA$Ttj-#Ctx zR0php*e|_|$$tJWxOylY-tTEe&u9Y=i+~GpE#tHf8KNg^~0GDO4cMx##CuCYq z*9D7F11E};txx$$Uq?x5EOu%vmgz+v$&6LJR$?C6hKx&XgBMZ2$c)~8B9JTCT>$J~ zqG&Gq2z1n@?6ri%y!kP~YiyJl6k60>ZmD6AoAt2jl<~-SB7P@wZ*ADNRhcsry@LOq zXG6EjI9JFHOE`04;ynnaw&1m|EBm=+0ZL9yqTf2H9v)026BbM)7lTeDhXXic?~vKD z_+&msg+poCD!u^?Smf7}C;HXlyG?#xLjRsTEnU!HWFZH|_6Jv9IIJ?j08O~J7%SW8 zYvuDJ!L3O4c%QR6u>#p^knFy(=btGVh-yEOwHf-je!N`Z;e$Y{cyRlq+aIU->%Yth zZqN~1PO3v0h+IoV3*aQCWxO4w1;YDLKurEyIE+xNd%K_KT(8D-6AAxpTeTNeLP+Jjmb= zy8F8fzb{SKee}Pe`>4mD#pl`Vv4@G4>&`E07u5qn$PGaW;vc zxkIk+KZZd9hP_t6G^L4M`m34dP;{*QC>)%Qn9Kw$TJ88`T~r^tG@t2(06)4WM?Mjb z){n~son?z7*bCoFG;LsmKWS%QvhZvgrmmN_G59w=vK(4A!(yx!WV3CJ&qlp`R6W$^ zEHB>Mg9q%4U!7orH9R4>o>(BDhGQ8>hk#K6Cb5wtFcS{MVL{m3Fx|t}N$%NuE7)i9 zTtRW~aC*!K8|O+|oJZtA9r+vY!7_g6tOeZW-3XD*NF#P8?F>9c(~X}*WJ0YSI4Mgo zG`XINJaJxVon65>I6)D#`=LN%EAXW=zU(WS)x>HctezM>ECbc`5ZHPIHwFbij+#T< z+pt|~D{|IcB-o_29Wdx=P}drxQT!mikK4l*qtM8Tmq{IBhAX8R4U~d^3*ZV;P;CL$ zNN+BF4*>Gbg+?E6db$U5Z*Hp)XhS5%ZG1^lj_H zI089}?%TXXHWhJ})#`8Q1dWvyyvZdqp}9yL)SNs<_zGbl0glk?t~%UTL5z#v9ly~+ z4(Y$vYTq9;QVyFFjV!6zVOj8Im6M7A)v%}_dmr>sPjG!7Fn!nemzF)1ve-rWT>6Xt zU4~hcon!ygxx2_&-g-hBy?(qXT+E6j&nI&UAhEkSPpacRIERdH2=1U+-CO%X0ffuo zXNNyf&QY+*D3}H;f>Y!hPiIykRq1r?xcbtW87}vOrwid>m2vS+KW1tP=0Sht-k-r* zG2SOom1?8!jEAqZ)dqW=Bc%5EPk7VdsMXuJgSO%&7_4dozsflC#ZK5adUd^0`mdOe z**%MB7aE7!gIaVynPU?%8MlUa&;V>mJ9IgGKKQ&I3FW1&d@gI>83}sjf4_^7-YTX6 zY}H-8hJv6yOdvgo zZ3ssN6|k-Lxf1PsebXmr?I6qVX;C@qVPm$-Yw*gY=r<#pzhMP~<<42G9N?x801JMo zC32eQF7qxrE#D+>00LhUfmE1cPnLEbSn>0x!uWlH^L-d>fZrvm3l-kfavnaQn>_ik z-4zwS8qh}YJsudgF?iVhDgm2wBJ#s7e(=jUGTMB>ErdeGb0i3S7A70L^R%l~mgB13 znb7p~_wSq~yPW!LpWruzr(Ic^(i&ODSeN*E;IpF1V&N=dO2GaGO^jmbbM$~1XC>TS zNg&cX(cqcUKoTf3uP|HYO(KiyM}W7n!{S-H>X)EpylCCMNSarERogC`eiV=M?GTgZ5SHc#B~X2$0jFs&eeD&AZw2j%%;p-Nt$DinLQKDmDIC`^H5}r( zkI#FaW`CQ=x1Yib-*L-4s#%-F$86;Vu%kSByoWqdC=0qVZyu+_v-I4jo zPCf+8NM)&z{ola!|9(jILW5NYFSVOoM0U(hhg_HVSe$*1_SjmIqnD|8r7MX1<3(y@%F!|lyM%Y#Ic%pxHK;+CdhXRb$tFGYd*_ZvdiO~%cr-Ewf85K<$vzY=3A~) z9?)Lh#)sAwquw4R+*60F?zhwz?^4$uO{dW`-IyJ=HvbH)4VrI2*8GL+<0^odd1qhb zsl^Yx5m!n9|I>e8_z=bq3pC2Hyv4w(ZGB4W0!cRAGt~{;w9kvdqrLw4ScWITSmEeA zyKQJX&Ep)S-6aRHN2xuTuiF{v98kc~>|#eqT4LMnstkoUZngtOuQd!Y8Lk~ZN0ZL% zQ)%~YaJE$V;vm`@*mYxle^(GufXeJEAVlIXg9dN4EC60FBM6<`u09vGffd4 zVwXmfiu^Vhv=#PUEDS?2&7Gi{_){QB@+r<^pzLi!Hgo8bJe-;kMhemc$3GbXVlK$A0TFJ>r&GuCt*;+DmF(4@UT5_{COU3__ScT7l zUK-6cp_&wU6(hz3yqY|AXVyA~ug+g3q#(gQ`*pGW8@QK@kG+k?WYxICk0w7Kzw&CaB7 zuXXv(8g*Khj#vrz)7si(t0d|Iv7*lhSl|5K`5a8}ZdTb&>yJ*A?aiMg+Gm>rssy64&)32}XwezElW}#r5{40eTI(ymCo8zEnE*?K53Aeg!MLmu_t1l#&fsc_evwUZK_rJ6N zeS_9PdN+01(G+F7-+ z9t@L)7`lX%r33`V2F|{^W8^n$ zejO-0Z@rTeGM=*PY<{xxhX2%u>W8F)71QEr;pDKKO|da!(b4`*2+pa=kEH!O)}}dZHQ{BXAo* zP0|Ks+i$=_WK9g)%h50pQfb-5>yLRXLA zdj~iVgs;EVD%h`CQscBMKKow&X&<^DNWdfl{Rl+)2i-nUOj@EUFfpEmDW)}`p)!Oy zq^yn-xfy9aDqW8Lz8tSqN|Z1yW>k)fzc1!*iExR)1Q9?SHY-!v6a(!t`;FX@QikAe z1JMPD1?2xp{T- zWy%$z^e_b2yAxZH8m$m?S|Q!;7(@pi!ArGfPzgF3g_s3LUZfrlvtWCD0@=(mmf@C= z(6sbke-?NHL)6&?f_o18PPg{T*wgqS*tG%Jp(G4wvY@cQT`_EtM9)n(xm0JZ5){_Iw69I z&=&Xy!trxsi%3iZ8QVVaQTbEz7?AK8il#?NMybO^k7YxYMz7;$A&e;lusPYjzq;Pe$I7O#lkj^&0XJWk|cPiS-c!=`XQ2z~XR0{G&)yQ(-whZ>24M0do$fH>dc;V(xrFSJkQHd_Gfhs%^PEd4R&t^9#wt^9|vgap$r+d!V z4x<_o)D$TC5eC~66H-^Za1IQi*zzXx`hYX9UP#7OnxKP9 z_%raY8iYlccyDNJlWQyjh*v$>KTyR{oMdhky6#F##+eM)ElNTSnR(Rns>n){trJOf z9Do!$8{T&wNVWeIfa~NfJ2kb(@3$MVHD#<<0yOgOWdq??qsw`;=(u@mGbCc9Z8KS<$WQ0TZ?$p!Ws<{xPdq#GX&>q_vwGYGAFPuQG* zWBg^vOCtTX?|W1j*+yaEg=p?jSx&O!TV>*J4txf0)1Y7(qp06(asB8@eXKHDKLg#5 zgigX#Lvm}fZa90kR$*>aF@xsVi>6n0jeF;C@H2GH^Z+UP&-OiD5()ye*8H@&RE1k` zwllWk_AsgB&%-wgi1|Rr5+$5k3(hI4hIoB5k}rZ)7KCZ{-21|2265ywQt`utHg4ht zN-_OUGVjtmIMu+p31lOJhph6yXfuaQV(Is)W*f8un! zq`3EEeTaq8e6EG*ZrbR~&VD#2V^vCP4Yi>Vp7FzUX52%Y@nfBq7tQ~G_P?BYdh0%y z`^lIQV2y8%d}t33T>dkJ9)0wo{8oovGHA(sDsy%Q3kj0H81o2PTg~ZQ=P`KYyg;WZ zBR<>Z+j5M1Cuha#SZ3CZEr0SfXsKOW%WK=K50C z00H+0*;xaU^%&+?U%8Ifxc;B(%t1Fxtt{pL-KZ`SF8wU*4)6Mt+{3v^F68>Bc%B+o z4r?wnvOfmdM2~S&BD45RsGOE* zV3z^m2g$7;=W8_?%dk~T#tT)ek;FFh+6eeMD`{u&v$K_y+18ZA=gr%pzp`KZeG=gINVEN;_ zA{K(w(|q(qPRl`2HR=&w?*P4H{%+y!Poqp6!{0SSEvOK$-+>qt@+mK6!$I0Q1H5x7ri zlV@t4b(UB;kIdomN`aYv-;FOE?n#vd7J&INh$%6KPW~u+hkK>6Y74jFjb`qKrPyXN zNcT-5G7VlD4R2x)a3c1eS@%%MR%1tB3<&9{t%u*8Zh4!e?yztDAk%ohJ$6U=AfnS~ z8`PU?Q~L_o1i4k&*oQ-$_AV6*c|A_19%lw!ZyOsmc;QaF$9M@Y1&B}!=6@pAx3>g@ z9yA>IPgFp~g)1?lq#rdy!{t(%)1yh!2ftXAUem;LxH$a&lY6(l&qc}QB|49zmhGAg z53&(MnPI10f6BY6Uo@PB22XoGEu6QD2P7a`MJe(_Sx_AbxkS{gxYX(O9ptMN5P^nG zZJi0dw(SZCBULhRQ+6RBM}Efn=Pf3Ph8x2M&W59|#v$rWQeD@}V^>*B!@5iFDQmN9S#3gKa5;bojEJykZP+gcP}fD=Xp7giRlO-|oZV4T7+ ze_A}n1OqbM_g5zfG$27Ej+)DbEGLNXA`Z=kDflV?`LvBTr9Lu5S~x znH5gj6yT;8(q%c${}N@pn4uYz@h?v<+&@@}sMop`*i0xbx&|%BGImZjt#wS*2>Ke- z+UoFx9<0>otz0vt1?&XEQha z=WUiT7D2nSoXv{>D=wpBwnX^MQa22VO|<%A@mf=wmwTS!pUiwlD^t^I*5y6ZB~ufV zwu6|-dq51mynCDzvi>dO$JdM7>A>So@zB9SzR;g|_dsMJ&3(JTL*pNpfJ-?lhjC)LD9v9RUowgWba(`ykn73+T}M&Bf%&(5F!mOdz4{PRX0Tj1)Nmmaa)lxYsSN5dAt^I4&TU zO8is#_PN~4CLpflE3n#BSr1!Gc#AsnI>zd8>lgOcA#!4O2?${XF)kl@tNS}{5|oZF z3hybvxQ|5O3S}QaheXS+cGwvru*SK#_ke;=+Hj%9fkIx=wy%EfOINU6*SrJcIoqBP zogwv8mUVhG2x!>Xo0^vy$BsCl;ALh+Cca5bj~X>MppuNc3ZB!#0DKQaK*90zi9tXg z5Db*HKu|&GSVt~t&g)xTm;q1QyydwoL(BRlmdiq{cPI-B3x`-ThmzcC+xe9Uz zJ*`dnH8!F(^J7HB#YQblR6N~yV*$Txzr54KWL2?JgeN>Cjx>E`A^N2vpuVrkN;x#C zxrxP2p1Db%TrjwxkA0Nxnk$wzDOIVT5)Zwy^98|F=oGM+3@UmrU_ zV7!nb^PP(5Ag3!5J731jlJWG8|614etjIWyVx%JY>Y~~sdxDQ2@6M2{Zu9Thk}a?F zhhU9RE&1`B>Sw7pWrMQ8hA}=Q2>Yk?|hEJZZ1v#CobAv*VxP1C`>I#T(Tvu5o2B1U1T?VQ~jZg;dSNNy; zb}!UrkL{nmrc*#jQt^}yR`}^J6#fFzsiIWYd}!3&?W5P;&H83z>O5SupQgs6n9Rz^H1fwSn5v7G3@Dy%Yq- zAI4RUBln3QX|MxUIMWVc)9&30%PtZMA-KLjOxSrlW4Li84aiAn^>xiqXcx& z6&_(M6>YA25*{?QFoJC4Xl_B)aH=r{0r|RlvEQB@i4D{}o0xjl02l&B z(@_wxmg}5QDua}=`Ed;M+X9Q2mb;O1g;(kKpv8eeK0dQ9Z29ZIR-2rL{j5O4@Gr9i zdp`D;L#p!di_oprTIpHMB7HHTlppTHegW|wC;N~9x^lN*My37H3sRVlIp2RAg1lA_R+`#hK%2hIb2fT+l#|6>GVGn2~Lq66rKj` zmTDTPE(19+63h5#hDq*}ibGGR&rCH1Sxll$x1`Wk^m|)jXrwA05&2oE9`7ST!MTaw zq@|jT9K)W|aPGy0Lrn1X>V^g$k3J&MGPLC6t_W?81U^a=!>yXS1D{5R8e@mrS%;c} z4cimR=`XTPdNZ`s0>_dv;KXvi!@BRfiV_GHE;>$t9KlZxZ~I=-j>m=4iOZ7@MImf- zUky9@(sSUU7M-R?SXC)aTLcY$(p6o4Ku>4Ws20h|_f9@b+%)gS+$D;dl-JU8PQEgK zKOdr=1^S+C|JI37VFi0y2kpH4bPymz|4m*{?N&FYzrz$GD*ejGkMH@G41=)rUr{xC z>4z|6l6rQPCIoEd;1qGo&-ZO*rU%;db&QLj(EZ-{t>-hgOcQmF5J%X=8Sjb~(NlX` z5Bv1@5GL?T%Ry{a=jbB;yyBU_w7pI>D*`SNS9^NAGB7bqRyyj3x(Hl8(=AJga1Kf% zg_MI^;zp+6MR{c^!X>W*cYTKR%cn311Q(Nw{7d2_8`aO$7w~S8(y^1zefHJ(NJ0Os zh?eH1Zhrb1*~rMieEJ#T*q^7eeS=;=&)TkU#n9ozHR$zIqv79#i{=kciU5<%IG{#p zGDzqn_z_Es6yfj^R8~oy0C#w*CajztuSSr`u|b!6#yQB@AK7!OqY+faz0!#re}7A_ z>_C^B*nj~e`%w0*W;cZfCvov(gR_+AfMC^~cpkyb_SgHUpTY6dG!G#za_8X?piT3G zIH2O}F1B&eUqY#tmWi6h1Os|1Ar=K!xL}Q`JCcRSJ|=<~gII?};hhs2sQCOnWX8gR z!p&#fExC*DSlJ@Gx~l+n*GMjYfDn&dr(c1^Cicu7E8o`9DJ&f6j)g%sqm$bq$lz^G zQ@d*QyrkZzof0e(zo0pgB04|25#y1bFUw+P#u7YId0r=j1;XSl9k3|r3nZGz_pe%U zeQ`*K3O{!`ecH^aec+4nvq)UO?Wq(MgKTa(lTy=uIfM4Y@4-W**f-`ajIU0Ztr@8e z$$hg_+A!Ct)#nL?yduc=1wTotRT6)*Ygreu0kPO+`?x6f$K({sE`{Gv6h2Fm`0ZtgjpN713T=C}Qu+0D*@E%q1uL8s#P z!F%SWbG>_?3)rzU>C4eq{a8l74Swo(O88!QMPt+m`zJH?OfXrn1g|E`rczGI2)TGi=1}0joQ~r0%B>WIR3Vo`Q`DCIzQXu7&@AY=5^XfOSXh;J zzq1TMPKjU%PDKnc2I&B6D?QSZ(rQSRSi=Js&^u#sR=2*BAn@Q(RPrHQhMAK7;)?+B z8B!ltnCAT$3rDgR*=Q~q{RTRF>0As1^{6ckRH4Y}3zUYTJEVK)lI{UX3F(HB?xDLGKt(_Wq+07XO0#nZGtj_B`KE|ZMH0~Oj6S#lCw_mem9<#se64{@UT#YYa zxs+zR`z{6N4b|FJR=mVyCB*5Tv@OQ0X_hN{^KD{dpp2DmCIQvq>?1h&V+iD0Ff zWp|2mS;O=5b7W1+!Q(r@l&pd74Vs_7ao=B%t`3o|UXUul$-&+oIqrAm;%sbt9=-i< zPk9aI48Dr9I(`9~w_HnT3|mar>oT-!w7&V%usz}tCw-|Bb; zp4|M6ceXjldfs=P22F0vYV_twyTy6Se3tY?HzQR>@|!z(5P9IVr0iS3VoEC5f0+9p zV#BPKViR8aca9APdB=-K>pSFbU|^CjN;mynUM$@?K2&5m4eQmoQKgf^5$NV^Y4-WeV7`z)t(8$(GYSGaeEH_Da!x;2(; z7QcJiv{G~oUr;UW4U1dsb&OS!XYp!A&Kte@CLovANaM*y6YJ{Kbdg5)`e?9uZ+50e)yVTMv*t@C^Ts|!<2n__Bg4}PBla*UrN{L@m0RpxxTHFK!`}Tnvs*)r zfX^4ITCMFwXrg+DYdSESu1kGFzKAPsqFKE;dXmH@mLGRe!C+mK0CwoMn65!VrbD|D46O__?xn zzQ)WWF>CzGXd=)i(G;${8p?^F3X}v~bdVQoEc0gY9PlnBAM?6FKx)n&Dxdx0<$Y=A z@$q-FpY>6`!gQ@4ANj?;hb@ivc750fHyroh3ETevfy2|+p!hw*`4i)pTfGHACI3^< zs}j&;!p^Af79|(ICvdRKsx~hmmL4VfI^VSKrAx}c7ZGv_UA;S{-W%0 z^5Y79@PVOwl=SRk=G97K2=>wKaxZ%miI9+V@R47eTfx9QK8|i4Op=Cmp(i^HsIroO zN_J)bDa@Yd18rY6Uk<#Ry#M`=#q&kgW#z{i+1{^@J#$Cdcuk3Q(QOou^Ohk`JZRke zEgn}SAF-A$?;r0!a|bcw+y`0S`u(}6zu#4|n+RY_{>Q{p=U)@c2xa;pVVUbvEiJbQ zY9r!>@Bi&W6>-aqd>?xGAU4$W@jsJ*Dq+y{lKeG8t}tKx2bN``NAme;n2il3OQM`) zdYctvhh@$!ZPR5`NDLnB^cIG{eYZr(WHTDL?zP6CNGtXL8H$2Qy3YJAPVcL zf(jsXe5tsug}E~!UETZ&@5li?>hAj_h=LQArb7VUQPD|o^{oDy0@0x3=kNR_Ry?wbUMzw9vP^et-L^T1gSxe7vpkf_DeW z14WT1`E$=1O}`rfLrK*fY7~6@J6LiYJU5O6cmyI0sO7U5;!JheU*-7%k8eq~vwzv< zxD7vsrAv{n@Vh!6GIeD$Ht{??5^XUxJk2yaN?R(E;GF2VpJA^dKRlwxhxt}kC&;A2 ze5fcsmRNLNZxDaPWaa&u+8~HTz`j7YYCz#(iVcOw@6OyNl->{4Dm&sn3XzYMC#y6k ze_5z0VYc0|g4BIkr_m&y<%<08M`Pzb-ZVM$3WfUDeG-Ds1l#Cn}IuENC zk%ONLt(PLfpRpb1A;AS{#Ew2Et^F8yKfKYVF}^WfUzP|@$0xrruiUb4@?T#@xj5Rj zemtb2G0N(USP5r%>d0hCEH&+!8h93b}fmGaSQXSdM8H5u~5Gc=E&cV2wMQrwi~{0z^sdR5J{ zDV*t5GPf$7N#-}NwccMqzk^6$$C*GTdkI{ysOX+Y(o`@g6Wd5}%dKFOBgkT~w+hK> zk}|Ic6_R&qZs#DmglcKz;vb3dwgBOo8QN`O#%I>56b3^CrYbE(UebtGC!kF1iLH}< zn#V{l`S?|cu#uAJIVI@9$>|Mo(|0DU`H$+jWEsvvmsXIBR60RprC~3Q@*?|f9_~CX z+mEFbzR;t0T}Jcui8%6by?O~+8Now!?j~6yJztdRy^2|rd$a!_dmUVup(>#j7ZoaAk`yYW$(D(X%b{z1;L)-w^OTVk6VjtW8ZRcnjPcRVr(K@QLkBV z_Px+Y%ws;V%m?Oxk};M@;fW2&cO`M9y2GSQtVkya7TRBTyQaE841 z$5kcn$S6H-MTFXv^)D4G&;;b+6+b$o*Ch!xRfHL%$#k}X1(J9?i@rAMbc%Hs|MNr5 zPW~0Mxk*-3_~|`9d7$gBOAv<(bNtKUlsG~GDP^BNIHANB+L)bAr?pCN@gr_*`&~61 zf!Ks0WE~1GrAd^i-{@NjfFV0Sz~DZWGQ&_R>u~7s0Yu}dFMd?2bQnQ@i-|v}coch+ zbe)>X0JjKQ))e`k&GZzze9DC+01X-yOJ@ICpGWX*~ z5tN_4io+G*oZ_*(t$C0P7JdiFlmVi{f*jDakEDupWe~kjQ~P!P%F7UTc;b`Q?w#$- z`7wquRf#cm3~8zX|DE?|!g>jd9g%Rr(rCx0i+OFOu9C5&d&RQ3?rjQnuB&zFfmLb@ zpE9ZthTNOwZX*~XjYw(s^={9GpqH2}ob(%|%^ZxLz2;K0ojk5zBNty+2~@hCURv5( zBr*$uum2RwtMYX37gYY4ly9aEq%)pL{&fvJV$;#8Jw_+k?*Sw#Iu}DLeGlRu_LL5! zc}Y%yg~>5uZ06|aU!tWKe?Sar=+V=E<3bBOmKcP%MTFUwy}+Az_BS!{T?Jj?A+%J5vW?c<`V0P8@N=~u); zLkKt#Jy+X#=5zPrIq&9M5nlDjV51>GW&WLXp!9cHz)yatemImtY$Yxn0ZZLuQh6el zFV4(k=J>)X6srmzC@RymwGwSj*RXrz+3I|BG&h|<<>${WJ*?#`ay|PYWBmmmAo$ct z6ECm&?7p{SQyA`v#xUmc<}U4f~DKdse`om$!6xL z6|DBFu*aJ~cFRj|%!`9gYKzEknd{D}ByumhJ7d&f3qG-XBYg z@2UF>4YUPTE#uL=rqMjxZ$0pO(ka|%+t#i4xt1oYrt=1~ z!Ul$W`@5F00?vSPf#)budf-8*8JQ>K6-ucY`OzLGZi-{#FofgfhWkxF9x%vJ)}dg| zqRO%=I(`|Lu&2Hwz8*!2z80Nc3i`yHHDnc5Zuu>|@d9P#?bAYcIMGSx2{}{+OFYh( z3eUUhB3;&9VQhT{s{<$EeHI2M5n>q$0V9GkuwqjXB?S;+Wl+F0j!+p$rL^-Pk+Z<) zGc8GNOpTL;pExZcuY;TUsZJ7tO;083tz>13izi=sC(%oH(ca+ZAHmJb-$r<=?L%J! zqjjHAqu9Vf?+WzVTR z%}<*^b<=6SctcL|a#14vj-*yT{0Ojy01c6LnxM|K8*8vk!yz1lsSyXUp@F)@lw{lok%FRbpLwS!Gd2jQEa)et);fmExRFAM4%&jnfcIOB_PSwD zsv2TsY!Xy5Hjw#g-9ky7`Vihyw!l^`YEW6geogJHbD9HShJ;3Hr?X|8;KEYN<+vFR zAqqv~;GRCuuanve65E*3V5<3$)cK6_K#7TsV0a7 z%<{@tiqL*_0ZCizu;clY%_j37Ujv-$^|A*)G718FRKVui1h;J-ENz1ln0Mr9^9ysv z>v*!8B}6|mY+Y?+W;O0D@&%do`1aX+-ERaaPbKW}T_~5P(b1CQNydC2 zSd5mE7%acGzY?bHy`kF$-P8cuTTy6qUFm`U6ZQk!(+MPOM|xvDf@@^4B1~ht&%IQk z-}qJ0^Lp8CyV0S0!g)zTCx9N)J`Gs?SeT*mwzm`LKF9rRXZP1Bg_3RFYn$+bMk=lq zlK|NMw0Nu?w}%|;#JGn_6#hp;zF+beiqF1?)%E+wmQVzb>aun274G6~TcXR)rhPS& z3be0xsUKG&x-jd!Tjv~YwPa7Z7q8jFA^=-%wnvKrX*jj<@#AS`dqn%aFyBI7dA;y( z^TYbWRb~BMJ@C4SUB>?yN#^cBHuvo1kwd`WGA{G)GX7r*7OU^XBS(IsT*l^=|M_0# z-9fnD8I`E=J=VolcrY-_s9n6YX=4SL9tH!Vl9z*PmnJlU=lTHg3F6-MF$U2swg52to>%Zl-#KY&N0M7%A2z zBQ$)Nq!Ky^NDLXT{kz7j6|M+E^inQL)?X*2j_(+`bZnl*2u0a@a7ZVrN0TO$$Rv*L za*mB+?EqpkF^I?$lt#e1S;xp9D^0rdi-M){YVh(8YlivSMH>5b+^~R6qwBJhV>D(F z5l!zOa#W~#UaExfTcVg|!WbMZ)GvP3e)4ot02HQu?@S`7r=qDe=E$u15;(UU@kBi~ zl5u--r^Gr97~o$&0U65sw^C7Bg5eIW*imGMnSe_jQ5q~F9D$DvA5Z%(2mRXB760VP z;qwa#t6<&)W)_d=w5mGrs#iI_`K&WSwz*a0M-#v2Ns~xtEuL_m_VbkGRY$9x0JP5| z{FUa4Rl#IN0=5A^ZA_u@Lp#TWcrO&UZILO&)!l}!0@%}Jw{!glmG}jFK(&Q7*@{HV zzyk{SK5L>1HNVgtKC$08qv4l+^WLf(tfV@SmoaOHO8R=2Q6;~YSp3T;Z z2|CZKveOv|oXo^w&do2ch-d4b6+M1WK<4Ee9xMFDg3G3??{s{j3dCBdEOWc`ML zv!TA>5l>RI2;nRtBz&fZk&(p!HD(2gZm6?YMkowlC@sC3=w%gEHDwy}vXxNJ^fFZv zD|zA!+DkI;vSwBvKm93-6#bMvQ|8rH@%E*o2d2ZI>u;PwDd{N_=L0hJ?(0F-p=(M% z-WpQn#wtn6OOv^LOI0kH+MfTMS(2{LW_bM>&j`iO_-|-j6|Jvi9*|+DQO?T)_1R%F zaOZ_?Y~q=PuFzCkHpT6I< z1uA@q{{wXiXTux{JXoHpw`G0tSzv+A+m7sA2(~r6{LFxY!o0vN&uzhWX2`8IC>+uW z0&$jyBWR>CD~Y63k@CZ%QAj4uD@LA7)U;0ES^4Ptl+o0^B{4Ak#nFtw#0IhcqGDTr zZ@Y~b5g&mVnLrL)Y3YczkXBr6io!QLYpxCr(oND1648x-98hWb=7<2ohvtb-PFwa0 z>`JwEjD6}^RcOQm=Q>8i%}}U*dN`s*5xe;Hxix0@55AV&HMxAn<+{;Vb12m~sfPK{ z_}J?NYFQ&K$eiAwE9ssckw)L#eu*?i8W6i4QBJeB_aY}6+gbl8tO5<#^9Ht~$w^U4 z1q(QhcVkf_??89ON$mMK*rddoCD|?ykjPQ2S6yc3MojTZ3G9(LJCucxE&c0bhm+pN zj;;l#Mry;h2v(%AgowjMQ-}D z5I6F-GsangSJ3&(4%CLDGsi5LPwNbgDO9@B@0O+^`}FHu=l`U0@(rQw6J%Lr-A>f? z|4k8!XjNP88MM9Y|9VdzM&4j4tBlXL+-mt)o0jQ%FvWBX7zpt=wt7dA)8*XG&Y)c!--y*&L6H>ICqt+p_ z)LQIY?^^zkL_0t3h^2?@#AVFTL9D_zEUe1fzK%@$2+(V$IESQ&BYx z(8;S*fTNvE?iLLA(8S_RjFt6p6?2L@dd`WUz_DpP>*ig0R{A_!LGhQoq|Y3>7Zf+O6sHavzQ(a0&~uKO zwk!qTZMRU8e$it0ikZ7RTGv<_M~*FK2Hz`&sbYHG}mQ9R5)Ioe*S2`fabQ@`Y-yr zumae=5e2LwazFUFx961DjyH{MVHOpPG);RK$*3_QuN9Y2W!fTjWy87ShB)$^wX%_a zY!d}`HJ7%w_KSS6JM&6rZM8>I!Ud|+PTGYiK^7D-ywBym>N zB5`t3EQmX6<&x?tu0rWg9>zRklwl5SFv_bm&ftU`ei>5YjgZy4TC+6`2WIK1f$ft_ znmIC3m3AN%?@>vK7YjLH9iDWp=$f)X{H2gEw-KfbLv-tB`T58?G2%G&Atb(OJE4HO zz)GaOX0d2bQ>QI`2Gd$hPEs7+k8=?G5%@D9`SH1!)Z(?CmZoc6F+gjCLwneSm>uf$GBKEknY{|^i?+>$;!D7P#>DK$$@!j9!D`G`paJ{j4CF=c3eUHA!uOye z%WsIFrh4P(uVGDnjPN@;^BS7%XY8lg9LWPqEGi|0P!MtIl$GDk?>B#Hc$Uz><;f=W zU;wVm!ENa*Vr?%SAjYE$GOygJPBXU?)$6+|J{WSTrQwl*xkm=Q<~q0=@$pP1D63fs!F-H0nT(3A%m2ctQ?P?F68cLc$Tjqy-ee3h4n2fS)$BQsh^|IH#9q%GdU$q0!h{-uH#PvvO~xwl+jTz zuxr=dLUm0ZlRqjIEH+XTACx;3dcL}TW6P7XTEy$iV(uDCf=yFWp(~5_Zi#Gae8@lD zUi_hk?#p9_-5}1^kOUtAaskmw^tF*Varv4`LqeBcq{!5{Ro|8XkN1b4w3nlV=v~F0 z9~QX;&(yNCt+brxzI{0iR;IOhCQW;xD#w@tPIj+jI8NCuz0|f|>eEJsM||BU(diRa z<5j4?BL)}w_+{HvIBMQSJPQ{{ONu~9DbG6Pi;P1;>|*vz%HX&3A@NWI34wGR34v7H z2f!glH4mmt!I9bb{}l??K|H;I7P?k1^O|DFa(1K9O-2#{YQJuES1x?9RBt;Q$sKXP zRz)GVvi}?h$O~I)SlMvAu3UoGA^SN3pkVQnF6NPxS@!V1Yj{NDo_f z{#~v+!VwDtW1Ih<8Pb2#^e`nOy3e1LdmC2GoA-FyqjR;ADPgUFm54vZA<|+qJy4@b zCqGCyy?7hT9Ed^7DEnqe0Xyg2g8cCJJ=9(NIFd}c6L9r6V!MJVG@F;(2@%rhpoOZ{ z7>lCkBx6xKA1agEbY?>FYsWU4)31gHv>0Na-v{iORZLsML;9mx_Xi2 zWl8E=`eE9#ovyhAd71|kOa^7a zi0RJ0Em_fiX)AVh-L0Y1E?JBbc~5kWEsRzY($|Fck1FT5U1U&?rML&F!gT6SC+2MFNNy7})ldtfpy>{F*9V z-hCWa99_(vTMcNH(PKGgY_n}&rd#j3w{U7<^Nn3mPZwTDLQ}-#ioQqY)#IPdR+ck2 z1W*~Z;+HSVRry9alTvA~W4)%K+?3iMA&RAaEvc8zG(NPDLo2vhwrx<#3~6t^kVk@& zHosTV7_q9rFT&$3G>M8p2xMvJBcglCP%GI4Y!o$Lg>&o|#X*<@cKPe^`cquVI9Dhs z`>Pyw68mo4l(x5iwlx?@YY;N;egBlSlcZFB0gEb}_FqriNM z*r%o82r>M3KXS}JJrtXrscAXUaCr|*rug`OcC&WW3)u3`FnmYxO_o+irOP-MK&jUZ z$~k0G_?|zIiG6^=MaXd-sN?ZuTDoz|;c_{R1$lbN}!c83- z{w*Loz8nax3pA+smVpb-^1Y~!s{|PHg%Y*vfK(9+ICm;h6d5k#o$h| zEN#g}?6{rECJMkA806K21T4SdQkm{iJOh#9zmB}*U`)m)BCav;o6bOi>p6#xheHmr z0#0TxV34o6VgY7v&-DNh0@%vwl*mEhBzD?=jmZLVBgHGn49K zW<)*%lQCLY!j49>r0eO>^tA_y*+i>ONL1u4VVqy%`1!)1Tc3n1V-gB;Kil}jd+OBP z9^IznJLo%9Y>p==AjiyYjOYPaT+xi;m_y#A6O*gRySj{q$5CaEll)lNZhmaz=P`#` z{AsdbgMR|vj{jGwT(;0!zJm{3oiE+--IAlOL@%AR`4w1{wY_s%B6q|2hF14392q`` zwI@>eM7tr#cs2{D$SlD9>^t_&gXeGhF#yv4*N=vJ;;WDJR}3;|Lb87Du4l;evquk= zM?VrD`UsA8GFlHc+&ec0K3;lL&1GNng;K_8yP`8Axv` zU-Ix8-{{{d4^ctcM3PEbHK|*pJF*pA;aYVs@}=l_AGa1y!{xh+)IM~)EvtccD{Keq zhwE=pUTH7?@PA#Ea@tD9xs4o4=Tt(i-Dsa#@#ZnGmXWv+6LGzV@J)aDn(T|wrZL1b zg<3A1i@&&14xQ8hmJhMNqbrP#CTq%oLS~8!^H0fs$55g-%r?h;dccb%CD!&wRWF;W znl$e*QSJ}4r|P58P9xEz#4-XW7dY>8e|Pm)ee(0lVZ%8v?dnI55o)>>lZZCW?z3dP zYNzOZgQtXO8fa#s;d!sX5;6Q{NyMrL_^H(y+9D7T87J<~;nL!`4``}iE?b%uz5kYBD-vMt(u2GT zMnVSWaGVm(dh_HG`pH|meh>P+%A;KZ$2!BUhvkP_d&d^DvB7Puu%tv~6l}~s4Dqh$ z*QD%TIrJBbN;ZbYABW&oY zMa35F0{MB1JxAZJ6Djs(`)girav6hsLNw|PHTFa81l{W}5OvNTjilbweqCGhlj_eb zcQcFE4>lnISuIL_goZ{JBv ztyz>Zylh^HgCTHDlnap)&`?M{L7&_J&jC(;6`#+&*bzDLfd+Dnw32}7fW}%vVGdDY z4laq3_nnHymf~YfLTvF_n|j{Jhc+^BQ3k80-?(5pnU6j?*n8>a%4TOf5c6FH=?1B@ zhKMN|l`)o15sB{FhCEs)t~@ByQE|PU{56UHoO2xt#=+4puo7=qE?8i^E zl7W;;Kf-fALvZihvX^}{Jzg6$71Tx)cKfq^Zrs+zZia+%IJBJ@1K%e;Wsb_xpucG_!RB4)cG_03jlH;ASbIgOu zDGYg65o6CvKk^kAI~U|U)mC>ZDfapOL4tf2C#_--SVTN`aZM%aVu_~`c#c4GOg=u{ z$d3Y*vb9v9tpW`w`==eRee`DPvpew>UpiPlGDq?`pDh}})aiK6LvTx`Z@fJ?9pQO` zeOR_Bb}$o2e>E<^PV~&ekRbnL%5;(1GDa-&^*2}d*`GWdLTOE{Bm>#sc)u;KB9A1_ zB7Mq+d=-x$>Baep0j`E@fuaksI>C^*kqM$oUq0*f2t+0>883kwu-fvByMpg!V+gJK z!Je5lA(oDc9F&fsPa*bANP=Ac(TCD`(a8ogCF`{v=T8#MY_SSIY+{wLBB{_;a3k~d z@nc7cqDQ3=FwI>DI%Z*+5?`}tlqA}e5mpc$Ri0=2E77VM$!?gpPjUO;ecu>go4tb& zfNe~pb_B$!(O#GAz`}3smBc0~SByw(Lu};35sm4eQL!`oKuyeO9^et$e82J8hB|(} z(o(l)qyb5E5dgO$+H*jX9vh{|sa+H~DP@LdIE18bNI2iZeLhB(_Z|CePOiO+H7~1_ot9(2q+4P5Mt{cW&*$0&S!cAe?JGW!G-ZlXMh zu6R!M^;clos!4}k&-lSp#EJG|Rcx_(3^fem$Lsrbua1>f(})p{O{!+_`v;1AKyRMB zu$ff&hvCk#N^i5)*|%C;4EaO49@FKyjMO&ZZ;^k~jA|>unkB9=0uVouyp0#l@9S7G z$R{r8v%9O|Yzaf6W(z-3enWFW{t{IQGY~(<@jL&bi4z4`P*X)(^(*g`wc5~! zWwBSj8((yL@#0=4`@D}6q^%aA4L?+FAc;ll%AZ$xp+ReWGZIPWU#wU*t$8$h(Xa8n zhVDh(hLXm!v)#{1LmU%{^<#^%?7{6~C~MI~XdJ&i!4B3k`&NuF*8Ku<+)rJHDdm(e z`MD{Ni`L*Vx4&QUSyG?y(+UN;@at`BBckQBcu!gDzgHI?go)|oG;@#`_PaOa zbu0uJ=0gye9J)6zN|K!GZ5An#GIg3dp(QXCrdvX222OXe?!7}qHN4~qRi{t zQYj`Mug@shezz_46Q_l5?f*ke|BHnETUs4Zw8C!0gV+5YVU{TAxtZO5!2``N%67I? zZ@(Ft#<5ndp z@l>`qHtgE)!fsP!%9RzcKY7H)!NHl!uxn-x1Cn{HgU_tn>lc1rc;9I~Ot8bww%jA8QW;&BaUj6@7ZzB{_t>yVa zuZAXHGQ+7#)z&~VM>B=;W2==;f8g3LLlw?^7dO8vGZUIj4B?R$Y(-|HiScG0f3 zbGwk#ZRIzGUtyiJEd1iC@29^c9~aNlo*btBI?uacXB@}f(?~>Tu)X-Fyk_@0?3G;c zpI;!pq}LtCo+H1dnAMBP)z$gY&_{yPMleC_E0AmRBvnXF2|nn6dQ>Kn(35sG@aTyF z=75oht*~j&#wV|a>QFM#$*-!dzIfXt+A8Rj9vTOIsQbx2CBF01bNXwr4;t2DEi)-= zOHNXYTDxZcDzx_G%vknBXy0|b(iKQ)A%ufWxb{R%84(ank`vD;B7~ScWe^a5kdKD_ zK`{>zO+)a9_ctt0Vz|$qp$Miox$gw~PHY{ew$5fPH<&W+g+_wX3q)Z7OK^W#EfO!G zXi~NOYa9{fwDjL&dPQWME*!qObXg}kSp;7)CetGz-A9m4Y#+?mOWT{Bp|MT0ZVfJl zL(=(6?q-mxKw6_l{BquYSP=Slt+}zXTvuq)Trj zXWvnxTI6J@SHFKWgsmuHQn=N4tORE671f59OnS;eab8rMKt3P5_iPPOJQ4XQE3K9A zR%8(K#F8r$<`X*UODma|#GNTtP)Eb?8F#u3m#}fAdM_OU-{}4<5thuK-vte!(XE=s z=Fh?)-2^goxF`Vy$U6nhfjXV_;H=kR<^k4n?Ypwb`#h+;YgtD~tVvpK>B`7++E}|i zqqNc$Rsx#N``#^&N1(<_h$N0*4t@_Q6rphaz7lPE6g88EDGFd5g6+1^4;+4|(u3zG zjb06R2%P1cd2zFV6?AU%=9tABiKe|hTMhP>!ElJq1Ulz$YEn#jk`$5A0BGX0d2oZN z5FS|1p=`G)zIfN$>hQJ^I@gaD-_|t0{Uz-9Kvl78+?&(r09N zWCf^PNp2-CkLl_OUT<`%cp5(*x@np2Rv(`8;M|^%ji}2YJVN_Ey!hv`&vec;}Zv znnMOg-qum6<_Dy=O?!Rao^){yAK0}EOpC7K6d1f{d3TZ2x41Cx-%;W$Ya$nC$WrDX zybONf=849cd=8dx`&qYo=yT2{XXD6Vm&XrGq3Jf_$%Zh^e_=@fg5Q7BWEhylm*R22+!y`(X)RY72{d1B^E!hXL!suf9iaf+-dE9oS zidr@O|KTwHfy0+IS>$q&9}s-^5|eY;(w4#Re_X{b-MP`oJZ;|^_=awT(wqWxT+Jyg zBS!?s`MHl13z_+u<3CAy|F|B7ZB-6W**Sn(jEMR3j=R6Hy8>2O|L1+CThZJWTV74I z@9=D&-!_Ymqw*JnUmu@*Y{gBKIw7PBJeKDrkb*slB`4>Xl}&7H6#q4pAPa_sg-sn? zjO_RViAJM4-lKcIqlexjZhj+&HKP{pr$=RFWevd_oV=E8(dxiJeD%`+B`!wpc#NN6yYC^!seshNS_BwZ4i1MSvhD44 z4=n(a{m>#+g#G_~fPXeDV$&cqQ zYB5x#UVRL*hML<{Gbp&EV^s>h+X2C%yovN9UWcGWb&QDR7!>Lg9dnJJr3*^@a;hH! z!WmloRX#wptVeR9&V!#;L_cz>yiL_D2tNEsTz&HK)9}Qt5mfk(m`q>uLM&3RfWokg zZrzCS3r?s8GA0?AYs%v#Rg>%8w_ccQI3bzf?>cd0@?B3U@EFfeV}3FTkeWc+cR z-${667c5^w7{v0qm>o^k@2QOjCaY2_UP_y8+#m*p8tQz}-#CBta6K2C+D2x+VJ}23 zb#ODHhKI#n%jF+ts`|rD&JPl{4!r6!Lq^9cG!P6>${{aWMZIC>_)Vf19<_V_b^ zw3qqmGGY#Gl}ZSyfI*)el$hC54A6YJ6%ZfdByB{K5CZ`k&d)&i8Q}qhg$2FfM+#*eNe7@}peq*!;68FKn^G;?REa2H9HtxZkRi6=$dy(lv5n3~ zT9QyfeFCaobqO!3L)SUdX%E9IBo3U_o3ho{thX4YGz;_YXcGnAStO6oMv za5ag4DGOh-a%Ce+wBjCw#`y9n6z8s7JG+`$?5>{Z5PVGiq?zFrx6AVb_}ChchvoKL z*lrUkbJBURle~)nvd66bp^%(vh+Mu)8CQN3wgQlH`876TpKEyR{dl?Gfu~VkL6zps z`rd0XGqgJt8U8!Lh>x>+pg@t&F(B5?Db2Mg z&GmDdD@&Rq%hg?M!b&Fzj#x;r#qRB9_c1j7#jhv*ky?(M%{2S?c@+yhB*3{w5+&UA zJ_e2W$T;Zp<%mbA+Ycv9l!?0KO}SZ*?Bp#ScxpTdylRb~97PudB7yiUf^{M6!0zA& z4!h0Dd+bmSqrQ+)ottdJw0bmBH3IG7kMkZc?`Kd!jpO<&(VuMgjs^UBDlVpZV2FdP zEWcZpHVR--HafaeK--9P8<{8xa~hZf zZgT+{{k5+9BhTsF03o_&TH&6WJ6b1*h{?K$A6Qgw?Zd>T&_;W;C!sRuSx5au|GW&N{sa?Aslit~5E4;UqX*2g!`zzC82 z=ERBo`(P*Az`A=U)lEX2wIiyFYU6m5lK*l5>LnTH$ym#I7_OKE}aj@Lvfaj zd-8kZN9O{0WAw?w(!bTiz@Lp6Uyase-{r^VSa07IAc8sSv}*Y3$D)b*2g47Wi3+0y zPKbe?${rzO7m3Tmxe~r7#?ZJhx5mt`IqfF~U7}@2yMBA1B%yrb0qKpUk&JIT5m=R3 zUm@^a53WzKmJ`XpfknmujvRBqS@c%;B$uasL#AC~wBc|kEP52ID5|LW(yuGdItEbt z;EpHnVbXdaUcm-SAV^G@$zRO^? z`T8O={7 zMqkWw=9+O6%jp9tqakToSwc}S+TbuSlEmXHnTA9T0PG+^198MMV2Q# z!-PajpJAfnVo*KGC+*wcToyGS{rHIS?g7`3hi{kXr$ z5A&10MTkrN`SHOYh|&0SsU-z`F_~HgQ+uufWQ&(?kGg@FXabjjyX=Ik^x;r)%j*gE zxt;Z9nFYVFDZf?au=QBKkhXC<2f3BAG(7Npz(3S9Gc(D}A3LVn*7p9CYDxe9HaIYM z7OUK&Me5s`y0QPzZ5J{TnFpChJC#GNVU`O=ojOeS z3r*;kR@V9qEajplj)r+CrsXq4M-umxu=<1oIWiS9=#2Am;fm;Z%GzW2`P96+FGTx0 z9U_H)HoueyZR;@xEN{)Ib623DwQg;wXZ@0PKPn8DvQ8X0IYzuk`IFl^#eT8i&sre% zCqDEQh?B*L=?&R0Vf5Q65XJeQ8 z&PN*;&$V;a4tVaiTy>+#*M#$%9q%gO5@SF~pudwOTwbnsMoT%$wV6i(%qS4nS-NJz z1YA=eg%i19uPIrulI-!z2b58!Hkjihla@}^X%ePiGOBZ9+gx=9V3H7PPoo?hvikg=OjYQpyL!Q%YzjI^A3;T;lM~vD18OjXQ zyx_C=BrRNbFvc6Q4RcA$Qwzjr;A)`LG3~=4ZN&YsqhPc$QtKh;zMdhzE`-|3u3g+< z^i)bWakQk{q3DHi``zg6@$pXu|KlH0bP@q^u|Af%Cgg_ z--cx`X?SHGrFa`4U1g%~Onc`^m$zp2_cEx_@x;Up8>n#nr2l{Ov^!r~n`20~a?17Dey?-I6OA-Tbb< zsVk=`TvUWw%WDcBu+2j40aU*k zvpuD`{_4CeJo1_^Ys{oIQ@8cid=*cn^@~=E{J?LN*V&Ty^H7~?DI~|?->*hAN$^Ne z(#X7p6z;vgJhPxDC(~2uRk|)LlipB@=y^9}qCd_b5iO*_gG_G6T;%v8+xUxf<>$=V z$W*O8AQ%NOWX5dOq%glpwfj1P8jv2u&$mZ#rGR%6gV|JFc#4lV?u`3KK=aGitGA6@ zKtBS*=dj62ihvk0D+~3FVBtKCEGXZZ)RN4>&9Fv;fI#4)HN{wQYD@yBie6Q8GVI0O zuSdSa1S!9GdX3OYb%OE;lF&-#u}BRX7!NSfajvO}x3hL+V0ouV*^+OFkl!n;pCKDO z7uByWV@6Yr1gOsKagG#sFc#b*eDbve63|9AT_{pPR6@`6b}v-lr85MK`3HvLTK zL)W8dV8~5VTkCzmDRn!Rd+>tug)gIspSl$R(8j|C6%Ymm(UKa{;?Sd{PA{!7CQ-Q8W%ARvJpW@qFZMp}Hy*qiVeV^PYpwJAoSwTl`_chqocq#IH?fSC9fzH_ zuCU-XkAim_gX!&8@ARGTmtPf?dV07^^!4{Ib{yqM`agiu<=jJtAvgb(K34ygJ~lYS zO?(Yfzd z4$c4LGEmB1_QzOBV&{v@03;)ws$PBeFm#(7lk zAo%4W+yGGO@z!sy>)2e@?R@U)Tsx_)`m#o+l0mG}#H=Fw4U@MhK;|+}8RYLW9J8fW zLEZ@S9AG=t-kbd`vf?nhHguhflcR+5rQIZa7%nlZO!OyD*&P7~l)2<(pq^%RAXBiH zC7P8%Q|H2nB+@0J!?9G-rDH8^4FTk;L1V+2kgNzQOf)i*64iDWK@#PdZ3~1!aGPGx z&1a>QXo@tf)NH2E$s+bDi* zFm?1(E83x`VZ1zja|aArXPsMctz?;Y^k1C;8TEU*C7}ag!K@><^kBS`d-BHOqF-Mv z)RnU#I-7O`+!}fLZB%g6Rz(QN)*u){;{jcYAW~AnCj}r9^nRNt$^^M!MSM2L_w3hS zHyVhq-AV?)c(ci4q-2vtKUmS*AQhJSNrEM8U}ssESknODD&9m5pn4CqkjnKOmZ9U${p|H2Uh8ck z#1$&Nr205vC*+_Wk+i7Tg{{I>s#Hw@t(#>y8sQ8%X6ICiqpdm-EQEznHN{ zJfGb;>+k$SVK4UR196qsqo*d6m;l`XShOqMVb_iO&$*F~BMZG=&ha|YsAnmpBMYKf|0CVg^* z|6Hec;KRK9QvpZya`%%j2q2!C&A^c=dI8um6#7~C5)!M0WZ^ovcQ_cF%)lO zN?wv-O@}!;*FKu{(O5=2kLBNr&*nn}Gp6E~3(~#}5MREYTuPBHJ)?0?|JozS>{TWA zc)>!=(A-eT*8zWT+}+J&&dgY~Wk#uGLaB6SV~H!vRdX54Jr6?ndYcK$f^Gl$9IxGW z^qvX(1Jx75i4fuuA}D&2SWdp1$hur%c75^&u%n*X{yt8-Xi|-e24Vv(b=A!PsQm{V<}4c!D+%h^^>_-_<%u5%&rUxsa1aKE2NzCK@N$ z5wYf(-|ljK)P2-y8MhLg41LxzNCbo0$z@=hH07a>t5p0CNsvVvXp&LVK}3*t?(h9O z*q1L@OYR8oezC>hZ?NWiIGnLnqH*W@h2P_96m<&$l1bdGvT?g*>}Kmg2C4aX8Xi(w z!1&(6M~N4du>nY zfYh3M`kmdncb7Mu@-Z6&>AVkzPL$#&)s=;L-23wGj0`@DWM=1AaoNL#3m!DIKmXkv z)Q*BCHyS*B2q%yKkvDm{a2(=3OoSh?%3rt4xzi@If#&Z@Jb}&5f$vd8a=^W5<^5^= zDiHe0c{m(*_bA|om9cYVM5k^a_vGZVwBb;lW&>`iV3M-0fdA(FH6GC4c!;m<|CK=Rzp{1x&#&^F2ld9!v-JIstN*U~ zTbn*A=vno=qq`FHbPx9MrJr-G;c0sG=N*Ah4nuvroKHK0PmUaxXn&c498WI8I!?y- zCt=|P1#0vNvM!h3?j!{%wtZhR#bH`$CZq-ioJE39wcq`UhEnrs5VNR6bbMEL{#lbo z7sYX(2oRlfe!1>O0dk14MBi%DY)H*Yuc773A-XHN zta>NF@;yY)a>ryUOy>CYNt)CiMp}8s-r_57k}$_KC)c5wZ#9w$s7;OGibAaxDId;6 zCghMO>ekMVS9}8k8J$;al~g5^R370}wp$4>1$hRjB3AIs#fLAOsPql^WU>8DCSMg{ zN>ubus2IO)Uvh<%g)wOmBO=GNx5a;|I-_+Uh`Y*}kiccz<*5abFK&cO!u8lD;BC)siriJzj3D_1-O{IqiYWisG7{N zrg;^7sZqx>#IhE?f`gHG)sL(C>zHx`Nq`t45G`9od=`WUgGhWM$mlr?QcTXDdr>Fa zWufMXQZ?TWd0OY^u%avFHv6Jm@7182uYVUBh{sA)uy@#Qj2ah^+;6MMz9K{p_P-D* zqLeat??y0tf}pyQS>>Z%cCMq{59}s&b+Nn>6S-za z-9OHW)aQsl`WkViQg{5odS4-$(aW~+<}s%(cBYf!Gf$oTMyazr{@4wIS`t#L(%)kE zp8e0W1OXp!HYE7&o1eS%snJ?!%Dw$~c_%dI(afu?7X1_s3@$6g5c#xzC>~}@W^!q- zOSZ%8cWMTDGy)zr((tZCqcHOmZ_cC1t#+^5Q(~F>R^k$4{OJia9i3+uORI#%2LYG* z7emMx>cGEU?XYvSJ;rwWxH4hn*F#F^7X zL4qVV-Wl@kT~^n1-Qe_*5wGW-F=85YMw>%>p0?fvx4qb>P_~<}{bL!jEf~L|Do>UL zmukkq#Un1)V_;e%NNhz2u_95SCX7}kc!2J2H0Ig3^`pX4jRxCeISuneq7zYOEWJQBU)%xYI!LH z-j;HBssR3a;?_t!qH6Pb*&j?c;=+QAjRO?8A(?L*dt7q5!!NFStEaD4!wJU(scc^q zB{*sFfXvw3_d|RXnEiuR0ydm}$7_m-q2DidJBZ9hjD$aD1(>}Cni)pvn#YAtc{=19-0NiB&A7~>j2=gBmQY$~{WnSRTXXlmm zgLB>EZ5UL4YwOiD9F>g zYtqlxc=xvg@{vEz&gr$1_CGKN90oMr=EbcXsWL7*HU9X|mT8fR0~km#bTqx(`NvSu zI+uZR=dii6a7tlP=W|vv6%Y8vOc##!E)N11Z(MdKhZ|lu6%=!=J)DjXE)L2aQ38PA z%lwNbN=~iJ*%}8UQ`2^U0!mR-TzoJiT)@Bi`9VNF`rt}+q0VUsC?cnYe@AAdfO3E1 zUn8@|J5#UiuLb|Vy=MxNa3MZeG`M8Tvki3Pk5+oUdFCTCOYJet>$8cEy-9duf^~%w zS`;V4Nn{_yH%PQjZOo*Yz}n-AaXRa(Jh(I}vas)cE2z>m3^Dr7sZz7m>dvrlpi2T(g@asiYaZQpj5Onp*gMNr973^k553sZp%) zNXL0hvGG~&rLf7Pl^FwKWa1^uC^5kZDFi~793Z41-WQMWO7v0fZ|4^%q#3p;nf7tJ(2G~%Yfer1HCX@vgywY>!8%6qi-P+1?74f$giJ>Af zcFPA_+pV;5G`P-)TqN6+aEUbqHK_o>4HQsMo+8KlRnTKN#?MI9PvYKvalYJu9co>B zO1sE}QPro0{aVW!l=~H)-NMh#Xk#p&2nE!cJk5|j1?LtLmmJ$^bp_81^&!*5B{SL{ zpVrn7McvI&8uD-3S(6l)t+@<^#Zz(>cEQX@c9ltID!}3@%%B+dm5h0zOYuX(G9s#5 z7pLH$E#fEl2DvPy+YLH4DHsNY!7Kkx(L_slRt3s`0;roF1;99AqJNSpCwnGe1{!ZOmKGzuhqfOl5+FYwS#zXCCO|SJN`Eid zV1rNQMQ|Glpqd4uw4xI!s3;I51PMT4kjz#BgM`M!A9aU^AcTu*t;GO4`LUEkC#B3= zI>HQ-)^<)pm%E-{N(LzoKqJ}yM#SCS!EzT+qVI@Wvo2f#g3HE}8;en~9xQa{tqW=% zy3-pxQZv7M?=Fyl%!3S}r=Gr{3440{+W1nuc72KzQ%PCU?je6O6zfzD6*;Zzj*^|Z zOU}yX7Slb_Ti1?zHN6iXN(!&_JqUklEHy_VEe@lE6)+ik= z+tt!C;WV5}1o5_)PPfzt&~~{x^@xsV&c9g9w4rPIVv!zi0SN}%(gvfU9&0l}m$(!P zIzWWkD?x1lI-N)f!IC5b;lkxgXsZ}BnS-iT%gJoQWWrWY&7Oo&Gq}weP6^br#XZTL zD6AzQaZj;H8C#d+;Dg1AQB!1q#%Uq`~Su3BNRJOV#@K(LD;Amj;W6Ax% zq@cF$!`78JSJ}$4)(MPz4;20Lm2|Hlfy)26Au3b-+XU@tmx&wyQ@6}8KqmEm_$}wO zElnH7$GYZ6>|STQX7wAr<(RYo{=ya51IM>!V&#_Oht_K!Y%Q5HAOPmnx~$&En$c%r zEAxxIfloiLd6!pS!4D`)7K!tBb~Xwb^~>FMk;7D|Ta=Az+HVa1pZ*^lY@kHCn962}hI^eHX>&4Qy~!uwTv?3bG*q$l-J2oRmW36X4DpZv#vHRa`_r3&_wgGC)X=Pr4cceQwo!#2Sv2fr z=q6|*NU38BHA&c9qPR4vcyl*8S5mf&k=@n82)nY)vdU;l$p1IoUk=l!@WS?ORmFHfgHGAvuh$-K=k(%+EV>U7pD=PkVBal9v-s>2_JcUMzsgR$e?s<8E+C4Xr9Fy* zL=WnmjTUxlMuuMgojmHuiuDO`orm;~3g=w>k%MjL9wCnIfSL(a`Ci^!!Csiw)-AON z&Q~%2uYO3f-(~Wj%TElw36fr|V$6FFvCXJi7G_5m^pg7NF#Wa`nCs}=v9ERZ$ES2E zIzBXF$jMOMBomUt94B4|Tzy85AJk2m1E&9c3$1<`dXB=yr!}U_O4n{W9t+R0o2B9p zhMaqJ%pH}YPjkEqAcZj>JU7oMu5LK}Dz3q~BJvb^gZv4Ffazf=YfLF+fWYt7C&bO+ zJuNo)$~}`h8^Ok`yE0V!`C?H!T~0jwCaxa{5b#cylM7!7f9-5j@)O299{!q^HrB+* zv(jw;2gCYYQxm|n2)e78inLVl@hR;Vgnxc56U)e{Q{g`X2D+3y&nN$d}bp=Wyz8?D|7*=CJRJI%?vD%0w42qQNe zv)=XOTg4O%aLqCE)t+W+jc=etYI}7sq(!7;j%o1A2^0dyhaEdV@a73wVH2ng`P`$K9Ws$7LbKcS1~5np}=8c zPH;|A$V>H(b>hmj-UDC#-9&j~E!WyK%f}LPRcm+ry&qy#`arZN0h;ItA_20UF0r{D z>$o}_PHJ+qXIC_*J}~XLD&A4Ri5UhM_rMGLm;jwnBSi?v6k{I8BNJZ#bW#rKhLK^h zYXFjrdlyIU1+ohzXQFZHQ7*Ja0aeFuhr zAEy7YdHn5r1OWK;aYJB4f&ATUrej~^P3+Q4%a*BcJzmo1`gm_BOyc^ zI67#j%9{Sz7d7zVt5ztip0bzlE_=-0`~=ghow9|-wmy(>@IWu%;mR|BaZs+M;btWp zTXV_(;HuuZWcl}R8o6`qz>pJ4frCH!yIX#nglT4HQOV2rrT!ljk-n)_{j>j`r~OMK zR)(=}g|=``|9}&C+8y|oQy;G0o0*o@86J4FaTGSu;;6nd12xvl8r@p#ce;D}*Rg%A z+#tEG6>x~|^>8!a@XodIt_Tp#XC|N`Yn-IhV&MN(IeX7YAB~L;?#|1;51o_QTkks~KHr8BkUoWecF|#8jo3CDZqK4(qJ8~p&eU9~W%PA! z{B}SMdQlPDUUkx$c-YR4aA3e|lh2d_L9a8|c)7*CwyT7ex0UIw(AY-h>Uml)!*#g{ zIc-D3K^0MnWbpJXfX$(dM$Mtk(~d_DHiFLM5Gnx!A1S_osajZ1N|MqSvOJQwES^)o zMih8S?Z%3`V=O9Pzl1kyvIW)FkbALYq(aNs$bd_ED>CJaEAVyjtte z>z%=a-aAG@^aPM}G{$YoM1!TvosioraaB1!7?FnL7oVPXEpvYopo3Y&3@0Udk-~qW zT_v)*Lw)Sg)h^5#hW%|xIFTm}%+D8^6s(r(T)E&q`#Y1myWIq)2*v;@ELtewzyXqk za(o+?T;GpH1ak;XQ0bVer;#b}XE->K=EokG1HimGLomTgA?wS~7@?!Cbaxd6xHgv# zS37uD+bo(K2m<@-XYsp)AxK4t+Yy|vZ6%fM^CRoq0hdo!8DiwAi31528D*RNYqu0W zlIsR|#pBI`r(e(eQ*8rcXozd79J*wf=9=bRGR~-&ls~FHqhg-;YwHGo6FGm^LHl0e zNjp*c5Qq0(OUY#OS(ASAJWu#k`qTK zLpV+MD0h4Sv|^wgPf-|+o7(;;0YdZVyl9e{A6bHV>ht5F<8k%`ZYO8B)gaFOH{`P< zE-O)SHwvP0fUkiLSh=0=uX!Hvl`P*b^VH|i_&|pl*>w~cpfQ6Rh@qz$Ne(fCUZg>5 z%|JC5Ycgpz6WR33*V8eKi|0tHYegglLSTK9YN~@*$@#`k8XBU!Z1TQ@jbBC$ z#8R;pY48SvD+9uSOSwrKI_zk2&k^uiM)GkX}fmz>#!W(A>+1?`f|Y8TAd?r1vg0Aum%GrHsd15<6Me5OxR0 zMDjug&uGkoUk|2%n_yV-d8ng zxV>=tA&H?0T9gn_gJ_=u9KD?h5}dNbjJwV9SiRs$HsqpW z8POOpGXBareusHO#fym<`NEaiZ-^P-HGx%t-ZT`v<*zgJm9af`=tu<-o!?0)Y!{sDCdKC>Q^^6Mt zo44do4H3mTC0yfY*kt(t;(_i($}v7|URO^>LwBS18radKE-FpGG$_pJ_)xc~wCGDy zMabD2IfEuz)~EbvGQ0i_dMr%(TgfSLnYB`kNz+hn>CDE!-!t$7I`$L(Y|St*=3Y?{ zBv=N>hy(EeDBW0c>R6fdGO33c|EpGm#PjSn5`(kul-kIw43!P@hy0X}te-{=v(dn7 z98b(9oZ_FfHB1fpmJ>wC&_wZlARM;r#79hzb|f%%x+Rfou?Tm4Mtz2m2#dz&qFvj=4KKH12|X5d+k*0R>aZRu6oql0S3>y;e+mg85KBNELNYLEq*H}e0>ao#9NQtPuv zV|H^b@c5AS#9P1{6tLFb5;0nEAb+^yQ&Y0Y`LKU*G=CB32^Dwyw-x{wEA(fxW1H{7 ziR0}UAV2dr<>2r8Yj^y|Km#bv{y&->cQO1-v@-8FhWb8Hfpy4FZ?91d4}Re|@t8f; zxLpM8Gb~)z5bzFy@{jiS8ls79s1CQbkrJzeppJq1wn>2+J~tf6%xo&OEcg*#!9~P^ zHomNT2{XOolV!DFfV2+Q;c~Fs=%3yCp1o-V@Niy*VZl`);7eX(WJt($$i}wreU^O2 zb7`3u+L-k2@??s1?WlKa8fhMQ&~!B7ZyVh+`+9mp?d4b8y@fU(;{>32Zr8k>SMbsu-MZ3QUI7(WFwj@nN9ktP5%`4GL zVLxYjmfA!kJW?V4>9qk$dmH=>W;i*K#-^EoJ&EAcTo@hYF&~|w0RgFI&rR&%&vHnq z+8>ij%auQo+Qh+9roBgxi0Ig2`WsAGDtvVlJT+} z1E&5QM|vEC^dahC;jbHwZ|e~7`iYg4MWs)l2Wn>Z4le!HZ}EZX!JoJjdM*PW`0SW) zcY4}sjQx6!s7Urjp1mBmuV_%_mS=Y86A;R>hv0je3C{gF1^lO?YpN0b7?{gp3uFPasPNfl1aX?D8L`8`AnQ-X8P~Tw_K*MZU81Ul+{$Z(rJwt_)6)(bz$H?8lE;yqeAMp8 z#GfHUb4K2c&%OluW?rcJ0~wZC@nMyY$=dv=+fWYGuNNav-p32p3BI@5&l2=pIt_++ zawn5sVZaRT_ub|5;epRlTe-3FXA#~|xV9Ii?dRLKrVM))Z!81%uvH`-+^Yer`2rPV zaITPWvm(Es^AHXG#g>XY7wl6~o1*&&mO+tz{Ll|v|9;hpZ@3MIh>*6&Vm=l4mwPYK zxW+u#4KgDJjIXu`!`WelFnE{5Gc+Wo$scW<1>b*Y~$%SrVoR`X)KHWKTr(&-cZp*d_b6pke{BD!90 zrj;NC)Ey94(b0k1sF;clIshz8=skN!*|?-`U~JSj-OpZBFNGhZ$N%K}M`%Vq^y`U? zi{tbuR@aZxin&7-yNl=3&!3R%NkD+P)`&|s74r{vnm>>OkTR9f{Px7D6eZ#Dm?dK7 zm;>MY*vwe^E3Km+;HCcEzSh?r$(6Vk6XmzK3~M`9qP`k>5*xnVPK^pSWj1&}AD;9) zn&j!@vrSf$L3$}fm7Zlvy!~}GUUOvSfA$yuH}J#afb_Dr#TzZ~v>>pq0M}>E-W(}# z^Il+n^wne^q26C+W6@oC#t$JNKgV{eHUKTdkudN)vgPN3?A4a+6DLBWZO&N6PT%>n zaT_sOY=`mulEDBp=$U4P7t2@G*jvA*92~`UIsJXX8X@1>9=fuxGa9S+=0Hg zTKM=C_b>Bl^)K^@8(^IL3(@zzWuM;b`jghd-=?AfNX9&$vi18eSNmGSzMA;+1Tgq`HH$7H(Ai6F?M+QtYZIsX46{sw9?V=f`<6|2g;r3 zst*iTyH8ZeZcJ!Qr<67Qlj7tXCbq(|DTT2&U*=Plrfpb?YvRR?pgYg{#;mEi-;AZ& zMig#8T?=?YG@N1X zcTj1juFX2Zu2x%;2da2!(3T=f$n)7}Nov5v^ygGX5!w$!CR;srhmdKxHRxdunUuVJ zzTexl0GE{_ac`$LQN^+P%$4`62uGY@*JSM{P}rDespXjD!Huc<2(yCmW3S#89=`i>^DE<}@Cho)FkU;W7+*O*pR z;Be-8Gk&tSDEaiVS$D;!M7O<{@o_A+)}zIx#PGw#NK6^G-l`jVs>}PQ4gvqjSqTk$9^7V(U_~pA7K|m;>E1TO z0Cx*)`k`vm4TUoCrUzcu1;Iw!)L8RnM9Qa>CU+9^We9qATHDXGb|p z$T!is6so*d=Tg=G!NCp;D>Qx#Y8pO}1#S#nsxusA>5w-%qt9M&rYmWwi-tQ&hV#7< zd4&%^40CX?PK!W^TS(f|FDMfG$%rsk?UoJhe8+o)Q>$ z!BKG~(2&9CVBXw#K?O(FTL;jz_V;cn}!)Otm* zAPBbCh?Jl*mzcjV`u7!+-kQfrg$2?dF=mTmV}7mV%gohv?FAC(IA+!ivW>w$=A!z-HKFr4l9FJTqjBl1w7v9hTi|3tXKL`C z4RC5+J-+QF^m87hJb&NK*zI(C6By2_N^o#hk@2HUh?kygrJGhqh1?Z>ZYQ1iu2t}JL=E!7~t_3 zRJo`Vh9qBX{sZg;GCktci<#06We-pU4Iq*tOY(N za|`QcG|o5vhE3`7U8r2HBET{thqWuS{v3mf!$j&i1ldH3My8in+Ls&(&S=cOs_$o< zf$Q3=e4Jv_lRR%|1@k3(jp{q+;Y!;vCDYg>isE-OX6#{{luy{={S)Se^v zI1QHZ`~b66r^7Z{@>vI}+6oC5-sB-s5sc{Z@f$K8HwEUO&d5g9Gp=aCw^^Alk^O}h z=|cj9jGE3b#etl!ZBkca8hli+Z3Y<+UogZPLWHLc3^4?yf6A!7ym;Il?h3?PxG32e z164|8qH#1oRdD3v?~k%BFF#Cl$50Y-_pby>6tKF=dT#Lj^?%^bramySUTh(bg@IUcyyXv&?Tnc&Yn+PR;94{ z-MHFYcg28pyt&6RTErb{kB|YSiAz5f;JWp9bazFqfTbh98S1p4oF- zhW2baRX;pjSdS!gaM+XJt4}mWKQKgMFp7ObKKXPzD;~gt}C)@szLw*q~+-+sBKlNO_?T#K_om$v?x!&Ut@W* zhM3>FEx+GyNlzg!98Ii^BYWEYGh^2D^=MFAOa>YCR5uVIra5E@&GvZFs2RVX+W*nD z!L(*{%TZ!srm2NJqpnfb`KlJTWP*M}V$-wj&vm=W_LtVI9z`4GpKqc`V==hKVhdT2 zjZU(H@s7v2?ca!&B;_Q-O1%xz0}a0~DiLV3Z@3Esv4ZpeTp-*rCVC+KTFL=e~>Mw7|$wBxGA_B<{d3 zRtigci4{j&!LF2#R&rV{RDHp7^ZaU~)-~o=evimD+!c&i~yM0Z>~w+V$e)vG9;1`p%At%FYgzhZNPh zFV*=qSM5H$tU64wCbVc&<}|#OLFT&_F_Pe~H@Iwyx>Mk zNkcng9cuWXaTlGBY??%!+-+PcRNWDUu{eSnM3}^uVcJ~o(80w@_|g#Mo9YK^=JrfW z)dn~kZc)6nA}Trdi}a|z$Zavqhos+c&qO7i@u9CnxLzu}+{TLSX#Zam) z95=~+4US~5kqqX0`|fnmAs}k?ggpWl!k!md@%?zHe^K^Df^I7b$xP6{6LB4A;LB7I4X8y{qh7o$ z1;PZ!?9iOqR|Dvu|pbBLRhf%FtsDyrai2w6m&-rNcfLv$j7S z`t?$lCvM_d@*4e}B`iO!{*Az>mCRJdFHbV-)1CA^7Vgwa6eIy>A7;*qA9c8^`zMKv zc9Zkbiad^kX?M(zBdL+bIt~IbEfO}MjK?N)5eI5t+4%-($}2S_yUcB^UGA=to74_7 z@M`;0z>6aVi=&oBEjM#;Uq1x5m6KjhJ{ezVdi&nfcVHZZicCO3S{)5J1H!MMtql`M z4FRv)MlbkNUZ_E^CL?E3HTz9(a8)!tSWvB02zL2U>B$Lu|7Oj9@u6JxwZq)_Txre4 zbuko&=-niOh)JCP4~~81@atcb79GRU$<%|<8 zK3rxiW-nnhjOC_oUm3H58>4a4anld#%3bLX7jv{OBD~)l>g&HX)ZeVH96x#8^>*bQ zE%C)`{rmTl+0U__aPJie6Hw&5QJ~{AHD?+E*u(wNh#qB0qg`s?eh6&A}M)RUdh zFI`MzT(Ky%K6kNqiCDwj8bXM{MAl#@127qnMvC$<5v;KG6DIaqmt%Pw4U5~3E2%=Z zE3TM?KX0T&ITqMEM}l(en!~cj_g(k8CaSRnKA99x|E;_tJ0|4dEJXhVv_e#HwM4PYMCAvLxZ$$^{J9>$#)WmwK%l)cay0l{Yp)x&)>`~t!+{KXrusM*73R0ngXI?DS z5fFr-#5jh}-icvsm^i(r?!+^luP_W^m_2j86Hq42& zM4Uw!14JiA;BKP?soPv{8;0+8PV%>SXHeq^e&bCWq9@WJKcS{Y?r#6aW}C%62@aoQ zGMLRQAwc%OA48%q=)vzIjQd#R67T;!MMCHghY$Chm(~x1^5!eE zHJ;U+nO<~^H$6@kK0e#$j+0Ks1Fa|Kfv5*}>hdwHs&NhmdY7JYjKGHcP~twhMedY! zhPFC5%^(3A+|AjGj+7XVP z{#bthdG=@UYFkI@Tw7=91my+FQ`6clT!_aTXk!7x5YY<1w%AmtRkG3%DR7QL`1|z`DiXoyNNNu53N}?5cIa|d ztP4~<=sqO!l#?iA9#h@w3mlU^eW=IsQGoRukQlKBv1^;(gPEZG4TEuBFz&07ksu0% zq8bBSYYK`{c2loX!|F_7g0>-Hr5UPVVtT)!lIgog8GmLJNs8yjZDR5@lkUQ%%6V`O zXX~t;0nik8x>>Uqx#==O|KqbQAcB+$*};PRW2XtHWEJ&Jl-Q|{K?kWONurNzJ&k!# z!1!rl5rS}*h#*o)#93BD3}dueNrza`D9Z332!;J}!zYWHv3S$K6iqy25&y*i{uEc) z0)pn;t^ikX8(>0GFy}Jsfi(&f=2I|ndliv9(Rdn#3XBPDAY4+F4v=~k4%t2vEQKl; zW|_J1($(O5s6nB$hZT1Ne@o1R8Xi3x z!cQwSF_Khy@9sX?Ui0AZ7iem`Zl@X*PFR~U9)#GWQHSl=S@z6z@65SjD2Wvhu71gF zVm(|%slLYm-(Utq(8_2mefZs6q6;87ukwmT$13*1X8$;*HX#kidCGzDdbMpIwf&HZ zkwI6R4k7MDJxy}Gq~AWzK%cDsIGO!N0!wPO5|@T5=gt9Kk?)PJ2-6p<0Jpu~nb#qW?L|6pph+3Lc}~$RNW(b2d!%Vvt44G8d$dQBA7ya7bO7CJemg(r#5ME|9H&7!+i(fLX_F z=exocBrY1lRLYmabz?y7le{OvtI`6&Y}9284&Wg@i)(7svIw zI&Q-8aE0uzE1USfKgh)CQ?WRzgbyu-Owx;0cF~X%P1GevDRblsa9J;^W~9BRNnCg< zf`UubfLUAKBOa$r%{o$|tX^Kyj}AZk^?Xf6T75&QgfedNRNb46!TIQCXUnZs{HQ|i zQeq0()pf8|NKM1ChcQi%w*Dk(mNU~0XF?&J^dyCaNN5)eR6A7%liYhG~1Y!3HloJ9__!qC2ETbJntXJgdrcQ_xPOZgVmt{n^OY9L)p(WkF0a>R2 z@d(a=1R}U~ovscQj)Bhaene4rk!sIR3O&T7;HvgfStr(<4IC1{Wl203FlCV`V=Xxt z<%(rf_AFRZX;QrO(mvF+J``UFCj;DIc|wEcp4FzZXZ_M`vBD$EnTm6@d@t=J?8ffG zG`LOG9xxZR6I$MsnD)%<(QvMw+9_oFY8kDtPYkaA=Y0HoPQt8PAA%E|@cw;r=TKiR zwp?Er7&O+~Mtb*uHw&xryV((UxC7b=33LXo+4}Bv6|ob5m@mA#5P1E4aOFb&#{1OA z|8umi@ZmbJ%r3qA=rsTSYDy#Pu*Ltr_p)97`uOAQL4P4x_vFG^ti)2DK)_28y@QFZ z|9p@#7WDfQ(*>@7pV8tx=nF@&2W>w?jSp0@FE895)>arhB^o!M(Ej)yAiLA2(6Z1S z9&op?{P}h?5Np}K=Gbe-cp>EB#OZ-w=6o`zrXm&J_zyaA<@r`{+nIzAP}$@?1AfKy zw$8Mi0B^~;rX0YF91b;aNYp)?IsaFoJfxl+`KMxRwXg7Q{O8jxia6K2YV8C)_TQy) z-lzLkX-%ABVA>r0bKJi0O`QjMs2QcMw#CrFrxDfRo$SpEZJ-glL28ah6=G49MHCAv z5Tm`K%Cf>k!)rsiix^Z2#-+XrkdJ~@9J)7CJlW(_#$zQTQ98{bdc}~Vp@b*=CCq5Z z5!IW(iaOp}LFIXN4A&s>t4|%0g9+6f7~Ij7bZURlh}%7;DRKq1gd+{@TH630eb@3Q zHh2hCHa76#$jMZNiTxL~H>^F36Kr#RAYs3fWl6$gPtscJ3C%ZbFFOQtYLTJ9=9`0UQhXLtB zNOj7RFRq3z5L#wIW?m?t@(&Wqr*u+8yk%`dl1p6sFnP~@JV$l6Uo@NTC^(~gI4j%; z1P(POS324LUg6j{C@izIVGn{pOpnl_!&S+E_2f@`dh3(hO6h25)>qOPCh&mMuFo4Y*G7-(o}Zbi5=!=Sif zHx_i{nhg^uuGfU@lRqG}xaX2nelcuZY|D2^z#${kS)JKbNTb^PJR)9E?7yFd9JuK~+i}gu0;{g)^+U4C7Mp67w>m0d>CQ|B%=|QF6J$JO z_+e+%NxWGQDQPQ&&2~q2SF&ugu`{F%5k~`vt3Lp-&DJ0zLzdC|B@t(dSFPws&rO?R z;Ljr+$dHVu%yo3@oi6W!mMmaGR5jG4SUQnqgvCk56%+KSb7d0rU7C%6;x)4j`dA%K zHfHU1+SxNSq-M=r-Q`Y9)*s8qP{F7-bJX>yI@N!as@H-cqsG*G$#EHtJq%p6|sWIhfNhkJBnF-FXh8D zpv44ZTcMy=ge3Kdee3{*LW3Y(Bv@RlW$J7%nHTJ$VG0nB>)I*}-WNR+RHMJd-~Wot zW_|{L6AkR-Xwkf)HBFe6lVyp2SgRU@z;6qGrZ||mCdPO5&#sHtL z0<2u|!>lgFgr)$i^v@C0YN04AATGbX9>8dW93S6 zY$g8GQBxhy#-Auzb}wdzz*AqswLH}ywf*vNm;vk)G%1NUmSV2dl9KMG+Rs#DH(E<6 zZu+b6uwpj(8B@#FjB=lU2}p0 z@ixJ2ON5ke*85{(Zp9N`@}CET{`0i{=?{89FDUkX9w;H)P#&~eQ9_8bIcIdcZw4mR)X%c2<9uDhP-ADYWu*`~{Sy5LT{ z-FdOM=j4rU_W$tp)lpHlUBAG<07Jvj-Q6H5F{FwxfJ#U=BAwDT0@8>`GjvEwm!yD_ z(%lG1Bc12=dB5k4bKdi3e?aO1wSxHhM(aWuXJ19P#OkMV=_eHkf zbge6y@y)R?b<<+ArRCDyTb;GjiZ@>uvayLi$TMQ|S$yWAb|-cG&i3(+bh<4Y1|fEaGmKr<{xnC?{rSf4|Mj_8S+)m! zY1T_Q-8ubdbpZOP10jKTB*&Mo!`YJF&$3};>c*)G?v|*!y5nA!sPg$<@w%8FwrsXg zIr7knpKxK3F?@R35Ll1~B_$sB*}*g@FL{EE#0iwWef^}Oq>={JFbQtXkCx<$OhaoG z8A-rR$PnAe(5(J3cFigc5Iz~H zWf8>_9>)Nq5^;V_?czC2@yrdCM+;~o%wn!?y)kGf+C~o6=K4;j{3ENYoJHhsQ$e^Q z{Y`P%D+DS(snNg-dy>@D#^jL<4=7f}T?;0EprdFGFVDCRFK^w%Q*lZ^2!Kuv@ta*9 z6HB`5JrG!VCATsXBO;#eHv@}LP%HbYJ8KH%Tu*<;klgc0@UbV8niV0dFx42XoHRBI ziCihGDjPNK5DHyz`wwpH-0Wlv5kV-hF;76VI=hZ~+=&Cy83-ZP&w~fat%<0jgYn@u z@txm_c=jqjH7@&V&H;MyrKu2lzHRa7@fre7Zh|qep=;$ zv81CrYQMQQls&-{?(EQgu_1a}p7LXd#mM#5oM89pH}*47jt}HuLN}`zNviI3Q@&X@el4t6JISs0hS!NY(kD(u zQ#-}cqW?8!f<|lLw9=}sb7!ACgxSnLK|7kK>9uyMU324?+-2LQ@fRaz@}`fJ%L#qL^Szu=2w9Ju1Vg;DO5LI8D-@~ z@8mNiR?5&Nb9^P5qof=8xqQBxDF}jr^}g8zgV?U*=y82b1U}3Q@cz`Q_a%Qa8SAJw zli1KTTHa#_BG<1c`3#ertS$F2r|CUhD8@O^mwLVLyYC;p8q__G{+t>rTB;lcygsaj z+N*t!)fZNIi^{y}Vj&cR`|e4CGdk%4#9l*8i1bMh>s&PdeckT8nueF3<+7>60%4Ig zRUsOSLyAlY2nj?C3xL@mjn5aiYH{*4lc3T1lMI^h&iDlN8X}FFc!4@mVxFj%yxnCq zn&p~c5pZ5LtpnmNH3+@1nn(L+zNHWVmOym`IT=Xx`VjTPkn-pZ{8e%2NSpv*&V*pj z;&Y37&IOT|j&LkU4>z`|B0CBi2J45K|z?oZj1rDmg}H zReSR;xs~tLvq4i~{OnVxkkiKn1m`Wwn0V4UUUZzRy{@(lnnM{^U6>E)y$oxnv@;$| zO$096{Whp5Ecx-I*At7=tsTbwgE|x**cqrLOKmBsEK$LxZltPCASy11}XnMQYG52|? z=ASoT;BY(G5||0bz^tPcJ=X2OW0~$-`{tKJQt8V^*~OaH%eU-w9p`?-bN`qMr2b3= zv%Q<%watcdi~*G!n*l2d)R{#On;*g(Rvuv-&i@WOjL`5?_HP}PolHWFX}jAc@Fk#| zZ?m??nJ;L300PciRi1J8UmwX|AIn|eAB^ixe8`{w-|-~}Z7?nt!&39l^X9Aox`_x9igfYf zqjl^=*N>Wf21<<$;VwrdYM7Sv9VUTJa`Q!rw({qtDGV{x_Zpm??F{M=iD;zHht5z% z>?aYD3xQ!^&QdP7T$ENqtt&PUVdO`YR*Eh5AhnNsG?qzbary4JY_oEi%y9{i)Mnp@ z1M#&m>+Gkc0{!upycWehB7+mU;kI0r7JZ%QkD$!hX|UHZUNmLsN*l0C{D46C{hH^Q zQZR-5(JP;lj>h#7tjCrQaWHS*laAj{HE1;{R!0HmkV(t9PucDS%(iQFdy}~-bb1cB z7DcavtLe#R%pyO{5M*3%1$8!d1w-jFw2R{8OwW&nBnzDMBk&-mV6oDt{8O$)Bx1P1 zQL7B5%=>odd|ul2`- ztR$g2%rFxgJau*ndAZI|FsGWC{gc`$)Cu*mBHqnZkGB$$paRq_D+2JM-lQ|oQ(A@Pl375v%P}QhVkt_I&vCaAznPcMV-%rLpy-PL^>Z zUxtM8Y^}(?V5O>I^F$zQ$D4xt8(OH6VA0Ot6i=niIXeklNZHH@h6=&BcRk*Ht^KLI zw%8fwQ~C;7Dd9nu?zWk);Ih6;nx4`2uG)3V$>R^IWY~523FGHSO#P(C5s&PMA0;sl z>8p+=Dcb9R7hMHp%4UAGuQlx_t_c(}2KUh!d+u?QYq7vLe_Vk8%$-Dtp6^+a0Hfw8 zm?M$9#ZU!Lcy=ehQJo+==xUL0-6rXiJGJ$l|B^}QiPgIxG{i0JT_hB^sF)D%NL{4R z!$n^(SONV*B$@wOmz1-~IWGS0%-b-J;pOawvFS#8v^h4{0kt}GZi^#=te|F2;Ow`A zsPtn%RGeWib~?Am7*xF$BmNK)t2ffXp)7UwH&T3VrWcAujf@j8<)H;k`%&pCrlROU*h@5UuZOkg4(N~_>R(tb;;9FVSS3B1 z=zXa{Me25#YRyDVF$LyZKZkOxh-n!Y=M%zW&Nz}(qXaB5jRIj(Wgxk^9>r}VZn&yJ zv~Ie##ge#OIp%C>z7`;sc5TbctvErd5$s-Hm3Lsf>nDk<2}H;vrjag!@i6&KG?qOw zGqT`Nwt}&-aCWx1@%(5Gb?Au*vBcCjRAEf~7n0V`b~Q}p-|)v+!i7c1J~0TG*3W$y z-LYN&xQos%va=#0wZjMRv*nK7*}0ObrzB$ExTLSHrvC(AJb1D~?$U^dkN&!~hWV-J z;<(t0hB$Xw&sFpxVs;U^mBzJR=ezMoJOhK~rvCVmQLe74T__}a_!to36f2(SQv8@d4#|8*+iao@13!l^dMxEv!@cDj9peFli3PPncjcr!7j?tuGM5k2v@_Oi)E zkS+g>iNZ2WnD>>0RJ;0|PTq*y#$Kb1e@1($Zu1qhIO81R))~brk51q_zd^EmxrDKv zN+iWE3EY$EZP6)==B#D{8p1rM;UExqVCUI*A`C%7;t~~BuEbnYrvf=JVefF9{ny3F zH}q$y`1kG|1_scY09@-~!}(Cr9j<`G>%|Ba`ZqRXlLtC2wSQ3%e!k^8QUuV&)?NqU zSL$y2=d!;gZoZA(JwfbzbIi=YaFx9RC1tEx;hU%sF)j!6EJ=~Vf)`MR5fOGAaB?%-XBp11|H=x&PDKlE9#~&KS z{=9^v-aq%h;{hFqLBRe^0`*5!0;q!l-)-vOxRfvW0r#K(c<_6vamBw^Ouv*MD&f(C zF7hyZQ>QDD@7jf;nKIG)H>4+I6*&R+kJctw711I6#HM;2T18q^AAnU+BrV~AjGK%O zA_9ot6rm+APA?Wb{fArbzBHvU4%Pf=dxx4yJ_lEVE|zRIHTawuH!$)lfDz zz2Ic=Y$XUDoTI<8HT2as6wwK6IMXj05jPLT=FSX`0<(=5`G0dO6D}&T`qc0k7BP_enTv#7!mS^nh@NozNa!=5xRCZcUS(x(&7xIXxY33Vtg6 z$RS~UN4o@(W_uRe5KN(ycRBK;c;zPOz;0tiYa?tsNngGDa&3co1-+?>4G z&SC3Q$a8P`kNE%=wIIl-YU9j{$-e$|^2>htT*eo=ClAVVvnS|#$sF!b={*f}=1Qb0 z7J7f^lRVp*LZ)`U%CVsC8}Q&;@GFn6S-Jaia;-E&cB5h1HCi+@)FAh>dL(;}FPwxp zgCu>#1o7H9N1S?ZX6S%UxLKxfhvPlXp*}~sG3PVgaLGj!1dTX%vF$61cCmcSQ_<}c zf~u%N6P0XfI2zLGvy9bw|C*#W}j?LUBY5TR8`B-~_$LXd%V?pWaB&TbQ$YJ-u`UJJ{t0#h$ z+=XvipXS|CP`p6G>8~w*kR@BR9 znezScqi`*_rXq7tf;utrY1-*RpvRZH`wKQ2>iDE}!v)%lz72x-g9|sG3YUWa?UMW# z=md-ytw})}>g4w~A#Go5niRQfRZn@I;d}A~C3&LOzqJ4~wFQI7CE#4Sezgd+|-bnLN|i%RLSkH zvxHcJvI*y#f}%A|>KIvIg9MZCECjB^$2C^2^5^`&n8NTCw}ym+(H_hOm51Hm52O@2 zCyTG-E1;3mHM&vslb2&Zo@UnS6JPpKMl5W?=n5Cqc_o=F*2@q&__FE7$pKX8Z(#QV z)ixh)s*jS&wJ4n(AdUTuA~f_@qGa`vfWxNRg57E}G>Q z1cXo(y@kAwvS-&K?~+5Le{=x7;^0%vk^^Kdc+XV3M}y)E zbWnWo^0MX=U)kSQTf^Nk4eHcAeCqEo(;zsTc|nLixExwzXrnhWkL1<#feMv*gh!Kc z)a*^A5+^M=@gjFpT^>{C+Oj<=&YVWtmNPRvVgDn+ZE(IRaf<(sEn*5PZ zjmpZ-B|0dPXA7corshf0{RHyBB!Z``S|mV(B&<9j;(i?ntLIJO&i2U`ow6s@jecn! zZ;Z(^o;$K0H6j6dIWtH#xI zD-fuepYNi3*ry3pMTea`bnVY@?q+6;e>Q*h+Vwa874GW^K%8-iPftl+M2&GeL?gw< zFinXGPLuM)?~jbs)n54k(^uBOnh#G|*l<+-q z>$Y@Co$xG+0di!If{VCNoW>MJjS=k{2QyqelibS`Rr%6EOHEU~Z}KvI;hfUHHXDW^kJtk#XifjZnB{pfM>>CKq?@;VogOoHCGO&#`CEI5j5()FSiMlyNZDD|F z+{7M#@f~UxFA8E%V9AZbGSFh>N$Y+eN<>H`RnNGqT8Fw48ZU(nW^K;LeiTNODs?nO zx8$4F4UyRD-NH*taE~`iM^($iD`^K&b&6EYYW#w7Oz8J8ReZHRzvWz&Zwn|0>8wWM zW;$=IW<7iwc2z9~kBD#~+kQ z+t`mT>!y`m%-+%Hns+HmtnH{ateh;+R;bi8|z z^l^}0$$tI&L6>{$>C=kd`j+GTmuABN`qUT}bdP`fUiRvC`hjq3BlWS}`M-hr|4>K$ zdDc|{XI}o&Ia*k{E}W`b|H=E=amh&fa(n50Ti0)3&Yg<~etXRDXH3Y{(v>v4;cott zRgCWs?$uy$C3^YSgz-0M^`AfIF(@feA*$qypew^g2d^`qUYwh}V_4iF|ihh;~4jO(xa$3`)(0xab7`{$yGbvAc(!KwY|k7K6rMIK~<-KJRnKR z(Jgq-0E@zMCS+3P_;GsnYtkZ}EBESKKctdVeZ$NVy$~88Vv>zfiir;AZWc?j^O^%&yER-bO3FU#F; ze$1R+@LkjAfkK1MSn1p2&HdC)%o66lCM-I%GDsgc0-`7WP!KDQ0IjA(F0&qt%BQj0 zH8F{AFzC6g{{<9TX2^j}qHIfxO^Uw~+T$Efi~(T=f(e5l?|EzkVN8feJjx--SUq#g zH5d>ac}N7hzA!9yHqeHdAVeOURY*fVoDvNIW>1fLQYqb%%gV8`PYHsmTZfOKYg$MX zr81pRrMr&T5!J~y3E*kzO87?}`NKNxd%#58idCK75@Q}SG1Rj8L{DB|Kxm(7=y%2~ zWDnbQ56iuC<2n(=fPBs4#O%}ZW(N)^;e{)`$3nE8X@!0*aW22E;Yg-zOhzM>`gFRc z6{-1%5*osf43jgDT5Hn~Hy-jPmFWp7+p{94+5joK_Y;g!KbR0r+8*~acPFVi_D_$r zm+jbpI^A@l#bgblFXIFuit*A%9pya_#Zdyp5M&p!8n%lGP8qF9()}dtoMgnS7cl>4MHv z_;mf`LnQeRLw%T|Ep|pet6PPkg6?y$J~LS%En%=8w1d<*5?z{E-1@-B!yBvSB?edG zTn=b>;(Taleq*8jmFPSw_QvWfXBf#&3$Erjv0snH{o>=h7lG)uS9>ry7|vPBkjBGG z0T3z<%4CzvAW6C6K*Yz>h!kw^BD5MNN7N%;BTMk5UNVAi(P`Q^K+{l^m-+Bo+5QS=8jSq^2k62OrhOpX??%p6Q_b-abt9;AJ1!D-AW$& z)(T`tyWme9BJuf3i^@E5_tPdu)An4PD^?*KhhN31digj8YO-=;>6(G-RQ zlmYh=fE?pUSD<h%}%Fh z0@`3W+wAX8bm#DMvCZH}cVgZe)$C{*O>z3$WE`>}n6_f0P9A*N4*&HYKh;*vS7Jlt z2m*q$k6EQeqIXVveZCeHMmKuA97625yBHm@ZL6lPG4%t4uxn+$zWi6${hxoDd>^!Q zb8)ab62G>i=k~O}Ws}_>t9tHM_IoL(-uadqfGPSAlmf+K(Y!uhlwIwjBjDEba(7nN z;UVZoEVk|Ny=+wRw}_XJ6rorhq#L*Z_N7=T=XHHcI&q0#fryJq(>p@v5Q$+x+zhoUL3rV+zE8ijY2?LCLk;rcKEWxG zJ(KALYi6NmmQ!)Bjf8h7f^oy9KFtX{89#&}PjmR-3JB6KE?3;*ZbuCGhLWKQ+a&42FVI^qMAW$bsL<(VpLQZ(oodW3fM?4u4$W63QNzL zkG-5!H*h#e5EnZ_`OXoqm8{znF%H~{CxYGi3~<$ZCI_? zzhK~M=!b|Cswh#bAtF9uClgpCsRIeJvOBQ@yKog1UtA90^itZLwPZU;@Zh>3mM#_> z?ZoHr*Ej+9acom;&q39-9UNvCp{N<2qYT1xvcXRk;^KH@ZB_U?~VMpS}mPraU$23p4$cE?xiIGxO+#iWnv zD)T04#>gwyyk{kk5;jSL;0;iI6>TackDLfi68+BO9rt4WSb6_Rb$hZzZ|iz{sE6Lh zGbqQi!ot6*;%TFS=Z3HS$zvRXU;9#1nvuklbJax^7}$+;kvI=3^wKMZyf~(o4$kuT zpbza8l-d+U8KjE@0Ibb4uJcNL;P=aRg(1hd&JL70c44(z617?_wOV4eTJ4sTss%5L zB@gK)#}}*2KJ`lmz1_om!cJj-rg~K1h>{7X8=%#Iz$tOlvOYb_Sr>#A<9l+4#m>;+6UqVM&*G*D464LIke5=POrL@} z^-|RJc`;-MFO~cZ;V{i<((5&P+3`Rm1_XnEh0A7Gf_4`dSjK^VnH^FZlHjEcRO$5D08f zev66o`a0KyeK?ZpR3tnfH$m-d+A#R7GmM<0X7iJ!1T}Ibv;W37F9`Qi<~+Lx`;Grc zR*(IqSVIDt>BQUXD%S%eHMOUvE>>V|Vc}qC&!bUaxmCbh*0GUV-s{iyUoYT4xJZy7 z<;A7(1)+EApnRdn{&Y;%RTcf=o3`OUUy2y03G$t{K5b4{}=rKKMUEPzt#DJ z0f;WurO9WT*jtBe#8_7`AjlcjRp(<>!~9oRA$Pp0>Bu(2E;&j^hrjXTQN0(Xl`1uH z9Hs=1>Y2y%u_!%AIe2gsErTi4X~p2 zn1VgQ<-Rl*FWSoUEi_Z8&-&wxcL@~IkbH-Ahj4n7928p*r4F{O?nsji2?bTMw){ zU%#ByFqFuM;$pDK#x`X#u0~WidzYZ;cVlCdPO6%Q(=Z-$DY6N6&J_D4JMMKF_^|)P zg4TIrtBZ%5app(-%1JgW$Q2<1nTsOfzPJFpfDigH%n}O_EeUXg5a_RMvjI#J3=SH3 zNWGNY$}=ItB4A6{BKVI<_2M5E;KW~oC>#H3h^m0@Zun1cu7;|}T9xGdA*#&kK;QIkkMxJ~TW z@6BV6!+Ogr9wgIL7kBNQV{VbMdVfV}^^e$8A6mP(RHA9F3^!+c@re3)V(vRVA%^c5 z?Cb7jjbDy12YAQpCFE8H7or`B0ga)cDBQ;7>n1vO1PNQbG81C3)(sbKQ=U&1Rd3-3 zjU=TC`?g3Q_Ose5>LGpE8zplB zr=|>KMwMc6AEkNA?$Lr*q5z z0f8ynbu<#eh$kP>Id(mpIVhxP8*L$<*)n2PC270Y(38yz-&Xuq?vB(N%K#v#F=tcE z5W_uifeRoN#dc0I7IkM<%~q|jT6(ctSUvnS)?^QMaQd@FClJ=r2XrC=DMYC%r>CiF zpNQJzq|#|Ps19StMB%D4i^$jef#2vbgF{34N$Qxj!#`K?&TOL37$BW^B|rNNO!L*m zNXC6oMZya-+pPEGJ;N<$>cN~MYv8fsNpI0EAPX3tbVd>#B1w zGkx&T4E~b%&8>BRAoK@*(^XpprEtPvJJMndm_m>?^ zs?tKA#hscV^A&ZX#y4~y^V>sdP6YR->j|25>3rdpFixK{lUH*OfNtI{Jth9Z3(w9B z0ySVx6D}JW-uX9W{NGlqKR*TdKsb?8haCZ@2M>59D&9==A0{~lEEJS2Rb1@%{c$nI ztcjE-{ps#w7nG;c?;TFK+s^2nF2AoTS6mfL^$su852|G^I4n5Ls24^yUiKe{DZJb} zTFklxk>sqwsbjj zPkV4#oHhTy-1R~AEw@t6TO%vcFIOZdyW1RPy$|hnbX{(ktcNqYT!;PejQ!3e(xp7< z$oUOB=jT~3h4|>%)ib+`wF`F;)&+%Ce%Btm(^a7Bv%Rn8u`2*y zN=SB+y&8WNL%?35lM!EPNQBNoF(_i%c?ZXavPA{@Kg*`K;~7P_B+gZ3im;^0{Vx2> z7LvzVA|n4GAL}<+u!usOCD!P(g7+_QoRgT;wG{z|nb9mcFI>xyt}qlL%<|}6GTcSm>tla!8a=q1)hi3M2YwDStKC17+mtJvOfHRMP7K9Tqj}qHR3)d2S)R%HD zZXN43q);R(5l|*oq6j783Vz+Q#2{p2k9&n#Bi)%N_%NX+C5B`b5#JsPfu;hxVMT#Z zCoY!RqL#E@B*CO$M(_zOO`emFzKJBCASt)H_=iOC%4)>I7z8R~g6s_68wNsTcPPJt zU$_cNVDZ?@MV)dfS2#>Ua?TlF#5K@oPm|+06^&#^l1%Ot14|*_rP!gbYF6|lQ}&cs z2{8IW{Mon|a*C@v-zm;;<)K5qGGqr4ywfV?8Bo#$B>nb-_ zhvAD2C2Iw<^IY*sHV|{HdvBD$BHuEV$OnG2X>vEKW(`TVT}O*JYibjrzX!m9Scb6s0u#l`+R2)Rhk8jK#;t zyx$s{4f;H6Xg}#O8&=ayO`Aq-Vs#EAD`gmB^17~{#J_ZXcvIvA>cT3E z^{Qc*?(QnxlX^fISbp0(Z9nGlQRhf@t|ztccZe$EFhL~guL|6T-}rLL0+CE45`zRh zCk=Dv!@Bn-L9~^RrQCyH4~@{E$6YkPLrD4H98*t2JoJ;{3<}i*S~{5QfC>Q_XSuo9 z8cYJwZSdr0tG6dfcbe@6bQC^&E!tB*s0~$auK)I({rUM)3bb}bXnq~=(nrj9@9$RI zK@h}GBl#}&cJg3hRsV(Y4d&8mhS$jorq~}-nx_hQ|2gsy$Jm=H;BcM%!3~3SL%{Ic z3fER{-}QF?mOIf3-Gfy-qjDhR^^Y*sE;FD^c>j$FU|(1`(BIkh|3YoCJbk2n5gqHl zTDK8#|De)tljm%22C+9+Z+bldt#eH47#~->Wj3-a#_g5({gK@1XjB@oNOU#ge02JC zBH`BjQ^#iNbHdZ)%?2%mBX_aX6^!^Nx{;pAkSrC%jC$NzfA z38?p%<&Ic?|3iHODw<41z*?H4B`I~&>&(rIV{)0W;^J?3h84ys!|l<3%_x*W5scO! z`gQQf!qE8piGu-n-vb$Un<)F{7pL^;_?XwvPs6K#{uM9tCH3iavo*ou+2lbxAeG&_ zs1x($)-R*Jrx;bXpR4HoC-u^91(+Rn=KhndZwJJ`{`4gcb)i6>$LBGnnQi2*hx6sE z5LuFB!|b-HX-ZY3%+diV$8~p%H^((bun__3N=FX*JDFhrpl};T<7y5@RD}@IWA_|{ zX`9^W0jHKt6-;>*0p?>dqHvl?2s8yz5{p3dM$iz7ID_XK6J;ZgpM{Cpc~BG8S=`wx zFv)n)uS^k4fU3~|e~X>*JBOkvr0_`&!Z`{R=Lf8ZN9hC0acVbn~j6p;mXun2e* z-IQAe5JE*z6>xU)kkkkW>Sin9yysC#w+NkG$c>-bKi8Bjc| zUfTT_z_adP9y|>pId1%;`A7nDmzu&r@uoATd(Lfr0@6 zdJdKUnB7~6{ZDn|54Fe)Ni@1q5I1S?D|$5a(JdmIXH{}DI+z25D3xzdUZ^5~O@o7! z<=XSOdSbLxSIUDPKu>v#6|P1`(gpxX9{@ z`^sET6QB#ReQrO4A$GXKH@wiSsS&eiUVIRu?!j7vZ2ZIZbjLHnoNK1D$OuM(fZE7U zPag8{RiCiZNj8UT|LzwTt+)6tl=B)*K7rZd(Mw__DYtg@3_4P+9#&gBV8u|~-j5$w zT#s!k-dssPg>^dzibLem<9?)5iW2XnbDT;>6Ynrok(o|_@_N4;ARCkLDJ#OP9DK=pD}Gon7yLPaX^*FV4yqX@lNWvFc$If}fy2+C z?zKf2PU3R)>qZ)EB`3t;<^%)O$1pQmT%ZN4+4Vi<|foqUtRW#59s#;x@(R$#W--hCYnCFWKuWK){^h+3+7S-gem zzUk}^FhIN_s@ce({8#fz*%?NYk--f(&|}fPbSgkP<#kG_XP%2^q}mrp>uqG*fEO{| zcG&@nWv#$T!1+o#7TQLI+{(cvPC!S4PKvJx0wpO+l2sgFDx!aL2LWdjJ44}^R4$uV zF}5!0DGH=wiXmT0LI`<4i5z)o>=olw`SrKb`guu$D>HeXfHK)m4Z{a;PGT(Dvw#Wz z3XhCaH4?l9j=Q(lY+4-l3;2%*gwWgoiT8o?92!YEuuvH&KMm#wMgxGV7QPbm(8ogG zsdH~`r;otmt>h)RY9i*23X@q(dgu0^&gVbZ@cB#d4ZZk+qssijKlGjL7r4GZ3EIMk z?~k<866hA~?!IICYsn}a#a>H+&bi=yKuqC?vP?lC+u;}4`~7Hx4Z|uvK*UNK_K52; zKK2$rhDNH9lXU1eZmg^~%JrEH%4$M4w%E>Z4gXA^tKBy=GA?Mt^wPoHI%Hy&S>^Rw z{)_iP=XVsxYO?pOB(crFp{{pP)6g*WenmWIRnT6SkY9X5L>$2RVJ~lPFK28!du-3_ z=$EL$mlg(rjn35xsrcEdxf{Tt@fC1rysTIA^Huu0knZpQu~QuEuWi~L{58Tk6$&37 z-QT>t*v`7__iUm%JqtKl2q3%Py1#1V)uuO&zh(zGZkm8nfJ(n}1w5kv4V6blYkt80o}!{zaZ^opem0^X#5)xDQd|Sg7W~ zQ5BTY5L#R$Wt^HI^#MHuF)BE?Mi5qTN%aH91?B0+!5N}<@?Q<5x<o2gqqG@LLqrJOZT6K6o*RTM5ha&Rjg zRuCR*TF8kqeg3K!c?234w;O>~G4Q}`3ZyoK!l92TZEn6t$Z8_v#Iw6YIQYpZc%T#p zoSJ-z6g-FFjRb4xeLtzvmp35-1u%0vZQ_}7iBQ}K_jUCt$8F_*^;^je|$@|LDq*U}QF)uJ7cxtM7 zabMw4wdd`dpw-YCdNeXg0#uJY)`AEU;G`Wfq9KGS>5_-+@&uwtfMrw>6CK-rMB`Ym6=I(XsF+}Kt$|$l#1x^Ww{XS$7v)sPwCI%zLV?_Qx%51p*a<9h|i%3 zRLzD8^Pa_y#q8AWtfrxJe|=cx{;UYzg4@f!>Y9lO>89-JD)1-Gwn?sZoh|pFi)n~_ z>RQJ^I|BHsXi1HHY>RbqdZ`5D83L$FFE?biWF{^Xv8}tn<;CBmT}yYrID0fn>5l_J z-3aa{$!(KgH$Ex8mLiTdXm~69QA&Yzh@B{(x7(I4UTJ6bm&DK!ZTa?v>#cIVJe`0i zy`#weyYmIm+Jl}C+*G3R&?ph>99t>HYe0{a9#`eC5`*_l%&QkswKuRITl=Y$sd%ru zrZ;{o7$}ODEmOR2ojP+W&d85Cb{Plk_z9eJoLf?ONrFf2eWS_2B1mP0gN{)rAUe?Xc!aqo~B4ZL^TTo1!LDW5Qg|O z4LxQ4=E_OaC**R7B+IDX9<1s3RTENnRhu#S21J-xt;!e#g9VpTPj~C4r0zzU;L6YGf0t6J>8l}r>)mOkcP5x&+XOUceF2fKMnT+SorFQU%RCF7mX3L zW3?>i|GVCKw|o2Px6wPlW?)34gF_Wn292iFIO-6XL~lVuJV$hZK{D@U1=iU*c$ z=YZ${z}Zd;ruKlwOkJ|3$wAtOHNvu9_-8}RjE$^2M#Jx({f2hF)Kq(}u>$sWIDGO0%v zSIM_f{##$eNaOlp9#{z4K9X3;Lxisj*2f}+6y%{NMWfYBz^Oap;fCy0bi3#4+oU5n zno+}K{^V^Y#b9?mwlj1jj;ci?8QKEMR;V01N)uycI_g3mXDgJ0%_Xr68X`S0{g_DO zDbuU^w~y^y^y4}QlFnEPW&z`Q-EV+Ph$szZ ze@YbUv3)`zdH#GYl#H{5Y-3BAQ?kG<7q_?IY}LRHbEr1WY$0Mc3#+yjkCaLxbbVDd zuyewxlOqQAr`5V}{1w{C)d?At4Ir9+*{~@4Rx@l0Xf-hNqO+$9s;;OBwJS)?qLIi5 zmF< zEH2~)Pw!=T{yP;-a`QX!(;D}>`*dsO9mVNk45%G)#QB*)gX=~c_N!c|T$OF{ppp;X zeQ41aBar{>m3w%HetM-b(m2tKmk2j6nc+T)5%1=55r#>|Dy)r6Si_Nx1D!p`BApDbRT@_YxFJ;AWigDM zntn@%3v-7e1x^~*dS_FZR4W^Doz4qIKZZ_JXSCI3%A8hFZKvzLncid51%g-X?0OXV zw6^Nhg+&BLJr=Sb?9D&#C0);bTrOZNB2spd1!Of^$G={RahvmCnXCWiCQ}VKDQXtV z&4CETE)sHPs2tqI4n>MPn1n!t!xTh%oDLB0IHbTQiVVhcn_fo-5pwp3%~i`WqCS&{ zN5T}};E>Fo#$Z5VvjDk@iYVQ~x4#Rqp+-GS&Yk@qF}T#a;P6grAZ4Y(vxcNelQy^Z zj%ZE5rI@$5N7`;&+Zl%AJ?p9x2&4d@L4S!<)Svz+52YRc)?PSmim#l9XH_H98($;f zbmtgn8o2Y#J*fSE_4>Ep6Mf%PZ&}Lxi+5>%m<+&e`wzX~w|xJ2Q}aEzHy0XTFthGL zhUa^D%fA%Q%;-s<<_|kYG|eA;xZn=hs|Yb!%JANuttFwq?F$16Vc3IK2o6ppn&4eC z!97^!hMIonWN)Du?@)#QZm;5&%jkS|YW^81z?5lkQ>9)S0Xe@nUBfGWvbU&*(v2js z(!)nPZCBi0w>w5Y><{?oZl>C<>@Hs3_YN-#iX8Ad`jgyk@ZbF(zTPq{%7)zoe4GQ|L9t<&OFt1z^xS{%vozVt=ti%gWx{n_S@(aj|iG zbRDlTeRdZ(R%$%s@qdNXRsXD5Rr0}|{{w84#}0twR+*a(=rzT-FurwXj3fW(+e*jx zXn`3?`2Z6_U;wZ!NN8acyi4G|HM{7-0lopv#q~>Sa@HUIqb@ILe#*g+UX$}v^E7X8 zoQsn=;=+-*51{om|+vo5<2(9jzI$Ea(T>e?Q zRJ*5?nZ2Yy&kBvZkmBfK<9WXXQg*9Q&Li=3 zn|iXn7)e=ewUPx&5M>o-9U4@!$vP}RDH&bzM~^XI{#-OMN5=ItOWf=V#_TMF5{_1V z#gD<^M-=Xf!YELTq9ip`bbSOmW$2#4a5Q|14|r>5Ia+xbVlEjX2^EbnZ;Df@8%F*7Zutx?uvjePi)nU%vK9L=TsB9eE0FEgA>n6!sB5|D*Vdei#EAmh+1NG(w>CE)B4Y0L+@G9>~_zy|Tas zXb(6|KasX$G8$L0Vx8JaU{1ewx`Cc$p$z?&`(lE z??<8;W?!D}HbYRm{he#>FNU;Ma^(ZEbT-X*k}N`MA2=B^IlL8o?`26w?Pe-VsBqA> zl_JQ_T89qA@mW(~qk|`>1d;(k4~N?aL2g%dU%E;qGOWhHPLa5azrEj?!|Sc}uojnBGY z!Ci7Ncu1g1hR$fl1J`^CqW;2LnQ^Lg!xgi?KvmOW#9zY>WseuDf}NXKqI#VX@4GDC zCnBO@(&(0!;jxP=qGo%2>HYI)F^Wlc7D>Q#t&5u2?Af%%=h7tC?H*@T;1^uaEE@FOTF}LTJjq2H z0`iGWb*gAbdX!EwS~gw053?fP>ZKlENaLHvD>X!*3ob;g9r7v5eZeg8hD#f#&*Djo?$`jrHJiqBU}?UQKuagdW4 zcJp(WQz5BZ_O@a9qiLgVhk-0iY@%#kDIvvuxvEN%9C z{oZdSz!UL?q)El%aQl&Ydmy+vcy#|L5b|g1?!4<8_EFes^n9e1_SMqq_(p)jnUxa5 z{-Q(v^0AxiEM@0UN`b*ah37u_ML^RI6FtH~9TR!{CeD%c^1gkz5PrYw>X|@q*wtk& zrv6pHioowu549uWo94Le*05urFlvPpTZNBRuARFdj=EFW)aM(1sPXl6l`A~gQLweL zv>fmV-jp~gu)6k>yf5m%-eNaTO3 zs{i#|$RSMdra7;ej~`~_4Y)Sh^TlDUpH?A|0YP5iyls=a;+Iso$NIW>mG&OK2G_!* z;z`kaE}Z)+y3E$x1esLzH9D1od(`;Axz&r3;~$d_UO!fJ`5cloD*27Epb;7c+U@!&8 zn{N?d-z5MSY~cohrACK>9@>`(Gcv2={JFu+e+;Tg*2LEi)Oz%|^oiDw0puJne`8}d z3^mb8p`}7I`?reLYfTjofa9P~QGyAxQ!HE$gSF2MCd7C`01D$zhv5LGK%;tn)f@?#l1PE(RHHCY3tvWRVGw1j%>Kf;9*}Y*mWyvJ$1LhTP{4 zd*36%8bWMx=&Dxx$y)Lo3`M_D?fxMW2|N=K5&CTIY4Pf+AbAyqbs5kW1?JNk;-V>N zNGCMVxSZ@P4P=Sa{$iXqS^7x1o#=oB`>WI}G04#%Nm+1$xqBVZTH7DeX^&f_1=_oV zsR0|-q1PMQ*vJ)XYHlb>5R%--ViTtZ zNnKe!-)$DfJ*bZ@Dt)iyH(TvBCWp6MiK*MdU?Mv=R-p(teH}p#+2WqwyYmE=?tLtz zbGT`H@}(es*~)4h9Wid;w;t0UVb*jchgol%!{$Z($F*(zOJ5!6^TpLof1qTf1y16$ zSI4T)083W@{up26@4iyZJB{5pYkL&ZbjGAeUnsChdsMeVjI!V=F~Bv$w`i-O@WPVKiW6%sHcXdlNUie%LNfh2~eVdQsf#8+m zWF;UOps*<$bN>Mln=8EJv!H}G8cM7pwCxYY|9&qh?(6t%dSdmKErU+rTVSw47pda_ z9im^qtR_$u0c>Re{}x2dij3mJ;VgbHU|l#@OUppWhNSmnS{;#km%Fg_d%!2U#03RY zqg9bgl`RvwGK?BhM5;jOyQjsSzKjbg!oU1+mD#j5)rEaY-P?eW83`|>Ddo%+&aJ3^ zSI34@$&TIlfw7Qn0k+Fk7JyW-#&iD9E%5H^HT#tHiR!Di0aup#`*ds;cg)X-((x4H z{~ubhZn-SPwv}W=(%pI3m->!t7lqU9@2B*DUgqzrwG4>t{=I5Bg`CQs{vf#QE0eD) zMc!NLqOQJro4#JF&h&Kp#_UPKknzSlQiwVJa8NR zZyfXAUmac(^78Sm(_8-h$(I%rcK+l}DD?RH?$_J$@!O{<0>LLqC)f%%hccblVP^t& z7nl2Y8&)0PpPRS&6FpHlH4Ofi))mj0f1@mWcSgM#&wa76(Svuf;gf&+OY5K&u$_2d zp)9gWptv5HBwPCjsM10a*7JjppM1gl)wR1-)PY+RDb$Z!rj#EhSfzA=mjUK=nDO+u7OM zZR(gBTGfbOtMt*{ZRdoX$C+)X-^EJYjAbAHmS`uNuNEL~;Jbzw9{m}k-k1+PQ$Wo+ zAH>W~`b~gDF|`ya{^Nm=2b+BBc_y&pu;3Ca*zYW(Dl=MB|M9a}Pt-5kSy3Vd9(P4y ze~F!@XOYyCb$51{q#7pV_A0BHSrf3NRRVL3nu2_PkdW^qMNgIx2OZdZ9KP4%ye2f{ z&Kb|}!4E_OS;7V!3seblgxTwp6&#wA=K$R;8p7Atw@?_wl$ReV@Hmp<`OrGc1qK!n zQ&Ma-EfOl=x2Iolf*2`-nG__+9w%Sr%^+fAUna+3z7*V)PJ%(b5`+_U)W}|PZNjUS zbl`o-1=KOJKP2dn(}tL%h318dkYE^gq-qpB2hnNX8Vsw~hFurC7L%|-I+ixM&YEQw z6ZC)(pRf2Xh0VGh{K6y!+?yDV93)gxLnAH;8iKq+cV(+8`PcS78=9MTysW4&KIz}M zOGrEAEY6V`^J~F){rG;3L7_R;yp1&ep{iJi2NairU1uUZl2X?3SP6!2My#eG$r7S= z$y^j|c)-H{ScxdtTa2mSW&wTnF0Q{OuKyjfjt$SVTqy4GD7U%0<~MJjm-BHyz&7i= zMkZ4}EQAzuI`G%cituieg0&QR83uXVPy_;OdTBk4*b`-Q0j?;(T+m*apt6j)pexr< z0b`z)uqL?<4S}8{EoHMyBT_07h_TlIP_R@Acrr}kU4baIM#W;=dnDP-lN!DFs}wx; z&NxXH!n;hrXCy-mY*l!=Ezt7?;R#C|kkNrw2ZPj~JsY@Oc}a~rdf!<2k^oY0j^t%Xwq@NR689)Cw(b@F3H52DGub-QY6qmx>bh;&- zdAXdS1e!zZGk&wtE3Xydt8x~q*(&nriG3KvsvP8F;!OU_B6*cci|z8#bQ3dY)E!NtlrG4gq{xjvr}N2j<(& z7su?xT1ns5M!0~7pmyMV4|qiD)mrxq*SwE@k;`*72-KO{uZta}xXyGK_bR;O{4>PJ z*ho=qJ*r}OSn_H@IU#~fQ{($ctt}z+w;E(i-IGXX=UbGo?&gIWoj(F5-b^IF07R!z zEwTE(nQ;?1moxo90+s%P>8`G-k{;hd_?WHptw<%+Bpz@gdoWP9N3i!tslG9yh{*f$ zEZgd04pOCt!2*w>#e61fj#54vt+~ySHa#mb=Pw0Os8O*Ig6$>3uiRFM zOxjfU3w_@F?)0alt}$B<63HiQ9==Z8+SzIkJ!}E6XlakaTA?TdHT8;CDVg|zO(*J)(*Ii_#KZ_YtL^G9lfP}T_+<0k8H*(N zF5uvEyI-k<6&3_a+&K>AVvwy+8Zbb4Cclopx6S7fLd|d9-hN2f`EBxI-O1|Ijv*tP zDU?Mb@bi~}V5if8LG_b09!qcK#$Rd}NcBV6D38Fo>5DI3Cz<1+nD_qdKRH(5SdO2U z2;FV^=uJd;AXMvv@ZXa;p=Zsk6v|!bFUo9mVmI>wdk0}PY^Dh=B5`K2z2hJYe2x@ zFqYG=pYOGSKg%H}5|5zM zqxG*Z4qGV2)ti+5vz7eqFU{Y{nZ?7Inhx&^ZPt-j91u&J4lu(xM<)*LOibNu8`2&* z1porujp@2SRCqzX zSK-G>0|e#TWPVG`$;prBl=|}hNVAU0OOIHe=WpY`G#BiZjWO0?NqCqHqZ3asq9%Xy z0;`iuN5c;@Nf*YNgU?%hA(~UDa`@(kaTY{V`YVr2xqSCD@kqp6!x6rxe^5k$JM<2O z2&M)NrID&`7R6#ib;D)P5k?WhaR@a)s1dG~@NO2C!Hy5UUp%EjDxprpoj^yV@-QvN z>=hNAF80F}MYht`IJFGHr!;s5teAuyqzH9>yf?`%&%x<_lb^I|cAY~pqFe0krF9h>)JAaxNBBcWf#WUvS*m@*s%b#};fhO|{)L0<}?%{hc) zZ2nsdkTrSn`zBi#_q-JW8yIAW?0d>?*FJHxxVLtMGhN60uGzVy2gC<+T%y~!n)nE! zua`!OPM^0o5NmV<=Z~fVx4(@V3 z&=r>_fJu7nk6)h_o^!Z#?zIffGHfKLpIMGO<}UVuptww|c-))fX-{1YFX7SfbMJ-Q4h>fntfh?hH#5A zn;CP?R@RG7MXY!^%qN;@>Dw9}`k&)LM!(Os?0sBvcaP>ZkR;S@)*aYq4a`2su(%AZ zkX*8_sF}Q32}$0uvz}8r26}?MG^xM(6>!dPp6jjDOIfNgPLKgLbYF?=VnSzZsk&{_I{EHBV z4SnhB+P5LE$l_;Up_D`EHGu6>oE&XRS}om5#Z#QkG1>1{PTJ!9Wa`#93^j496me%$ zJv+rH=w*RQhNFwHpiFWv;~mDWy%3)0R^Yv%%a~wA0!MMnJTKl6wpNSFDB#|PPMoHW z(Zj$cGeC+RpLg57p&*M=9^ku_>()|R(OTkSTuY+ECGqj+$7x|TM+}&B{f+~jbkhFO zGxK^UlpQ10vH0+;30%cJr? zq>~dOQ(&?GToM*+usmK|%be&d+}4@pI0vYOp*QZuTy!0c2RLj=&-2~)6al&k;O$*~ zyM6bv>#C2_%Re;q@$w6qSAaqE*F!ge2;}gIV_mp=j95e*KWV zCW(kGQH@K;=ZTq#;z&{M*2sW{=F_(IViKkJGe5|N3XGFgRWUOM*G#8KA23^5z>6o| zHcu;k{5W!Cdny$|Rpu6DI-tXnE*tp-7iQWmpvh7^5lCsMg^`W9N)u|EA7L$adeh#} zq&N`aN`dWc9Y09IzkxD*NH z%~$wA`i~@~BT$Pf3(iJlJA{!ac z?^(R6Ganz%$DzWk4D5dSK@Jdcy~UTs!YN@A0CBUwPTrd&r;*TL2{Xbf!o8n>(cFJV z-lxRu(4$03WFE+p**6;qB{*?3v~nC>a~4J*2PEg`={6{JG@4mHm}&R}k%@#9ze|Zp z?~@gBRRUrNV%qz5Imwkok%+{Zqh=3*ONGaXDhgoxN zL`PIU)tEqn-Og|M1tK$~MdkP+*Hz+M^p-PeQGxAzj@npm6C)fSfH*?VR!+7mpq7{15XWr}AikN;C+bR9fEe-PCV@CF4aEqPiG&(zy zQMrNCpls;ay1ICobMwQ3Lga|Ytvh-Nba1oc@oi#bqn&{37rt?WMggI*TWRFOxoxvo z9zTKq7c+R;tb>^1C!$(a!dJ(sCB7@9>|BHLv%ar5o0F?fuxQS)A-gb3!KKH;zY-)2 z1a(aCwM{me9bEhi<33H|a;Vwpa|W(-_%vTnKo8|b5pBfU-wu`2Tx=R{Q`8# zDvb#mHmykp4(di9;?3#Sk2YRlAw`J-NZcIeXsdau&Oj}nxn2`P{g@w7#g^1eeBH(} z9vwr_<9(4cYoQ^Q9)}OoU)n5lMj|G~BVT(tEOK=cQqUDoz>t)hjv&X@hegx@c@Gj& zYZ@>>Ba^kzYc)h8G-OKS!U% zd+@tCk?Bav#8a^$>m0|%m;qD8rX~T_MPo+0vDRqtixe0E^kboj-yCMUI zw(1nF7+{7V(6)oLoa`B%Y$!o67A}NOTca1SQ_gtr<4)pzQov z&~!8u>?YzpvtL`JI=7n9e=f&`J=N5N@(Pt~6FRRr&#?|XjzFt}K@=fMH`1)nON&aXt@P=sNsIARVI^aL5 z^1>1LX8vwN;yAnO>~~0Q7D?yNm}8!gvGn;P4H|q76sSf8(?|wN=LX?Kd9EpU9{nKT|I~IRKvM+7G+k|MMhxu&GP2 zXzKq3R)r*E$d!$eM4|-XxA?7T0d)u^l$66rs)7S zO}t((rR~Ve5x}DHUQd+yPLTI$(7=QV(NzkguHb~KyZR>#aw4|Jx~Y9?;d*%|o)hl9 zPxSefIQ$kYpS=@A!6T{EwnVP;_9PFONAy5J17dKbsHO&7XETD*NC*DOlch(qQ)y}F zsUZF6A)2mW0u@E&YS*5WGQbdI+UuCv1D1)Rrppmj{lsszj4f?=02kw><p%-aul~^%d(wIt@B4_Jjk=3FU8^%w91AyL(o^uh zq8YPPh_9R9_S#yKCCQiaC#N3I9qbR%AInv*0bN!w-57RzyuddCQ}fc&5O$D9Vd&BF zgd1^}I61>0PR*F*<;T8qCFjfu1=fnN-_+T9ARgJ(9Q2#Ogki(?X+q}C+O{mTgAI0s z^O|mO7$y{l8w3w?<;z&QAmbE5b7AyE6(As=zN}RO8R3<{aTe7R-p3?Pb41W7?#b_= zG?mFf{ugA|l~W__>%AI9c#iD@ewqie!ZLd6Q2?mHVv-mV`#HhWQ%Y)azTWQbBI@+* z-8QP^HcRfO_Ra#!DlCx&wxfA%JE^acjb=iYm*l4S_clsVN$yAEI-MQVs(a=WwqYAo|0U4tg0ji8tx=$yN7c+fGE?4>>I^7hGT4Su18gugg% z=?>~82%4I!tQJ3m%TazJKotrROKSNonKWGf>{BK;FK67vse{_q|+7 zL5JeH_ZEr;i?bl8TJTL^EEWk|<64E6y|?-o2J-BziJt3qJD}kIkWR-_|0ka9`^yEJ zF~i8`z|s07D+G_yoR;;n|M=xF&9Y8d72Fi1i{R91Hm(qo2do^#i4QG2S9x_Jd>K?@+92i<9G`o~kGessXX4}Vlhb&wJpsoEo9 zX`m8QJsZd~B%-Y`h{Ps=G;SG7vLIYmOvP#}XW>t$`4%ogOF-e?9->NLoW84&skq(R zP)wq_|2nZ1iUo;O!&XZ5JdmKH5dsn|k%W|EW2~BVv#%b05i0wY@J^l!a8(K9mmrW+ zWZB*7P%P+I`I6Y;D{M|WS?#GKMN%I5T87aY6Iu=@MFAKy;j;`+0|3V2mL2E?VrzQ7 zp|RdpS!`rIQUFdB4CLqb#D@>ZM-$HuL`TiAAdH&H(vd*8=B5;{o(7|-yD=>{6yvQf z3@@q#P5|`}NN#g~Y*#mj4IzRJnE)z8J$mP`z=#_$LYE+Yi(5+kQ#Oj+>kW|zio|h< zfyP-++uTt>oxfr&g{+MK&({&MhxBG>4DLtNI*AFaLWx-;VCo6i5Xd^H~sdM&Zh z`Qm8ligusD8PGq;-u18n8%$>GI@l+}g7O2|Cpa`vKpUe+J! zpV@cE2RFuP0UKw3jM4ujZaOJ0W{ZMUd!pN=rkM8D(6+(V#WpynQcrA11Yh`z8)^)Xd{Ea$vu+t=c zIpn^(8tQm5=>@Bialm#{mf3C~&@4PIfKDfq)`W%Z9={#w>VI-`SrG#F)QaNhdABorwCL9Z#+kMi?GX=AxkXL9ZycXtAOpyCU&aj}9oGA!(lD zy1jS#tP)=KnTh*xsJ-RK8bMpk-}C<5a!)*5U|<$W_#>qJg=~E!dAWchd@maw0am^j zw8>UP{#%hvjaC)ojpR3Y*J2d)AR*QB+Sl(ERWx)gb85uM283+T1h!WRd$GyrW`y8N z9PVQ2mt@gG5rT@OQTQ-!vR))h7?qJ&CQ}q`DxA5O#3C5KjCw4?J8Pd{aNK5n<(au$ z0GME#e|@iIIMz74hDgPY1g^dZx^IJTFw}sT02NbPV17Lj9>q@BcVE#@53p%;MCtS1 zI7|@AQ*XXNfZZ}Zv^Ttk6NgWlXFf?*iE?=5dZGiN^BY3E9JYkyPctTndllVyQ)w#& zwF@B}>_@EZCaE_Jk>^1JUzn41MsQMd=(m=G(A5fB8 z0nAyQ@X+Ztv(>G9uxQ-w6op+`On5wIM80TcG$+IxKCagmPc=TpIMX8)< zzCT;UeK0lttj^7ih~m+*OS)UF8Wsdcryd|+s5Cr?{fnL#zyca*xkNpgWaK!8_ba%K zmT2ED(;7(|Y+p`gx=02&fjI^EHa1nu$;1$XxcD4|6wI15oKPQ?nkn~mhoXt-`8{{Q z+R0c?YK{@G#e5zPd)aR{qJ>q|ZU3lAd^@{E(RcyXPzBdydMblEhYfRH|ZSGTBWL!yeaItJ4>vgcne>P-R4M&ls-AyG-I!8I)_Y3+~M7;i0 zrquJ^dQ^Z0Jm+;~jp7o9cl56k@Q)av9IO;|=pYQYN{gw(03Z-%<$pnIHFfwXOC#FC+`%W8TBXI~$ zf-OWOit62sbg0`?6YmpHKw1axC)*A%fjb6!P`BOm4OYKDSa}#%P^T1SlLJcT_2iis zXz&J2D1*-z=|Ixa7O7}(x%=1{i*u^4C3b`;FQac-fm|0av6J+!C~?5pt~y>>Rk8{m zH*~b4Z6P~c34wkjyFK>Gu(gCu>lARb=?CxL-UuoEg@M+m&|6L1|l{)N?Fk9TtUNW=1X? z@LfZfw@6i$tAvEZF4{YEw~uSCEz73=@9>MbO;PNU?_&5n!-0U{t4A5JimN zZcv{fNJ4$5PoilN7+v>nI;lxSb{k6Z@P%5byR%KA7_QFLcXa0rNH_;6h~X{y&S1X9dTxg+LIk{K=;$2l1oVYj|H{ssl%C z&-+_f4tcx)c<4fQulB}6`mDhVpi&MG$O;wL$2LDGuAhga9YSvd7!47E&XnWkJqo0paa2@Kd$L8Qtr5tk*efLqu(Z6JHVUVYnY87~MPLwY z5?bo#0=+>{W)dtYJe(CjS)Y;Msfi94%tyE`hW~(YopvZWI?^6Hl%ZB;opbYvN-S8| zu0{t^fYhB*q@nmo{W#7R;Ih453)<0$*k>@aR!%^LY+%8=H|d@~KXY>%KlwKEM&Crz zi|p}swaxAd*4r@w>ys6%EH~y|ofFbB;T3k*5zeE8c!8YZb{a8FoPItCJ_H>wf; zuLt~Vj%qw9GJZWrQPDE714=O!nex2a`l_gMm*&x$3-#-j@&mQ+?FpR)uz0HgKA&x z^Ke&H`!;trrhZ$$QqAf77%`o0>^U$Kx)MQs{}{|6+OWui%ON^g#=w$op5kGTs*(TW zq@Y@mB>0OIm#I+ES-JshEc1$TY^5Lf!>S&4Od+ZC_FHp>O=!wdpRn(sNHR*5cvQ?( zvy$%5{l67}ca)YJ@Z25GuO5#0LEdiG8@2Kgg>vDw%J=DcxNb zKG}$u63Z!_tXIr(+XS*KxXioS*8C|PB_*(AF{4B^CEj9DPz~i|b*5<-k7WN$--zbd zu*9kCC#3l7Wrrz#sN;U>$L3jg)@ptm4x>)CJx=>5q{G67j3Q5celPmCJdqU_Vg{n{ zmg?@+t+>C}YQ}r)NX5JTmhAHj1e&RM!h*!|Ufz+XA`4&Lo`IRy3I@_}aPh~!B`O(( zzS_X$3XTH)95fHw1J8l@3yZXmH5f2Miq%1l)NpH<+2HGCc@X5F);g@dR$;~jm28dH zP60NH-kCh|M;dFaln7bXymL7=9S;m>RSP9*qkARLNU=a&q6cuGae6FKkBxzxvACb{ z+P%;*n28^fFse!amo^g-k@Vcuz@Y$Ci|(R1#7*^N9pQ)K*_gTJ{~pfWdqKZ|tN&;j z3klPIUgbm}k^7e&P3>;R!VkaE09IxfNB{834*&4VtN)W%_RUeE0Bk10?nqXNTcZyL z_8Y2$P}>47hXZ=8+b#5pEVoT*9>M3+Cx7|k3kmD=^y7l0V66PB>#&vClE1JK`S|mJ z`5$}2zFYs*FPiMJeqSGcXtDCE_@{g?#DntAN2&*Rryb61bm{ro2$f}jmIxeo;mpjx zdZLIx(|3{hyAZkCqdc30TNt;l_wRO%PeKG4j891Be5pU9rC#AY##orLb@8npa9q}BC71JJVdC6g+5ah*p z3otGgIFc+pLr3uAJ^V5rFpX$$m5gol_pW+y!r*pztuv5?Bv~-iy*tOi&z{!2kRf=+ zUUh%Ng@EvImpbb|SgLCZZqep~XU*lCC=OUeV1CWXhv4rWH>) z<*9i+uomS@IPKZNBxa{^TI+~f-a3o6k;Ulr^V$0Ik?wK|`u|8@>E$U-3M4W0HRkr*)uS%IIo+S!cYQVdcoC zk%eD~8^NVS`dDkZd7z&R&o^y;%eGP?((fQ&UgOAK)8C1+Z0Aw0$dtUi8#+yv=>Sf}W#(xL$`Pt(#cK#Nr8K5)l4{22T^SAVJVA zMWw;)95yVH&teH*PnjA#;W)U{q7k$l1>>YeW}QDLfg7|n-2iP7K&l092tkYw3O-Y5 z01Eo;zY01vE+&MU-)f+N$Jq1W=dRHuSRH^u3E<;2)m-qeYMzl!$R9tPBIWG_b$Po8$ zxOx607Z;`RbiZH+zU)8z$zk^Ks$j5zj{@hrnpLF2ug%M3*4~J!PYzMlx_qxoib$*L zl+2{W#YgS3*<5EPcL|`Np}M9vL0A@RTE9t-A4wcijk- z0Sr7Y%PP4}hni4Ch9F>{$LbYU77qic>qAKb4kSXxGDFh>+^oj&55075alk&xDhgP@ zA}CNtWygP?HJOUONe}>APq@ioBds9`v^{nw33l*(VOZi0^!+ zz8zF#uXe}$rV4IMU3IYZAmLTwnmW_vSBvbfF_V9FqqR9L#jw>5zl#sb-JKjNFa`!QdIeAbz)QesM~aUz>R7EbY~w93pdT;hOZiYe z2^px1&hH`Cx*|}x5V<@t?s9(DBDZ0>8cxCagjno@c6|fru>F)6h`kC+j5|5`p!Lqy z%<(xdw=1u1)+RaKsyp!lEmBo{b!Z!)#qoz{3c{mA2RH1S(w zW1iv({-S&-n{7imdXEC3fDp$sd}ZN7?4n5A(=@uFk)J+o@)%QvAUT?UM^fm#1!lh?DCHikoeuf*C)rVN$$C8Eze0oaulU?yEeg%1ABD13ysc5!&%m)s- zqK#NHzGz6f_ER<*`b@RNS51o0Bgr@T+LF1@W*>Wy4}}&Ux9d+K zF7G02$|?l-T1>;pv%IV5$&a9s<4n0m#+o|!d*U9O4`L^}Q|k|2n|;ZV+Of-D1cy7< z=+w#2sAazKd8{>CmEET_j4y)0tgF3I&cvT+kJ%e}*mJ_ykCpMr8@B2D?l;AO-> z>}|tZocxeeK^9?YYsXPQP4>7f_CPB$Q?87tz^ZLb%zpRZz$(eE8of6J1b`J~%d69Q z8OboY@80XrUp7y|Xb24GGM}FNS*(b?|A}h!sL(@wNg%4SaA^!pMppJF{xW~xYH#5Y zlg9nsxzUM8nzytVd( z$*=Cv)jm>$N7tvZX@P;0nrcii0K<93^JgS)Yt88+X+8%=8+>r7?&}Kt!5H`}#YuMS z&=jzcAY}5HC(L@-rvYcc(O@lqVO5`a{V8av{n?a|JJ2?`Rw6qjNp%8u$*U||qj2=6 zkN-xwI)&y9Khxhn5D&n(Ec_0(QKMFlr_dWclE8wLp8&@JqS7-9Pc<@PXuk;OUi_AB z6)*SWe*_$d5>=~$-Q4ImTVraOmRIfo3*8161+hH(bw~Hu$V3N1>&zK**WIo0uY`;6 z2*{}(O1M?3ke(~E+n=U{Dz*j}{AInn&J%t+A1RUgu7s_%i?r_Sw+S{T5*Np=GFdtX zkk91fVP^UG=-E3=3if_lpox%F%IL#y(cU{9x`IcD`A1HEsxbywP-X4wBwd9UOw-Q= zQ@Qpx--d2K2w8oRHnXf$@@z4!^D}aZnEd=9mqTq%G+T}w2iH2_S}Xq(zo>i|pd#Wt z0YK`jL@K*uKUgi5<^wzY(%TW`N$+;btjO}L?_K^?1!*Hk#zbMhZ{nn2t_TP^b#C%5 zd5rSKkNIo(!J}cTk2|{CwB_ub%X3>>(>I~dVvYZ-M=oncfXn$B6c}_*wdlGs1-?k0 zB;Cj5E*6LTK7R>^nW>ePdF>pw356Up+Y>V+|6T)3$eX-!c8mW<0|>?XO_K9#=O4gg z?Oc0};O>U>>jk1~=?&>&$F+9wJVAcf|6l!AZ2QvVargD!i9+Y%?tD|ou+Qiny*SU(}3SPi&fx3`G>ALG0; zxLGhw=Eo(tP|Vj>?N@O?o&zB%CC++i6xnx^r=vA1V>MY@CQc6KJKq;H61ZjsL|i}g z0f&_$*jbI#oWHSAE8QF zXo>sI)vV0H$rET%%6d;fO8C;&I1NX!^ggqoB;0U269WmNd|H#t0M(b(*EjmX^7`Yw z2d33@ZwYA=>PfSDCO!%~yw?3eR1W53e_aFM?wAl`SrSGP$81<{1xqrnBImpPN;2kn z?9JMwoV}r#d1tgo-|EIMLN}@NtM+4WYH{HO%qcZvH@zA z1RJK%z&|WGEx~jS{Q0TBKRaDI7_VC#c6Y6;FSX^^$l^V1gbK@IF=I}6LMDwm`kBHk z?rdfmP7fX4n8JzZgarw4)#$(n)X&2{`r&V90UEPxVdVEfPz9W#LKnLNj(zZstG!&9Tt+zix8YodFRd1 zm@ZKh{nxyIK5frPt7*QXm|HVL5(;Z`ty%YBSci8bFldu26Dduj!=umI-+d_`0}`Go z_8C4=`w@$IrrXmNMVVR(>S^@Oxp}dS3bMy@D|Xi~2l0hAp@AJPpgPv+pyFg#ZjrS< zXK&$5jzK1j@zmHzFwBqk)Yw=s>>2IpyokBwm{-ulJ)U8y!eVY*t=G&6yRuK-?}x|l zEjGLh7(GrLe@lqMM4(@(?O)`+h@IUslF-p83j?67jVQZkWLV%J4;1Vo$5Y7atJ0RN zDG8U|c1bJ7@Ff6D$S@s|;7SwtE=7^sYfLkksyh>qEV*!4NbEjU)hNIL!>h{L1=UD! z1b^uWPCVLp%5?THNj~cOCPpuHilpVUMyN>BFmA-f-&rB5lBPGE(fnn&UR;Kr16 zt}mdsgEp#%7zjw<{E)BlREt{v zFe)v0-@im4FV;Qd_%fE4$a}peD1;RKwc^Q>?Ja+7 zh}+;pkmaWa+!;tG_s1ibNsF}x%llbh)hV^PG~gU02{K710?Iv}Ojbdf@0qT2XuZ$&5@TVUfszK2V_=1-vdU_EAyK zw8nUo&F9=PzAqRL$AGMY7$?R{2Jzt!f2jev?LB72b-M2H9NP{>mJWp%KWN5ef5u`U zOF2Z}Akh2sEv2cK@Dv^-*Y0Tt2F^yCJU)L*0_J!)K zM@2x%+clcHwhRlZTqHh72bdR6#9sOmCMS$l*VnOh{5(Zd8$F))oM20_QC<1t<}jV!q)29 zg!VN5d9m4v;<9fwlq&4@q?8vrmuC09jWO(;TGId9ueYVNcAH^WGi7VEPp;o9seP&S0stP~&leqcy_aa} zdrYpIECbJfrZL5MJRcK@&d}qW{1@QgoJ#=|RgdkC>gB4xMZNnN9DMF`7fu~K7#{-+ z-`ZDQw=rQ!c$OzW8FPxpoMrxMfOCJHU(^GT`VpYbbSVSo^-o`cbMUUXC}^FV=Z&1_l`iXI2H3DobLK!yzpW%Nkt?Kx9o5n^HmzR2hsoCBo%MbfpB~GHTFnf{0 zn*BTnp5SU&!J~nK9T~NfN(Y-{?w?p7dtOOuy8lDiTSrCNZ{gq4-Q5jCw=@ic0wUlL zA}Ju-QG}18eTZtl9VeUi-Q} zn>}3s4Iu~xdCWe7pGqvlIOXA}Bv+b3S_N_8Jg0Ht9!8JfBxqnhVd@iKnXwaDW)o}@ zkBk2vk;bdo_lZ?LS(%Pi@CFpZ5N?Q}5g|batrzUhQeL*+c*d!7@W- z%GeOxn6ZOSi#Cb^Re`uc*NGBL2#F*@2b*U@uI2&&e?eL3g%Gp71FP@Ak6$Mc<2#VmNXtRBF}Z0V*5n;ix^ zyzt#{9?p5Ny%3+LzO^lZ|I~>(ibJ0?<9%bblVsOXh*5cYSz@+p_%NR`Or#n5;V>}w zVGiF|Hjw@mpJ5?(Ge`Czm%rye?c}N6Gcc18s!<+71A??(9Z9Z5J3|((4eI3=b58~r3L7JL zWE`(@a-v8y)yXeJz^2h?SJtY-j47GxquFn5siTWHzq9auFD3fU!V;mVnD@apkXJy4 zEv0sv!;-40HN6!CrgPtN#(7^yufD0W@GJV!o)veil$f$dfl&<3{@h`)6d5v>Z~wHJ z5*5cT1^}$7tE(diu@)j65md*sR^XiAmql-aMv!EIQ+NB*0O#z~AtDIYDx-NJq77mj zn)1kl*)aBX)duv}^bQ8;@r|u_22sa$x$YE0=;qvGFq8krj|zYY!kOSeosmkM?EDtp zOj!GcFjU-alaL|=8#vOO@Ax!_t3~js{3EUZSLNNkpC8`q zW36xha!pD+Xc>pM|LvO0TeTMja#V8^hwTLSgE}0u?l&B_{IOjpEk`M7F|{99fXS(D zYEn7R(%}8D6grJh#MkZ~#iJ#H7V`*B58{7DkAEk$Y7*P-&vRP8bJKgTO|Z{={(8Tp z=JfrfX0=0bOUE0;l1pa%(@y`RmISdoU$dI{V_#Q@$pkgOL}?e~>+P+GNUEbs$%di*lIUYr zN-53modHEYUWHjKbh}c&5yeqGs?QJq$?e+KX53^p^?U{w#5&yD@CbcNsEtXAUgj{V z8rLSn?IdH0M5q)Eo7YuW3S)&%09{cu2WB|-xL=?hM$VH*O+^+;CS(cfL?t8E-~6lx zry$nc+(4!xR##>;wRTpJ$H5XCAOz;5i$_-VFpB8 z*lEIsGa4kE%P{!?QL>uHQGr@a@SJuGJnhX7!SPR`hyh5aGjCYfBlnthqt|_xPp=ch zusDDo=1_1EPC~tt8-~>t1;PZ`TPDYxU~{IcW7DPp5ZPrI-T)AfdO*%;e*4HQ>D*{% zmZ(}h(N={gL=*Y3%&O(I*2_GrzI&Tmw9u;K%a;2Lsa-+^{EZr^)+Dff0%=;}n{v+h z=|b1|l^~@07nj1X`=qJ^o(OB1L`~-o$jFn+7H4U4v#KeNua=R)B#lCIxIedKcP}r# zIn+2_j?yeM#|P7L4xtIS&(LjC>_Q z!I`$-x>|jppOt6NgFAxLbm?5eA3mz#C`L}64|BpGX1~MHdbcRTnJd7NQs;UnP0sh) zJR7n7X-x1UyGPV0!GX8e@U}%W7YkDuY804Vv2Oy*`d`Y8Zu!;GOaZc=lPU`j9i2`3 zC3=_bYW_+4t4B(P`!+<^J)54kzTHzVk`rgMo!q_gBX)fORgEQY*-N<4$Zi{mz(PnJ&+p*Y7 zD??R{DJAuZv;-X1H_ng1&YLE7@fqo^}!lYkT_yWSVx60SQ|HqyQLk z4WM`c5}$yaW9-F{Z2k{H*}l zatvu)cai^5@I-=X651N?ZUR@9b2&UMwub$Ogbx!qWE@|uHFal|72`+=7N@E=e+QGzdN$U z!O0XI4I5)&&wHbBF*dj71)gj>d5_;AfRnhm*d#9){rOL?`Zvp9O8>v|Nom$e-2n%_ z3-EHkQ4+8JOBoKlqzJ^9yJ8{0uV#92-(a;mUNoklR!oAI)fOsu^C)0#@pkl3c!cwR zyeM!J2%cp^^5jm(6OBQWtCJaU+as3{`f##(iAqSUscR0R;v9hHJqL|iBwTWt!7W-s z)|!HUNh>xgkE}~t-jvXQsu1x(&$`)*gM~2oN-|RpI#PHhs>mI_WLMJHL20pF<7n?Y zbs1rP@4TRauYSV>e)=M{StrYqAl@Cap-@?(+nJZVLqI}swc2s8c+7h%6mhWFS@RH# zofTt>2v4wg4zEi=w7`RL{fSMViRqIp3r;imX=WG}CuIKOl#p7N zkSZq@@lmly*$0E+b^;I_B1y&^IMOtkpmI(mnJ0}vb-qbBh(BL=(_82=ld?HC1Pf?v zpK{nT;+UDs^LS9cT92+4=xsadd_+Huha~T%4XmknSXewE4iwwB=adeDeSQBsl$lx)epPMXzSYUeBJ4 z^UQsUqB4Vx-Z`t0E5perymO2kEjmzt^SyIoP7iNO-nTO=f#&BXl~Q?qelw$jY;u2j z`jWP_0!Bhn#Iy1p&Q?OoG-DB@PNZx!DoA(aGT24Xl|!^wQ9NI}<0XXzN7m~IN(7U} z=dLl~ZhFV-N724}j=r<|yDGPGD!{R)w3(Z#3;P4$Y2HP##VYAynOq~bX$->>M#dha z^~pS{M#MbDAv-3^EYC&n{hV4B-t_v7gN6~|vE3oWU@@?V6n{8oC1zT1PEaaF%2LV2 z+7nlpge5t}+9f4}wg`XzwUUxr@@&qB#=sh2`?Jgp#cdnUxp}L&T|Z*CUveD8fMakSKdW6*>VKBrS()J4+pTNomGNn#cgt}83wG(NyGAdI1u4O?VgI|x zi?(pt29nAK&h?|_#miE0*Nq&ShBBbzq;Ca6Lsp`_$-v|I_!wbTGgTn_t_XI{X1>|MC%jQ+o!cyKA( zVc4P%$=zz;)mf=p)pN7JbuEUT;QD%_)a$EC8JFYVyzs|ATaEpI&}i(@(N_lxKr*RW znVlrzhSrsP4VRUsBf~qDiXTRe^ZQz(ty}jOO0uxdaDv5sjm!r~(@+`2Y=kNlf=!`e`CON?=Vv?Sj7204Fmgg5 ziH0`nVLtQY(#!N9{_^?!h|t@J>wEvZJk74{;Va%;SGn{{UH8Zgl)H3iF&Ftw3D0Xk zUlnCtn@ooSY9ab|qnjqF*ymv^%V82K=;@ezJWC#uhu;!RA$&ez&K_v;1uRgeNjg^U zeq7@2y_)e1&s)8ofC+hXL8sZ8zjwTRcXUjL9!jYDXn7^&=bIB=s>RYTsVs zR<576F@;*pyQGHJ-u7hHpTWf}XUf=wE)xbkl$)t&zlcoJ2|anD7&^0ag6FKIcl>n0 zh*&WRN$zGQ;cHobylqSZT+$j#D)!$EqWz4fL{EA{BX*dh@N7AB(@9%#KvfY2q?2G% zN;qTQNQ|-C&UI7ZMRKtU9_g{mv+Hui-ZmG24&Z|-U*AGmI^E;V*oQu=W6wzlcK}<8 zU}U3$OHu<*{^JE;;bQoe?_Mo;n^r7;1lFHqvWL6|(NOx&#tiZ^mr1O~3`P{$knot# z9858ugIM!Mfc6&>=6Ls#X5Bu|Lv(6iU?bU8V0vtx-n)YUvlPcCyz8?tklD;mR ze&ls|E3{WT>piLO^d+mYdJ`8ye{OzYIk_l)w(J)qFKFEWc8X7dxL zi>3~ZtzY*mgL$@gv-d8f(-_EPCKF0kp<=RU9{m!Jsn}V=yEzOnF#TQxK~P9E=>U%f zC0yO!4lN0r(!8yrr3_$gOYT1rF2%_bMyGJk-*XpI#M)Xso0YUmN5m)$$a zE@57P|JB5U{1{7&bYL>1)r%p$ZO$AAqd=)nRzNhw7yrfb(kTcC`n&i$=ieQi?bmCu zq=wRQDC|jQD3dtPrn*9ZJ~x}yK?WoRilNLdxUiLT+;*i^eT(-xZZ2%H+_3$uKdC-J zuX~C%*oI77nL=f_4VKpq;2xyH=j2HlOLkTqBq?e>X!vh<((XN-^nChu=5xzn!cUXE zLA93#5*bfljZHOjebY+zHJHzVa~!WT(_w4f-TdI@N+n2&!$O6Vgv z5!T3h*fU3hnm;pLH-(d4MfCI#M#nHw7eBY#f0U}F zWv#0A(u45n%L14bp8JXF$r`@7kwL;pDKE56RvQ$QlU_U8DcUV=_PJT?*_ng!Ed|jR z|Giyj98wit<>f6UdZZj!jqF)(XWamJnOG;0{A=GCG4Y~Rwlk|bDwpaT!gVoBsQ9L! znDwM}uGSeK5E`G@aVLu z@^I6#+c4YclCw-+ox8KZzH-U$z}_ks5_qlh6xI7WH1TL00|Q=7q&u@g694P~1-5xn7%0P;_5Wu{Zgin* zCfWchwZZJQ(?zpf_i^9$1Ut3!Zjam_jf;s58Q-?A9{;N+4|~4!DsXS|sC&_W%$eli zP@5w*z-RdWcC1JCPRzTDKqlhj$B%RisWehjS7PtwZf62Rv-}2Q37VOK>!6!&$pR8t z{;iRo7H9y#Kz8C5ne?vF_{yhgyZzNeSM0k!xq7-K{LmFU@CP4U?jL-2x;ePF@D=`9 z^#9Wq8%PGrDgzFCo5sD)HC)U%9d=!)k!yB>W}<|2nXvcoHwjd+uhzMvNIz{-mQ+MD z8zl}Oza*XJ>hmKjzT=r$K%Gejhub3NXD>Q%9F29m}zGlCgD_Mg1+qi()pVkg&NPOvry$0J9V{7!X-prdDu zR@;bvfo7oCGg2ybN@A09C$RkJ1yqGt0{XJ(_oT9}gzCCUAI!XjRJ?OULvheKSrGvA zf>Fd{9N=cWTMkF!QQbrn*=1431mO}X1{VR7y>b@?9H3DD==s_ck=_O)PX;iJkQMW{ zkt7YkXbZc*>>=RRIek!~4D32xF9ckQcr_;xKJ4kSU zek6bLQKx$H$7`M)$ibGrT|Ef&s9>ipg$k1f6pD%YrVR}L$ZrN6+D`pmWM5T)G4|542VJl6HO*J$`Ig9%+F8_> zNgykB7%^brox}qgHtQUSuivy?(QfY-i!THYk@%7uZ=$az9Fo0X0d{j>=4YJgrMC)0 zC3WRQ6u>O8p~)oOX*)B_DghZ3gBPv3YVHgeOULyH-u{S6OHc>MaQ(LMqkwU@NIC%LS#`pwo%@Q zCy$!g=rZmkoycAt(L19;U>>m5NDWHBQ4uR+claZj!FP^5{^2&jRvZHjd&f5+x-lFO zP#T^++d>bM|Ca8gf5n?*DueQJM)Hrsla^Re|&-6t^#A|^7VqM z)^@?zxp5j#SXeNX6upzT|3zexcYE9LB~(07J#;H#T%~49It@trn0N%Qa9S)ayHepE%jyA{v8IJ@i4iNx2Mq9VbzWb)x&M}CN z&Tv8BO~QD~%J*Fk3V&9b7FO7_l_viV*8CUbr0yBnY$4JKe2rFp1;~^ zEdX4?+*LZL04NwI4MgDSB|BgpUcOD(Wb+ZPRLdjaX=%-joM%hT2loPZTag3@*7O>r zD;$BRYf_TKgO-5$Y>DL&c%RdHMdP<#X*@Oa1SMwPR`h6_Az(VlY{&W^1Igb+0NkWD zyTJ90!^OovG0W@=?M3(uvQZBLv6OgEyXD#LhAaVr+)b|u%OcFI`M&v}oh0+{B4@(z zAsN}o$*!4wm_MZ^)e;XBcZMxMX}-VZzeNuC-OfO7s{o84xoYTABJPaN3*;^Z0a)2= z+~wB4CZB(c+W(Q*gAIg`-sZQS%e!AcY82&qugwVg4K{^#eCpg}>>~wfCOpN+yd4;4 zQ%mB{9ncB>G)Tyl#H^4qCPI}Y{o*V2LU4>+sJcL8ja=tb9R*4*&p7L;N6N_PqgLxF zff&HMSFyq57wL9H8uR1lnyR>S5>EdQW_bDz9r^cX>(6qD#CwP9rJQx6$w$x8xkPmJ z(5UpYflmM$Pn7^uuxh#t6FdXIiD!pPzF_c>(G)J9*fFdL+k1?Bl4(c3uMOz;ba?Xv)>9Z>&WoWfJ1>OwM>n?(06nzJ%Q3u-FH2F=^1y~A4SV~5EioQxY2M0qq zDGVy3h!bHXS4@+z8ogJ+Xtdok(ia*)eQFKCWPp^~(o4bj06`Sac9I%97^ zJBC!fl}oFwjwIVP9V=g419X$%rTDAv+ShX-f%!RQ6ukWutS|K;jXWV(R9GI{w(}Xk zENHrt1<1J)Sf*}TcO3uNTPE$@7 zj9U?M`Nh(8`Ir9H~WmR6<-7X|x>an*6!E5X>MT{)L3^&k(0JWL=Vfy5u{kjZ= zY*e(HIYQb#s6*PyKv^ZG{s%d`5{YW`FD9sSe>-oFqrA%8XT>xpNAxUq|FAI ztnV6O0?9h;087RJTNAj-UzY6XKW^Ff)|ok*LC@lUbo*_)p7K?O{p`_t8a@k=TY(8L z)cUhS?ef7M$YDa<@y{*&{<=qSSHOY4TeTrj=> zs2C!W8cUP@_whT+dNGYo$DSW3qFb^b<%%P2EtRjyy3#X&kwHoLnrdxXGQ(=cB9cT%JDKG4S#78LiP`K+$w1qL5&SU;Q4rL+vQ)C!Pe5FZ zAjbW5wB4U~U6>99DHV`A`JOp*y(R$uFiuXWX&v10vxpP$!qT&4A1?R`0s}u3c%|1| z4tV)dPkPL!e;L{yDq<(wNcnvWS+9TH=WXqO7t3q5V7ZGJfK9>B( zeX{T_g$r6o!s+Nh|IlD3{htkX;Mva?%UW5N;il|HUeparz`8E_sh>WMkA(q5$@9YN z>wj~$hJi_5$CTX7@j?6V?^ERYBO3p1a~(HOPtWIPeGYbN{t_hw{E*Avp4lDBEu7!u z%Z1XV9v9zrX&vs%`CsD?Ivh?*@4tJ|zOu5iL^5Y?)^c=K-dWqcWDu@z2QVn#@8u^0 zAjY&eVtW@VKz7D254h&640TSdo3yM8mz#msTh3^O|EMif0zm%p6#?OY%R;D22Int{ z6_u4ubtb2U`uyO_^22SRy-7iSWUqgszkiu9jg8|+7H3)40MQxjY2n0P`{O6Cf{Jw^ZC&brr`ADT>Y zs`n%7Ia3zgI@~cGkskYrRW@o$V1|!McB)q(gETn?pnWg1RI-q%iih+@ zg9_1~iSETL!O&BL9inMhL<6jh$Z?oe9O{PfR;y`j+H^LKnR;`MQgN%imuI+G;D(8x z{~d7=_pLVi6)+ye(4GIp@I?Lbj?rE>J&UDOqnB67=jzE(JpOY37DF@2my^=d`Z%Qe z1d%+c;>5`a7vL81?ZgT{^{rry!xz4{`583_gXinILR{rVzdt#Ycdkd*$E5zrOnnoA zS&3|3kEx=|SPjlWp-|yyYsb2Lt0Ca|ye08bo$DSqHh^&!%`=ngIn@pMY8mx4k#i6; zw)OnczJ&C<2caWV;RC>~%9~Oc81MbsDs}BArIe%YEAVFiJ}@@0eJz100$T?oF`2STu^Ho){QSZzHdDE zKN&Oh#B3nLg?Pm9epS4DJ#XR6V1id|c*c!xLyJLWRqlDFvm8CxeSkqqoR>(B1|$;W ze^ziW%sT9$WBYfn7-kCy0fDEU`9I*CvCABsYG46&aBRiTCkR$dYCP0uD5fm!y*Ucj zgM%rqK|2*co%xPO-@Vq_RZAu6+`C+ju?mLh*BCJv%%N$!*K}wi`>vQ~= zDpM%H`Q)Mk=!vu5UN9L-yZ|o?=_COQxeQ+`vS5w~ftQuz51r9ST@6?>4g<=87FqtF zd_t<=3jP~LbgpDSY;?LKF*G)u`S>gFjQd_xf3Ul3 zz?Z}F3wT*Quddn$a8h``uH}X%TTlg@;5kuA7b5il)ZDgg_bOhF!>!hP>APc=thr^R~_}CtJ5-M;yl%pD$ca*4`I8eSal=wUCkZbx7l1^>8Us z^&!;4=2h&N@UKuyX4`r54U*qQx$L){{%L!p;lKs4)$_n}ytL?=)kQ#N4gkvQ{>5^Q zlVu@zxn-Knx8XtjU^oZ*;5wPyDUG905ehFwzGy{_9qBJe$!E@mj}yt*i-c;0mAc5O48Uho6qo?WYe3c z6_6`F1I1v%&lI0PC`HR=qCU8iM@H64Ud++4*>rS*!?6GlcY;V{`1X}@4hpZtCZo)Y zeMu{F`4STa96Cu8CbkN6RuKk$xl$nvTAnZGKHf;!cyFz{E36tlc z7tEA|D2aSzO3MtGm;K4nLWaIhYAC>?2vc?Q{vZTzegRT+Rm)dd%&TKe_ETtL{^RRo zlg>6E!$=-QN}oaphd;mueJJTOPJq<*4jWeE#_m-}cXSXI@2--aO?asTvl>j#Ya@%= zKxmsv-zbx*jZ1s?{_92`SoIgiL0>7yKB7v_hro z+-%V+>uQlmoh0fTD~E}E^aPbF!S(7`i0`#QSJ#e%VN=Wd$jKRTm(M^ZMH0xQl&Vm& zVSb`SVuI(_%r6;u{+ zbbF!Y1{7%BX@EgFA}(xPJM(li6ab!+?zg&8pU(?MU+<@{MDb`^4i- zE+qS*d zL2guJCvolzKNw$S3WI#dw`eD10ykAj*%TlaFGiKr`;~_#ohjBxQS@d=5k5x2@J*_k zz5v+t<3`$|NN`x3-e0h)zArJcdJ$dYdE^7}BjPwD01I*N3NNY~uWqsCgY%;Qf|3Tk zd&Z3U1vHYRC5TRM!V5nsOa0!1mruDl^Sz9}@_z`vTP8G=E2$I{(5QA`B31O1gQ; z;i1fV5VT`jYM-!h1YitSD_l31&a0<=8ngWy6WF%T{!oRzPJY$@)qPehl3eVG-4f~O z%r<^Yl{@;v0H#%H6gtRAE&{+waVrz+Ks&wU^KbH#kBK9-+~Ft%p3s+=Tr7Le;NgI~ ztRp$O)33a0!o9t6m$`C5pqWOyLZEBj{kZS4aJxXT(oeuJdj&&LJvuG-$IiSwEvGh7 zrF;6HrgZb3B2nzxi#IjxKl1nCr-QdxcYnsR>X+r4F5rv7i&um9H5)s?g(Y=`eFGek;2J-&2(_6;05qV^E1EDT`0R9rA;7&O)DFN&gEA_iRq^#1zTTBEZqQ=jd+hm7~>aV9#7B zrP=4Uii}51`=i6~v|7%8Soj2ge`QNLZ>SU1!F7UfQ!FFPF{@`aS^<%({!NnLt&p%F z&(vCEuiL?mVH1`0C@UF%XMo~xPmjGdp@5Z2&>pT-Mgo|cqgOKo2k(utvq?dyCT$LB zk7Hp~DkdhYy8_0qbx9WZN6)l=+n(zvS+T5_n2%N8z#Ij1O;bOme+CtBBF_++A=iB~ zv`iM@{zz4_n)C1|)X%DwLwtCzc)AuuBn%U(yr$RF`cBQ#OK9=#2lrFe%tznpoc{y~ zV)lY7$U~}$cE8q~<3ydLoN3{GiYiL|d1NvPOSCgWksX>p%hoDmWKJK!BTG+{?gK3Y z4yV^t9N7XUs)WBC4jI8YPtmD3S!bDX=~R2crhp!TLK1e`LQEaV(t~9)Z5}F-Ju(&T z!h8nkMOA^QlY;h}OmNOsn07g@rfx^h+X2wB{mX|5zLS(VJ`Rayy};PgDz8V7itvTQ z;N#Tt;7kSiAQmSy^l}loKcjcbqI?qC#z@y%o9i{PJAScO#IrC@7!* zq{2|nwV&`A-M3zw1qR^cCZRiSDF~&Ef2WPS4EtEIYe>sbGhoE%6rJB3k1vMdDfXut zoxmNM6X!pFBgBsaM`zD-**=iWz;t01VEPCnbu}}Shj7`<&k+#2KJP8@dvQzYpDCmd zy4A}iK9qgcDMV0R5mg|&4x;zC2B3h~@>=wW2FDTANHWLVl%LN;gX11ra^*L3mk|1Z zLwQ0?@4KAvLjfi@fow!EDrs5Sr|O1n6~Hl=Y@yK+02q3Ql~d}6K0Ce~5fZfYNvC-k zN^u1=GaJ&UC5wEi7HhVpzruZ;So4Da#6i4F-*nA7Fnak;+TeQ`M!Mh3i#eou4P8bj z))&4ICRA1yBOnJ-lwKb!`HqVs6KNu7U*!&Ue}W-@{fOtiW#oN{edSC1Bb?}uW5;Ho9Nh=9W#d8Gg}woNVZad zg5-E;!*EO!c=eU-=+PJ~FrD!MU~**Bfn_BiC>+{}4l>j=G*5TF+te7RjxK9hV#vB( zm>{?3au@nXBdEiF2&FgR=j?F(rC>}cVKtp>EOG>**;2fAx}11*V*Gu!oF5JQ{H_-S z)s+SoUPfBIG*s}uHivIzV$y(l-_L^`%AkqaFQLw|d-}O?NY=#>!|c|Z8kq;j3JZ{tpZ&EJmIA=LXx5?8?g|eB${}Dt z*>dZ!7(Us$FRHND>py&joG#_%Sm@)C|C9Bw#7Y*W^?bHfA{D-+`EM!PvF|~+Njt9C zR{w{-+ZKkpX=>O1Erp|UjItN?ChprJ0-Gm!9c-$on*+yK{YA_0dsxTkiZ~c1@`M{* ztg3fea@2&_ram|-Of$5{!0ZKByS0A_rl}7u*{Q$dgN`6OFI3*sYxWWy`d&x*-O2Xg zTA(8l&<&cK2^F6g}2r z0OOA0QB>OiMd4!_ES5Sz^_V5Nge@vX|-`BK$|#IMGF>g3*}qY-JaS zSOOYLFL?PKN!{BcX8l2rs>w8KF^fVBq;6pCW)1{dVDG8S-sKq@_HFAI#*OgXVq{!@ z%Mh~BODI+dU!K6B$+H54Z_>B443=h0){S`#Aisl<)wFinoF^do!~gV9t?W{x`$iP= zoaIUCApvnTCo*;c;1$Y4bjQy5aIDAjy$Lu1tpZDg@y8mrr2iT6L0OS*G1Q-Gj@tZH znd*E$?Zd(a`Qv6f=<1-v(7Ahevb_cOc zHoD80>~?QDWdjf!uK=^XWieIg~RG2?=hd+;3)B z)59DiB@ zhB{)K$*wu)q*Yp7H?5tu!9x<(x-m<$G$0#qC^3ft(!NxUa8wQx$P}OEN|`A)0KiU| zClc0lJp z&5_6H$6|tqkfWojf9CAd>$7G`!eY{1cROh|c}dxTJilVghn34>u2#V2IZ@{Oa#8eO%qp zabE9}95S?78L;3iuMVz`2qT`TM>PpyEdY|ptAM-(ZXwPry>=ExvtLtQk11fddB2)tkMri`vhF!q`z_9Nrr?_CJ|p-^ z9K9Xp*$XgO`}6KHuejwg0c=&4+oHu+h}P&ne2qB7HLQYl^+m%u=DuEfmrO04Ng*Qa zt>_arTxfAvVHnbvkB5nMzsEA>j31J>FJgM8G3X!RMUkW)6{CZ82{r3l!v4%i;uIkh zCF(wAJB(T&VVyuDjbBi`&y9T5U~CP;(cy|)%)?_RnBcr z6=yenSR68)!ntvjnjMqc^Sn3xqcb)eNnTI>Ax%VMar*7m$@P~2*%ti^8Xnr{Z3D35(ka8_@ar{xko=T^cE32>pwtFU=+4jhXPstjcTpdg%i@!4`X zB%`V26j0@zA*ZsZuC*IcCRwMiP=2R>atoniFZ^mBiD zXssRqPQ0F3RV|MHY4DiZz#5U+mRdY%F`8DnvU>rvzLUFs-;}DMXxK_0&5za|YAyHw zS!)MTNCQyMv%F1`G0}(IHujW`JosIJ0 zt>V-Ak2dmOW(w)E7ORz&uIH07@5Gw!JT8I{t`}q$@qv(wQWrUAJb6PWpt&b{Eywf%=(M zv0fo4CkO_k#C{F@N_&_Ha5h9R<-!)unF6iaAP}Mts7(CNWk2|kgj0SygIF`|HFXw6 zHA1f0zOxsG9kOZ{Ki95&ZrFECtm@#!=1-yb3YHNU#fx?o)xBr(Q=tYcifnX^RJRqj zhg^hH?|^tm(*F8g)Q4#>*p#D?8T$(^>FCAf4;FL~Y5JiG(0>pch{KRvpD_X)OVs(_ z%BH~4&lI0bhmw~^st#ylGZ(37L&zkv1pw{wn=Y;@5VU(&8;plfz~v5R+M}~F2K0%L zY3gMduug!z&i^&wIl-c^yR)19vq}Cd{=47m zvd;ob1m2SfXj*4O-qJ_79XouAcc9r_pW+>wOFBmtvV`W&90Dit{LnY2ZTvc6&G99rz93R9g zq821UUx&k5?!%ou;+|-Uaf9E`$g=Olm_Ph92nCBy-P$ox)hrza-oh@u`K>7M(>2}T z3^wiVd~s?1xf8X6)pH6F&R|==N=A!xb`$&P5eQ0|2k$JcY{v#BBWvC0^N-#r~EwDEqjH z{OqZr07=4%jskXux!s7WA9O zj^IxTpjYEJARzT|)W;T1*-bRAon8IBF~8nCU*l_>ou3;!E3S7j(~V11k^_0m^^Vkm zBVF&t&UU88NxSn4d?>RrJEq1(V(6v9;Irf|hnpIN>cAuo(W7nk53KsXJav@G;NtoA z#`|lhT@}bLTQsM;^;i4sq2shp%|ZVL3^>d%5Zm_;|c(-i?7 z;iPWQz4$>#Xb0--K58wXF<;%h-LIENyT&!}{3D|LS0z_l>Q-qB38s>V zTW%Vn#CtHl7F(nKHuV0QM>s2K<)t|)$+0gI_VcPJ5ykcP@XtK*iCrKfX7fH;9#Yyk za3^azQ8JLaI~E@`LH;R@1ovY8GXJhFAgVv=khwb+b+VFBQw+Cvaoxm(g2$R5Zpj~? z>@?H#APmpq2fnjwP>SCa8vQX0IEJhb0x;ds1=wW`HFrDM%Tuc4(tNT9{hc1WusXV+ zIc1q$x=_;Xz|@!kIKh87?Ad#foQDkc0Vcc~s%Eh)K~Lp=Rjq_ER0}?>1VB_4E_+qQ3w!BO z%BU1Xpzy8-i#3mFtsNPDtoNo3!X*VG(npL$)sB&7@T?v%YY7EePpri@u8zQo-+Ba( z@Br;;wnasUrLGc3VU8L&ryYCvg>LrYqeMzjI!R<_gILsWtnDNpai->4V2cM#o()VG zhRKa|;SWAQ17_6-JQA%@@o5uxYpM^cqn%il#A!I%cz(&yIl`;5?;s{Ts@P5xY^_jI z_PX08@lIGcK)O@T0Y}O7LU@$=vQvgfzsV4sMb0R?}gT8K3N#}{?J!KNLJm>_A%e%5vdD1FIWXbRuckFa|!Ngsnpw zDugPMd8tr{ghm#94vdU|WQo!Ww1N%IB)FEJ!VQ?F?E;in&o-q+Hs z?7Jgo=ij%FIicY@*5u2d%&@#+vEo=HS<(}EL98>#f`61t-OCi6tef$N041d0c5(vGDFW zByA3MXj<}8JbLQn;hkcAZJ-@vt6n9C1-}SLrDhIy1g+>}zwb^M$=sk?$cLrDkW$?J zfU3hklMB{$G%cW_N$P z`##S;fAP^j00+G0y3cja_n7!tlh8XCV|!$|$lo$To13k(#sOZn&c~SBB1%Dwk^NMm zria+})+BEM+v)e^uBWWSo+W%wCR9{h2fh``cY&YofMN$-wZiRIu%-`mD$_jE;)C)h?3F&me5h~uywFoePxsV6KUis@tIWc$^s zJLDh3pPhQjIvm5lBZPmK?)}^5hj#3CsXJ-oDE4L*|^_ESVBTQN<73%gl4tu8aHY^C|_r!90OOc#Izyam*66LqOv&j z;IbErZp?$sOq(?;p{C>lhWZ{}^wEt!NL)HTkzu}j8BBs>_Xq8VBJT^M8pujdsGsHu zp}b`j@lDUiU$PP<`P4v=T|uI3{q8%2D|%%0X#o~f!tCY$@t&yR6}HAs9Ne|*sY~N4 zHs@A*FQ^$?l$BpND_e_)QI#Kf(HxP8t;L3I?s0FTx%t@g6fm{m@>0UQK=ech?I}=2 z06QL63o*e!!!#k+ClGv&C7c-|8D1_)+Ir=$6pD&j9!ZE2teBwiHc#GFaX$M~d_7wX z0m1iKK#<#t>XblkoNIXFw?I1fdd_m&_pAh~QXtUWsjPtM`e3Z<7YOOYLaHXS7bCUy zkFddMy-+UJhr1<#2|b)OM4QfGl!0>_IeEt~`naZ3W-bwy1H2`_NrQlMjOu8jUB5ba}Iy z8UB5*X%6!N*`7r9K7KDzEnwO)(=99>9$GE02R^v7&Vm?Ykr>v+-BA3I!vPPa(Vr_u z9nMR$cds9rc9|$!JMZss#b|k3qSYqiC}Fbpe=90`FDhFneGZA3Hp;ed0@#gIJdM<2 zR?njjP<@|ksDM{b3txR&;C@lwz>dm>jT6JNsRSE;IT?zZq4b>rLc&^$^IQZ@!tEY? zssyV-7o=%>=Vw?PoYdE_lo9CWhcL_i} zktEwmebwXSCq_}Z+@{hjy1WXz_b{q~J7a-D*jz~xJuLEVFZ39M|(9TIQ$O8Q%dg8%P zAwalowR08CTVxe;e2A7Dfuji{M-&NeORM)Um?qR^dm1XqW;0_jp&mZ76Yj&FczlFEP zU;dAb9a~P914h#voq$mvG=?8tYj1 zO#09zH$>)kh1T(1?Yd}RwX&3l(b>-TTTVpa?OulF7M1NTkJgvc^!Cr{1KV$Zbj+is zdk^1Tw@xy%i^8$qsiRiB`ix6n+irzaCXntw`m-o0Y>KEGl;;wDU|2hYVXsZmQ^d{OyBc1%TGc!|jHb)|(?;2i4P;u4moi@yd=kK3gI9B>*jZ3~-9%Em%`E%{<6P$f& zv$rcH6*5-XeaWStrU0c;v#o+Bt+0g<&DRhsBCI+9{kVLxCFZTsu0Q2uy&%N&pTx28 zCvSPb(67}@+Vh(ZC@{rAphY5prLEc=3jAgQIWHag=jw|B{ps&A(Vbp0{~$9e@FP~! zJXVy)Sg?zTc~ij(nm6dnT>$bTnX&9X>c~D>EzeRPWLFJE!}Ro1>Ell0KPv#_h4=Ux zqTz*oRLIbF;N*@;e!=Ko6{RZl0t~_rqlZVBhZ+MH5e08vFqu(WT-h@Wy@3y3EdQYO zHsipmNGystL|4^>x*ddisnMURQVWjb?vgeXzyEC z9V6mD7z?QNS4))tMmVXP^$*IpZh`2fSJ8r->gM}YIs5Dd;HsQ(Re`?y*s|5(WD*TC zyVc=@BsqPHq$*-vFfJz>`mEeNQFjq?GsYhz+YkHz>LhzBE?G7@05v*UDBJdSThTF*yl`r&baH2UvKzH z;yu%Wun51LSR7Uj&~hI17G((4YFFb``dUo zw;UIjew@<3ToU|set|U+-t{pazBeaFBDT_sNSyJG&EiJ2-&#VwBadH}9&}8?lEnWZ z5U7DVIXMQa>X34&?xCcy=shm0Sq=Wb=DExg~~2 z-$Q}eu81Wur#^35pNgNT6@s#PVizdHInw@FdGbbvu()}aFwBMbg24zD(;R*FLhPll zyYC$wi?Vl({^SwI-{%CN3+4ZFFn63}E(HRba3e67fpeRl@vZCIr;*I{G?G_6?+{GS zz5zjO#@yS#WBrVzJlH}||L=S6!by~!N1McrQ`9b^W-5O*@WrYi`<>*t zD19iM1Mf56xW1tu9IAH)M4NR#*0BjQtgP%P3k6-Zc=y+r2*j0~BujWBad^n`b!%i- zk!qYt*pZ>SqYN~yq%I!_UiwWWH6pT)?5zTRTz*YURf9LPwL5-QG8rL3a31$6N}<2q zvfg!4{W#_45a~n2d^F8%TXsA~_o0;68+W?P2N$x6kLiG5e7et{zn^Qa%@3SRu!u9p zfR>{So|7&hF*@k})?s5E062r9ziglC1Zv4o9-IPCt8mO$T2Zc4C!!WmJ_sOA$RYzt zao#%SRVWJKsUR9#!Pp=gHfxaqT=X@NZ0E8L}}>Mt)g{S|1X%xIAVn zVA-!6kP|#h^WIHQ3dL6lmnP)agSX)k>uD=t9%a$?2Ln}F+G)s8#09E4O;>)O{T&ke zOA7Ij`OUWtkrF3fd?aie*f_ca>D9Dv9r9d3}231-m8FFD>LFbqYY;&B5DCwW5*vXNmffqR?I_I~PsX z_xO;+v`mVgpmaX=;(lQ&Eg+ZsHDT|q2_P1mh7WeZsDx;W0E8S^9uFwyM#Iei1Oa|D z5(KIS5mi*8f;`wL6E$gBE1@JQiC7<7vQ|gOLok{X`MmN3`Wx`W+scPL#ODe+ z0VOmp?fkhz&`x7roZI;yZ9Nrk1!w7gN2r*)zNH!(dE#Q92RE3$B@#(WcQ|82H;V~c z+o+3$!{kYmT~FXG)$J>Pf{`|(+Xp;JZ+*2mMKoN4IJdo1A4axaI_YJ+{h|a(Rn<9H zwxCo_NiF8UKP;BEzkVo{fIKZumEsR%#~j`vn->ndXr5>HC_$U< zs*_Jpvt(m6I4baHeD$k8U&_IgK*U^pzfmNc*Up*H=>geZ?c6YzgL4BXOS@4mZQx4w znjH}0|1FDpum<_hJ}CM-{LU_)DEyaQdEW}@=hEZPvHQV_^3##!J5}9MzlZ$AigFhp zEIpXBk9YK2d*Cw_m&ig+$|BUh0={*zJ8Ghi%>{I^?+o+6)SD` zJu3|xzul_clU}jvMtUsscqGG-^}~bZF021{$o9S2qoYLaPTjxeTchn0*qHm{%7?~( z`raT&{WRzL_>vy&X24=TN^k}B?8F*-{I-o#e@schRzQ7UX!h{Tcc&*HC^W~Ka_z&` zzCo6lo5v?HWr-ny+>^f(JaM%4SjVVi-oi^)>XphW0d+oTg&^&Qvl|;~OgJ>|Xwu zBI~MhK!Cfp#JJ8V#Sy&twdIn722wSMiUQoP=u#i#_*1s`P_dIPC6DX)_yH2iy4^X( zzowkz2q8wl$` z&t;+3tyko}qY4ets#y3nn``X=&BK>xG!k08BXOaH5zgQf*h$1Rmdaf>&K9IkBGzg(aBk%6+0u07tSO{_5q*Jd|>K3#=6QM zocD?3wzqmUQ9P*gT|x5wUU8|S;?m@I^++U!;yTB=)Ca)f5XNZKHTM>Y>x_IHcyIkfvX16 zVPAR5(6TcPJM)578Z5)o7#O_9f8tOq(}2@>RYi63_U zZHMlBPvYQ+IG&_cjW``vZdg3wYXfv|-3CNoppZn!y~QD72eC0_#f9s~$v5am*=Vzj zecy3@xZi@QAAb4M7QL8Il@Ge%`YBeuH5BwyeM$HI-0KSl=yiuGb>Y2~n>RIS%0g4q zZ+P!Bjs+n47ZO7j-Xyy>FRCokL{SsOVzbb~62*L611SE<;NG~U(T zfJ^b!^Z_+e7Wx|ttJFf3-$1f#_FS{ZvNJbPV-f+{FeQjl;m)VzSuI*&gPAA{NAd_&$w?M~h;V;uuzrT!ws{?f~QrX{^u!y(t>6bUi51M7bw&My0<`2r0 z8=(W$V-=>9m*wvQQQlnD;@x?Oe+JR z<})Qv(m~ta>mFUBy_wfScM~t0x^uQ1&8TQ7ll`y<#De@zDnm!`l$Xrf*wBbm$V>_- zt+0qEn}Jl})nCEBq9R^^hQF>HL)`;k`$D-zN~%TsF3s9|z3?vDca%g_Q2g_MR>A$Z zPZcH}e8whq|M*K0StOb3+M~t#^1GDTPAZG&n+ooL;-~pt3~~(cfAUKd{nz|<+_wX) z=R=&O`X)xowQ~u1(@M2geVOkcO7s4LKTxq239i3{2lxqM%ReY<-^CW~;Ke}cQ_nx* zOid-GrDr6$ek7dI(UHssj(~e3 z__sUg#cZG@H|^T%7o2$XcBZm5{)i_xjFK8Fba?^HZ-3R00cS(~KY>+n1ZtE|&BR5~ zFnSK1Bw03mIpwY7x$R%qKdyMn@IS>_5rA-UIn~}Z(w9@cFick_8D#|FG1yTl$U8$0 zFcSVic;Y87dTUIvp7A$Ba=FIb>7ULFCdS{Bck42GVJTg>M!2LV&slI2CSKaLDHQvdHEo z1ry94p|A-~k!4k3aSM`!*P8p1hE2$as8I~#{|%2B2;?)TCj?@Gh68{*2<_>@_z4jn zh!1Duw}pr(>A$ZBf1ZDmu-)fN09*6gClqM|ymA3`XYQe@6f1q9O^QH`49pi#_%j2 z5TQ*J+)I6cJljsm5cRBwhO2u+gu1l_Hdi@P$sb#t8hKx&>$U8pUSfpL~rb-@z7&pJl?v8Z3zN^y0gp|T1N z1p=UTmMBI*ZbJTz>5*v>%5R2Cdio*4fJ6=|*gl-85&#rm!;UYm*{QO~d+yYb&!#N& z)2REa^J_(Y%?SaDhU-g(BY<8;kfql0NgV(-AB*eaBY_HC;u0R2#NDvI<5c3Mr~8qLiLxTxevpOUIyhn+^!kW^ z^#%XSkyr zfdP1xH(WykSW3<LL57|!Fb`VFG;MJ?$NFFW z7!!4j^({EQa^W)Th)Q#YYxF0d1JcbjZG6cY~D;lETt zjMON^OVdDK&m(W4nM5t=_I}*R=h347urO{VgBF{3mbY8WGK>HDw=2xY0!P=cnf{u2 zc&?s@&H73ev9NkTe&ibzAVKqgxJbOxf>n7LKIzck29kgeFYO*Rrw-kncTWjjBA=Tgh()K_>;{!=+lVNW5C$J>A_GSj<+)PrljU6WO#j{Ak<#pUZ1sRh6zcdHCE z5azt@HZgkg#woX&1;T0X^Ju@9nqEf(*$;mNOtZ_3H>=c60Sn-T&ct-->mQn{-ezYE z4;G5Io9987k37~An^Ew!$;{lWw*P>> zm)hW$XDQZwL_7Ioa7orAY4&`q{>>m?uy#b1nm&CpyAQ=3!{-RoUaMWYB=W{oGIy~wv21S#d8 zUr4t)j^NI#K8hXR&7Eh-CLX!9jpz0U5O*%S9eK>PPyOIO?PI~9 zGfEmWDhf6Y_Ty4suD_|l9zxhL%bM42NGDf+(;(MoEj|9+Sa-dRBF9INX6VPE3X3RY zP60FZTcUn>ltn$ib(o7WO>VzyHjwS+nzg9{`d<3F04yFF2k;7Xg#rT^S@#<~1~WD% zV(Q-`Tc9nb2pt(3UxV@V9YOiUY2f~e{$H-v?lTmvvnund_(|Pf2p!z^$B--4M_(x7bx_^Joa@%wj z3Ko$oxoYR=LGteU*Z1>vv>Uvwa`M+I(r4Xrm+2E~2cG=dE5VMkecPX)0BZ0e#Qn2AV(ZAkDi%_dfh*45U7sy0z*SY5a&E4yrc!jtOz8eGs(22i9) zEO-3)`otyCg&c&YuO0IM9l8w{J@bJ+1^BcaYJ`%O=0My`Gsm*Pc?1dSu0_$Wva^=R zgTWPJE!#X2C@%*ZQGAOBW^3d`W3VV0UbCW9V4ug`B;8p;^*uTmC&9_wGcNJ-y!5;# z*}sgbQ(RR$VUO}JTnW?_L}P`M1=Yz#nzIEm)PkiBk@sF)t(=qTCWf>$sGld zA#Mf6kvtAO-y3Tg{fZWk`X^03dNpO?5l7sayv^b*nLe%2>p?+=Vv(K2!Bz8P5+>$+ z>YZhBfbUEqR|bcc;7FOs(^s+t>`2iPB=5hssM3^)d?dXQ)eZ71aK0XV76w{pbCreU zAtV(O}*U!m@Iw_!n6IHbTJ)^ zvtp&eDn{Es;ttcs%bVNwB_G7Nw;S$Y-Ls8bzQvcHB`SBf3`TeRe*W8$5ysem2>eI9kP-GE_p4`{raJ^LySMk%8!vr>!cJ13cWuCr)FtL@>|w1k ze=08kS9E^1d>$`KSkdI4)X_$ze{_4hWPN!453z&n15)hA;q?i709H7Ad48~b!E)Ds z^bjMpjPY;Fv2r8azCJ?dGT`*)y=<<~6NVYLA55A56oWodd(xe&Y5mbnuOm|N>KQfL zkJ6jGPA0);G4@4!s6eCQV`J`}UE7(>veY+|xf559VD&?<%@%}z4S&DPz=w`jC%kCK zwErx=*qO3*pel#~ScXzsMZ-k?uhfc$ zQh{}@_;#BJu?yGyXqZ+5{D`3F>TOlZ=@ikt+LWl_?y;P0QNs@7NWr=7S*wggo8|WH z;RDZy+U>2{yK6rua_NYjqO+V*&!O70tFwzhXxrK1^7hV`$FdI8qEo*uu3ZD)ke49S z1`YFUl0VBgt!*>tV8nRAO2WC`1kwa`n2VKzb<|P8A1e zc)J*)vA)hpT@i2hxvWpc)sG_+Cwy?L7l$TuAVT@ebo;6WrDKzC1og;RvsPUh!78kj zd7)N1gyQ;2g@$syfTGFn4j}u&=%7IgXaE($g+UaOHC|2c9QQ}zM;bQZ*{>HT%;BNk z8I}Pv!@$n)uis>${Xj;_1>PedloWy=N}R&2trGa9cdQB535VEqP?3OkmUlqqa`Md6 z!v%Yb+&nI?J22*VAL)IbC(^9AOYo;5vOGB)APCSu)KT_|-!ikq#0Y4`OS9>8>2WY( zqlxs*Rfu%eIP+F(C=qLvxj*KhX=#fI=MgDv`}y78SV@3A?^)`&+Mq2nbC96ewk(}` z;&e$neL!VH=4xE{w_^v1x!EP}-MX)@2gDfev{aEWd;RJ61(iSTrg@&{R*cou_w~px zh5{f=vHXD_2@ie2p?~#;xxSid8zuq%Y4m4=KrI=W*z?ck^(LZu=!BEo!$L*u3eam` z!Pvj7=tY!iG?i~ZwrvgeFNwuU#15~;C`>i2A7kTbC%PrQa)HCA^;oI+pEL_>N7h;b za2md-?T~1n9TFyvn%CCPuT*RWVQdj(Yz09X7)W&`7B(L;!uU<-!0b&V$zrOuykawj zXOVKVb{Ran<6^rSw?dMNmG3`Vog`5VbHozME3Y?7&QXv_2M3z&*p?@t+OtA=Uy-GMD}CVTUqBJ4>(Lm6-urWzOn(qT zaiy7nG9Y`PVd0d%Mv?0IzRE}@Q5Wb!%SJ`HPD$6&m89($*5bs!!2ly=PddNO9TL{h z`<>J3*pXv(wH>o_Ji}7A-S$=@{U=h?;KVYZ-DtI-~^}@x1bMvlHQ({*N|_bFONqr z+bX@lsKvUlDs%9xcTN2{3(eg=dDh2c!Jg38pbnF56Rx(8sF+yF9~N2f3NP<@Qc~Y2 zcTh(wQ7_-ztkOu*T=(rD{mYDT@!@Icc1TOMxcqa$T|l|O8o%sw`iR_dL1ZM+W*`&SFB&rMW)0v@5$E0aHZ6Ep9_lbkxrPlf4Z1Q zb)Vr0%7Za|V7_0N;6R27zQAS2Rt2f5ka5&0fK_n{tfdwDj)tPh5;)EKeq5^%(Zs2w zYe-Y<{yG@wb9A%PHjy<%h1-NYiKo5*bR@o`fdEbr~Xayx|Q@+|As zS~iwD&NWMK1e9Jqv&PxXw393V%^cZQzfkAm|Ng%<-j+(=e z7We1#Q{^Z<1iC58Z#A5oJYr+a!uM_D1Hk;`peDEVy_+ZeQ_9I-_OdyNMgOIaNLdlP zI0+Mz%8&wxoJ-J|KH4ZUjPQ`!^G_7eWwv5h)NYt*o#b3EzB*WHfnGf*yDFGkdQKkd zdx(H2A@bH8LxYVs4~kh@j|o@B05Vp31Gwltp{RlKu5hhNEo#M^w@TSq>^k_T@X9$qEd>@{sTkjWI9qZ1W7`^Fo}~ zmu6*#Wr$(Cjo^U@0$c7&5!?7|wlxm{Rl;%F^e-plUwqJh*X}H7+>ovYMMNl4&eoZf zfDLgM`sPI5e#7vvS!9M$7w&v#|MES_C1Nbg%p&Xf?=t~o$Gl{;odPTO8h!>HS88r8 z>XG~O>oUxWj zNbRSBTFh%ctxD(Xt5#Cy{Q<5R>g>(aiu~HX#Z$wSRn2OK*P6u>C?$s^E1p6n@hpI5 zuxk2q$8sX;|GWSL&bz=f0xMIQ^TE6jI#EJGMlWcvtddAxr7Fc345DI{&AZp&K~YB@ zvH(B}-mHTrKDlAVW=21cTA2pg9Yto+AJ36mR$-YcaM0ILB8`D{yTSKGE1_cAY;mO{it`iM zZ5tv{#`7tDfOs%CQZb@{AfLW`50jIzOk=-#{*eqe-kpy|X9aWqND*zvZU^M%c(}ND zS|I)|1n1RgPFkHFTS{Uwp02*`rvEGQ5H!Jhyw`b;2;8f@|6-vX*FV25#Ab6u5{3p%|*XL@GR@+!+O9=M;jww{r)O? z`5IZ$byd6ib0gpDLc+C$<*RS+hw~Eu13UV+%ML6V#45RY=6wEVdQD(|GHDiz8^|CZ zBff??r*ilN^>Tpq>6o%D7oPH%2Lr#cZ_(K9;%VWWohOuG^YO*LO9IZjlZSVnD_HXhVR}K7Lr;6UR9(%@mP>`LG-yM&=z zwWs^}Pwqqc(ZmV$C4*^HVEJHGYv$|}s>m?dz;uf8iqaCT#Pn?QIBDMstdqR2 zQ_1stST5^tVY~45_ixBWM>In-9L%Gbh&tp0Ve}3W(PTjWV{JeI3&;JX zeqIpvS1x8gxE_|=Pr32kCfA!aR3*&*N|WxZ*Bq(NLM*^6v`;W+ep!{lVoUT?s1x~& zP-S5Ji^wmx*3K_+5*SwSI(?;qAb87 z0AqR?jDFx-H+p}s(YfsO(62`_sdu|~L*<503IRQ%zy_wwg_pN1WbV@2HH!b}(lt~B z9j#SDJo0l($J9k*NBlI<2Uml^WJ#QkE{91CCM(9}sAF^K@R!5-%FUb=C*#?~eNH4e z;F%Z=Sgz>%-XW$6O71Q(Hu<>VUj7yiZzaWBaYVxWiexi`&!Fp_v^}S%tkK!|>>rvg z)d%@@FJ3Txbgr}ul8t80_jH|N<7US|cv3~Eigfg@Qn<6IwkZyU%_anyn4hZOmdd1r zylNQVsCnbv3$xlIU#)@hn%;U~{J(SD$(p&8BJ20iqU#pCIQi0z`IO`e` z6H84VHIl`Z3z>A5*tN`PwnPQI&&n5xD!($;?-c$Ni+qcQ#+igQQKh@2rCh%pTPQ^W z*e~$dnabi|axLCfR$|z#f$(Z5Y7~He7Xrbt6$HjbZOn-u?#8Gc+Q^>8flnJmAtItX z>mk!Awqb!V3k#@Fm3L)r*;G|b>i7xXip*FzjEwq#ntbz;x=m=wgbYh%55~fwT zbrq?Q)TeDnMCe6d?w?vk5RnpQ;i%4Hg=iM9EYvZLil)ge3(TW)&}_Br-MFvkBO>y> zxs_W5BBI77;&-u?+W};k7V!agU4KzApAvW#SVU*@N@rjHj{)aA1i&KmW}i4ZyudS*&z7dMoouz-BDgH)OYy)bGioyfHM5 z`aixGS$}Nd4y#MoE#z-0w z4yqMgqmPy_t|&K_cRQdk+?zFSp5KSf#dy(`#0}bijmD=A-TXA9n$8OsR)ETV4M89X z&|_|k>x?uZPa$dws)nP;u`05wYQ+d7F(P3J6rksPa=78K)bV7jXYL8bv0NofwG-{L z%?=C+6(h@tHD|c3Y66JlLITlArc2 z_s~*o48b*}hISkMa!A*XyMJrB54<%aj&FSZFourTI>Fb7?pvYaPNQv`GoAX}?fXQ) zMQ)yO__L3<)(*Jzq^`e47@Y3XtI2i*()(6Iak&fZw690$!@dSWJq_mr9mvQS z07u3wC#}}a?Y93w$my8YbqdVT*`lgZ*;V3LPedql)m|VW$i*rMvzaU3uv8QXAj$`0 zlHYUssL)3f^-ZI~lUD=bp=GES3Ug+31>k*RISfE2h$1=#D`ot+DnrC%1+ihb1_3E$ z5Jv$&9c;V@6heo8SGh+e@Y(RlMIo|7N3HBuWov~M5=X*D{3^7DSY^uVSG4{PADJwJMg|>|Im$m^F#B0Y{MKwH`2DN|2VTu3;WVGn4&^JMyM#u9hqK(B#> znV2N~s+q?K2L9u*(%^Y~FmTZ@e9sGUoITsD!F*?EMQAm2tsc|P@PC$k z`RAE5QjN?OI%aDwPB4fYEDF?|xBiy~`|cR`{GEj0>U?x%%+ z*1?8zy2ISYSjkU5**0lfLXgvZb zZcGyAn>z2q1NsVwW*;G4i_7b)vrp4+?%+JD^YS(T6G_B#{hZqABhF(Z*MBSk|5+({ z|DYWD%#g19ZeG0Z5n7m=N%dWfJeiU?F>4eUQYiu!TIVPr+g=cXQ;+|o6{y?HGd#&m zl~1JN+-#ZcJ<~Iew)F!i$`N>&@t}nNM*s10z;0@ImQP)+-rZ{?a0LiQETu#i%Ii&AY0r7jUK6ox;Cp7(*#vr?ozY z!Co*{Se9y3lFX<(M9uR~F4W#!Rv{S64ucS-99s^Z&C`4kdv3LuKC_*4?st2IS`Wn} zESRfAg@!C&>%{diyj>W>zPX0_l@Cl>^l=y>RYC?y~h|mwC`z8ZRCsD||&+s>lXq ztAv$fWL93!2d=GAnc>Z#GrV>C;^=8MxFJ=uE4AzbrMRW)FV*c?_}j12own?CKM-wY2%PHGiC9X zh2C{gjeEZJbbfh+`r{ZI7ZFp81qt)>CSP$99`U5;0ws#Kx1KVb4Khau<(D@4%m;A> z_`RHa_A%y$5?O9z z=imP2sqbmTXq+1!(?4B|!sMFK+5VpNc6V91xLQlxEFPUbU5?k%qY!;L6{|w+Ksaw8-O~*(Gs$5}Jy8C!ZBLx_D*Bo5z5fWTdnS<2uM!$sI44m4 z#Q=@^2U`RIk+zC8QAj8|2B(@W-TqXPWM%i~uN!*Fx3Mo^=BBycn&xZ)JYIk|8j{Y> zYt{!ec-^-2a=%1*ZpPJ*vxdd z2Y?{F(&FGc#blhuW~Ls1@c@VUvmAySEDnJ;3{wh_wdDhCd1p(~)GvYnzc`ReV2Q`- z`I2qCjXJ0(R-U^f0qqpf<@J@fm(CB0`k$Imiy~2Az9{o)0pWZ)w zXlpD(D_?N92daJ+=a)dKU`!n?^*SJTCpqYksU&6F@9-uN`i+CeMU|PWY~fF1fxbN< z>V<#j??Ezs;-cHv*HjQx@6mBG93-Dw=$UUB1U#%%k+NCxPA(aLkPCMW8|wA*M^;3i zSyB`8llifEAAZ$>CR`J++OsDs~*PX-qf}oPM7}oz=V_3 zM+kU~eT?Y5+38nmu>}}B6s$n3@qNyM3B`TR^@%2R|MJ7V48+^&eQWJdW?85W0-dqJr09sxRNt<&xR_ z*#RK7I&T0jFYgcT0MP9C#wtLd(f}~;#vTIZT~Al++`DGY{>`B`KtLJA?GPPy{IK4C z!fbYt%=jxa`}tZ=zy+(K#M@}6?D-{AIQlaqDio$qgDZ#ruyw@lRrhOuFF`xz^I4jI<% zBK`d`EY@L&e1=f?QWUy5ue=4AAxJhfiikoaFi`#-x~Kx323hrB*<~D)zSj=ou%s)l zKx|h3Fw`y0Z-Yv2X0~d{{%!lBeC_m_>oZv_*(q#pfQPvk>s1kSj)U>HRzFV>c(Ydl z@d#~UYp4LLEHbn-+Mo^t-~#e$tE>lw6D0%?9K(?U9|rhwm=Q5ch2Tq;C1eH8$Y2#3 zw6Pw{@NUeZPuOaKnhU7-?iiBS>uRMfe(GV zWUSbLF)UEtsQ9)8Cxl}DOGB&_(Jfbhw#;cZ6a=LvDC1Rkkl@IP>H%G6@ur!j&|`d8 zr~j@w=o*u@Rh+Z%X^oeDq$b;sI_V7`>h~{IMRrNX*z;_*MY2$v3PBf_=8(vt_r}KY zr+KB+#>SsrAVP3dohL>iJ?&9o>@Cb=dihk#hdSSM36>P;-;wNNY4{k_zqHy?B*h9F zUkgQ1ofH)z{|$}se$hXA5${c#kxScy3~k8$63vI4JLSDcrVLsSMIs^u+rr>!gH-Hw zvh=fF$i&v5`h&?9*Ov!vL6}o7R^&4p`TmZw)i^= zXE97zT&o+2cA>@dnR=(XcjXuFr)T7-BTQlqOgy#kD?r3-`-8TnF)g$;*4qSC(Xs+9 zkobgZc>%{f`A5RBFCN$}$s555E^0K-1;SoZH&c7K8Xh!8GCab;qlr`qbw@rf@`HIU zD|8g-TVNSygy?2u;}~ku!1;{=Y$77#6lColRFt~yXjJ6ZSMiG?fSb1AVk)|wvdr}R zIQQ(`rwLTz(-98Pjy5p)&E;thWn${zy^$joiz1qYf)jvW*hnJbZ?Bawzs5xU(SwwV zZ#EiK#ORo?wvFBQ1|4?tuENyu7Wdnmm+adgF7Goc?kZn-$>=vF4^-ElN-yt!3~-^_ zq@}RL5!4v^;+NNF=x>R?A1Cy_wNmMu4b0gZ%|cg06Ufao_Q$M_zi)&>-bH;*?p7m<{uC1IoEj`seAUTI~&ROKpM>r37E5~Joo4;o*mnM`9?5< z1SBY9Y~3-^6EqV2asP2;t#I!a-*OdaiIwP33VfA%HGHDOxajl8t>mbA&g`d+&T{)x z=E0NkwMo%`F8lu?Lx7RSZvT)1Tj;_1tW*@M)CuTnh4$eg$0_{DN0#P4an5F+ z-)4G`NOT`KN?ere(Tv11Dj(wooV}T~lDNPEUzt4)#jb2V_t^!L12^OT1~ccaWv^wQ z6UE0vtZ?xh@x=ev7yifBuX-e^vL#7IdG~V8UTDIxapJJ9(4n!au5DCw_0;fH9K4=H z)qrx7ONpE>PSH5!T0kDlBB{i(F78;K91kQ2M8T-N&0?CFU{%$VO7-M;g~B{Yyng&halqU??7BHB-Zml z4>oap1lLS5v=YOJJ&q+{1X(9)>EE+Z#+wzGixO9q^@)OM%44=k>Q|SGRvz97vqn5@ zkFiXd+N1Iwv)qLl-+RX&xQJCVfex__9=IG^Vd#k3HB>SSJg=Y@BUT|H_^#Bpyxe8Z)U1ae;ih(Z z&p4$@WBQXV(hT;7m9%S-oQ4zVP}w>6es~5>1<-Yl5qd&7>+NXt_H^`1$6&9s&C|ex zAUrm%pzom5mn9uQfwnV1TP%N#uZN_|rLB)c#>mvEpxO<3CHVZB+#2ScFRGA*)Po4M z3L)OoUv(!FP!-h>h0twEogoqPP!t^RH~>2%9Ms;O?S$JhY&17Q(D}AT(=$^F0k{*t zQkqeWPgnqlnW|@R-efOOx=LGS`QTpv@N%N8zJIVt^ZV_Z(xxzgX>SyIHOq5jh-LH->3|kwhfQN&I2FNV1<6IW5lYH>j zwHm3r@*Q(605a^}YdMorM|?pYvy3jsr%l$8x%G#u!~rm1QJyszar0>AiUx(_fW5Xh zUP=N4Uwt!ErSxWp5aN%r^y|*p-+_YG&*U3>f_PLLkuqUJr<11tKfc~ND$0fL8kX)J zn4v+CkPhh_6i{kJM7q0Mx(85NK)R$vq`Nzml4mH zuRZ&>L-rcDg;@>RB=lYt674v-RC}Vn{zZ7#+#uYm_7I#gG%AWz|4Bo~McL`?a+&QMJ*@AU>3C`Syz+!1?YpB<=|Yh*Cd3w_5-b) zRKRG|GhpFGA3lM{1S%OEw|vfDp-wtxiZ{!9<_^qnPqZY3>IUfi=3D3AI}Sj;i{ub& zq-I_fZT!AHbbq8PFZ+&PQDUj1?=khjH2@@dv&^uZNdM(&FjhbB3#<0oc93SW;@?O0 zk_E!c_(&fz>gyhvkH+P=Y!n?VI@d4i%XX99GV`cJ!XM6peZ-EnZ>PbYf)R720>^7M zrhb5tR>{)Bfx_0c-wrQ@Shx2+*`eCEEdb5rXgj{y>|yUvq2bkOu*Us4^*yQ2MXArP zhtK;84b55eF8~lu-P~t8z!SUFrx3rI(pGQPY&sje#mhSVpF6+RSHM73aQ64gkX{0^ z&h_z!jz&FO63zu#r?+gg*L*@*e(2RTU;f>*NJ>91ao?P z7zt8-Yz{B<(;NC*FJ?LX79i3E;Wm)v%zOezCi*IO>K1sk=FB^;VfM4~Ex)m`2|??n zByeLMay7TY&e7<{cFvH7=@X-~NaP>}(#DgTcn?;|F&afZ)`2f<~|054tp|Eskc8W zv+R|#C8K>M!@o*~6-(b32S*9CVxZW?w)!x`CJ!uf7NUQ>i1*$Df{xB|1TxJLv5iz3+JLITm;=hk6QO$(Z3M!-kpDkkfOquvZ3|O=;hdszyN5P5PbYMW|r~j_eo$o z0@@4-Jn@BYw`>Y)eug$G0JUm`+MbIHlqb@;L){qlHX7~@`+R5~QEl_yK%rn0Woya% zcw1NlxqY1z{UU2s3n>yDvEf%mt>+vH0r%$D05~G}_f4vkvT)+ZN%^Xz;vIj$TkIy* z#I;Se85DBZnnamv+BYg8CR_dT2lsHJ+kz~ z4wM(s5aIqrWu9r-vN4l1aExJrR;df0t|##BqoF&0P?2tZ7dMYC^4r8*U@`&*$Vv*Y ztw+C$o=A58)*XBpocC(^50LWkVYO6{i9w+B4{oezRN3DI}$K*5;Wd{Za*rp{hDczgfu_TjFlAFwcd!CvIL{{kFP=E&7$N6F2NuOyHit7{`fX_=&;a8-S7sG5W&IA zOutTL=84x1q7?pUU@^g7#Q1UlWm9qFhpZ`uL6ceV#Po+V$A9lklN66D7}FFplJU^T zgsmS|Tub8(-qMNB$yr)oQ*p7c&z(<05u>&3hV%ush`(m@xJLb)@N-UY$ z+);S1RD0(Mvy4fyG~XpRM=ac)`AkS+3FZF>v0Gf^B$^lIY&2CSWc~?n*Gv+X3>s^O zC|N);wz>?^P_{yV<01(fDI*-G!uw%QSwLZ#38r zX@$BE4#qAK03K`oTz~B65FtmZJIBz?WL@sFrw#!tJtRQ{=Qy;x6>g6)uv(}ujfFHy%0^!TP%HncvHVLaaiz4N6|xMdCF9XG#ON0#;75ER zfvoq9o(RS%nM4u#3o#^@oFRty@+k?bJc7@#HyNT<1g?hOZ+0aMs!st-JH#9g$9@ua zic}<8tMX1{GCfAH z7dg@|xFI6;6kd7M5)WJNHdl_HJikbla9Zd6VXEk;umNW&2J(A1}PCM?UgN~!bfElN)m|hzE_WMZ>IX)!{3g?m3xZQ|Z zBT~0tD`%P3(ULE}YlWME%LLI;3RgC|!FHRYgt)2Cmxs*r!OuxS1rl7p^?)ip0Y$6d z2~FQ6GEalz^tx7iW`9noaSo#x7>fW&Q`yV|M0f*^Dlo(0=$p#cY#KSUe};U3{ws07 zKh@+RiYOtBXr$2DAGVeB4b>kbAD>$@UoD{|s0W+q4X{sVL1!odt#aEeugTrPJ?bzb z8<%qP6qY}0r>a2mFp;*u+GU#XFp=RBiIUN!?S*9)z6(Y+eSqr($(s#V^jO+e!NFvHu^p^iposC8+OQ3*YmAu zG~@`L7CCeR8OYYhGOMaLN%p$ob52U8flswc8lj;^Q&L2^>pel@;n_@2dHK+ReEmHw zWi=Tu^!5mjtS!QefVsx-<8>)#=2S0vcd2#ljf)7b_7h=#(_0iQFIplDW!baLdcusu z!}&&mml8`?Ck}t4dM(}n{%u*>Gx*p0q}`i-z4o!|8sVmyV3u6nk&SS#co_7C3aNNK zsKP!mnW{C3VnP)Wb4@OCHN>1l%6w+hd=t#Je6i9y8kP|lNO}yG9S*u9M!VvM+W10< zU)-=Z?#lrw7~+B5sd5S?Rwme_GWA&Wzg|*3?MIZ<_@E_J_7`Bxu=~Yai26p(9e1V` zP88q$PQDTs+R@#?pb&8arC&iWsByioLem07b)GN6kf6g9F zwa29tjNtIx+F^=knKM6pYW>Qut+0Ga{N$>5adF8|TUYP88`gYfYqRTKKb`S6Or8G) zQ{FQw6S9ASb-3(e^~0S{2V44mpLCx^zuWDp%tH6& z5=+0`MECNQTD!%MGtW@m{kp|G&RL5Z_qo8X%ui4-XBLo?foMaP_@!6F`HNx;%U4wA zJ>AQFz{IY?OyMU!ELhD$i=@cf*5LNIMdjSUj_wWF?UK(;v9(e$(ckj7RWtJG&D>tK zf^+zPy?1Vife4@047c4W^9K6$Z8Ad~PeN%@4sY8Cf4{|vv!IOo9u%?-0dWr+@vy4M z6q0cn$-2^l{rzB2RD$-vsxUD-vVe{CWo{>LTrW7HLoLN|EyaY&ZL7Dd7s{myF7r-@qA6<_B-01|wo)XlkN@$Sj%9wA|y2_z*Go${z+w&cw7b`l9a) z%mzQzA0>Xg{=VhPK5Lp=J=n_MiKGoBMMA7Q059*x7|E*6AY`1+VyAw%AW&~{j)jh+V=rpU-7t#H2bf_Ojtq}&3lN~gm zt@K<*FB1v$l@75Togp^qNDvFuCAZMGc_`r_MBIvzf6lom!*ux`bW1i72-4w7>}C(b zDA#(2;ixW+vGN6HWsh9Sci?{2->0D6+U1+ca2KX&AVW*%XI%V`FF&?oi~S1vBp_8d zECJrV9qqfclYCdMH#^rS^ve!42Qw9~uQv1fM$k-TpZ>y~+~miHrxP~^x=>;<3sUMA znx>w=y6f1xJhx0xljkPY&9#$nIJOk0C~A4la<^h!K6CcaobmwfiKlR}nOvjR9+y+m zj~aQ^{CngD2=HIHf6&8@uL%hgpqPFZFAwd*qoI$hT_FyFZ=#?`APv1J6w&~k-_Ah| zxt2H{O}TXVI58|;>7;6jOB!M_qlZy)jP_fn=dmqJL}%L*3Msjfu^>#>g~~r5x$w%7)-2h)ipj_B4S3W0|G$jm0RtiAp})%aDu2k)|rij#oN~5cLeARaw+#FozNp7AgMhqY%lm;6iKVKCC>l!_~E1p}M z39)W^?!9q3*yXKMtbG~rHBQI$=7vCdi^thV92K|$2rNZV0worwmT0QVd|)OnnjUce zx0+%=(xn>ABBV1vCqmp4NHwGKvMaNf_QS%J;h*c-^f7 ze9rbAr@lXEcmtcTOP6qL%Y!y80@zOpyWbRb@4sQu?nv^g;^YnVThc#`#Llu+^KF~z zMP|z8HsAJ4M})JTy`}uS)a9`WaXilV9x2bcGl%X!`<;7)OeAuy2RGvLpfS+U7N4uzrZ{O&q^-qqa5dKg>_>=2^zV zflagZ56kSwa6yYS{h`OhTJ!Q9^(4jQ#6;8aGcCun0DgnY6}LzFIg1IhG5a9jFYnhD zSHAEW$j#dYwrg*SKSC>P%Ts~-S};JiO_*{bL6a8{ zzybr`V!V7cqKYFgJ80xcj*P&?2jYUfEXH{DGSwG~h=su%#_76FalH=C?t87>V-k&n zXw)9H!+E#_K0b6*XHUz=VCY+Q=rJl+b`W%}rN9sBfn{E_;iDt9I-jL6#D{hAcJrLTHGj?RbXD|ZdehGZw4rV4d1KXJ-sz<(hC-5rw%G4+ z#B-W^PeVkQ)`rQ{JT$?hXkf16)oGv+HlZ@10*ZMgHi-5RDAR%2TBExTkQ~7)-nt z*+#p_@;(-@J4T*3BkHyX3R?0MymHx}q#k;>OuC|&h*~ogK7m&VnO?i-HF37dE{Vhw zpklI2iK(D78v(Op^g8HF3`|e60>ULSfy}y@ZyODZtUkawGW3D2W6Q1~CK(A8Ip4>N znb*%L8bui^%Mw!BV#^?_Y_H!?_#G-^pNBut$^lrd01yu9S$9A$r}bxL^Z2?Wz1Vji z3SrDXbiG%2**f~ifl@%fER(}U0xF&?j$)Tjc%pRKG8IZ^#t@ivw zX-`g9ySR9huT-9#fa{MCsk~4Dryn78;0(p?u`pZAGn3@F1omT}t!8JN+EZ2C;Ofzs z7|Z1FB1{n9&Njst`Z4VCN`cH#r{|!kC~Js=!qmhU$0X5%+Kq^=v8c6BnFt`++bAu; zhXZ=UNS6_1Z`g%_^8BaDkMA$vzf|lWArvz6lR_dKw%5UjLIbyapFKt*oamp*8jicB=lFDg%rAJviwLzk^QA7qS&W~b*@euFc_x#9iM}Rso-T@$ zJq{C(x^9QP6$3|Ewq1^diT8$Id$U7AAM#D&89GGCdaL-=6T8Z)WzB4%ngfmOs38S+ z1&Az{E+^PyD7g@|#wXQ=_)Up}LX}Vv)z>3sG~AdOr8dO?%Jl@#ekj?Z#91 zU{}Do*6^9j#K>Ylbu)3EV!HMqy=BBrV7GQKqt3(k7u`E+=zt}JL)%?qckbZE?t+Y$ z4G_1^WaD34p4ob%i8ronG^YP~#f=73fei%Rcj(@|h)Az#-oeu9**Gk)^^%&H8AJUW z^s00pLGOGfw@&)6*JQh0S-9qH&HlGin3u6g;a zO#U-cqu>(*ZujFW?w>l!L4V>Y2NmR5PKq-sR#(xknfe&5Qp0lbz%(g&I7I*bSv8}!+W;D(HZ$*4IS zf$8Ts@Y#YbZ3Fm#0bEZ?DFB}>=LUv+f@wxc5DTLt(446(kg_He4@@M7vLe8zSPkJW z!gZBuR?^q6-N;z3geenPEnjRUh>!1vfthu>I+V0R-!?4fWp$l>!h;N>)hv{D)0?1< z<*l;_G=r9AzZBBVdgu~#55(E;T4BfxDr=)chEGX-UUkKL@7T!k%qB>pY1;PIQL&JV z776XpukE5axXQPelQ3n!OQ$3O2mOQ`RT5^{3EI^E)UDh23E8!dASuEIO%E7H8`i3R z=kZJd^nGIKxRA$#7%6r3Yqx}mgFxJ2?h*U+T>h0qR}yCW3{HdWp$IA2r8wd45=RGg zVMer4N6)C>JVsP5zS-cTC9$IXnvG{8=5VXMA&Ekq8t`2!AsIsGUoRtteF({KSC6NM>z;@m)?WjRb#(Vq2Z2N%v&f7K4F{G&839Pf+-AL!4);yUK^%8cp-;I;PL z(JcragNY&`$pCnk+YwObG z4ncpmdd~u*J=3n8l)tvni-=nmP73>=F;5adxF?0dx9Q2Oe>D5{ACU`L-3hrda0} zZ^{14*Z#}*ME<2kM*IwA_Txi-THnsngcxMdtt-ZT_}s<%w5K$tX02Rz8$jIEAk(GK zy&QY)^*iQ3l3;v49;Ikoon9JYfEfv4^Ny!Zr zmr|@D^JKOs@vhTjApkso=wD?52)LT1&c;sx5h22!1reU7nA$~0RVbmKC*0lFhwXhh zHzQ=7Te!s!T+)gj?$KP&3UvOA3jqu_Vz03IxZrZ8_*Pp}-No|T4%Ly}Z9mv%Pt|cc z;-3rIf4x7zn^y9Su%rn=)T)0_Z^F;<1WQfrxxRirlXEG0kiUgL#`_=0_`gpkL_{U+ ztWZgfLegJ>1!NC_4-XFR%Mkn@=-_yKP%rwMXULs|6Z(y~%{l?P#dI`S*{V_!Azu)t zzOOIGRO_+gdsi(;;a?x#1sUK=wc!}naFQb;gSqt}G(*l1zA7 zH(Wvh5&Oy+n^(Q3Uu4Hdi~#66C%2V^j_u zK`}~Z#NS?BnTbRYAuX7!7B3MSuwSL53PfaL%m|oRS!z9z_SyqDPcbnVSZNHtN8MsWmkHbb zg2VG9??!M<9RWhit(<@}*+`=qU@tD5+RA5`flnG+5J)&q$`EEzfCOg;Zez63dKI?l ztFLqP9!albnjZWE0ZafGP>x^1uZ7~CF6fsDLV*g&+1M%%Wx|vwWw0>)mPz>3@2?hb zH_UEa_nh<%69p7rEUa1&7K~tMN)yiAjmaC?L$^Q9#x-C^q_c4=U$%HA?z}rOrV!HZ z`c;d$pB!p;+%SsWam+Q-%1izs_mlYWud10JRoy=#zBtOqT|!(wJ?tKhru@G0lEm=y z-NB}7QQ1^su!)v{v)e$Z0fMVZ7b>TynD5k) zWFJgaFz{C?f_&JiVw!mqTUmmoG*D{N(NAN>~C z6$OK9#jG9&>@b*}{GehmGVDG?_>ls7yPZFw3o=Nf!;TWnb`@tRfQxL884@Q~#WmO<89^OEofnJhbn>n_;QkIW9+0?zaYg793{uY?`AhQ#jL}G7a zEWXFqU<^55a=PYBG8d5bIF>NxEJE}_Y_klDEE&*O zyNmy$wXSzuGR+@f$5(Ryh$tUG1~F=x>aj&M{gGITZ@N~v^*m@Ii7%@B!#*b(`l0F6 ztuVX+-o4#f8@>#oBJ1F2Tzju6K&tKZ@3rjj*EQLP;kiQH^r`xGnZx??tA?X~+cx2a zqk8f>*7Vvl$IJeO`-`LI#_6F%+m=2Mla^1~l@% z_ON%J+y^Z9FwP&=oqHo2P8fgCI$ZmZfqxkzel69o9mip7si}1nBD1nv>-d)vYg2dB z@7g`HFkZMWWU(RsurGX=iRY7@1^5gulM1NAioJ4f9w=)@0JZCXHP7+-=`ge~ATEim zM^c4~HJ5~R8eqr)z=_pKC?$DHH}O6IB>lb>eQU5S=mn}jIytv%rk75=Mx1F)za`l~ z?C&0x=dV9Ky^P~-VDkSEUNi8L0FkUnb!o!l&{7$Vrl*Ac1(+s#V~$kki|IV6@zY2e z^hGqW+o9TFD$lBmy>^r{kD zOw_;B@Sl0_r*J*{)h+=l!+FWgyQqPLXeKE>qK8d)Ou|{LMKLs$@rklVBl$IXe+v|B zAde6r;_>nRm;Z6SLJQRLM@R44Vh~?(O~30L+4`Rl=B`Cr<89w4WIY0g*S)rfF%=h<&_5bwoKb>G)?~pQs!it?00J5kj{yat zhcty#Mj~@cMk|B!oD6#uVjEU7Go{jrvUQ*CYnN~RetGC0F92JjK@=H!ivlXB&W)FK z>-hV!*9TPeQbYQYd_0koK%$K|0^UU(XP+F6Da1dtZgoCaWK*&KJsQ^iWoZ>ldDFvb zH@11~YgUYP&3o&}F+V6jS4;#JNT}P;5-S&IUYEIEZHEyY###XF*C$f`O?*2LE>?0S z!wBDVHJk7_W7TnojFPSiLZ^A2N-Thn16!m^fxNiIMV)fBRkE2{J{`dGBwyr#%wjAg zPyc0Nk&MNAEuj}-`G36Gg=?r7ufo>@u?T@Q%6*EvZX)D z29tsPxZiqx+d5ydlBSf@l+XZ|Nem32J3h0;7O~||e-JhnGUJmJPbzRIaa~eH0B)I; zwOE$<=HUC{E9YLBgta^+kJebNgkrZJx)&s7URUFDr$LG(Q-7e)!5`T_dCnP}I}R}J zyV4WMk5s7pjPTvPJ*6lT^k){PG2G~?uIhZ-MmvKW1;3C}U=bfHh_?ouzVbytM>93$ z0<2zsTcq!l==cTD)0;R;bjbqs8}Rt%4__d{dxzRkvxoL~SP7jickvpGf|P;@j(hvO6aa zq@@Azb$WdNa-;FG-qy38Km6m6#o`TwifWacTO{zl3GpH8={4Fb6~ya&>5F2;<~EI2 zptqkcsRbE|3z*`26Li%&5aT+ZQL)GUres~3jse;cT%?nY!yb;r#r-;S3?{&)7Tw76 zmr3A{|4O(2*P_mw#R1_5(q_B%^_mUWVIt~CO|hd=oCVI03yygA_W*idUBQd`Z-z44 zFLyV@7AnuDt!06dY{onZ{gb}U`m)}l8uvRt7q`!az*x}7hdb9%!}Osw`tgMnMEK5N zpQ8Qlq`k=gCnfV{KX!$yeerv#hGSxY2+$2&++N)r2K$^A+3=3JkbAJ#gQ~qw$p7n3 z_J6L!#u{-I0s7&?Gc#be3hf+a#f%u70At6LAvaTbzvpSCN)D}OEg|ZM73c@ zRldM6mAbzO(TDin_lt~q>r#6{F;Cnsb2FN$6QKx9tF^hxgB$q>H1VN38jx>Pu-A)F zcg046JWJ2W>sP6&vy0&r$bRx#_1ayaXVN;6Mnjyy>A9ep|KakRtf6Zzcu-BiU} zTUE&hQ=2Jm4w2)}0*K?Mph5d(BT!9rHfhv%fwTvz{qaiY0@VkrhO^*?#*KE~DqJ!+dShRs(Ro*?p`7H3Uh24bilR}Jd)Hzkx1ncWjl_ON#y7D>FS{pSMk@Cl?l5sXT*m_-3f=W5$_zZ~Rxy zGc|vw!Jz1iz3r&ajJjp)+Ds&t-h}q`8nBXi99p}~hIjLOZ15Kx z)M0IJI!E8W5Yv5A?=b&!0-YTcIMgKbf)4Hk;$8uYyd)e7e@oEf9Hc-xI_#*sf-$v} zu)!s!8xRvfMBw2HO#CF-r-;iI>X&2{eY<5>u(Jx50;ue{9!otqESwY4Z)2{H(AoKl zJrM8|Y)%{@p+Ojoz8|(A#DYnXp90Mo0B`ggk$@Km1j_v6v# zERGRtIoWMZw99EA+jz$RO|__)ym1bF*VFMOIJM~s{HI~>Vaq8mYHscy@fYy>EoN+a z1zK_5Fq(9!sH`s=)9auG{V$5Pwi#g{>d=F8FS;5YOh_clw_AsdC~1Pypwkh#e;D6~a+1|B%f_ zc=6$eg?OE3D{?)aUOs&{8}CJ9rn$g+?HxTFECl$3k#P7A&%5XwZOjv*a%oedEc~S~ z80ZuJ7{HOT=pYo70i#(E2xVtqPP~DG2?9j@&~HpxM^fdf+5|eLSCKyDpBBdhUMZ zI21>381jiBG6YJ;I{>cTC$D7SX_dVZ`tkwdV6TsHgGbpq@vtPUoeluKc4UPq&izrk zR|%u_L9G?P&i%KI(`;*NmH(H=`=L<@z;~z|?{d)%3=9Bs@6rWdXPHwS{zBc}jO$&p z&2B|vL>`cYxFZ0jLqvE`Feet`cR`H6uv(<|EYXZ@hTJ>gAH1Vx5SV}|3Tn9H#$Yuy z6r9_z)y2O8G~8-}HMSc*Da{|7-wya(Dy8y|N@DTw@LaZjwB5--N&R15(qEfv(->+V z*82Ggh?t?LDV{288%5TD1G8eBB)ROnTH*6S3oI3M1k5c`XC#oardE&k;zq5dL}`e7 z4t8wJa-7`ZZ(>(szt!)^Z&AD}F`LO+3#3I-3MU9MB3j0giG?5}Q`ljKYYvBP_}+Yh z)Fy6|bP1fv@c3SbRN7?UAgSlHU?T;}KnZ+V45U$>pU$PPV2pdeMn!fBLS!l@d^cZ< zM>pVfnc9m5?2tOsW)k!vp98ia>uEFmgy%_Yd?R*sD}Joswc19kd+1Sibm~8vQGS@DJW+q`0Kfz))oy zdaItg*W|N`*yKs~gDV2mzP-vbJ|0l&ne0vFSMKl2a_D&mh?!xFLVdRC; zwg$L}ba|T_1bLwd#Kt5ym?Yrm7EKt$0>r-{fr`9kQjaPtz%2}in3FH6n>b!xZ(p+~$qSP` zOs)+ftck)G5cKVW<9$yA^>#~q-qvF?*22@t;+ zib(H5LO~9*2mBR;$O0vXm|nsRf0_-^t{FdO*mmQBq-F3)uA}x?>?lbvMGCc4=yQlO zhA3rhYJhigs`u&+!T`#M0^WUMe+)TemES7y1{sGva~F$;qNq%~uFtJyLcf!sGde}L z(Jb=KiJ5WSlSlF>a3p*{P@FT2+eWT4~!PKpKk9Zw60=uviNp4b6~E7+jn z!@g(n2A(ElL?eMj%A(<{cTa<^9IMA%>OPt4J8m=mufnai;^OEQPtDaz`i?`vYsW$F zE~29l%i{k4??3*pqT+F!=0hhfudy|4+mE6+07$uONCn)v?t~Ycd-+>G`n@y_rM~_) z_Pkt4Z=bzwLs4J6zZcW;T3f3zn!tA1OIg>YZG!m#euNglmr%P?4)oUSM4x9%UZWgb z-1|`Pyq>{pTz?~SFr~EhdS?3j|01ED9z?L$FIxn zscE#M`N;Bt69 z^Qw1Rg8&6{r1WlXqA4PI{+h+wc&hMIS67$aO=R;z;d%w-|09h2rH|(k6Ik=IJ=&adGb&gJxP~C73;IZZ@IwueS9V8 z#*L27a=Iya-@@-qV@KNv_{mAB2A)c_>$eowULr_DARyRl-S~sA#kLU|`P#$4n0h^W znTyD|3_U|FHW6qNN>kk#89CT{UW%FN5BztMVoXo)mh&5I|25dR_rh9_J>0;O0z>S*RC^N& zCXVtZ5e)L)j{C=QlSN<*G7wQOHE$`XB;_ znXmBP31pHEE(eM&WnvSL+pi(F1_E7y8Fm6KdOiqnD3w4XtH zD69VCNzU^)>^IS){!66o%&14o$wi4AQ+{KyYAWQZrX1@Vi14Cc1_~@wCZvA;{YNw3 zik--wMn(BS5ix4N?nz3}-tYzPR$+p=Hi$huD<$-|TR0|AQNfjrk;r^b0}7~AvGyob ztnmea5g`aO=cT7e#^Bb|r=)xbf@%OuCsE*4(=Q|goN%bPmhVxq7-g8=+lClS3q`e0+BRAqvGv#uZ$nP18{$VBt~5YKa7Ipd|BcbBMNU zdx``{JE+4VVH9z=nDhMk9Pq5szLCVl(npuZw9e$j(An8e6lcTs@7~Offfb=<`IuZRZr_C5cPV({_`_=fm z;AHIRZ;vRS5~9}LZ_4p{fgJgr}^1KDpk_6aTbBeKDy}%9_d=2sj9{BAeyBP5{7M=6qD%Dk5JRkaj^mI$hX&VKn zk#O5C;raV28(_Cwc;U9&b}8JpHvduFt!Zwi{y29vqL}>WA7QuZ?cRn)Le>85m7*& zA+=#fRM)fEs3$cR;g&;oAp8FIT!x=RP4SsNx=L!al5k{_aSK$ApBSoYc^Zq*Vrf}2 zWESLBdF7ZXy(3mA))nb>3%CPl>%uT%3dtPI(D#*x5<% zi+7L#TR{&T!XGO3TJ>Dr?e$Q@I)WsPVZzX6Br^!8&YXC@#1;$1(~f=aHr{Mcq5jpC zlu(^hT@33~aOG1#LJ$gDQe0B87mv;YF((u}#H}OYPyPG&=%IU0Fp*&1*iUr&JWvaG zwKcK#D-XN4t~Up8A3f@ZyBi6KrB(-0#w;dgWbLWFp(h}|YrOMccoV{A6u~;_)Cu~r zQF7@t@b`$A0l?rPDzgEUESRI3t3dBr>-LfG7hDhi}$G^nljq z@h5GVuP46qm`IXVp@N3Zc-j2|#VihMi`oZA?bd2qF@n(nZk%PSwl(#xu!b7s2!uu4hM{am6}^oIGh z*M%1{=&SvzJb=Y-wDXN&g{JspqAy?k$f2A`I~CtjYP_9MoSF5Cn4J5$gMw*Zukkag zilv?pl|{>(Dtv}X5_ONXwJmU6)^reI{T4(WW2iEbQq3A``A2diMU{^!GZe)A2G|55 z%?HjorkRhEV}UL(#=>PI)~34}Eevfry;!qK5e8yc+DgcPqB zxjdXmpe4lfxz|KmK{pOm^)7vTttrpCIt@^73r)v{6T$^D>sO{JCs8o>4wvc=x{dLFy zswl&G(;z>R5m!6}W6WFFbD)_;&&bMh>$rfPn*`zWX59c!#0+TDr?1Ht?BDrMU;AAn zN-wbzGI~|VSWo?`C_Ft7s0UJiwRI(?rnT7>D`dXWe$aAVLc~dau?WOCt{>g5H9iJ} zSDCb{LBUbfWa~>1CLnq{c7zk#2%)(hnpNo(p!Hf$BxkD^c|CB{l;%(5<+^SXA<^bg z8uI3?#q;3vd3gKBg#fw>n7uDlY3Oxr)Cq(MAkzULZrCOTqjFniIYE+*+(kTkk`<>1 zwtmXssi;4XwRlC$zT0ujZ#>rCq`Qob5hYI78(*aNvQ9B8{Zf(s%-{3pD{mmg44 zICQ@*1)lc?191it_E@jC=i*qzxJvSRt`3NFL@^ml(VsBn_vXX@P{v>rMZ8T+(IQ|P z4IQX-_w_FNSMDu%mhsT?`X=~4g!0KV2^y+a*T&0UCbAMFEk%PBi4I>N`0;c`mH3zuTOm6Y z!iM3Yvt#?wNQhz^Rz#HosWWKcz{yeg;LwR>8KKoUyP_F42xGWnT_kyMuC>h%cTaCV zf&l7mU1f_o#xuCWVQYBhQpF(IrzWVE35OUq4^@#5PH?G`eXZT%HR&{vq$|`R?i7*fSLgz zI(S@?9^9WW$z35MiVxW@C|fZ~{B1zD>Sxe&a}flbU^fvJnHmOihfwWyKUoU&a>G7d z`?Cv3F@sl%G@!y@qJL(}I z=-D6jMA`WITtGJrfOm&MuU8bJnu@<`F^|%}CyTw(OubGy#k>;VBF%r;c`Y_$KGWUw z#vvo3ykbfH=ZJrcOAK05MqmY-d4i=kTl*XeCecq$luiI~BTq=iD^0>${7EKq^bs%> zK4mLvBMGR{wg-;m8vrgrXl)Dr&l2epR&AM~N~Fx7N}-?|DeP6~=>`%us7y=uCWCo5 zkus*t5fncd@oXRp&;_QHIL@U3Q@aD688MSqN;=w7U=_pd&ZQp`hO+D8ljq1Fp(l`? z^&JGven~p9t;7>5-Ja4fHonk})t&%$(fT)H{fG6dsC}ZEthU+jGfKvcw~kF3^!lBV zF{^4u3kRg!UtdSkK*7+grSH-QWB7keO%ieqQU(_jRf5w7Gg39JsIBb(yvuSP=664* z)=Kf}UJi88&8p)R`s^u1nvk@7aUu$f1HWfW_dAEIpk$r7rJw?AhIbRUD}1CECcEQGmXW-!3(n~kk3o9+=X zy4}1muZos3|pmVM+uyWt0KTH_~{R&@Z$IgGHCe5K2bup+2Ri< z+4@dvXyCqJBP*8FrT*YUocRmji`IqReeIyXUae ziJ2KaC13vl6ImZa=OjpUfRP}?RL^_+nGONs!7!xNAQmTJNEm#D7g%PmajAm z#}D@}=4KmF735Y7vuc1Mf*tpIuwg*@Roe&q!=XPo>St)WwP;FS%Z6OMyd|_JmZl7k zZrAC~CxB>ufZ%!5>$9LZq3)RUA6|^u-e$?39r3rXs)YG5L(AW3G6et6cXBy~L!Ucw z+jbf8^G^z;yBwaaCr99eoAUop+G^6e2UPG}&m4*#+#5FB=5Ux>zAAE?bWTW4wtZx( z6^V~yW0&2ozj}4&3g256P%d29AI{fLC#n8t-baFHG7V$%qJGA)ep}$S( zjRW7JNP=iXo}(8Klzpe`dy<)7PD&%UsJTdo{bl-qrDbq!al+}<28BJ_{ zYSECD9EQ^~AN#ow$|Te6LbRH3*D}bb=TU0hzuMH~d?%jmbGu9>hnd1;s`pMsh7*Tf z166Y{TO=}@mGq!L0`6`~n$F%(iPf`5AZctv3n1+J$IOn-H3ngNP`vsek#{!`%I$VNpLu4K`D#+; zXXI^uif%WF#$T?Am^CYQ?|ynY%|Z=%k3`7g(~ji~OUsIpoBVd<6^bL%n3qRAN%8vL z9|Eqf);14nrlreT%!ov2yZ$2GVZN&}ns?X`-~K}bTj3w0j6s`cv!7B&3XL~ag_$!- zGT-iW{6qx-gF(PFy~_s#%!zHj{#y>g>g-4>c7cQx4`kX1NvIe#It&8#Ef$3^@e1fa zvp^(1Ig%W33j=lSp}&#cQ&u=2g7vsM6k0^Jk)a+V9A`#C2jYcxR_Y2&jxw|jYYZ-s zizY??4_|K`7InLJ{nIc*Ge}D+A&r#e&`6GeN{4iJNyh*R(%m2+h=g>fbV_%3cgOGR zz4!gx`+0x+egE-LJPw^>;+peX=USf?&g}9 zvvK0>cMr$kJ)et^C->}l3zZMF)lNjrCL$(y_=G4Dgs^e34fQ{gd9AK)kyFKdw4y+q zFYP+{sht_}!x~`F7KJcitjPgAWP)B}qwc1DbXdeitz9O;tkZR#!$c4t_!3+%iKmPbtomnEof zttQ`ZyAOGTDL)Rx{a>Zm6b}hX5eJHlhq=WIPnvDSMq<&&q#DnmgLJ@^NctzgP>;kI6z!D%jE^G2I@a);WV*PD8bRQ+F>1auI$$HzNr@58jmL+L?>4tL+>FeEGkIkE1{2#@+6>%*p1z`@g z{tjwVpDa2-bdqlrcP!$fS~@p-I!I^l ze*G+43e-whg0mr9~x!qkpQ$q9%*63|O{$SHUpGQNl7x2fyIdR*?A> z{`-L?xd4cW**7RWHcI9>-MP!od*W>NU7=9`c{mk7`8$NL4$L+X4i(oT^J{|y<8;7i z==3XA0g2J#bjENF`z{F;d`)fe8IGYt{Uu!0pFp#wFFSCftkZKs>De~K(jj16MQd5V^4t=2Srrx*Eg!$HUlQq=vO>1c2c-KLm6tFx?pq^0 z+0dDb6*pm^-IB?bo+&ZXW@F30wn9zP+#VlrHmI^M4=>c)oh^9S|3ZLsD|FF|184>n zl8=;N14dw8byS4=wOwsA*F&=g;J4)G5;t6(XEHYmH?b7EWD#F3Dy_e2L9;M%JNY)k zz1b#{(MX+a`psXV&{qLt8iZ4)Q04x7JEP7Lufg=`r zQ&SFZfzMgLIkCQc`Cw;+0tkkVIls;SBRpU9r!ui9F7jXZ_Lh#Qmb*6Ehm(Meo$20# zRW=Ve&VLj0m0!H++W3MBHlM#)h5nx{vN${DzyKD4LJFL0B*kdV!LYk*ifxC z&on-$rTfUG5EgOq&w5|q$$o+5Ummg+Y5Jqe5+CH|EBWSzsB&#*UA_Ae;^X09 z5u;HSWkJmBKjasl41fysk2ned4v()GM2r3rN1yt(6_zyOoSvTU2M@!UZ2xwi84~|%B%kMpOAAdpI z{0^>H(q1@Ob5SseAVQ9tM;$bJ;Pt8^gVtz*KD}sr1r53C~Ne{SnhU{pXi5(O( z0zPljta|t!o^l`uaVumbX;Mod_j78SaY%f`$rvUKpy)NR&w>)O#ZuziGPr72vF}w} z_<7C53AL1p(7dX9hKD=-4G7*l5CyKeE|K_^ZnGduE7n$8)G?BwN> zH@M}25FEEePTA!(S<&`Dx&TyQH^V6evje&Ziz6?oN8n(6UD;)Q6h6TBrzjD&s=Xja7*m^?jB*?4|LEfyG-HDfUL z=Ibs3GTF#Eq6N>I1nzW;#Ke&7Q@5If@1)v4Eh*mbRT+zCDh>{f5#~IYBk9NiX)HF> zZQj*|kQ%+c89+r$O)<>3GqAzwy4Y|3iHr`Y(Vqg)?eCVvBs*x4Z7TZ8o?m$BOxs}+|N(k z%9VM54B78J_h>e;7{vaJ~+G!>N3sa z3m9 zpGA7@(}7ArBOh2srn)XHW*>9RxPB(ImxX)qKZ~b2bwBZ&h+3bMg%mB^?;Suo%ZfAq zt@JLBk$gFQDsT$cAR2ycPh&qqs{~M%y<&P;&}G()dxCHZ!ReTV3-acVbdO(E?nnPm zJU60Z=6lfIY&Dg#*M{TA@w>X&`=Q56pI_|F=Lr+S^Cro_Tk60X8@RC~7&H6{+j@Tt z#-(b7q@SE!kZ+9uCFWIBYbJ=`$2;Xm6n3wk(7#vsXBUEY?V;>@5gK26!uqsh0TdMD5sy%J@lE%*S2 zW(jE>P{XY#Kz6@mNypI`2*X~>eK8Pk{ggju;XDR{(b?5y> z)HJ_TS1KsIykvYSNgS4Cx+MPH3x;14`M{HuQ9##C(jBsgUM0^JMtCFgBmx`B53Agt z7}&uu{NP|#H=sXKd`cbD2OxqXvkLG7^C9oH2tN+MkHl5^L<%z+sxWde0otod+eWHX zy+dgM2?96nY0BhJP?SH~zOF%4#y$cLlj)B_5)p-Sd<`r_q5*Uwfr>+VjOmTVI5`PdeMH2NvYQ-D(|iq&#m`e?N*JMI+2 z2b}eGZJp%DSD4Qo5qEW~dUJE$Hmy6nv-=~UvS)LhgpwZLUQBZDJ)kda=CMtGDbT5} zO>bHwP&i!p^0R>H3>Q1gRv+O$AV5e#@1dJsGyNBQA*1f6vIY6ym-7F0C=m1dm3ZFs z&Rw&+URu$lpIyoPS?&~@?%O5=4P|6>-HP6A7nck=rXN2Oy3+(sC`uMzAUxnQcacWr zQfUtS;7^aip3u+bv?uz-K&V<^N-Vd-;WiPTT^Il@+<)otZ=8QX^zU^(PM3@nFS zVzflkfVWm(SqxxvwGwR%2*z1{t?XrTi|u4C2ds;59m)~lhh9VV$I-;irA1HPWO6*6 zjd+|?K3Yj=EGuHE=lOj$M(BOj=(F?xNUs`07~?>UVX#lMvCM&l@9^GQ-qrOw$630E z3*HM=q9SjTK9Jz$JfkoR|Mt4oaU{q~Nhk~}f0&6|*Jz1WoOt`Ce*~7MEU-QR*s#+0?eH@JRmiX566qt*%OB%QlUvSD3>wjz zb!C>uXr^&>(Gay5kcfi}x}gS3=qeDk#J*NcWH*#2K{P_*pq|&=>nlh|nB^L1n7e1% z^s&XWVS;rMMLT5VZeA39`cUU(g+ivyA@#n8 zzc?xK0QVFAaIYKJZcV_ib{o+ZXUBNIRFcl=kj0lVH`NfB8*Y0b$`h4ZS96dIDR6{F z2C>3OB;aVPPOevh+j3NBSh;a$fT5O4X^O80@F>_KRdV27X^bJicvU>z%E6e)!`WS4 z%0Y8YL^>qHOH7^lutp8XS}Dz-kOpvo)CUmn<=@PY{pEZL9*VVFbNaN~ZraNz?HpUUq{8LA zQd^@WU&aL}@;>dn$YApNJ2@!Lbq>~gw3hrPHS$T1N6)S24<2;IS>zunTM0xU@u}Ao$K= zM}r+4D-p!ySMbtp?d?lI!*mNH9I<12hAb;LfmPyzxkH!?Jq6me_QZzNF+XZjC)(NI z*jW3u#RNir;K}N+VV|rkINvATX>S+1EN`qH656$%ZvJ_`%MEzPQ}Wi`H7u zIM=InA@#L&?qLP}BLBWaCd|7dv!=!uh@7gua+=hY2FPk@!wAc|C|CBDd9Oq91Lb{6WG8|DW_0u$h-(?TJZJ}!qyy=!u^$ABq&&QB5D%NL z<0qtbyVQrgZveY!uufw*Q=RC}zY!|?7VXMzbIxun=Mg&=DZADHH0qqb>g4K-%K34v zkb^ewv+GEE8uqAugNU7cOTi+D{3EY18EaoNAy>Jsgg*Y!5=Au+i;(78IWZoEA_eu|m{`LDCL84`IS0?;gpgfFXZkRKUT z81h}sLItuji3RwAzmhP#M9AVan#K z#@1di8yodKM~MTs<@-}-3y2dr2Noc?po%Xp$>d#Nx?im^td*&3I(B1Wwb11Z6PA?V zo&#vs4PR{{ETRpE{B?(X({la%NQ8E)_({<;%q;uuOE+e`IdxO~a50&nuV!i3ThFFq z5ShrUUYGArU~M^Cqf8PkT?=|KU7&tg8}=(F>$K!8YDn1QP;B(CgM)HSm^UsmTIv(@ z+dKfHG$k5Xo?nwTwG;peNqY6MD*CoL4e|CxM>S2=2os^Zk|ho!!DBe(fN2s%!w(e* zJU5Y$8NGpt_7b?%5&)w@CLHWO&=bsY7)KWXd56kigxtUNN`+yyFEedo47*R}mduq# z)oh-f6e;h z1E^nkyoTFS(-V16DggqA+5B}b2Il$;B6*&IKAt&uD|~dNC-zHfpZZ!=pHri;V|#A9 z+js9)#%s&%e+|tN1Dn@GVn+3$g+Vsje1pj!&2-p1y{C6X3RjC#|HMhRp7uzYml@Ux z=3_$GQbmVgG|PGnxKy3MeY{r0`-qyhuEq52TtEg*&)8C+ERcZx{hJ?vU=Xp$7b8P{ z^?RDw+6Xi$a1vDaTU<+tkyTm>fR#xjWG0;l*wO`bhbgVjD0!!QK{dqXdX`NtFEL<@ zd~*IjK(YYpHE4C~*QBq3!{U0AV#kH!_Nt4|&n;bs-Rd_0cHCFIunwK&(R6u~9VL;A zTQC%;{!}DQOqaH?!}EqVQ$`YM;NB_*bzd<7F?_g_MCWZ(&+iGFAkR@!U<1Zyqm3@| z8Ng?xGC-Xv8nw05u2)SPq~7gjx>CbPHg1SDGSZbUFy1o&6FM zDu0@S${F_hKCBs<70QJM&ec0Ujv_qk{MrNNHyE8w%2UsF%qi~@8O@s|W*Ih%_h79V5Rora=u<1|yz!7JF4?!VaV?2E_{FKq;c*yQgfVVSTuQwRdW((dJox1Y-t+(tRB5cz{@hhif^AGJuQ$&@{Uf)?O~KHmRj0Cd+gJO0 zL(1Rf7ar54NO0zF_49sh?J^Ooqty@A%5{^5l3Q?I#!>OCSX&&Kf9pf5BVmf1H5Y?u}r2WCPx8>3lru5TophS zX#HeOF?)#!U%Y+5J^K8)(bqXI53W3<&WJT}u9_q1smAcnw#ik8UB~?2qYgJ!>ltxtFkJ3)ZhsxgR=f zl9FPC^w>(Z!yw5+g@I3_7NJAqDWB>OWvo>jteg!TGtkQIUN?MBggr@>pesXeD2EYVa8nkhA zc|#T0f)#jC+VDtUa4W#F+!cA^1YUvAqRQJ<%B6z@fH!$|l2tD)(X*6+xB0&eBlig- zo@^f2h;FVLkN#@Pnhu}Kdrs9K%vl!KhBnG3&XuIF7(zL78SVVCPsv8|i@x}q)BM56 zZ+5rJ%kv(%Txu8dedqHXwBhf1`BmsMOT)g#$pK{Kj1T!7itm2a2VmmQRLorlb|A$E zxnz&=eR5btnaphhQTKm6F!iI3P5#UyL2>G@9g0;;#0lG?=|{s5M`yz7zi|0xD$B7= zPDJ@b5|EL3zN0c%WN73^>ZvxK>+}4Q$DgGUyzdxdQ%0{S6|pXkf5 z>3TH#+Y2By*Zv*}EZlO5n)%E#TCN6*RbeorK-IcDJ)WXvwOraE-8H;wBar2OAe~P; zb2xo+T~i!q#UYR-0Xt-m8t7`nYz_2m;>}eeF~A}Ot8^d!=0OX92Oji#aJE=3Y#NHR z2Xf0JVp7?UV^LOEV!hv^SiKRe@BFRTO`CxoIRp=TGGez1Bn7n$*k@Av^8a}6$TNf>aSwtbQauA$G2REp$;nQ`!`6am({RLKNH_=bOn z_KTj5(A)IZ{;T8;ELW$354dFo^21IwUnXS^;B|s|EHMpVp$3|;LorvKpFNdIcfh52 zQ;QkK0L_{^0>2|!ES<${C7I-oq?nf_Q4hZH;Sb)^Kcu%ma|dLYlbk3JTtj0$W#>stP9WShjubL^-pe2Z+*El>Mr zHQ!F92pR{6O9Z-SP816Q2xgp3Zbl(y(M{@EDGo0LsQ_X&W&c4fR(T#J*;A>+KAMz1 zntl^f#){0`eex200Y&8ay-&a@!@=&fzb+7Is#zvZUnsw* zmT1Ss4801)b)jPP+!&>dkP*3~A?60)P+Ads0IRCp!npsK6Zlh)d9JkR{NMXXgx=SO5BQG`lZ`rx=D;-DILDrT`-5TC0Jrvg z;j9Gtf0IQukp3d8d|A9nQ-lnDrF4JVbX7ZKmAtNT=nri17k<5?1Rlsgi=~Hq^rKi) zq540IrFE*i2f^c@$;PUp2^Sp~DXmdwN8q9RJsxlRd?s!@3aa|hxI=^6wX*U-YZL%h z4WJM{tXA8!lP}zb&TCdy&D7qszF*$eyLZqU-I4s~8|pvRT6x^FJIu4OAtRKOXsQHp%#6);7cd~)4fxu zefb%|)LHsBi@t1oMHr{9tQk$K%o*iZ=@Tn2*6&;vl6VBG(rOST^(URd%@8D7F<<5jMy3rU@w%>- zKW<-YTa8R1R@wfN)g&ujD>810bt~_heYq{l8MEbWa-*lf&aW$0Zak=F**%tnr{B?D z{fNQ=%__Q#m*ZC{u=^8-mIf72z3J)ZD5;ks+HYFoN4KX6TP(*jLhHy%W4u(%9^vzI zXokWuFc<+#EA#maXx3(EFw_ekGz!fM_O0Sv^^?vDH4sB4{LI#!ECaU_M}}zTM=qGA za!6Ye3=H}Q5-AfZw`x8Gq&H5eF z?OwqcyUwM7LyrSn&P*RD)_(WGY5&ctC3Z1gD5Uq*G%XwiW9-()BG3dtVed_IhCK(F zU$h4j_I`%IFogC#GYOe(lCUkl`rKDb*$x=)MF79&hQ!%=d7uBAOgrX1sT4<2z#Q7@ zV(%yJVdn%8t3l7##M>Pm`9B^u^1ENz0q4@uA1-kqid~T}!2Xgx;nBnDsf;<&#y+g& z$P!zcsZ+Bb=5)-rPPS0Z9By>rQ>~>0WU~}vMkhW8q|=^(=$1eyiIk;4Pe)6o?mJ7Q zJUuXN0%1m`@Q_!;M~_<{a`)d8Sd31#FpMZV446$~4u%{*+^+xtP$_2lSb)7yde%=> zz^FlMTsb!}{#H&NWG;x3{#RsTk{)yB{l0$Un(@Bz!KIQKL;$4yr}=RMRu>e|)X{M` zVCw7%qzun;hQ;AyEgZ2^u04?g!da-jB8AiYxpNTL108J z_qfoXTn{8XXx%eT@B_GAoB&tCVg@MoT>hWY*Ocvi8AoJ=QQksReM*JM=CyfxM zY&Ia-J3eiAWr*9kcS~!?(HUf5h1F?jwVL#^%Zfl;yivCCaNOgqv9xrt^?Xu z-3MQ0_-j!CW9D)z(p9j}jmSfleuJW4+lPc$BzR%pX~e@>;grwP(GHo$hpkQFTl1Rr zpM1DE`;}&Der>s`3tIp!>v50VO@BxNSz|!=F*(6-xBm?KPezJY7wMyq>nf{i8r@$) z$pn`ly31jwWBTJtCB=H#>dnOB-4mDnxmqiq-JbvqHdN~cP!g7~Zt-U_emuK4m=87; zxkSC^gAGRl_z9}D<#rjn+tKvjKFFxp*M64|l)4XP2^ZslX>9-JeFu<6^|?509)2G$ zh3(Y0N)=qiOk%_`O;|W+#=}saBSAW` zP(XbfBFJpsnE{Y01NgP-`W~%%2%F_ET9i=C)Tu(Sow6UM>qAnCsK- zm_%gx!*0kEPWm~eQov_QnrYHVC?|{v>;xc=#(``x5gJ#H4H{;F4ZgPwAV07ouS^RV zR7AG6aX)F`c#ZE1h90~RA|iOUj!(ZnX2n9%&q3YKv9!4iV#_gI1*mHr+%N+K_ROJt z9A5`gO1hxutzdbSWwQ1y)E-t292Bqn#N#2XU(Y;{2tN!V z%N8s&l8ah!+i}>eKwoa$=u-gwDTv@6wxwf!e)=x~ipbw;Mrl-;`xn}}U4B(Eaqk8a z+K88nz`0p4S1hlroeAL>bPnvE#i)#glHs`&m_4KlKtHP*U&oAO zYGU2k8N#05>a1Xf1&EF~ytWMR^ho{@xDZ=&JrsY}tbwAq&?bN5fb3Z$wuor|SrpU2LZutiqpbn2cT3TBem#WN{3NZZt4p$WLA-41_Ah=>5L)`&_Dy6-5=m;qYGcc=^!+8rUiRV;f&9af(tos2qc%yY$IT?-wM`b@%N{z&ICVKqC z)6(ToU(Rauu(x{jWCVBbtBBJC)x%~07yxUL8|`}m)!o8JU7VJH#Fe-&lW*s~ z{2F3#bvMtIUafYc>Dg;&>IJ33*RK3Wse#9TJD%!RWHJ@EF#{s3+THd?I`O=5KvdkK z&I*(mMS@Ix`<9!Y2rbZ+DR?I_JvPR!_bZtkh%=1%G!2wc{plEQ3c;1o=Ca#?mz&y0 zeFj)p3f<=cxLh!GrZ^IY9|S70^C*kgB64Dp;}>m#?9d{@{XNHbsU_wN!EEca{_JN1 z9em>lbjU5lcK&0 zzHUlFMi#dDXRnXy5AP-I{{>_bRk;bixb!?4y_4~gYL?5zc)T3C=UzN*($oVJvNf*p z3c#*fBOYnkv}?E{-(DsxTu2X79dFD34PM!Lj@Z~dD=#Q4PRfdMSQqnHg! z=24!E2$7?s<9#r!CDOHN`-|J8;Nlyoe4*`%yxs$N;X(fK!#Q?QHr!PBl(c47l}b+v zQ{dmUf);6c=;5JF#q;l{|G^t;qzAeLWv76q>u3Rb7Df|}kcaRwz;hh>+uT1yRC36@ zFJO_BY7?q%JrqCsYua?+3oJp**+o)G@VZ8^?hIP4eb;kKHe<7tgqd6iSjd z0`Cxas(46o(HPcDCm@C*>iNUh3oCGcA~`=e7Nivm#Brv@+3r?_mq=Xk;R>`2KWn58 zdNU&)nS(JJNYl=ti2pMIDbOlU)|F5y?Dy}NhcsH~i2e>BZ&?xpj0|~{W=*(^EKOYW z7-NDjhq#;%F|m}k$_oQV7|mpqQ1cM)Cn<0TlG#gyt;Qb3ex!07BgWWDER4jm-Qd=O zJua6CxD<|`M$}VqsHpkwkVY`dRv z>1+Jra7-&F^D1JWuajQ(diRwy#UuV{^po<@QrlT^Iof8;6WP%S^$|nM!%1j3gKTzQ zW>tPK9a*)lp4F5_F8LR*Dlk?iJ`3Ggv~u(skgqn@vh$EqoTQ%J?qJg7gmquAv{v6E z!3U!^oCl{9W>?)^_NowmQdpL+`rBLg2a~FikY{qpfKjFBf$XRNF^yCuHe>&>SP|vU?&?$tAsGTpVnCsMB?F{Y8#ruz#l>do5aDcUiBx!w zRvdy`$=ChLk!!w8-$qXyvf9!=Y`1{c^_$O_x{dwBO#^LwEI;*~a}Vw2eAC704M-g8 z`!cCxbAdGV{Z6gxwLg*0FV!?TzOOc(w6^$)M<3ZZzC{4tmTh{lw|cZah*XCz&w zH&C#j$i~)@KF=vLC*vvRvo8n>%j6PD9Y-PTm1?|(Bh~qG-w0OAEM*l|2$mX}qJ^cB z#YVDEfQm4Y&_L{|uaJ?z)?Q0~=p@f`9Da?N@xEb=oB50i2FgLOR1y*4I7&6i=g~Bx z0lFhiLAD|MeCAfOM2t?Bg>mezQDfFmUG1d=aY8|Ld@*yF>3j5k0XQ?EPU$x23>Bww zwtV}mO_8V`er?(x+`;`&C6)%Y7ViXNw{fx0DjEH*;b;rDSwn>B z{pIQ2g6U_0U4#n7d}Fc)t6w{~UNt{tUc^Z12;U{~mZVd}e2lP4(_Wfr;0+i$_Q(@bSE;xpk|%yDlkD zmjO_Obz?M}fEaATkQwU@uyK2gbv;}NFcp22`e*K~Lj*5gQvL~BZCgqMeuapAT+vIJ zt99UG)l|k}k@E59)vzyDr=cY%Z2b^8yb?5B+-BxRr>o}+1=1vVxH3l=1^yc&? z5|iG&6aK+vnl9>^q4VvXR@jf<<=d}>h)++q&lV;E>ytJoK=)-w@5?`NhezSr5qDAl z!o$R*Hl${@hqT@a-I+kdzS20Cf6D*qn?DUD;lm%ft@)%PXJ_D#!9@F!my$`&fSO*6 znisJV_k}S^E`bER>LNIZgsESyD5yg7Ne&QZWiqezuTbj+%RPUFMlD~!+kxbDQR}1o z&WfKTB+{}hM>9+cAFJbeJ0`1=S-fSnaXKVn8p(#7%4f$}XEUdnLhTt{t1b5uPs-6M zi7tiX{LMji)Sh<>Q@wqL80fNEGYkS$-p~GV8krCkRd=gGMg>OSIIu*)vDl8gc`J5O z%A&{k{Gh7IhgDgd#kkvg#qnK9?`jgkHz_Bd<`4WCT8J$&)L82=j*VRV5xi=yB_ zn)T5U8gm6bIy-9DW~6od%ULW$I3;~ANIDyZE|-w*P4Bs)*3Oj3n~sZ5pT}a}w&0RH ze+H4*s-lnak*f@ zIh+kPM8^R<9b*804W;TgVt5NLJ8A$tER1w+pqeL5e3Q$hS_#8-Y1bCpw&f0;XvVdufzCa;c zfCrwnZLZeU348i9?xPi>lqCGb8_rQw$v}=6E?d$Jf z9wJxUZ48gV*h_9xfBq9*T^|h;!R`sOqJlk!z9)zPzki;4aPN6|aC?;CbANfyXO~3d zbHbqOpEhfXHgciK^BuZ8^4iuY^XPzTE~4aqyc(@6S@;B>Zz-4EbK?Jf+D3S-sJe9t zE>^~W)ppTtJ{wvL*SlW#`6DnC{UtB}X{vt&2Fj^M@*($!&|BNgOd8;EiFTg@8uZb9 zb3{U8PO~$h>{A6?Utd2+FzR;;E}ls@?4}(uX6BCb9sA*Wku+axJ(~YD*YZ8=4J}oB zuZsT2Mt%BkprHQO=b4wTd@}^&*MF7O{ws%|3n>M_#DSpXpT2NVd!Ts`p-UawU20tb zLG-7{EFYYBsnIA>+PffMK7(PdkHa%k8XjEdC=Z{ihOhfS;*f4^W%(V?Jo%Mcw@V>2 zkx`K$TyK*%-vQbd{J6+9R5p4xd$@nP!(DVIOan)I{wy;xQv@xFOp!X=PyI-Ihn|1P zmLPeuf2Z^}6t4>dgTw0e)90WzZmCEW)_MF^)uxEyOT4JB;#HDNy>rMJSb>a^u!1(z z($fA)z#hSzuq5@|Ef+(V5b+I4L}uHb*C$cB@fSS$6uU-E?iu52&?_XQ5iYKwh0_rp zB43R)>qAmz?mjh(a<-|VeU+gJI`m>~?$qs`Ius&R?o3KjWD&8*Se{K*=TnbGDa%Xb zkjp)3%S)T$L)(t2MY&SZ*FZIv<^+`bC)B`%v|GTUc~ip#t9^`+*iWC^yNspgDzVU3 z;wu*%-b>H@BBd0TCccvpiH5JD2fmARH0}%10hp!t6pUENSAGqLDBytMVACLL2{cv? zGYc*uvOvg8n^~Gp5E>o#6rCP(ZO6=Wmz#c%P7vuiVQOSummE`2Hi`t0VhCzO!Ch48 z3hx2CaKL1p<5=-tpg5aA{rksn`8XV-VNV;0CjXv=l?>Wq#Q$V3Yn!gu-P27uqU*Su<<@gC1|#s$NLB0i>l5yGUJ|zEF7VO;T;<*sT1a!o3$IxnsumYFLxhbDDR=U(hshd@vGbb+&kNC>P+3M`9y_3bN1xrbUT6=2md;&Ek5_v7g#ws!9n-h!?Bg0o{ z!F!3;i)=6wvP_O{Kk*=tsVOQ$&PSJczc#wtOH=+oeYqMF)lh>XwUq;KrK!HS7BM#A z$pB8%GEFo0G7|jyp-}Sr!9hmX*9iKycR12n;^)3dZ6Qou%bpvBsZ}Rj4?DX5j zY|sOy9?eqz5i9M^{~X6o=Pb-=|4KGZyzu+lrLv(~0xmysJKS;lXM8z1rN#lnl;44hVfi`ah@LhQoO6 z$e-+jJ}cyE+3Ru@mEC(?W2#|BD%=fUm|ZMZNJn& zbJf3Kz}Wh9L}ri)WNxs#gU?Vg86%HSC*nM~fYarv*&MMU1|&FNFq zsdduJy++bbk?O&rgIUul5WRw?tmYaS#zi7fneyaiWNb8w%#unusAT+uhp!WV$&+?l z-sNfxRx5kO=duJ>uiJqAmjuEYafX_B4z&zC4CF$OQ2@@rz?Tjr`W(CzoHZ8Z1o^Oq zP9p@k<*`64Sfs3LHt|JBZY;WQo+219!t1xoVR#auL~O2shM zZc{SJvJEUzZZopTIVwOl{Lwh!XK04YUOqb}BAelNqxQP=5WhD?9R`+Va5oXwtI3I~ zD9p(d>JdLemsRrFG@_&$Rbs=`v`~ASCV06cYidfw&%-sO@N~k72J-Kb!lJ!fm6>5Rm}We1JMCGx|Jj}tN&gxBBl z`v6Ll;rI)b5o4$5OS7L!LY-qz9uj$h3pgW`WWyuxf%4PY5(M~0qJlby;`@=dFS87# z)}tXz?b^0U6rI0hpFqCyD1?o1ywbGPdOmOL$Xc9YSsOYVvI?w0mU3q>VQ$NN8i*}} zX?;8G9+xXMejpHI&Vdb-#?K_MsuCGqhD;O-vt!#)R>DJ}j4gJVp32s_Xqgj*B~l$E zIHn{ZgJ<2vlhD0bl`<0oPN*HUdffIq;u;gaN2uU$81dUOYz`3^Jb@qGxJoS8fFYw{Geq8+GM4mU_s*hfIfJG?q=B1 zDf<(nW`fB1ux(TG^>(8_20d2T)&anu7}3QVX?nwUHLEATUlQK|TL1B$4XhaBz#j?eKVMPSa_+ggn6rXw%H{QBDoCgEKu;p@);TOdr?wrwg;kt8Mi zBUsC2ujSVncjvcnoIl-@>-*y5h*~slkPEeT1S4S(Q?c~*f(KNH8V`zt$1un)ce-vZlL<%=Wx{%Miy=* zAQd4M*aB)$cag)`v-30@}nPrT;27dNXaW^^EJeK z^`Gbc-_VvSkkAFF+Q7xd#agDWf6DuT^%3jv>ReCj{b8Fu`8(e&7H8V6>Up-hb2N(~K* zCY20FcFrKJ2Bi$S;kma7xuQAtz-B7&QY(@whk3OZba^}b6&;#^EPqhg31_upFn8Kz z-%xpqd#ol<{xjB7zAH&Qe7a9G9Ai2Uk&Z-B_>dZzz8`@qD@V$zP(xH2X@P{Rhj!6L_s6GchUMGUH+wMn;&gThr2LgSi% z!S$>q5<$oht5M|_b-K(v8uwYRF*R*B$I4-WQhT>AoJJ-31|tCzG>5s?SnzTwBD-oT zL!+j+ntsFght{-%fkMj<+%p;)RkW;VXGtQJ=;aW!7teBz+uTtc9j%QI4h{_rhIF|v z4qDq5gtZ?d4cZ8ARRaj?7W^=u%$U2lQ09g-N=tUyK8q~Xym<4;Ggh9mH_9mkT)m>r zG5$`I5)qEC=?%v<2;q z=-`);BUX*IndKyC6^pFv`YdpQlNaV2@3Fx4j8m>z`+ZZa6An@9hVOk3pv###RP~G6 zKYS9mYr{C5t`v|N*m>=D#KU5Uld*^>vxnGz@!Mzh@OUZp4GFNjWH1a=V2{Hi7?+coG>6p zcCio8gzWyX3XGvNX;_epxN#z?K&Yt`ZHs!=@6WX+D2itv;=?=;!D*22xHQI!j2RYm zAV^uZ&m%dBB=b`c3;}zrO$e`S<#(*0uTY~v)W~h6uzV$0RnxdacE!Inl z0)Cm!DQpy=t(<5bydO^Vsm_fNV(*1Q>J_J~f2Ws>-kuy`^tQKaFk#~w8qM-zDJlyZ zL)uh6@fZqZErq&>6i_4R0wfL$rV8S>wR1Mgou{fByqUxL@J&-w`*8g>)o(c>USueQ zl!A6pUvbq@Mc?FBP{AM|6a!*ZcjWi`|BtS>0IIT4yT7HoySr=C4I7Y<7LiWr?(W!t zf|Mv7n?~twkPwhYlTk6)n+($U#pBS0s9Q*eO9|VfWo;O!HnmN>k zX(*oNfa$wILgnEh6I)BR!uk;tSKvLTxew#-U&W{@o47v%2Jxa48H{k;JDOLAb&&qm ziS@tVFjI#(1zjOsQw801pjq4OFm4m!F5O3Ho7%gZ=d3l&k`@JR-&P#my%~o8u|F5 zA`k(qW2exA-!M6y_}Ryx`A?Z4(0l2@`+KzUpZ__Ul>^UB-BjLGMyIPpm;7{sz`FZo z?#W|I|M+9aEmeSp?j*S5Ec*#BaF@y0Q1kz6M|A$pXcE%Z_&J9JPm5VSo*#pFmj^pz z@}^WTDLzZhN@D~znDCOfZPPspUHRY`bV+hsTJMm))sF(rizC+o$zzC~(4#SKiseFv zmGLILt@JmWJQ}EAn#k41O~MJ;hg{^s2uT%2Ex|!SgV>2M7uQXXUv6TuoCF&w^IlCJ zfFoj zjebI`4P1}`hC16FK&54s@S^J0%J_k=YKB|X#xnE{wMttWjYcnvC2CnWC4LBH*NBk$ z+~Oud?#oK(pKq1*3PzujY`+~ZGe zIg#)!@2dAJ7cELWb2=}HZ7~aHM#gBX2$MGIIav4J)xhIh=9Jox0=2elxw5DvO0g&^ zghEZSiL6+5m;HtM^VA{&{U=}I5&)K7duX3oJDq!KT#-_M{bNzWz~mtTVdrziodNuGyDR^wW7xV<1!zWD?=H9`hEV6awk_3|Z6? zKTkq=O84u(sofg0gX79c%2*4)XyIPT%&2yA)*FM4boz!K|n685yu%<3e7U*7Y zb1X`E?SJ~BEJ!8OAk*Ncp?M6`y4>4Vp0>9EJ}8en?70%LZy;%(ugtX5h^S6Qn@x$Y z;|rNQ-GlqVkc{WYD=jTTvP(a+h5+l%nb2!2om4Rou6`sNQ^_8&~wCk)$ZEYWL zTyHFAiKFAY3VX-fH8)!2jD68Sv5>~ZptRXTXEtD*FCQ!$9O;3uBh)8-5@4%$a{yuq zy`gz2SJmyc5APr(IdfK*;lJB-m&=zf@9vZ73B$tu%?1s6S~`Rh0yYiy)90}LyFaL@ z4iAHxspnhWkSsk9y1rzJ*7&-fSx!boz6NT*9K4Zb=;&HYFADy9n!un0p7bN*;#ES+ zHI)J4&1|&~s^r-lFLYOYZNoWttW~eT4)2_UzARU^EY~>>ZN9jVsQ7_fcUP+w?Kd5$ z!}S_0YX?p@snT<;q@-_vCKrwZua2iTIYve5T^m(*hu6bJO(SiiStvQu;C&Q$38`o#YZ-Hi%WMyYDsr2VVZq_(w=Cp0yr) zu?2`a)c@MYJ_LoMux~WlYdM^cBRb*xed_VP@M-MU;+bh9!pVn>`h77=p;Z6WkL>~1 z{?ndAW6J57`>`(TWld-CMRUXAE{uG8e!iXSwV>0A}x=X5EONR{*e`w&eCL`LQqg@uoy_-%+S0rlW_mRAL=3eY#W zT_^#t$H=Vb`E&F$5s$*hIi+4KqoQ=0=meytAQ`j*D%MwEkSR4_bb!g7`Gq{1E$_Y3 zTEewFFYdKA(H_uvzT95=2z0n~2Cmofqq1u>PF@NcAnY>aXkDta!Ch2Go94?A1-BE-B!wBngF;7<+K4cw!!*ez%-K|2(jNyX6v9ifi~ zsIJk|t2t>fLK?y`2s7`m3EDm#T35_HsvXOkRT8VmU&&Y+o9+3<8FYme5gw0~Ae5*9 zUjlzLA~F=tnF;*(1a`($ZI)_w^y#LToo%6^gwy(*UybJU%QL_2+!^iXmV;@661 zz^f2K!z^`>Sn+$3wG`d#mt7c+4f5kdmK!mc^4I!fcW}NV_rcT7^J#ULr*hk;l8>OS zKn`!Bgb7@z$0!}DzS$s5+EC~KHD83}4w8Vh0ExUhuoEJM1BeA5*Ip6_9 z!QpZoj&P{57yLy?h#X0yJSPok8jZ|f9Pd6mW?wM(u&C=Cf0)_`t-I!ScXDaMgKsHa zKzr97Jiy6%AX`Wc$>gNCU}Fl_L}p3C1M4kuywmA7+#jJ53ZG37X0r> zWM(mUEZTkvq042!f&PY-~Tgw9k_bB{S36Jqwn}uw!mhXYZTC)rgR4 zv4%|K+g8W10PEy;DkLr!Ah_(r-`Oe-=`5_`x0G2XKYjXcL za`hqPEJ<@Ro{KAY$M?bI5!cDE#eRe3nbWOiNN^B+WpM$1$gVoYXFZJH&6BmQ|L0&G zfPY*i3ySnRd>HR!TG3VOpiuMeGDDQe`ep{H#=I@$)xz4m)(jW;v_SBwOmP0`8 zcnZ5ax#+n4qnNv0vJ`X??R7c1B)1E|1TPx+kg6neoBU7CvP0x0PYW*uyV){;L_;#B zJsm9Md~g9^ELb5T3ROg!U7!lW92ovT%dLO{>_<7cIPded@%+evKQnvUfj7ph$&WX4 zQ!|<#+2qg5t@1yY+rzf2NbeOTuXQwOh}__&pS6|MNA+-Wj*852;lW`ZqOC zKvsC(>|&|+E=CRMzhpT8FzgGIv9sy(e&ET38x9PQfP-lM8Weik4C8-(d`X)!CZ>`F zr-jL^;6uWfgTZ0Guh_%ZO>KeoXYMM~+muOK0dB%=eI22ncURT#(#MZiasDCw^PHm!lFm{Fu_pko6sAdriTp*nS+1KtT7Krb{(fd*wcp_B8tNdwLw6%ZgVBuB@^f5B+O+KK$pgHu&!zju(GP&p2; z7N%HaAm#$Z17U2l)zzjHc`_M^^K8&7Pn|_lpP6yrq)zz{ok}>=8{|$|oMv0z^cKpy zE4~PIwj_0mD8Cg+>HtR2&12uHcWsGo#+V+9(m`y?xOj3m3c~dn7)*13rC%$#P79_X z<3J}+Y*>F+%^y2JjfF9DKmCwMLDDA3%QzF2%`>GuX3YRt7=ADlt-@oGXZL;Q#URfx z?EF3hEVrtSW6B_^B8@b@j(2hW&1wq=Ak!inGo}J-x5Dj9#3ug_wtm7v3iU8>a-?3p z<+!ys`Z?-g>Di)&ZpZ>~V7i_Y#=8{S;XGE&sXQP~8H^<42fh^SF$I!lK$7$*4nvA^!L4fjc^^7xgN1Ddc7Ee(7ZHm5j5N zFNuVdrOSbMg=11#Sm|_WZ(OUa$ZqP5C#$DC1w5YzZL9ws0&1MtHT9Y?6D9@h3gCy{ z+Tu+Dv>uV^Zt@p3zi35L6FyP>XqwHD4iid;bM|DlP-W+NJ40S}aAinGLac7hX)-I9 z7Pqe_wtU&5cm%GU z7{14_H5}xe_gQ5}PopZ$b0vZ5D_X?ZFGVDzlKen%VEMf#M}M`FfT<>w*#vfNus}o= zRs0ey&JB!8Tq9uSuw)3kz}7ISf>ae2A}F(-c$ai$4#>;OiAvD(kh?#F%#^ zE#&sA8TcA17zQFpC!LWq*9yk+Wc)TDH#hU-1kYabQ3wXl+a=dK`nm4BfLuMO_yCx7F79g2CDMl3n64Rg&^L{8zvy z!H$);#AL%y+!o7phFf&R@W^sPK-xTk{s{x0FCP~2fYf9Z+!YtN z{op;0W;`|(BeuU12TRifd{)56Z*F|%z0W>J!W=!0NWSy;zgOhMrD*s+#9vw5%F*OA zvt@XE0hHwrH<9m3)CB{Qv9HajrP1!*M^l4v@x%?Ytcp@stvcq~d2411g6vS%2~A?3 zxCI-L(fN9E;26hw*Y9_?r=&eEMR!KOBSU!kx|`JBVS*IXIh)Oi(hoXnJhOvF=eD+% zFqEygUinAe``iviQNpH=R_b>k@e8XNX~r1YqJ|{-Vbc9P2EGs{$lgh9NR$!; zrgu6~6lOT}aT*lwfYbeo9*In$x}XD@z1dh`m?3W>;m6)B9dZZJ2~KdNp^}J;G_NQl zk?DiACs}wNN$Kydvg*e>#KL^NQr!1_xAdvqBJlx!K>i^$oTr>KYdp1yn1~S9z`)ui zz!VBWQsY?epFxbxuv;xIK>^v<=kqCZ5PoD=om&_#-pd%z9Zt=iBkK=kX&m3Ur_pu7 z0IE*1t#5R4;?<=Ag?ljwC7-nz;AYOa@JqT|dOOYSvm@X)D=<<`Wv)?Ez3KHC;5M5Z zmX5J!E<8X7GzT)e))MBlwKZ5N;q$M)nMX9TriA=pC zHft`V-9`Pqw$abAQ*GFrXi9D&i=GJsM8%t-Nounj^kIM8^onFl3Zxs|`8LeZv%$pRhw(A{$S{8et0RD6gJ4l|W(jTe>;dC9sfVm3oI@?DG zNf>lM1~MF>C?(=wQ5Z7B1I1)eOYX+n17M3NB>)H}CWUl-A&IJWLKkkG*`*30>(Ifv zKa2aP*%nSZq_694LRR7{Z^YbcLa$tAnrZf=FI`*Cc$p4ei}LIm+`{^K&SxGMFqaUh z+?|B#JX)}!JDw-fL`<~`G)wVNJh?L^oJqBkXZx;9la_3WhHR5w_fWZE44$gf+>eVw zhq-5HZK4$VPMocccpjQ;z&|;Hm4qnnq76nn9^HD%MX@q}Z=TCP>5u!2Z_&gWpT;`n zCE9FofdVWp?aC#36|nwz-&)bUCtBb>O9Q6Au8|`=838j;JJWXkQ~Rm;*#22IHhbq^ zd+a&o78VAJ$|C>sjWL_j@@j#w|8ico;S5;(@&T{H8-~(zA(ryasH2Sqkb0|UqQEPL z*-t#Nl%m4%1g_r2vDraqc1Zux7%Hpq#_n3k{BCyV|N8$|enIiy88rSr7qnwsy20#6 zsoiGO2Y3?X8*gy1j(k$s#`6FlLqYjJViHGLRQE3c>jT?g&aw*69A{To*rmIoAZF%| zpZRN^r*XhFoc9V^R!8-F!2mY(6oCABf|p`UZv=Sjh6D*S7Ndasn6yHZRz3(w(+`M@b)8ANhizhbysJ0z5yIdqJnTfs47 z#|PnK%FIMaEcDO*9uyQe?hdO)5KXbV z#93o{u=LGPkz;5&u09hoyOy=BBtxmXv#jbvV@n?cm283K~tU~^z6QV zRh~f(wE)|in1(|;xHueebv5_!ztXn>I6%nZ@WhCr1U!1%bPVfbgWC%ES@4-46YCJ^ z-Aim>D?Xlff$xi@5#zjoB2o3c&y#mP-Nwlf@=a>N@}`V^4dYC{eCP|W*@aI~UNAXG z$(j(Uh+?3v1i8d?RnG{?&Lzpi}fo<{2vN0442z46Avz@0&!(;7J~!>##ZJv6j8Up%s9VRa08_~gY!4VBwp4KWNN?(=Vt~`ruf(-*f7QfV zbaiHL8VahN=`S#l^Q>5C%suWl+XME#sJK!0wF1GK3_%Q*Hi%o}yNYOGWq>dKoM4=y z_`>6UylfiqE8j&n@K(h4IeOvT1`F95&FXEM(KP^F;E2Hd{k-2Z0MH>sv$D6s#E9HN zmFi>>nnd}*P~qdVSHV(U`>(>U#{delKW4XZEgj@$YoT|=5!EIA)+5!PNX9kOu?F2A zxZ~X!0(U(1tGUaK2>oGi-kjm@+uPxBfeBz+7Ei-rcTc8`o_e-K(>ge)8}0$-HWHh7Y};fto3n}$!VAWgv@j%PPu$*avan7m;NcB1$&1@{)6gaM|;Y= z&@u43`?#7&+)w34-J<*{5L|;_vSL2HSZ5NaR14b0i?(;|1!}-^j-d~c#}KZE-VbLw zj=|a;r?QQXTlE{hbLvZM>W%G$Td6f$$Kz@Nrqp;BU$t9bOaUJ?uXk!W^C+IOgBxhq z&kMS&b6SnOI%0A6C&OSC^Sq~*IBVh}N=ulVc2M&t&$_8)!YB=J(E0my#$=5#2dmO? z-r}3vU!P2;9uugeGPqBCMZloh-KI=_;n#IxA>&C=(7lgAgPpe8gYo;UXZhFz5IhE5 z`Ja)xLB3u<@v_nBDb-O&NN7asY)F@F-3O#VZ!amE($dst>+n4tRS#O$Q|o7y)}6cC zbjtUZH8WF{KpJ@~eKE?b?TkW}f67ZV`+m~uc<;#DYN?xudzp?h5U>5DPLeB^#o=GC ziY9P>d56ckCUyVe9cI9*EX2Mr$wpubjtwInEBMAzp+Om=Q!>k9ZV;w{>9FmE=HEUVjqr8Dj2#M(z3(b zm0>MBGm73$zcc`X=jQ(TG6>B{f~ZrST83}{nJvaF1dW8t-lYN9$eCbCZ(pHFB~s@n zDykIIG@;N0cZN+Cw&40QL%=NO*a#C8Nvy}+xX7%v9(rYP1*j9Q1kBp;U%rB6E2WcY z^9$whQFQu&mw=Zv8hQ=LjO%*J$>D$C;DlUPg<>^? z14H+^+ao_w5-h{#Q*yvv;1aWv^IRwlo8_ycs#jpEZ$q_UzZQ_Q&~HV|qjn*X)Sd;* z`YXz5w*St{MP_ax7C&)RiY!hF6(csKY!H#YB~YyMPr?h{ zZi#x#Hxwp=FEQ4--zuYPgCsOo(gdaeIWZun&2dof)4>5ba?i|nU4f5s3-9qxll1n- zL|$e^BEX`W!JwuCPnl?;ov#rzH^8(@`t{*vRW74|p(Fl1XakCkp7{)&3ipS>8wUDY}`TE3qv*du@ z#i$1Z8#xjNesiBD65Y@Ug-CE0Ix(M}y<#Ly7aR&1z5mn;hzeRb;A)$rJ{q{rNuh)I zlk2 z{Fy)MQ~+dk=$R3Ry7%g`)DINEW%2PLW6gkM0%80^CLXFb_DH{}`4_dm&Ibj714NGc;UW)Trz$qe_#)!IJu zT{`-@UOMF1*GFVdNL&!jH`=X$h(BV!@cYE&lGaN({K$rsx-5+>-9(?OdQB5zyCtpMG zrZ)5J3osH#?bKUB^I;yov1~uHfwuA0*hN|7gRL2fRx=UNyWue@QI)~q@P+uUeIlCq zXvSveysNCfJ&7mU@EOcvN>Z}!{vP;b0EZS6qXtrMwAs_LpB16I7()N~+qUABUC_Us zY0sMCDlc5rj!kLmAH+IukW8dF4)M&yvc^^(_PH*5ivQ;~+zvVS>eHAF%A#f9}tB zKuczFI{@l^#2bnfyIww|P1AKD1E8ux)#Y%dfP2FD;b+J9EeXjKD)U|bk}dHo;p_E2 ziFv!D+3dfii%$MT;D6C3M_4;j_-`@8`6H7_)wlhoqoiOSDU_Wi`;buh`n+U)G|Fid zY?AG$~2y{Xf}vGr2A&?mFjORFQMWf}=eM7-#mgMSZb?ktm{D zbVO9uff*MZHFyU7+=G*yN`UB$CXyDoL*nxTJ#*`1AR(s$ud=RZsdt`gEOhdmA{8%O z;`P%6=GX@_dXC%HUVb_?G&C?d+&LYYCNjH0O5~J%my^J<+rmqL2VFS>p0;;=zsi`a zh4y*^7l1@y7ohA)g`y@W?b*iDvQJ?r{Y1`1HG^nQ+%glhl>Si>ho7_sH=%2?>b_#L z$Y+p7Q_@IQQh5KtgdJucW9gHaf!_=8b@v_iKCmxCA2g06gYV)fdqZY^2|3^LO(9vS ztu-F4#B7=VfwL6Hvhv4z^VX!6R-NW^6)H%0JtV$s{A-DwM8qJcs)7PEQfrsyRRF!g zuiBgI$0m~fHldKVWX7tiRr)D(d|IGjyxx(Qt3L->3deP_r@`^+U5jEg4 zz=b2p=Cy{}dGM{`ySz(~*3)(^9+8HKWq5cfdj%f6TpCw&JeCpgbsdYprMr)x5|&f8 znAs=2sA@Vi9aJ}R$|mh9tYQ`Ts8vAu4OPoh07HLpdZ?5n*=*b~6AG>o_gwK~FPQ)? zU!#PiDtu}1c(W6UF$0zZwpCKZIee-(O-whB78Xe91t~-|Y@n!|S{BU2H-5E&sio-D zN;Lsb%W6mZXkpsqv_T0=JA=Go|GL&^GojO>5kP+jL|&0aAHN?ad$$pNC0{TVs4Xa75a$cbN+rB;P(A-ERsmO`-rp>1;hE ztw4=Ay!M0SVF0am*)fj>WDQinHn=8%WKef>MyGQ+!$}Mjm}!ETglI0ZYu|pQCDpLr$!xe@)?C+Ti*~EV}GF%-}@Et$Udq0Ajuyv@sZqrvd zr$XRU;1AQ$k2kB?YSbL+%+l>`+jYO>_5{lA2pRM7P#!B}Zj6RoOA$E?FqzjsS$WNQ zG)LCke?Q(FCa$&^B%EYoeEoF__|wQawRfx#yv649jUhTMivW&t7QOo57hEW{d$4rk zhD?S;Uk`jF4F&i;!asi{zxO8+f461gu5qkv6#)$Nm3Xblg z`wE@Ut)<$gk=@Ki-zN1IB=I+`cjbZquTrnf4g*up{R0(d(K7$4qhQE=Thj|ZL{Crd zHKR#$K@|IrO5a4dlc zlwy}rpOeV$kkF~|zX)m01Pqm4OEC8X+U@O(Z5-9BgKo_;;u zrC+B`b(B~9sWhW44w3w)Z;s(8B$0rrY;Jj7vQsri@KN)+|D@bB@LCOtad zS5xJ!HmINEbIMEPj>)qmr77xBN|U}#`0`cvqaub;3A*}wWfdJ$^I+-6(wEC{6Tu9e z!Oo4H^1H7GUQ6s^2ALaQTvd%M+pWip!wlw*Pwk&|R{aB+TGIZMNnXn0@UknGcC98ctzFk$;K zM4+rq%I`z0X~O$PAZs@9pUpth*>)i)-Tf~B?g2Ff=n$^zLT4Nrlg@b+-OQjsaDO&%J*>0!X}TDWqGW0Tgt*>P4WFS%C1ddgvV*; zQ+~5VHH}~pX=xOgE5h4>+Bi4JBaQR}g$2mf+fWw)(qYCtYvAY<`$yXPbR=Fnl(y`( zc_v=Ok}Gjl^vCH7ki~b|DcGI;afiW7!&E9Pw_*5?Lf@q`-a%y-SS~YC`c{Bzp~PG# zlvkx3g|T%~A!Fj*SZo#mnz7%MP0h<)hcWD-5(g&>fG=jHmQ|NFR{QEsG zo;#9A@$pKcC=E1?6sXfk1@81k2Dn*yW5iiPm?eKy`;3*G*`3p1edsf|?Y`?LY_3eY z0JPnP^up$=plMXIpbAt>Ec9nTh?S0x84K$SLSzLbvnR7cP)Lr>Y|t-X3LhbN$G?{T z>L9oh28bF1KTGK3o!6m}AisMdRVvX4U1`)f3Yh^|$Y<2ujp`Q=DHG1A*(+hsc{W3) z{R&Zby-Nx-K6&jO>594DU^h30Y+{L>+QBLDfx2nx?C#V@QOTO4Gdyh)`3THr!Ss1! zmhDEY{=A)Un zKl?YjW)>*d-y7@Sjo#(zu(wF6+RWY*Wq-|2ZCzNefX6{0-~IJ8Wsf$kUbUxnHV{ws zB^FuP0Qw~;@fpKo&6g&AKI6yLuyCs*cGl;(7Z40{e)GPiJ?c%-DE{o}zvI!6`lg8= zh>+d4Yy|gRqiqr`T=f$>&7^l!nqTnN^1RQ;i~{)OTxE-HCg#N!pAsaA`}xFx6}}6o z7)tub;Sh!SK2P$-ZC}#c+~nIIUm-ax`_0;a@7*CxKn4$qvMTnk^I?b`D@?QC*}0WC z7S_R1NBLHI&ex~Y>>x5Ozk%D(6W~X}v95_QL|X9(Y3WHoPG0T<`ds z7_7o7wNDZMl(iB*Pdys97J#B@-Cn&`%bO_^mHFabELpuwB!#!Bzyqdwimn+UhWq9( zaD-!ebm8cC@-v@-(Eb-7(Kj=^HyN)b^4`Oc@=A945H5K~gkp<~&YZd3!K;yG#D96S zsctR=xT0VQP!*?FVfBF@Je4J%O}D?Vj_IStj7eio49AH{Ep7Bt!}?$njHEV1Rs+G; zXJp0jh-~JqAURykgj);F_a!)QS8(KrzpOws@(e=cK{RbeN5!Haf~jG- zG)`#%yB%D4+X5D~xHqWsHkvO>-d0u!@Xr$#jl*E_# z8?w5PCXWY644#%E(SW79(_<*9&A5ecGulF9wmPekiWUkwtg1Ew)n9Yy4LgA`gV2Yd9sQ1qa zs%4`l#aZ`gvqe$-(Js&YXrOsv#jj201i>E{267B@1PbzWu?TW@I5A(~fbyT4xikt| zq=fYwuS>c^ch9h^S$ZP65e}5|)GV9Xxm#|hk)hs3AW98^^h|Pyxi^QHZ58tYa`&gb zrU)r?4ojfn6ZeClSs8;@M+-4CIlQX%pV~_w{neCq5tyQ7JO~UNMA)4z9a@vN6>RRA zp$C9L-3Eb}$I2JQ-q5DgHa48Ot4kq{Es`0RLCU+K6A4LYveW8=)}D7wt90X4A40k; z6Ia5+@h8$o!8Awe=;|r8r*7mTu_wpuqkwH>r$tLC*6UU1IFx7iGmrp+D)ubIHJPGO z)&o3hAekXL=>PdrL39KW!24Hs`^T=B_y2cIIPpxk5>?t-c3{?X+dS2HP zwHTIe!aMMTS1jDzFc%N&t*hE**Xi?>p%M%WGQZMaQ;^Ac_$%*LaU&w`z;}oDGT{<3 z3~^3ty#TyJ-lxZ=8I>0+-9q(2l7QkdlG2O;2-5J(^!VcoM?x^?H4=>=s3Fz7GB1Gy zoK6dH1SLX05R8P6s;w9~5;^&5C; zQHsb)4A-VT9y%XDZ4RQ0R`n*fgXln}#QE7bH>*xjw_-XO5z#o-{J$skKe!a)Od#f9 zn-U*N(yuE({Njc`8vpSzQX&ijk%NBg`IL1`EVj4PKs39lNH$jtrr9`N#9D&f+(16d zRog#3o0m-nH%lz;=9tBS^j!NF^O~8Sqk!dKaH+**yuZ93?2IJh>!+&6r6{PDfINwM z#nc~rt;c)2rJlCGC1(WY`8F@f_VcBu>M?r}vX(O|phSgd_(4HWOm)A|Us5{mG$U_r$>%l>e0!RH$vY!Tz2nFE+>dza3 z9xx1o7Rn_eIRin!B0hRPw{*jKc>R9rubgx_B6I5sP8{p~(%0M6va8lRuVcK5*1T*f zZT=X5;rW4!?0Q774d=*rOZ08O^(^-A2b;NzOpm9V=@*uq6g+?wvFznlw(tRHrpd^$bQtBq=q!~#IXKrb9 z!A^BA`b@f@@E_sYrpRC<$LBN9zY3@SSUrozdK`)?Cb^pSN2NlO-vr2^qHwXlMb}b@ zf=Z!bXdoL`N=u`C5^qT$mxx*)lcJBra(OU0gN^NR6Cxd5QE2!;6XE9g|rv3JvlB_ap0k5CWJM!Gv%S%0OpRwzY7q5gZNX zf*siyTmc+s6$NMt5g;OP#}Z*H5MLjw41;L`RK2r8P*E&~Ounm{0J*PPN4|H|jOmH! zKj}Bp?vYnsVJItnD}x{nTS+SmEm>u6WdldVDRDVe6x)19EIh;j`fHc`b?z5FzrK*x zt7ujQRiCDgmAPRbEIW|E_$WV93vl~YZz%;on zx$2ZD)&RJhs*&~TI%GPDk+ck-L+?-Pe2Hr24bZ2IO6z%aG}4#jEM0?4!0i4o-22Vj z)4u+)QXF%7&Hd$FR&Wf*EYfR-76iup(PF~6UdmrzBtmT9P-|ewg?~^M@frxdvAn*f z-GbfJu~+Xx=Mw1Fq1Wy-6Bghn70OGP3&;!BBDHFx6AwJ6M}%no|acJ{T;`CS-+laj!mmkTbg%Xvt4(FeN)~(F2Lg zTlO$%6P&^#Y?$!T8x|szaf-Ec;vzlJEfO9VU=+?)*U68sM~lWUcfWOb3WG;Iu!(L_ znZ+BOy%zeao4S0slFCu6hOH&^-NZD&RRde3ImEQUym`AWQ7j}Rmepylo`~6$`1DwF z?(ma*Z1AO|E;o236_3eea0ZWQyB>WPkrCh@H0M!Qs)$~f7~Ef-C8i_R0t!i_Sk-=- z8mHGRr^37vqSuhCW6BcsiuIpfwtss8(9$q_7lc0#70zWzH+NfQb421zTy0MA4wV(} zTPiGas^A&MPpDLpGv%Y@6&nDqkd|5fR3E1{D~ADcu*K|PoSph$*b%NDW#Ep36Z`{o;`jY?oTQ)uC%CJr$;bcvmof2n z*!0xVY^?UeN!K?Y-Z7j1XGDi!eCeE@C#Sp%p94us2E859t<&q(f1Js@hBUMzba%^W zy91YKcFxB-L{!Q9gt!&S`%Nc;zb171RV$fS>#9Wnrs2H_Kq=1NY3O*Mm_PZNz5jeB z1j1z3+kFckYPLoQ_!u4p&+4;-&>pjc?!G+;j%~&7Au``z%Y&(%Br6OB#bC<_#aH|` zjP_ud{Q=5ml(^reQ4{u1%F)B47l?<)zqd$+>3@3z|K~koYuNL9F*?Y3l7L5%f_`#* zEn$2QJi&;YV3)|UHcUq-Uoq_ktC((ynM0F;2_j*tRVM-f#_Vy6Bb2KJCB)2KnsJ2R zH(g*Vl;Z7Fzeq%3qgvFlsCOWdB6DU~CJsuNIu+=C95T_})4fCF8r&R)$=n}<1#M7* zMVqay=A^?%gfeYxk%-R7frCdQP#KY`?e)l0J0^umLd_?v6Z|o2pvdtqQxaCG1j-DK%M_)kdV}SR#LAE^@YAg+l zd&$V(#ss+2y5KdHuG)yKh#CB?jhv;wCc~qWuq1VyFl49&OP2&7qQE`282H< z32C`-oX?aoM44Epg>`=bh#{}Y*HIwqUtycCZHZobaD$1M&AmZH(lr6nOsf5UY&8Ko zm7nUPE!hB+0zAIPf}(2}gn@i=te4qF zomFQlm-8L|z~z0c6d|*{00I*|-+46{XlntGP-9T>C+HOlOe-Q|?F%j# z$Zw5cTVZhBO9j*5zNK0MLHq0;j5((KMS%pEtm>wUZ*@*NMe(!VC(nYmbD=B@1U|gi z%?)|`W%P$Fi{4%&4(aJS0VJEdO!4BGH!QbecS8xmEeD#u3&ZEL#-mki>eFtT-*&2u zUpg|Z84L?;=Qn)DU6f<$)bO&4YrtDHvTlJo$i=q@8h<0H-xC_Ht<4NBV#%gIuD9O( z*^kUhmscDUn=^F5EZ5-BJ9h4_kD|n|wK`RNkEysVtN>m3bMQXQpmO86qmv1f>c>eK z(%c|$i1s_BAITIO;Qw5G)ZG=X`3B{cy_eeZj!L!=6y28dei#D}_CEi?;9bKDJFda0 zM@86%1#U2z`SxRV*jY3&A%1Q5+-3EwQRj?JL$`>7xdN1=qpeDoziiE)+ag|imvhRt zcyiC+>jh1D!;&+crRQPKkVi`+V`ZfPUy>pAuihpIi{F6k-GBT8e~TRdn!DxZutZ$L zD|Fe{Vo%*$dTr%z^N$0lZs4##Xs+-5>61hq_1S#$;V-iW!2Yody5N|92)onH7;uHt z{9C~Ye0!ymOxEXn8>mjb*mKRi2)OYoigep-3pe1X9@wp)B%`L-j84hL?tkndji0C0 zl>k(?*G>Q}IIiQI$lLh|8d9!SadScxRz30GP!oGBAwgG1OOUvr(>HrIiC;1ayHJ)M z(VV);0&hKt$;kBUpQO%#XD64Ea(?yeofe5`?RoU`8QijtjtBjYnXR#2tU@6B zm-_gtq2r@=`)<)+;x!;?NbC$qM&$Ly{xy-^7Rn8;Tbu&(o)B-zU4iIH_U*O&$^4es zw~8k99Bdsfo$XkPxf98!%ZfX_SdsXDUlaeCMFhAQ{0!jujLz`-+IYTEI-2}SpI4P# z*SOdpzoSJ4J1gv(C>(!9zoFe%GP)eU0t$&ujd-K_Argbxm|he(cjT?LJL)5Mr+T;M)>Nm<^?2(aNMQ3?c2YQYI%3o-*{++7HoCPqBwXSq(C#TRAauTHzWr9 z;XbT~B+?{!&RhB;l9{)T4=G?~479$^Hh+ywo4jVlE#5M^bdpBD@S`kVAb&|nb=4a_JDeJ7v7B!2&CfObTyl8zHir;MU2yL?_7mfKb-mO6;8Uy#4P&FyBl$?tp zh4>U?Z~@Xd19jEK`3}f1yfmU!b8eiUTXkTd$w)9|UuEkNi$YdP?xVS6eItU&cx1D8BxfPX&(Y%}XmHIn& zZlIe`qbmyw$XGd9Yw$b6KMD~;frJK5Eg2B&qT)58EM>GMAC{K*xMo8w6GL5F;gny-GA>%MWvjzziFv|8NR zpSs@#!P0nA4fOl1HQc~K4(Rc!^+(~LZ{Xvq4sZvB88THd0g07qGtby_-TFLtiM5MG z%4l;`cEFBTq{+qiu16&l1@8ySnhw}N&V@I4EW?c6wSG0rOCbo+dh=1=(i@F{u{c>q zQxS$0%H@H-{3UX9xiIrhqstw=Euslk1PaA7-^awEItbWPXRELKE4PZ9FB(b3 zDyMKNEXm<<^;$pLBBIXm1q#DJ54x}XapqJS4A4@3*(0s)do+vQ?L`oJrZ)_(L}W6( zAyH@6US-C@$OFi^xW8p&=Ea*GL?Z;8ejIPwpgS!NExb+*{4O<;wVqGcp-@94&Z(80 zUFkzx7HLYRp&+!v4U39J-pTz2;L=5%6{)U}fBsd}lpfsW+cY16KY{RyOra4qW9+dR z&bY@?5T^MBM2TH3J>ZL5GMV7vaK=7u-*=P^%cv&eonX|Pm*WuRgOB8QN+g@2b68J~ z5jU|;M(R(LzpHCLUr9XSB0ZtM2-x;3j*HC|;0c9}zn+wryA}@#Pp^0Eo%{l@-4rd@ zSby7cUW$S(I`$ed|7#>A2c^^k_GwG>YB@dV3d>GdQIpN0b(LYXH}-(nPGpfyxLPXM z1gHwlJDz{aZo7N&X`S^5@>KCP_EY!B{s?2i+ZXIg$oeE91IU%&D0-eB2K#%Xn>7zN zCv^c8M;CQek#^zB{byDfw`|GKP<;UVje$ttTJ2N{w zJ6Wlj0c5eNDqg=!-aP$md(+_)@d9$7SAhk@2piAJ?`9|NqqClr4cxysIoSA>@w0+g z^z`WfBln39xrl!$2ZiWS#)0=vboQ?=_1a&@k*FS7+5@g0II$8F_0&jL>_xO)7S&dT2&MY@A=~BJWb7g`D@$Ou5|9Cpx3P68b2Vu}+%m=q<&B3%ksd8G~P#lOh*$edCZ# zQ~z~VDt24y$Y-?BE?^YlF~%h)m|@Gu{c6VdM}xcct}qJ`Kar|bPOroAxKJh4}&5~4JF-3cXtn{v`FUwk^&;#C84x5NO#B3`9ALb+vlAB zd(H=7Ej~@G=Z@>XLN2f!#Ns|GTm95_83KE@L&ims#QiP13=Z0NJ4bAOVXT_SODCYC zDu~ZXo8Cm`iOez-kJ(i#eVKmp`#OEcy&U5FeTtAVC=&(t>lt+9piI06G=#VZu?g~; z>QR4=ml=ne3TM7xNtb2GR`U>y!CNsplaHii--nBfM_Y41B&nIwV;q#haB@s==9q#h z(g;rn!u9y>YlYjfYZkZ;D^4-QPDUe`++xC8HhHhH`&Nxos`ty+Jti0hYc;kC;A&xT zNhak^CJEsB>CRmSP(z}{L-ln<-ApP^<{CbAB<&Z=xe?fM6;gL*mOr|}JwhNBguav; z%U7Ul^Mc{aCl*+YU*$owEzZG^oWYN_<#7k-IKPO+iQ(7M6J~5lim7@g~OU zGq;aag$nR40-LgNtUOfWCIz^-r6wxiCU05n4erf1UCxU^x2Nwn^$WtEcVC!HcvTfI z$Co2l6~AGMTBvKH+)T{4W;ecN~^#>J~rV0E;QdXFn7h3#r z#3R4*1`J^INk6@+MWpDQU$3kuTNee-u%b8%yjwwKoE!=We{F@wKS+SNn!fU;%6_=!}wWl#)gi3Dxs~i9~brR0?X;$wHdBYRo=- z8YE;>U~b;pyz1hVBiHx;bhe}ZQx<#j+veA^+es+=CDu+C`|DCD1d-N;R+Xb=oLQWs z0xNHHnLr0=p*kqc*{BD1z1wJ}k^DAB$zw%V0(R;Ucgqt_uPqG-SQ)v6jZK{6VJvQ5?R$o!KPMl zBo0Fu3Vn_q3P}^22_CfA5p#S)|G2>-7W3Q$5@4$1;ieH~IMvB>{w(jltNWo9r>K3x zoz!5vBVsc^kDx_L{|D5e*@&>ChzF+u|0*hM5ie|ehaNoAf#i#c*+{qeJuUK9#2&m9 z!FWdC0OroA2esp1 zb~vc7UhzBh!@86@cGkD7S_Q969|34jRrQwvS8-HWv*%H_Roih)@}^p46}jZrj`y#` zVW(Sv3ylA61OL?&1QRH`cCrcA1M+OH547|X^F7_&5&mMT~g(W z$kM~SV$L@_jg}p}%0A$SN8G-(O~x7Cnj*NP4m?O3u`Z>z zLuPBao~-Ozx*swYnta?)ai4$X*hl~PGl#1_Em`T2HR$fu)j@rG`7?*tO#jD+05r&s zbQOjQBo{PZ6$(D})X%C6B}xp~tiQ##L&@EdWS<;I9rM%TKH+~ZPnc0Ia0V73A`F&) z7M40ohskw@Yo>5x0!nm|z$Gr(`f;Gh`ZvhAQqYZ0!90H;x}1MB(I?V(@%3o3qNp<5opD=) zFG}g6FLGJM3*IOxtT4V9fA^yr&f!)n{~4KrZQ>dBatNSumK^mgw&l?XCtpXBA>e`b zT)G{%fMXPUMsod6**Fr{ij`vE{9+N#wz#YaY?f-3pU<{``LS6@k+^~rcE3j2gO^h{ zbPdbyyLCQMaZF$pgjQ=>o2~*L5tYTRbhQ#XeCRAQnn zE0QXbE0*IMG$y64C>}y3a!iC~kwO^1^~~UCCcZnl?RnkV?(dXNu-B6XS|4|>_0feA zTbO6lbu$gT7IQ%^nzo`BTdN(Laor(pIs*kXZh9_IK90Mg?D&Kfh4Ckb&G97Gs&>?U znmKH4goNhTt-~i1d*Lp3_Fi|fUQPB@-z978SFD_(K!VGYQdZ7XE@Crl205+7W{Wz` z(x0D8Jmjbho+E>(@k-N9GR@m0e11VDF+n>NFSjis;`Bjm1#+S6HElLC6$p);@L0wA(>U^k(C zA2-F7LNTjhPx)V#;`Eb%wilX6rI?runmm->Gb;83cGRjzotMKjw2@)r)biNZEGmG0 zpIE`$;>d`Gr2v{j{5PafR7$vTH<2}g0L6V10b-q~ZN)BWJaKZr9liXvL(YM5Qf-Ve zTAr-u#@(VfFYUm@66S-&IPxMt*|%$sM|$Gk^``#W;J4cc_DI^#(ED>F*ppKs(*t9p z;D=8rV3riQ_@SqXlOEiWA<7xNyn^8mE2d`z1g0kMcTL^TFLKN3Qxv_NcvQ>qt0wmX z*=GkD{%5(U;Sc#@AW-kx_B;9CV|B+)M#q?We#3!zPHhmf-Ln*nwNZw^`x~qLq9VIz zR?Vx3o0OnO3YMZmeeoql?lvp{B%1tJLnyb({bo?HYpj}t45hY0TlCSM*QsQK$qSaw96!?y# zJjWskXe2}9f^G)x6ip8NNu$tP?zt+(n3gv@H`yE%|GfcBJfap3Tn7;R)cnun%!>`! zORt`m<;0eh)P@|^x(>O!yPI?`Geks0#1C1L**6(*udUBe^!D~rvf5v^nw2F3FYXKL z^}*2}*mUa&7f{}nHU6AFT(0_z1gK=_Iko5l55Y*O=irgdG3uYIkDDePn_J>Rzc!Fy zl}*K_kU z8B8loZ^cv}WFoXTmfY+lT-OwxI_MudCB6mB*A*t`r+o;#OUzk*#?y-JPNR=g85gkr z*1+w2_kppoHa_f3_TO*RBoO8Fw)wl(e{Vt^O^OU0oSE^806O|5AN-Ey^#(IxEE9Vi zavFXIPccz(Ix=q@SO5?I)EWOnk#ob30hGYEc!&n%VDO|Mx3#!XE7e=xv`_fBw#6rs zR%2ikKNUqKUAi@IvF+DUU!-BzhJZ@n3u9`H zP0AqjS0x*!#qmfYtx9+M?Y#>mr-h8Qq9NodD?Oz8*3>lbz49f*-)CPDHm!wi#}Y7R zXUj>xQZS{&o^*T0tcLM=pXq~t@UCcZp914gJ?5^fW1?DR-x@AoU34;E-7CStwNb&+ zia`~I%nW&*JpS%;P3cYpjMO2P)FBkNSu`}Qjbb#gaIPuJ8A(AAuT&{J*IZ$F>lax_ z02<$(LsOw!%Fo7hsxE1e@9bd88bq7Nkns@1FQl(pj!`Yl||3x#9xMMC~vV zs^a)yNX%B1q6UnF3Jh(plqs|RJo*%7igHRza&6@-i%Qcp4(tmq&bv&oI(m{gNBvpp zbeV#mNSRXcJqljI$_teCzt4Lg@NwO@#+J0eL0;LBT-mnqU3x4d`^!`eX+?R~oG;3` zP&7!v*7fgNm5b(E<`~)h&_AmUhqqkg1ugk;C;A#0hEFnz@S#Ehnyl&`_q zYxy*KC?c9vT};rZ_rne$@Gli5ZSMx6k{zt<0uQBe4!a-c@`Em;2eRhLN?fH4hr{r) z7BC`kN$H5wa=u*NeX=>a7z4xzgyO7}TI`PbX@TKU48!vZLzH|{eT79;*HE~4T+F5J`WsOZD>X>jlRX@aFx)x4d^?bNz9K|RVEc=yFb0VBPgJi~ z3T;WLeF$f3G?|JWA$d(?9;{#%V_x^v76=9Ynb1i5^#@NPuqxbWX76@U`3dm1mh2e{ z-(*)lvoF8VYyD$?uNdW6@~ETX<=+NYsC2cx6zJV`6@yLfq=$43Wi+1ciZ4x~%k;D1 zNfRG`!-@Mo;dt>*K$3uMLcx@f?Xxq`8lL{EH4vCt`e%kfG{|ZG!g>WU+NMEK*5l{u zUPbl1x#;LZ0EB0UNPAr!!yeOiW7*|j)iEZKGrLynQ>mL7UpS7~;0Yw_z_wX@VtAG2 zpu+?MeR%lcwj5IiUyTo%)crl1yZh56wHH}-u-1+Owbji7fj|Ur z$*cW+n!~HRX_u@1s<%SA^|Z*Kd8(t(m?IfyikzE2+SlZYbN9X%0u^0f+V2WHN95;H z{tcmsq7ua)d5r`oJ&$7h3mA29upA#=wvY!Qyn@<4O2zAnBm%>PqUr&w%sso&aUOND zv}r~m+dAT^{<58Xq~UPKAzjXV2n3zcQ918zdb}lA-l+6fM&Q+0|1JGTSND$uIW24B zT)RI{GsNs}J^fcN2+R?i2^%7B$5HO*e@wTZD3AIa!5_UFHV$}-IthZdmolWuzLMs~ zC+E=R1?*I|qg4h@aykQ86*udrmqh{F@W+lJCvQZ$Y_iQP{q41C{79LMGY`*y0HF?L zaNAPS!9OkFFG@6Jhytk4)OBQuw2(M9y1_mquYE|0w~0s+yu~_-K54^DAidZ^{0iOC z6h!LVu^E%rrolg0zXDaN6q~A1+O~5eG!IV$-&7#ItypM|Eo)hRfKiE`A(|U?L zMDFYu?&9`@7G4>Rn$lf>NNNMq5QBka? z?Y^5hD8ATNw>};|>n<_uaFH$eM#Wh(V7B?rO}Vcc496cq3ddMfOwmTxV5t{X2@=jF zX)OvCK_@xAjRrr#c)6#Hclk0dUqURn;))^VaGhaw$A;O>&0zIYg&YJs;73By?o8yX zGBJ#nALByHJ(L)@*gR;+5iUqMb_8^)YkcYy@^0@xfw~%^*(w;`{9@Y*=0(-y2d8QY z$r^7i=KMsfNm@C)=uOl#h_qPDw3osBP@4r9BlfL_>hwzH`D+` z0zrjE6Wb~bYb!ANP(+isD)$1RU4n5Z5Ut&na11WZODPbsCK%4mM@R%M_Q1pW0O&Pk zv163;WvctLPrOQ%Xym*OFNG|5WQ|@NSj`d7~Oiiy@IpTh4%kdrr(IJ?(=IQoQDf81`E72tJ`;p zO`DcCGc8P)&kRgQWxbdLu_~p5IpR~sI!S;Snm1Hz1xxgmnr52Y;GQi~Ds6aP5ei6Z zAsZP~5<4WuuI{j2O_v`YKBoTD3dO*;6U)?{PkzRAtAc!`BcV@L1N*w5DepnS}%G9cTM=H2$_(xX{ONc z{9MA9I1(b7%mc%13OW;gnGl++1=nxN8p`pCw7q_81c$~9H?H_h=%$@bhwWhSJdH7$ zF8P$X_`LZRKZo}mR=qe!eNam41XT9%{;SQxp64tfZsGV%U%cjp&m3n_LP3p--_c>& zMrgD4T70*)%_iJRzk(TQHLjjM4R&%|4h=Sih?6yLti$C}vr>yWd{9X?5!-AeU>)iUOn3nCxrect3Xiw3~)t69|ixES9jWC&%O}IM~%pg*Te6 z^N5#&ws#_amAEZjjh!462`q)Lmz3y zr;fzXE+;rhKWPu#CZ?dzvA02x%WOa-k92{rL~tU#x6NZZ;3|&(;j2US4FC5hBCY%A zcLcF}=g+_^bNbchYs6Re1Oea6X*o$wy%Ba!FJ8T>Do5x#uCey@o_tUZLQm8fA8@c8 z+d*eD5X?)C`-V6PxVlSe$8PGixwLc6C2(rFez!-a=javqyY1im2-pMV^uEIW$v;cW zfl{t|$?}|)G&LqZjs2E=!2ua7Kxh7q%9Zp>^r(xKC!kAM)nz<}r#t8VC%t)h#N@RX zAt0GH?z~)h4ES?anvvm@`%;4&lkKj#{c*{At9fZWFT18Nt*uSEWy|pK{a$vUgCdor z`!=EUNr{B>ZJ+dq09DDcPr~{O{jR}XgdE>CgxU{p_C1i{*+5>1T`rJq7UgOrmoS!WKjt&#v+~TRR+A$1o9~bp^F?steq-ymzyBL%$r7bT?kWCqSYv{>5zT(h} z-zy5eNOBvpgZpfgu32P5)C*~&h{X38nNxXANC#8A->>A0EPfbX59TubiOejprm$I< z@L5yV2E%llF*cYjZ%&TJ@6A}0nfKTGo?|TO=i}sR!Q_Rt^uBl1?9$4~mxLSsKXeIP zhUGq=FK3V;Mn3%s3u?P2};4Hqtx|5XTel(||~4f5oQt^m7-*mp9R_6y{q1f=|J@*5TbVMO^))aNvpMuPQFI zi6<(3%Y{dLI##h!+%Lo{`|$MSJ*4vFCn4|rxNp?`G?~n~=O&0=>6plFdVEm<;m9h- zJjWQRTcj*4ymjZEWV83BLHQmMf~}=|Ob66)@2=63MSR;4f%B>Neuv+sih*dp1r>IF zG6r|&Kf7*q(m@ipUy(tEqoYVLG~%~i6sE=B^ureCY$!UkysKKJmoLx!&v-)`*Ox~{ zaY=jmPAPvy9?Skxe%AwL>weJ|gVJ{wi6~Pjp!aVu{DB!|R=FAcsz$s#5 z(;Tiy=jSh9s zp1P=s&Lb`h1I2yb75=i#WkRIsLXpC2Vb7;IrII_aKVL6Py7g-%1d~>0^#1$H1+Tp* zqw_w{ehOa=SNh2Qt*?PwSR@0|5}F2l0}I9d>?}7?kw?6#d2Dh#DrUak)Onl**I_Ok zkBN!mPtB8_VU=2kZOERo(f1Q8Lpw4SkA3eh=7We)QBjqLN({J}QU?x=ui#IEAtL=9?K@MuFdh>&cId{x0_YO`yZrSJ0 z&(7RMCPW=ZRQF|qjqg^U{PB9czgoOs>Kh5XKtvQ84kbvt?qQ~5P)>6|txpFn_`T=e zsrJ-P8^n}Vw4iJq&4hGzexct2^tll{{wD+8OSg|6%6Y$n&LZ36mhQ8bjE063Ho5+N z%>EWZ3~VdY$G;LPUjNr|8|;S7tE7Xx`bIA$4rL<|{1?zEnHyn1W_Lh)LiAi=#EA2U zQmY_~H6bJp48f$Y86R_7LZh}EzrgiY=>OdFLy)@{aUAj^7Z!q%a)s@kEl|d=j!T(a zih;TA^89Uap>tYn>B>w^UT>Am>`oG`+5C8r!E{emsVrLz^KP4N_0O+d;}s8LSyO|? z=hNKjMrOCkMpLElCK5*lE+a-(AJQx{ZMLNX9hWRuqqkJn&QwKmEFDJ`1W@q^=X_4@ z1mAq=6Ows_MX?^W%rpfxRlO4xUk%$s{OI5GcBO97)i|LE|5CMRIOvdzvcye z+*kvrvnp6Ktxza@AxMbC+EljM*scKBjdqD=lNz8k_r z=)UOaM1~*LUOj^31w|QkiCQ?|CSBPrk=X`%IrUY<8Mip4xW(cbKaYyi|RR*tB;f~;G{3`L-AF#HLWNc%SvjZ z8yo`@PnyDX$(r&N3jonmmim^4?x;sM^7a}$GwpY-AQE`dHy_ixQ~b71`aIohkbj^K z&CTiIXNelz89uIe`zL)s0*UhAVLI70HPl}_1#6h;xH-%pSIu| z8Qa7(jYp-9ijS=U7TZ_kC-a1lO?KZGW$?fLfN*0H*w+LNq+u$T1w%Yg%a7}?yFvSc zw-FWRc#u-pJ)C`ciMH?)s_Q;lRFd@jp=CGRu`%g`+if~Z*~>!Z?ODOl!vtl?KYYCJ z4PL#{VzeK9-!HGYMGe_1>|2X+3B{hoqsAM@qh1Sd_F6-ljFTDeUV9anCny9vJrg9S z;wCIk8Ocu_U@zcJS@Rq;7IJ=Ul5K6ynlpbz%CTb-wAAsp5f!>dN~sYXbR&#HD)_LnPGrw4*b6ZfAYTu{_>IE^#2+7 z6Fb^i@mCXb?rj&^Z5uwd7GUadUw^?lDN8Yo9v+TO%Jk6RJU^-r0Ohmx>CatgWV=fn z9cLER*9?6Tu3HR&p!|8*xvyuOYGk(3wvZ`rIqU(ITzHRRo(l)Lw=poK^e(jGyxOc6rtbnNy>35pJ>ii;*EaNdChRcx{^3FT?DG7iUH@>lnc()~pgX(OugY)4 zXn&!ZfKN))Grd*XcOLWP%&yX=blQ%V?Q1=BVZpHBI26bELf7hq?`Xezq^ozuRAP7g zXQHV86&60=w;zUyzdF|E3H;F6apWYWZ{y^&qNW&~4sZ%dq{aPntg%TBzI!;dsp~3e z{;!XV`I|RNI5Eo;!bls_RA+v!G3M@Y?4Q)Q#Gk?~vujnW7(&Cg@0sBz+t&fcvX;?! zqkb4boRVCABpNW~O0N5iB5o|jV0C9dN$|>m zbFdGG_vkqu?b)cy8)SZ--8bP}p?5L!7ph&4QpP0hor zhPr2+@Z*W8`wvZel)^aF>b26r5^+H=90m$NCt=}}Bzv6T#bUD9P(g*cd?fnq2QcEZ z2vrm^JixV);li&`1SdAXikQwtuwffg=f*D;IJ|ymB8)vJJW*$p3t8+s-&L8Z`e0rg zSA3v18TVQK_=OLWs;00`u`~BiK9zoS-OsDR0R(^!ig!ytHQq!T0@{)4y1DDn5QRs8 zl2lFO)eQG8Nyv)dkU}X~-{;xpLeBx*nvA{1f%@@Pet2C#5}c2JA|Uy@xaL7_3>D)S zC2ZFHek5AmTmi+}NViB3#m<0vfk<3J8x4UMnJe460NXl$|JHW{YTU7oLK}y2jb=+* zf=sR3YPU>YQTSnP`d1LUJ`sbbDH#G z6L*#$+wSkj(%wg6vwVHAb2fp$5^m4A%M+Na-)Ei)VioLT zKj;^o=R$_*=9qNJ1D?=Zse(|e2Q39>-_@#*F=9nF22fgJAq8tb1Ghg@wVk9slF|*O z=E`_biUrsC~1{*+N=KqbZ#_mi5J!vn)7O~csTrfEI* z4*uQ5x!(fc%D?c`@`sTWIy3HFUqEIV0x&K#MUX6wZM27D?$%TeL_s89xfiHK;C^eo zO~Q`rzolB9WXVxOw|C{+nsKfx97&p*Wx8UVPEuFeryZ-i<{bicK32b+EI&9(2Ch00o?w)V-<&8Y6Gw2$MD&fa*V0^neG4 z0LNVi3U!K=2)sJ?i!8{15c!zc@e3XRntdWvU4J zkM7YAyGw{{4?J$k$3Fn)5+cCJe6i=X7zR*mD&NQe$DE*jOnkad^7b1CB^BlRAWL8T zvjOe)lL+m+qGq?AO?H7#|CgmmaNwSx*cxN8&TVNK>*;Lk8ms-Zso4J|5iVQFjAgUL6TMqxX1h=7r!FM$OY@$gXwHg|zw0Ps9`2S{G`2e0p&=C?!Md$e2gMCpfZBtkAEzWtSR1j|Ptj zC*Aeo4NpaF!A%L@dp<45ogbm#B8N`K0aojTy}989XZqqBncJSF`QW#KPBkbJk+HWX zaffwvp$;!z;EQgn+dfii+Dl(;%;8}ut{0L8W8&HnC&ybw%rJVzH+Gi-b7d(@2}*F^brGLUqm{ zAQYt}q5`ipC6BMhW(ozi{>0i9vIRfxvi+*&z+|s4+BiCbvElAPg#x-HR_Rw*VVD+p z9jn%*p4n-TxnhceS&Qh?VN4?fmKzlcLa4O~M9OiQ3F~u!8UdRiG#3Z&_u3Ov+!q#7 z^4QZHrl7Dy%lNUEc;lwEBf4ANFdUypFBxr_>WS{g0t8=o4{kic?@u_vZWxG}iHx4Y z?B~Mgn+=D1zw*mc*M1ugx*C#Od=O8l#p{ljdAIo^~D^8UZx*QU4;;D9uX5py({9e zzu9oKFE)HK)TZyo?d)#kSD~En=WHgctAkxh2Zy9d)Rf|PZA2Hgxwtm5dIJ_zVeRtr zpU6@j7h$%vxz2j|;Xr_WTB-?QG!z0@%?=JR9!nA`^@}p%QHKL%SZHoglri8C$SW?e zV*tEp1&f5j{B_S1!(fM7QOM?qRcA-gRW()azLHE9_q%F4^2~Z2`oX%E8SjB>e=$UH zQ`$t9a|i_1x0j)E-`E_3=)pYVq&gH>o9H}Cu8tl;fz{p`PmdB7yW`{K+okR@%7da> zTkA-C{hnCx--Nzkgdsv8IaQD)rc~(t7Yq%0Z+~+7sEeaFdnIdqPlkt|W@gA0>_G@~ z*pV}@qNujG-tI3d~3&JIgNdW9;cQns&we&$Y!THa!&r_-ce%1W<;Pqb=#J|@@J>}&Jzo1}Dy1TLT-NnKc zJkiTc2hq!YhZ)fpQ|0ra1Ka=+m*r8l{XezjGC}U-mX?p^wVe&gGwEJazPO8qD2>H81 zsms;L$^g0loKz=ZirJoGDwz}xYEA`dmP8Hr-Sk}moU$-jFyng>TUeO*K`*64(dh2! z9isWsX^-l2^8Z1W|JTVQ8_8TU_D^rBDz*X#*kH_KHY8WQ^C|X_H)BgfC^H8tb`o?A zCK&LZxuOGADy|YN2f@W=W>$d8T4*-+EW@OU+L1;^7#UMXN4gLQNnB%D7#ewRKaw;Y zb|w%4!w>|neGrgPzvZ&%{a_n9j$ub!&dRhxLIkEE+lRO8aCw7_$0i2aAm~u07)D-} zimYN2-j;5VUCFisD=iP~iN+B-dTFW23*ZP~XRhkg5j)E5q`S1*<)zcp|r(QU)U|i%K zFrPCF`U-L`=wU>6sV$YT<*X*~Bg4Y#_RDZhSbmuagLuiU_^2@@mHH{Ts$ceCu`<`h zGe95!lbiV!Yd#k(2554N%&XcuHx#)n0YVRqqZBrPa8}~G0x$x7VsW#0sL@j?K#M6~ zLxO?01?BO_y|ql>hEbMOgr%18ygH)$Umu}!$^_HAkJms7~3G-_A~d|$4d8!u8(8<^~%@klkxVEA6!BdpLh zr0cmH48*M2_k^5H2^k_G(mXDTs0^E@_tVcidgmfjU5hj@tgh6t}I z=}k#}ndPaYW%^4IlAe*hvJ$kcurLGhhQ$t#k^c9-K_=i<8Qypln0p8u=Lq3X{7NV! z{LQRLN0uxVHp}{VH`eUY^RsQXRrS|Nu4#6tBq7&|#{c0008$X!2$Xa5&$6IB?lqL# z%1)GpjJ1~nzf(4by-;>n$FI$P_{)>))~==DXk88v9{S;Z)*NKv-;4_<0JRhBp3+yJ*sU5dr;umQr!43YfNG58cI=~N5#qhfcA1?$>BIQRem zkDXM=NZ<+e%=J~9(CkpG8M4H%6pntqeOK}j_1O4AZRvexdUj`aE9N(=CFK3tX8JGu z_urNMj8&VCC4u-yQ$M+>^7h++`tp8pAso5+OOI)z*dl`(X*xkWOlZSX&IiG?pS9Xj zc-nWro+%z4l-CE5y^71yIdvb%TJA!Obn*ZfQu}%FPY;vh8^U@5_W)moZV7>w(|xf& zPjG|tzU&^50Wk)ss*J9`B+rZja0$$714k`$Deo(`z`OBR$B8*obsYB$_6U#4oAWw{ zzOwI7evSV%Zi?m;ovv&kZ@T-bCw z?6jQeHtg6?56r$alPyn<9uB3vhxQg{{xZ{D8^J63kK;}&oW}RQQNBz=0A;>U+Lg1S z@ut+0g*5kD$%LN7m;-$a`iOtT9dh|pAxHUtgVO&)fAuvAjfPDD*^ZBJ7)cHL{br^w z)qBej@3+%uvoxO?aCx}h@HnCq#QLdWKN?JgG~ef<9^)w7NfMGE0gKTV4cHl8j?JL) zo^kSy(*Nb;lNW_ge(1$3si9IOxFyI!NN9_pT-CmioKdlgA@^a>+as+?7lhG*)p63| zx-%x#@woCt69*!&BCTFx%iRDS$f|*OU=F!bDEzt@&(+YvPl_Nnv@!`fY2ZB&dW7P*a|~-F|G;!pcxA;FR_&-Ejrbps|jCM4HgS7N)!qITkBB>KkwNH4l)dc zFW8V98Sm+V0V1Z0oe_L?HXZ^JBf}J}8D%`0(K=?}sj1>MARKEudivoHbre0v{ELHX zUs6;3$~d?ReRrYz^-LW+F`;XvEwm!a-`^CbOm8kJqj345>2|COiRQe7v9d=<1{b>B zqP>SVna#P%2FZLeCBP_pzHNbSF7Tw+Qm&%UifNygYpvfRHMs=Z`KhJNJ!`aXlkwPH zxx1~;F?#m-UPz2(tziF(kav?l_|e{E_eO*J)mvMWLY(N|+v>+0PRt#?2wmqnd8!HF z80p1S_V38?c#^%BSgZx6q1YPxpFK&*>&xe42WQy>#!q|)GtQNG{qRS(q&z-CF}2Z2 zb`d$S#I5!e6d1UX22KR<*GwsTmIES8W^|Cl1lzVCgNMk5K`LyFmv9WW0%7Z*75i-M|}E{+OVJ5Js8UR^kP~!<1M*>zoBhlp{Y3AWF8D3vTX*loO!+| zS@6xXaSv=R*zQy5yEYlSuA*&qM^?#V&0Wk*q zdeoBufz?CQftQ#m;;qeFY)I`?Z2Q}Luc~t1&`;Ce-)~dSMpwWY-5q9vhw-SrMf)w! zUq-aeEN^^SLy`5m(Se6Rn3^*Dbi;`Fp$%@V<}iW$d<70t<}+w>1ws}Hf?cxi;ZQ-3 zr?UMt1jo>6E=n{Yr0^)@K-|_{AnWp7=q)+YpY~rS-0XOeVmD7&$T>`{>vBsAYRY9` z4VItVET&amT2Flk$B}C~8MatPFSsh31K8>WxVg=haf;eOGSg_p7X*0lAa4rP?ruo~ zHnIa{emR({y}f&4{K@}0gH63gQ6pI)&Upag(};iHOerp_M$LF7Y__)g(qR z?$?(vB2Ls0^>L-iH(wKVJwv;o7mYso@Y8c}_p<{L;d$Pcj9bsv-taLheH$vPe4pN2 zWEpomiMFweuHw9bqd5@lj_Uu|nG^)gsM}NI4kQ|uIeLzZf5zp-_twD|;0aO(RD)OU zWv&?8Zd)Xs z-!eM`C^HZ;En?#CUh^-g;>};EA}nCH(&&RZ;2*x!L6rmO(~|UMy%z2b|J>b(l>fmc zPaOxwk)WHc%azKsZ@fW%XHZ51)z>v4LrLbBL+oF}peW z{)n=CFQJC{KM48%+a>%jkXUP9QU>7gu_t!kuzTK-aA-0lUX(w_wPs*l z(5@~K9l6VBE3Q`$f9^1ts*8j40Ux4(U|s6a!2~6i9wdgMT1#%p^|84#aAbkX>q%HO z6-*f=-y66aBwdNRuJBzEqmj_5z1zjQ+hM{bdCMWq1S7>GE!8TmSH*KISuF4=6|{BD zzf@?6vZ0hhr{>j3S`9xF=+u^%s+li0G6*BK?Sc+NA;!}$QSS5)WZIL zEFgxK_Wa^=q;v7_ux?Wx{3O3dX+9jK!Dp##$ZOSn)3}zD^IaWolsO3yS7HiIi*L}* z@H-9=Say+l%d?v85v<8N=Yjd4tZLRtTV?LVE7!{D6kqjT+x2J zju67hI2+^VpZn!MxUdYiObRQOS!txiJ>NhtX2({xp|U&EA7&qkK<8qU4a( ztM*gZ$~1RO@+k^bSb8(r0E5a%goIUnX)Zwxuw{s^}r#D_^>3iAj^1`Kxq> z7t*9-@re_!{7?!X+ljZ+(^kuyqO2u-0#$g3a@uD`C#7*^cH|fO3vKN8gr%jp>f96CZ=>;@H_soJ|)4@JB6i~_W zlV7qRjc-ud2r{NZQ+b>nDIt4jhzAVV3B0k9VfvUFz%8~5LWYh35-`Al&dgk}n@@`2 zG7njsd|GEGE`A9bl2b0U%yf1os@Bk`W1-kTUTg)i|7lJEqSN-jiYM#>8xsb0qco{X zaTP>-nb&ALFFXnvM&<0ry|91!*0^j?rV7xB4x!ILrX_2&g+raQ#{6^yBAKh7QRO<* zJul(`P4u)hqHB}i)p!-uR#$G{7tSVCO+-@K@$`8cyK*~&q@>u+E}oYAjn%&sXo?WY z^Yf-K?Cv(OauqxLJ~S2_EzMOV58BynxhTcyc<7@uPmOnh7>>jOh9Gj@Ng%KXMQCuB zKT9_dUf*b+9QTSWe1hB{#>i|c3<)n=hv#w5^MJ2jHg(j1it5GKl&_`M{n+-G5F8)s z@$0t^PPJ=0JOYi_A$TPCj(@Bphi=96QiMd}h8*Mgv;Kdg z`G24a=Oz{?xAt+BMBDrPK9zP%&FWcPU%#eC;GC5U?Ptmu3SAtr z_W8}b!K60^s;VO<_Wncw;m=@P=0!Pd8n%@aM&7$i_)dCFeUqj9zVqD~G1?IqG*5ERkvZizhfFealbC2Ci zL70mt&FyYC+`(rC|GRJeMeMDuP&U*2h11V8w$-o|Ai>MB+=7yQSd3WDYk=*LsTVup z1^%Dp2O`FN@L70c6eCfp{77Q87U7KPv#-|-zV9Q26cmsQP^eT%SzuRgLV;aJW8WzI zwob04Fa-qFLvhH}Ti6HXWo*)4cPrzL`WW3vWQZ4%AOyfUe4x?<8ONN5t=tIPYz!v% z_*RbRrOAQ{Nx^4gLE}xb2pX|U44#^^P+gN&Lw4`cmzOkWqg6`Yl3~>1q8WPlhG(}` zDI0f&1-$fh5N_!Z1NYE9dvBVDA4c99k;}^T5h5NG4`-&Ie<62$9Qhl&CM(J)!2@D1 zSW*)z3^{Dl4Nrfk24>`>pb9@D3aj7oFe7U(e5)S{xfu+a7@=wr+@_PfYJ4h*_rzBg zpDrZ)nj5VV|EdvXTDIan>V^?bnfsippD~bu75x_c5v_ED>+ccoDIUqGr%kdNs{5QBG!TZrr1R8Q z`Xj$aPRSDe)LPoLz97(dV*75B7wsp-wOojmX0k3v6E8=z5I)|DL3CjYV)om=Oi?En z#N5|~XnKQnI)W+Qbu|*6_Iu~!FmsSpC9}Avb={;I)c#KJWv23Gih?Z*;u#Ue%XcU7 z%2Mn>X7n;m>S*#wO4X;%KkQ%;yYT^li0a_W+)EZk+tek_CxeAmau;HnYK5Odx!6@p zm1DUJbv-z2bnw3hp}f&;*ASUFwx2?U_Gk3ZA%UtxB9(HhG+K?*9eykbmohH8Q*Tx0 zx@D@uyGYuESp7Y?-3f9*v^C@NhzRLO(P zh6`wDq-JGrrvD1WBcc0KjR_>mcf+Oppy_F9tm>Fg1x?@~n0QA0i`8ws{Nu+XdbgX2 z&S46S=S#s6n`bN8kbP%b1!vh@s3F(=-c z6mXNS_-$l!<#Tg=12SmwB~G)C9_+@0orAQ>Gz z>|XCiL^<~R|Mev(kc>|M%I%%a3tlmg)6I2uw8xdk{-tICY-eJj*jagP)oS%dn)B4x z8uoshrxkQ0#fS4`Z3f!Yp*tz0j{2K)jdk~>msxG;(r)FZvIrp*WpglOmw+opLV9Q4 z(r5C#ZdagIuF}j)1eBGvy}i4-0$|NIk&$DHV7UIx?X>_^97s9QG#9)?l}^1hZf%@g z@#epnU>#{0;8wep4Wq4`Mw?%s{?Y6}QL5dZ`CpmmbYJ}_K>Hp+)L_y#>-`far?yl| zTq76)x*jlY$C0`18P*zV_S~fHLHXG8)I97ks^T7Nn%ShrpkxBQHQOJ!^Bp%%m-n9n zb!{;<107VNy>xZa3fRO3jVd!79JWN4i#Qjg7azv13+RmPjva&a;`kB(bGQ!~N} zuH?v|Q~dTY!RVN1vk7F~qkqZlJpLiG+bw&K`}RM(ML2@I#D~XZX@XUSGJ%*}f`d$2ZI&z{N+pfil-_?Ld|vT^}O3iJqZ2msJW)^0Z-Lu+v75$CP;SgzxhV z%5+F-N~H5{xN74a7^G#VCDY&M_?sqB@Nm6Bb_ILWG+wZM9^-m($n|*)aIxG>36t<|>= z)gfi+qNoxfGw{;;hPiR>J%C~N+_vD=?l3t^8O4vyaDyG`U}NuA3FS$weQ2Xj%)@#4o?w;B_EoaRJDblS+b z5In^tPqot=?n&qAOXubcq&Li5juw`lloCmP*5u^EP!vNhoo7iWJzB$TBI{hw+2F-W z{5Y%$8{vEGBnWeIT&}W~{YV(DiDKE-CnnV^8C6G^=#9`+*G*~?i#r*860dznw2bPh zU&niyU5bA9PHVv>BMfvbb?Ldj4xkt41t8D6WUsE|t>m*peN4pn+rx_c?zrO9@Qu01 zbK@%uMMQ9&S~_&?HhtJ2%>o?3@ON+z>|~V?Y%Q&up&kxh+f&&Kt(o*T#{|uV2&pCSZa}K?v1cDmDKYN-Y9f}+ zR2h~2OpBIk=R4X*Ek16@QP2p;;L}rm>?o(Iy7s0d6PwNf38R+c81r(r!&0DUeamK{ zKj4f6yKZSluMfa-;6UoR_KAIpaq|o*6PvOx#)^o&Cav~1ue+{@i8fiPnHI;QOnlZO zhWe&Mee3&@*ZRFw#1d02~t7yzT-VmuIS}kzR#HeX(Ogr2P$6Ryu75GA#!IBPJ<5WXPIU zAwhgDHvcng`HR>y-vd$ith1EAIa$wI<@MoRskjZwbX)t|ojaSnXvY6M1>c8}fYn)zjjz4$%i3nVVVmg@;NRKF1 zaBfD|w?YD^e;*SG6ddq{8d+!J5Zx%TGgozJ@Tlf}#(OYGCoy@Di$_W^;YMitzxzJ%kmi9T_DVs*{T_WxHuqCK9vgmp8{qx#S?G*;?N} ztvyu!reBlQ)n+q;awnZd+{Uy7CVChCW7RH&6o;4AKQhth=;|Y_~y?PaZh&r zqJZWNsu5VVsC<5hd;~DyAyM+?jtWH8HdlYflAtMu%==_}yzkVBJ90ohd?2o>o5*($ zT~XXu_<$s8?KiT z_i#_;sk~RYUFfacU*R%B{oCo!iZ1+ObpVHt*8bRmJ$I);2B{)~c0BNr>iFd580&5o zdD4kSHI^S!_E(!dsps-;CKLwcjZK25oE{AW6SQ0vz&u&VQp>58PrN>_P#UIiTLeJs zM`m+0;61MW*-QMqtgoNAM9QgV>zN&iEhP5oZO#ZohK1J!d=mBW-K2M1J_R;d=w8Em zunXBwTu9afX8N-dSa_FIj9Nf>?q5ebS5aYt!2^?*?I`wl$%8w5GdN^>tVpkOk6u7&k=}>w zX?%75Mi-MBbn-{d2YA2UsUZvEMDHuxu>zFN*m6xGr*PfvwC!@1b|!lU9jg6tdwYIV zEVxVSp_LhmFy7L$?Nq=hRjf9UcG9&b<>7*q*RRi4Uxqp5DD5|@f_#!R!MRO71s`Hu zVKT4bE*ldzIW0+(rX#O=nkSvhzWm^^HT}W;c97-mi1F0zudn%@+eRlI3uRmU<|+F- zQ7SaK`@A*pTyAUOz|HmasAop0J`74f&o}Z7Rna}y?@PJu;bl;?kT0sBGSke`yfj|R z-Sh=##hf5$$6IVd;GK1=AY1BDwzNmBh=4QqjszTld|H3Nyz?S;}yO&9d@oCBEJw z2ZJe3zsAjF8XS4NSGnkzqhX~!bagx@y<-8GBB_%pi0Jo9sQFDPc6uh-b3Ho)vqduV zB#rWQ0kVQ88cxZ1f?VzZSHAE@Q3!|PKM^8TuG6L@PiNz%>R*T?Ot5n)4>Csqs& z&3?lMn?gdn?+G86Ju%LFGPTZ*z$scjj-ARD`B8_*MTG8f@8fw9LIf*GhK^{uhC`*o z#@aB4W%T~71t<}M%5feKE7;`rrV<-$V05qD_-x{`N_Y-J;y@k@PmEO9YP)pICV6qxXd8=lRXm4=h_-H#YazpRcn2*U;G!s0In`bZ)IsGbQwNwk zt-nAm)&J@gDR5lRs$~!iIecm4<2)jYtRatXSXIpVHhD5sw)Q(N1I@F?fo7B+4&nkG z9o7&2hI`olq~>JpGpF;eDGBwRSHSuxZz527yM-`KCQGyC2FD|Klh}V<{;vDz;Tx)dZ7GLe{l#w)JF!9N z|FA0%*|Ar8&SKiC?oG!)N|{%x`H>drfNMSWTxiY+rW(OFO19?+*THj1W=6~AMkiX# zr`b75e;hG%I{oojG+T%iUsy~yW@D{R)`-X{#IPlTLse@S@$)gBB-Fyk#Q769Y$G}8 zs*?EG9A_Qu*aenJ2*{D0(dH0^bxwN99m(0J-gvU^xd{C|c3LbD}NxU4jLDM1tfdshhGO z40xWsu>ooJBeQye420w9$segbnMm|X=(R^26v^Yos85PqipQ2|>k?U@cv#r=3m2F- zZe&&*`0|-CX#?FOD=C|FPhdOBupNb>{Iu6bsO6*W*Ed40<%SlXl~%kIVyI$giVv?TCD@6 z(NPX%-TfU=2AuJrUOEngU*X@?B&DV%j^rHIpC+=n(2IGl%Lc{go|PD$HdYdQs~*4X zm@M-tNqdS!J40^G#KeY8mAxwpe0l^1s#6Va?Ysh8ln&JXq5J`wk8Qa(DIWbm;02ttlE0eVB#S5`!{{+*>eY86=d>($^2eQuPH1CP*5?J5E1I=N;l z`ZW<)Ml=)+KnJa^LA`eWMB%*@9W&d1CGPGgUpeQv{qfB0w^&XV7N^-fzuwb>v+<3L zFAm=(IVYLYv+7)Ad~l5JWv9y98uE%>6p5Km4dst1!It@_({Ota%0}=tG9AT`t|U&4 z@%XqDacY-~3ym#9s@EA2r*Z;Cn)m8+OYf6HLABhAq?)*YW=%G*d zX@gXZ0%vEB-j47xClM}y@UHTBrdOcu6=}uSPJ4GjForio; zXO`BXrcNokP-^SR@LZMcu6IPYKIq3zB8fmi@g5VMU3fCMYY&dexW_;PQ8A{DLo>#H zE(V_qrCHm!?W8m#z7kg7x^xxe?iNATsgA4@@)Vd_j7o7bM?uY=Fj z@_vSE?hb)Pn25Uhr2PP_oV%MDW3h9ip_>8f-44Q>AWPm4W_1Z+{xkfq6IoeBb2?tY zp&vwYYokWK6aJ0WsBYU-qVG9px*^+ZJICjbRSNG){no&fu<}x}WsOp>3kA6^8?tuz zmmAJ&jU8>3&JNhNNd1Qj0bZZlVNn{jc=6-wvb5hq>vWTE@0m(?*J|~ZqwG1t|K!u@ zc^^F*ZSTH}IT0?RO>GB7i~i>M`Cr{zj4%+BjbYd45B`kY_uadh%NH&oC@v=Z{|O-7mdCsd^}DTpY6|@y`I;BG*ZUS~bfJ^?BBbvsCvIR|$UzBkDueG*A6yi!ynVqV*a{Jp$>Qh%kgPX|u2 z5eb!K{9r0at*F23#QeQ007|vV<_x}Z^5SON?lA4)J5e#Z463* zPH-qTB{__SK`Gj{OUOJZKgsMPj0J%Yeq3(TCCq|gCq_#?OH!a)=!y>qeN=pcPhqzK z?1SuU+~G#s^3O1D`s<|lGq1-F z+z?(}1chLSS8qtab3*~V1|T`rzw(l(4o&t>O{J+?7FZ(rJ62>tjA2gvxf65GiDSK> z0h8j;*pb1LoY>2E>pkpEN_#g$Z8M_*#*ttut~%nzE%E?P-)*nNpnBnn(n3~g@uXDk z@=%M!H{LERE_cN)0aU_~4+q?{xe1tcz_vEY&Vyu=-+s2mMeau2R*C%SAdOw^O-t`TN;D*t-9*j=X zF;-xMgjE|bVEzNRnKfwFny=fh@Z&E;qBx)?kBL&50_Ew__}Vs#1N2RFNom2IMwU8= zY(I0^;$#fs>j8)vNr(u+CE}7{=)Vl0h>H7U1FAqxOiUM514Q5LQ#Mh>ScE?|RjrkO zybaw2e8Y&A>P=-vXvmBSIucLIYt8A0O%ZFgUJgks%`m&|i4H;^rqw2Id(ZWRL;XIL zzq_MLPoBNs6*?h z?}y(P-o7Te>gMqpz16@K3#;#FO;N3;n5d?3d9HZP9+8oRXHM+U|5$rXq*(AITM1C_ z=Dv6=gD~d+M7X75s+QF@?QQKly493_rDkZ_W1xRxtn)xBD5$Y$L@FpSnHO!O0KvGZ zQh2E@@yz~T;THcbUP4FGB{Dwz>w(aU*U=Pkhtf8)13tby6Q92z#{`;_uaQ5zJX=`o zi+pfzAFE$kIhNzS6wq+@mp;`-+l+swqlxBd-6(VUE86&MdQVTs8UO8BH!5_}P~pbz z=2|wRR4@_K<+pY7ao4{;ZaMDpn~`;|3F48yq69@=InRvT|3f-ao&jmJ=*?Wb%E95b z`0*Y!Wd0XlU;QKVvvTpWb@g#wuNf)QYt^X#Zwj28K-F2fA!G^NE|%?kIYpC`ZnCQ+ zwY;{7&P0r68UFozj5ck?MetzT`kLpsR`H|YOXiqAEA_f`hwykU;H0seqW>tJwwx4p@Oz zbH(V<$3IL_vuL!A>()`Q2Q%+NR=SO`4;q8_TJNwi`MtBEjxC&z@X;1vE6Es}x@nn? z1?I=6j3>mJgqfd=BjwPYPsb@!y5&Sf69Ph?_?3Z;n)Ds>ACuGv9REh~kXKS@?UEUk zpfN)KBg~!NgXwhbX*kX@b3vjVaQwMGZ7;X1eE0UkF&0jb2ZC(P06@UH!xmpdD5rox zXfqCjf<%o*WG?ne(T(=lIBt$G7XOiSLQTKTU9F`c(ER<#?|=@q7gK(B zGnP{UMUD=R;?92THpXNCTnO9Kh}ff98?fV3l3(=Vy*6GF;NG)YzeL9zk@eaH_h60> z@%>n22sI!L3(oi&Sfp86(s!?IlBzcux`ox0rb>K9z=1v;UJ zh-)$#nk|H}>P5s#?RVRX2?FzVG4OoYKY9wrbF zuNNrKH=99{h{h#nX0)xg(FQO}}5Uus~k&(mMnn3rKb!y*$mBVw%(4W~;W z-Xok6T$wa^3|8SU&Igo(mM$`sL~Jf4$e@t)!meNEmG2LNo;$S{#+kVo8`M6wc!O&u z6^r#j_xyTWZ}-)+N4{CN<2?0W)delfZ666JiWOWYKT@^Tn|)UdOA+`uy5*Srb(ghw zL3BQZvk4#9+Y-BU3T&ybLS0cVDbk|s>YrOU)YJj3qd6MbroaYLmLx-vKv;=;eyI_t zcHeaa34cJ|LG7K$oGfTAr9b+c4x4MT2KBIdJ|7iz?Z*XMx?|WFa7-S&=zlix-9axm zg(bYJit{^rCIkavK6;uE$lwz}G3~7Nf_OcuEJz6={?4S8W?S}`%tRlXkA%o|Bld5R%$Vlb22?%l!sTRv4Pxt0 z;XUVKJ<;{;w4taZE#sN*51K!BM=@zr1isEin|Lr9!+yw`MYGUpdt0NJDh{eGM%-IJLSmpvp%^R~+069wkd1o-xJ~Z80b#!F+`apOy zB1y|YCmI26P&pSsq*L z4{g*|oQH0_rD2r(`rFjgEEJ1tUbOUa3-S~Lq{m+D=x}&;9*k4};p%IR#$jOR19`$2 zMxRD^sUN3bZ@Q(Yl_)SlR{pGI=-48`fTd$yzB3E|_i1#mvGT&Xn1QeU>st*8aP&d= z{ZVx*Ce!Ut&S{p%19tpC0;CuJ7Or#H3PP~=>)rCN?YFby1L$7R%o(zr%bl!?YWe%E zAG}_%Z>9-hsV?;m=E+$=YFe8B)Vr@H+B`0mdkn%n$2 zk1lUM9KRv@KR&B}e1ILgHj?06UXXqvJr52xJTDOyNyni&(m&z_wKKp$u|!{yMQVq< zw+zu~(^ZQ2Bkt-%c2i@jl4qz?{tOxO{YWI5o(|)Y0#UaOmrpfw6pnx!nIW6nXeS7Q zlyXI@h!uF%lDpo0*~GB#M?t?wR^Ty@%VIy^wmxPE!{rf8c+sc{szRv=AYqDBV$Wdc zS;kivPOvF`yY@m7i^Go3wF62$(x~-o)M}h+$`5KE3z{~DS)IbI~hoc4&%Sp%x6!@c6 z#Rdx0;c;Sl$r8a*1VI3LX28i^PlVxS*iOk4FqzLkQq?t(68zLj*4W&l0qK|SmrP{f zTmQb2;gx=%?s^3im06lENq%v@R8@n+J~9w2H`{#e(&?&s7N zKc7J+7ul<1blD@fo9(9}jHvg@P(?uJoJexb`x-EmFlO@QM1`S)hl&rNYZ)MO2x4OR zO5vmvGoQEmJ(k}g_H#F?6T_iYS;@~OdY zV9-@FBJR3yD860^27*qa-;p2-A2VcN1O|;l|DFvO((vm1GoUPD?3 z>l0axa$BKwv_r}B5aODojnsyn-`&!n1#^l)XIvV$!r*W> zfj(H5o7{*v^SPglH(TES2eJNxUlquXLyF?VP3iqEyHY+g_cvHc4Z%!SHxcU|dd`PE z7G>d3y?l$(KUw#_(hd&mU+NwhnP2$K(03i@+(wpb{Wffp4;%c|6epO{tZ##^LNwSK zTP{9GNm`t>#1(k+Q>W?FLFN+h_-p-IYn8i|}HZOSSS5h+f=(f%|_xDm3Clp1^6mpnMhJxRg0&7FTwp zDee{}(smwelux=U$|&-Wp@GE$B3N&RSP)^r$O@j^&I;+}7 z^SAJsoy=nq8s1PT0CSNsK(h_pn#cTru(0-0%y1GJ&T@B|k1eB7{&DtGNK)v)QP0y|1fCN|VT(Lti*bk3C z0yNBHZl;-MMxqGJxa>zi03JI1`txwXL+s3smaw39+Po8peLHVEEuh*N4VqS@;4)>qMXKFTs2y%5y|)BK6JUmTX(q>R>%fT+b|bnahGkrh4i|zWZ~$| z70Dt6-=fc<4UB}`Y_QxHjqmVtm}9DrL6AwWIn5Bj6BExbAU-W@Aa%Kx!B%C5m|1%H z7g$++jS2oQJqE7F-s1mE^{U6Q>zcTpdH_Ly<=z~0$TBYJA ztEMPORMw8(CO4+^+x`40@>TEGrEsWhg>idO&xwlDvuQ~`Y_1&)ZPmi@pXaSOdNNGp zJ40N0E%f*&+bF-2KeN;JwO=xCAHAY3n*Qly$lujzM!$5O-a7MbhVZ5RJu}2s@rM{O zx7(J*=B9{pjps3jGl%oY3 z&U8I7yG?)ljyeJhJ9;o-S4chxvUEFtP1~f$*|(Wg5=^- zjAOQ|eOmT^kxOkD2~UG#Ve+n>U;Y9HN7`#O3v zCdg2BFV;NY@}NRtr%K#O17?JunVls)OIf!&TmRY?ae0Tia&?`;1QUNh9HsDj0Ova) zA(x;)UR^`@QP-pIuL&hyqG2+Lsc+-cQ>4$U8!A}3wnzauN4xu6rn&dq=J$1hbqx0h zwr0g#??!KvJ5-$~q>nXP33?zvEmMJDFxDe`jKWf5wgQ9+syCBXIic*~ zR$iOb*fJ|YK`Gmtwi;g0&p7NmO!NjGPOsc^O`h<)RWOr2B1C!-Qz*ar|GD`Gx&FEz z8U;g$7sD>nH|hXDi{~ien+w96uK;#_%Ws85Tx^DGYt#pxOcyu~>uX=@n2hu<>W!Jc zxsL?QxxDesQbt!GYfKwPoT785I{g;U8Gat=u85K!H}-#{Q;j<%GkB)@=Idj-J**pgw_kB{ zN^pR?Ch8moq~t_5=2> zjo$l8bC9F4^z?i2{smv?9<_h~gr)TS)H9eu{*FSvJ^-q&cF~W&7}F|C)^d1)ep-z;9T9G#=JO*r&u(7O-tCo+yEglN-QkOjcIE>e*71 z7-h9mA_ij1{P-I;OGiosf;*n#l7h;p1QnqgsLB>nM~=gLB9;s@C5=z+?k7uzT>>09(|A$6wU@NM@6`JY!N@L$ z4rbUSK~@fY-n1BC3Dky&v*f?<}tXXJE>0NW={Fu zp|K9B>3YKR+Iye$CYY%unCZrXEHsy?^tVC*7ay8)*j6eV?Sm=Ou>z9Pf!trRtkyZ# zg~ZIeCU+hct$laXNz`7NU%?tCM|#;YI&!X$GJ~zkds1N*_951tK;oLw<5r}J-MrK9 zc)E$L-wkGp3IIv#UC;VS8Sp{7gZ^`WRKWv9fY@QY`c#bq$B9XaDvpIyZzu-C*n#3O zGb(xG21`5v3;!sd9m0|{f@2;{!GW1$`T7=zZKx>Rf(QOF2Ys79=`|ME<@_1Q2*;!> zNbaRp#QX)xsewVK;~)qvT#kxqxJ&-C1v}yrQ8TWY0ca;-CScMWF!|wo%3>8};18Bv zbN~%GUNV$eD4v83pTr&#vuZ^m`EM8Rod8 zJEQzu$kTy>2r`$!7%nX5F%f(d8U#g?O_xb>uH>5!ksoSnes!BasikB=Frdq1zRpfz z09gfNp7$#p&ymYoWTX*e_11GYCH3w!@h(63Y6G!)qU4LuWzgzAOroXL z@2Hx4mU;Q~D$9z)V1{>F&bl(5!EKavF&5N zo|tv3@z^-c7nM)yxs>GloYnVdo<2H>zO#{n)5}Quh|@)Eg+RN+QtrJSB)4%AQ-G0f zBf8wF6CY3D-RPB1k?!YqLJl{L;?4+%J-WQ+%;LaU10VbC4xpA)X12_YZ*?vLLvNPP z)d>!mcw?TUWMPu0IU90~|IY69%gj<(qi9+gfwiw_Or9Gu`x6xhuE5YQ7?>JpFhj- zI@#zsRMf!YvY4tfG0f8mxe~d!G;Vh=be<6*!<-=a_$7O$y7f>Co%$=^kZr1NHON(C z2C=O->&dTb(ym*;!6jh~2FX3Yjv1Yps09?Nk^SFD+reJe5r^y&OU{;hU#EcVl!AOQ zHLfbmMG&zR9F*Zvjn_(<(Z|qZ#%|~>W;k9@z+V%MjyUIF)t3HTG4AMOGb3u!4~-@h zK2?*)mOZBpRyhQWsyqjbulFtmLrA5ug(eSadXbn8R1L*QXS}-NY5krUfX%~Ld0H0*Gg0PU5(Kxtdb2@Np22#I@nKMkc^7c!dIs`sO5XBP#Q%P&k0HWEB17CTW&jw18*>jKfUC92Lz(o;)h*9z9-< z2Il@`$M=tpHZKchzdso-4Jhl3!c#9@$A<#|dyaf+5EwL?G!QtKdI(AeD=iUuvZfi> zbVXrN2-75EARJI8q$PNg!t{EF;skmo?p$6ha7I_H)oRk38o(rhSwB@tJ-L%8g;QOJ zSC=yHsCFeoS&u=R>5F&rEva8@cO^Q;*HcYpBYpVjoipm^I83Dyao6jWOl=>$k`eEa zX&sMPiEW;4sincJ|LrR94cD})Y&e>sR&x-fKbmJ0iTnFV#+|fB;~J>0`caQ@Ic}FO z9B}&TlXoUT33&9|8)pWB%E6vb5913NKFAL2RJvqNxldj{*r(VF&Ar9*D*y91!2J=r z5Ck||KU(tGKgm_pj7qP+b_#-Li~$;#tEM8uhdGTuge(~bJ@;aQ17i4)HJmvL0=ukf zTC8b@DT4(cL&Rlx5%Ag}VYZ}K&eVPpRL{iKG4Y;e;P!=oHsk*&9YVJr6Z722yeUV< zkA$$cc1Qi?OSjC^CIo2{5^82ZoSw{QNd-}b2#1sir7mxSLuB;uMFxjR#Dgh%@df$g zXL05$yFwgJ^k0XNmcP{!0Tr90EyiBH$NJScaU6SQj-IfX6Pi|NxH3Mff$?eKF1VgC z!y{itlgZ6ny4h^_V>e#XYf{O@7Kl`gne=`;IHFU&w%V2aD{J)(l7XOgo$POoZ#kdeO>&=cZl8jY;4BA7 z?$lfei(e=^GY&(11b`KyNDb+Ksr%X)62VbHY)~TSUej)aw7xW4_~>=?_yiwFrQOd& z#N}jHQdKuh7M?P#H*9EA^pbFAZM zui6y1_U)A{cKhFW|2L4hWnn#coUa<*GB@_&HvK2?q8{lXe>U3+yH_M}y!VL#mW5BbdVs&8`e4iUL)7m4P6CbtPS~}(1v-gA6PX9 zkZzYHaaYEuDJzjDM5^D9SmUiox*_=7%N+m)0z;7$JBEHS+CLjCmeSbma#Sx)W0#$V z;y=57mVNy~ts77dAmm|#uqXm)KNj7@sT5YMiOs1uB{vr2=wc}N%9-nQ6A(KkcSMz9 z!SMl5C;CqOpe3Oia1xsW;e_M`4x1*~Ja}+e!%^%8rocm?=Kj<~aF1SSk(v$60>`Hw zG8Uk=;5-myLi|5V2!ltYfV6 zSe;axaO!0KPihiU?H~BKyKE9HO3yl&g#^fL2v`*6f+OnL#VQiIF02rjj6K91Q9KXc zR&R3q=tz;8N3LbR;4frSzz6L8)HCCO*|L3HK32D@RFs>-#U-L*FK@EGGh^w}qy2g6 z(l~GU`%pL1k@wiso59#k!@{yF*64lDcP$IG70Mh}@2$AQcgR7mFW*?Zi-2QKPZNI0 zuNWU_iG2ZRt0s4m_I-cnx&B7O)cba?iRrgN#J(gxp{Ju4w5RA3hGHvIX@>2Y{WDsa z>b73w8m%c7l{l@Y>iomcD-G^=GW|jI!+nsLQ<3QXH#wCNbR0w#>9;&Pz2#?rM(-4e zISrD#(4}M+EiXei(?cd_ol;DwGybv(-$;Bo9o~JB6&J?ZR#usn7m|u=EampCef!oe zGXXCWcQafm1{*8!Q1>H=*at5UNTe>8ErF^N65$#SY8ppS`2w_}~UoNYjY<`bP)1t4~hE2Y>ZP9h# z1qPB!T1RnRlk|_jhrZmO!$>{zZ#&{{)SA_P?2Ii=OmGAF@|AklF2!B>*WE4tXtU$v zxaRYk-Gx^Cj9^Aaic#5TvP{Pl6X~pv^LK7eh?J8UdVX$B&`A5J&rTH6!qP`bDKlR6 z)2>W7NAJ=$)#BbLK@eB{MPd99v1VetpSC6-O{W>1N{55zujDWe2|q-GTrgOewnYn) z*V;bZpZO8-t$@`q6wIt;lN1p402wEYD7Wh?aLr53K<<`yCM9=(O}ch*%6I$Z@#*uB zTWAF;`Q6uF>se>_QkrT5(El1|;ad8TyAJ1xg4BqAEpwz`Hlem;i!JA&^YIQGsT9e#%pZm__zrCV7lDz3Z&WR04+udW6lJmc2ka+IWkl&!8 zgg*6uSou}+Ey(x5lH|w@<_`VbC);PLz5jkbK)wR|*P9o3I1c}XYhR%7iQ*9yvF8=> z&9!lR8)c96$usiggUYb;ul_^CGu4fiSX}XG2g4&FjMc$Yf>sCj9_an4m`+Nf$i)Sx zJi@qr&4;0HvEy{ckKiFCg^D5rfd`fN+^kWL$t9RM#f9h%-b9fkg+J6)=fG+S@%4fR6P{nTi_l68U z#lxJlUu7hz#`#}syAC>#36OTxlH<7-FAw3&%z|@r`~$<9wW;XsHc<=@xgv);eltxSP{lK56a(Fj+hz zlwKqEk}4tNWyw2P^l6-3x13G4lH3|1rU?g%ZM^o^$JEkMq>hZE<-qU61*oTMK1)6T z@TDu1Ig*A}r70jv|MC)ofA?dnflKSJi8$CYH60bg6(75g>#%MXVvINrjw(iw3!7?7 zzsA*9Z`yyTTclDr2dVrGNno)cHgF}5j~}tKb0AyRCv@8keeva6=+l9mQ3!PUNhA=U zry7rc)rN%$j7?H_s{7nqv;dr9LqMZ&>eSB*%e7M>4Nr2wQ_~2=<`VT%oKp69AHC62 zXWotL-Yll_ZLRQ$&>x)3S+8OuOUJ@Y;Nx(pvAI5#X#|yUO|s}%Xj0v%?Up2*fs!cs z&(A7C)`bQcY>pLO)h4H(%y0mIU9wlvm0Vdq?Q4%#+9s#8MhsP_gD``f!bV|C|KTo@ z1<6gm49ss;6Z_RPyFTY7WbUA0)wl=fG+%6?_(6eBDl3vL9L-nbl zZVU2?p1Yp$a>$&@f~KWH;-x5-A`vDq3)@Asl8}Qo1GvWQ|f$D%O1YOB?L0^4V2ievJ#(bb;{Pa+AETI}QrIQ$ND)4Ge9s(vb` zVE}(gFaN&x$FRQozVM52gCo4lT{LCdtZLck}|? zkEf#~p1Iio#hGi}EAk;bmH)P}vEe`!G?wbKF{*HL!)py^3LAT!JWw!Gn1!Bf&3Z>d z?g)vv=T%8(o4o%BXP{*X`IIC__ZOUUvBvFZrLT2y1F}1Nq}& zXqZvoSPi$Q;upkb6A4dKdhPb85RRa;QiUke)Z~6)ae5C`;!ceCBWC*BpmwPPAf~FT z0z;2cG6d=5Wu6A<Kuf%hzDruaDs@dLMzA7 zI7f0M9E#0ZF(osJR`ry@;}i>j4S{=+!veEE2G5u?hT#x|{lGK4vqw|X{V?d$i4f@} z>MQi?XA$t0NL-UmmYC(_XZt+m^z&ygfb}e>6WqfQt)Ztce981{*)?4tOZ`9jlzZ2a zJABH0>jrjt+haZNWdCq*P*O*_ldIu@q|kZ?LJk^LRrFQDY0Foiloz4`ysKlAsSIck zB*Ka+*Z}?l-Q0F>I>V+vL{czl*-Hp+-GKtGoajjoGPqpYk#c2b(&t%8p09%R$-Eb7 zP%1wNOiJn6U&G$WJVDI^PS>O3>9^%c{ET-~(x_As>r(xvEd2o43|;2J;T6>}qLcri z2+EuuSWs`%3)M(_IKuw|^R<+_8<`LsG>J)5te-mc=H-h<=Hw056un<$4~_DDJsgv zdJJstHzE{{_Kc2|pkwcf%<}2zj7&Un!l77{;!|#qNujlehi%IA^w$Yrl51l-vAhhV5*LEk{y(I>WmKE{wmpnXaCa?G+@V0x0EHHJm*DPJ+=8@4O0nX> zrMSDh7bxxy#ohnuIeXu;?|AR|^p25F&mcgaBSCu9V6H3W` zeupAjcvAEpecBbq20v!70AYCw8G>y!isAaWvj@V&Jou9P=6-;Z?6*-Tts~)0v|+l* zTKMs#Wv#(>q5=n^iU6n^k?>$Q5qGr4N`?nn))LF_el0KBU3$VY?`+%r(#$$saj|Um)=|h2$(A99d zs1)(?^4;cGj6lS0lg28!dd;&x|L1t;M)C&|<-HD6ROMt2!u1EH+amluEaIR0y!n0o zA6vhZQklvfKStsulTv6nn1z(881+r$8=Tr0IVaG?Zt_+z-(O^J zcA!XdN>GfoTd#FUD;PdH2m9x^mqM-BJhZ!SqX(Y%^)da0Mk^#XQ9cY%cTv2ZD1n6H z|5}_4&oIFOo8XA}`K68h_$v1V5WjR{K(2L9rHK3bA4P)QE5t3Vv^o__S&hFS+X6nd zzE6Y%{U#GK48~l5MzMzP)!_}7S=}ZT{Xr8wSt@nX@CJ#JA6(3nL-}O2sn^Ye z*f0Ak<;PJZ{6rQFVc%N;O5Z({FqyH^qGKX86F5B4{j)dgO}1rQMzslYf1~%g=s#$9 zu~I8n@h^Zp%%ohVeySDlMA&kbxe{hm%WP5#3_2`pCTxFfn%$_|74ckd_kxZJw%=|I zd-@@YJq&3XRG4bPfJ>5{O61QgV*=pXZ{^xshNcgb>|Q}tm%}IiHxezE+hU&w2M4c8 zF8RkJpYtCG#4e_89oz4{w=Oj^&HxY4j--CMcl4(niXg5 zgOgs8GP|f=I#1@!G9ND~yrxnE$kf!-mMz>ndrnRcyW5|K#WpJIms~ohb(op|I$Zn+ zV#ht}9am*X|3=WTDr>YF)P%AP>UwXkPkQmwDxx)WEbOy&fZ5XP1h#q@CJD-Eq`C^; z?*f6rc>G_Dp)Gw3sT9+Cn6?uMD4tk-kEXLj6pr{F0F)g&tH%{xbtNvKDLGLPs(TM^ ztjOZc&k-=xF!f8!lkml%80@P?M^KbMn*C@s0F6%bCRHw^ z2Ja84+}7fF&ER+?fUdRr!c7ckoK3U!amJvP1{GzRLdiCtxstiLu5ofh>=WkzvoCq_{VL1KDrbhAlNuYzZtfy+n`U zo3iM>s8o83R4832qMLio43^g}tM~9?My_k)0>}hUQ{K z903DPJ$#l#c@CJq9y&B$HxHZ&oAfUMgQiN#ylGZz>dQy=inD?ZOi=9vvSETw1#1UA&vu z`H~?VS@{*`OU#$G#{rawlFy%wH<&oo*=k4?!f<|e6&ZsOrgIbw?NfZwJs;WCN5eR9 zw9-X_eSyZn*TOEmsL{+8G83OY-S%Ua0ko%*^*%xi<0kh!P3`sY0Bah@U~%wJQ9bre zVBe4pZmm#FN_WC!KWa5u7OGk!7}Jx`+{#1Ad=VDx5K#?Z-$|Y%*c*z;ML|wZZUGsc z@yZT|sdnU$7IZ5n@ax~=5R173cy`Bh-uPX!K5C-P%OmLojSb}8+>Bk7Y47#A>b;A0yVjQ*?eyM`qDAiT2imRSA&lnmpCKe)Q)>0Zi)?%$PV zqD;JczNcG8aV-d$s&8=W6)jGnNOQQ;kp?n}eDb(C2Z{oMa)a-ibV|zJbfa5lXzXL1 zK>#4jY0-VKn5Bj!5U3^??CAe2XVhK9lT%W5V)6RYk1D45&Rh67kt|lR{$<1X^%Z11 zY4sfIM7&h(x*m@2DkC-O*MW+2i)tNsj;*M(Mm`CFs2p3- z@lF3~3Y;SUz*Iw83bs-FKYHXfTJ^Qxjjp$gFArE=vp&y9!QfVVk9u+;H*Ck-8KXX| z)$2!hWW1C*D6ZZ%d|J7o>MAjOA|)4dcG(_SWQB^=-jqv<-d8HE@s&5|l9095)$1Uamv(^go7%+bkDC$@M#GhqnE`vg%b< z@%59(U#VxsnE~d7{!>#^P@elmuI0ku1onySq}T0e(UWB5;n;HxM@!$Ci%)KW_OEgd z{&Q66F}C5e{rkcnO-52397`}jOKxxvs2PU$i-g_EE?Wmym(L?IzslGcM8S6c=1?~9 zC!=g!FrH08d;d88H|2+=I_#QQYX&PE;b<5ybPDxoh!1It47B1uowH|IONKekd0 zb3INPYxzLnFYmkIql-K*6crC3@5+cT30hvU`yV7e!fNbN7kc(xTE0TB16UO1QXAdN zJdgAtffOF?`-2HF=O|&^dyNw*?m&D}=sAb6pCjo($~%w+>;^*4ifDolDjCigZ==K1 zT#jLLC!%t6c&-~QMjM=f%SE4vO(mE>fsg}Fk?=I(E>mGE_!y&Q4g7xd_QFOI7=Xq~ zzLCOPxZ}v6AS4kbSB5}t()M*6#5=?h@}%Lt9lS#$k9jpL`_FDm9+&Bps!0=EU&gmi z;Ov6|U|)1={e2hGYV~GvuPn9u4bk3Aard z!ZBf;@}+UsZn@;mi;=2Ym9&y4Pl$)2=kw#wZtVu6nNo+5iVqxSF2CVr>klHt&8kp5 zOoGJ|iUlI!pYwEMxYB^x7{wumBUX+U3(WJJS+QAN_(``WHJ;yE&x%_G8XS=^DTuo| zpWZrcKTB-6S|j0E{De-{-%_sPaw)<>LE1NGm6S{wYf#W~_H|5}K#;5D*xJ(m)KG?C z$oZpi$`w)yhPR+{qTpI2!mV~ZjIa=KoqDn;DU&ROtnZ8h281AG^;73iIo&`Y6^#vD zVsV7>q{UaDpJY3V947ceacPJ0xX{6{d#T}3cY^l3Hn{j}=~<^b+o`)f{F?45EiRG} zb14SC>b~RUbU14cQ!h`8ZAtaVNT+DUYx1lZ^6Ryt?N47cd^jWVa*kl8=EaTfPIE7u z`46snVFx&LiyxjF@v|oE>$XD|T|M52tm>pLYp>T85-Hu7^Ad6w^t(KO-g*p1l}>*s zt-1oB&H=d-;3Upk)B*3S+Wyr@^43}QW13az7aae2K}J@xb4-95*>1 zAsLB^0(sbdVH1SIw?L8K6Cgb~Cf~TrKHRY(h9eRh&x!34P^NiV2tuMtAxdEkjqTa; zW`$>|2?zta#uy4y*iwh)qIIGS;zn?;E`Ih^!qS5yyuQAwjDkbuX(X4V8vH7@KZ#6X z@zM5VM$xdZnW{GKpXBuaa$@`{4upM8A?N|H9FHpHYeu6L@oTGgwSsxLKJIKA_So!r zZY4sGpCHhN0jFcs=kzPBue{u{D>}X^OohJXhs|g-zbxPYQI!2k8J$EY_kDGtjwwQz zmpAKuWM!RKvxbrK46Qq5k84Mse_*`EZgoN+u>L1cwX4_dsk5-#7Q$FMm65v-duS+h z>==$I3OB2NC#&zjR)qmCqK~30OYt{T@{j*|P970O{r1|k<+B7B5AR#5&LyIXrjint zgU_?;*#?ylO~zyHYlqv1gOJq+(Tt17mzwQ`$9pn=g7TNVS%;4ziN$|xdnYfGFJ0|z zPw9(w(ar>Te`Ln9M^sM&h7b2f^M7Q<`%2HZSf^D<&s$-$rq0edFHZrFlq(NySax1I zsRrT!MpTARXksy1Z5vQQ$@ao0DoV;uyo~b@GR@MM)n}R|w@uTgvCLmZlC%1&?oAGO z{~}fV_ehjt6g;*DR35iiTM!X`e|boKb{JkbxaII(M>}nPd8+3WXfQ1)q22x`ll4!j za)*byvCbZ7#B(kcgAT=P+KzFKdYQ+!B3kNm*B){lnRs=Wrd)3x=QWRlL{JQV)ekV? z*A?_1v9Rym$~Rp|7}Ak=oGJU1f=NF_hM{n8#kB1@vIf2QVzAPE2=9-Tr27feiGHW^ zVez_#Su_Dc9Wk27#Ic6SiQeOcESUww`1lixK&}f`o~t=4(t-tD-%K2||A0<^$x^VU zq1auX_RjjZF!Pieqmlxo*uFGTDxCrrfS2V1U1>L4RF$j|H}-1ZlK5Tnrj`RB^tBYIrWv!1Xkkc#wCS}YqM&#ojNmewAJzGH2SiwG9-+ruF_DyFS|_9 z>rtvd4zZh+CnAk^I7+$%!qyNHM8dwx{c$PY;ngd_Ivyt>YbCv%x{@4%WBfxRWLw^k zVEhv^W>0h+m!N10*;W($q~|?2M1t<{St*gck!kM0E_H4Q4Oo#zWdQPV3kWdSiCUJy zDB?9n{_d_l$%HYXc@n@N)=gRkHE(<8IT_#!dSOM{Kg#x6=Xx9(%)Y;x)@-UhCL>ls+@)zu(98 zhlHa`^eLT`DxEhLhg2f4s>ATt4#tWBXy}&Fvts$h{2e5|f&xLQefGLYTYG_@N)^2- zQ*>NBoB;ZopOeE?4D{V|vf5(LY2eanfXG2Z&`>179|(*!yY}w!704#4ov~eS_b;we zemyeVVKS43T9R%I86W1q-zIXPtV}R2F80saK-o;{uPH6(GP&+_`ROqJ_s~^mw*=5+krK zVH&-=6v5ImxRYISTGp6rcU006D#O3~TkHH`GSRoq00!)UNJL2Jd@#bOm`>6;sP>B5 z_!R6v*$yEW@rBKY@{l^5d@;v6bSz`2XkGPz8 zRrnYc(+K;rZO+jf)4C?}b<|?^)o}EAp=ODI*HupaV|6Mt^=Gwz*y#Fa^g&C)*Wcs4Xe2p6RsgzX>?Zh8Z*TUxV>KPAKn?3)rBq zGzMxF+LpDWUR+$*{&Xnn$-LbQm+%4BYqc8oLzRD+3in|rNQSFzH>oUe572$o(PB^4 z?H&DMcSRUK2K&tYmTPC%0-h$R))G@47aD+cmj9HP{HGHW$8T^V_%rO}XX#=!W`C#F ziFC(99&E;_O*5e`4h+WTj!+g1K0T|yyK8<1xR^=2R|qEK=RJ+>2-_-_t+BDoW7|><=!|(WrDX8Z0xNMI?Kp7vSzF{O2i2oX zS9wsxj6#!GxPQdz7Z*_Zm%Z7FE8>;Jz%%@0@bk6IO`FSmb9m$MFtD^ZF&ScBvCV*S z>!eicig@FKu`Epx3aX*3N1J-*-5{d;SR$ujb3{=icfN&OGKZE*@w9UBuX)@x&V8l| zYMn3w23+)f^iI^&Pj5ib{SfK{f$uQZOxO9$l(7gz3{BQTkQOX?UDrZ2>rpC{!Ed+t z^eBDE2OuC6QseCJQ=ckvwj6g_X%LHjz3RfOyxC)4zV5{z#n z4vN;6pyb|Hc&9G~xknlXep{>4e{q_MS&7O@D{QP;~F-K;%E+J@yU4j5@gASNX}c)Ws?(alM`f94Y3O`24nEL2?rCz zWuBu3hJKKN1ClC((rPEVut${hwp(bMQheY;)Wh?xO@jmn8jw>IYi-1Y5rf&$7~Eh( z6s26rU1FqnJ_phyLSUatCjxxEocbV>9pZo_;T|@wq)oT|tvyr4ZFXZNifIa$Hu!C| zD@^fkXB?&!nPZx*+$7N65ehUa9B0|LP&#H8hwD6zVJwx>RgW*N4>Lu52)C`h&(hz0 zvU|HM*k9?}ZkKmv7RBO^;xKz%SCxwjd+LE@oX ztA$BN8lEk5|DrwqM`rgQQ+ANMR@S^7$n26_F^#luZ~lT<osK-2X>NW+n!(&D8{UdLy(AeYAA z6aN*cA828D(D-vw73$I~-A?kb(r z$WrHAk`F%UZdtd}pK^2u+!)`KNz6NH;VS&E!S3JY6GQ;Hm_OW!*NxBVddyOEW#t)L zVdpRWkKI?Zo8cLEZcEoKyBkf1Wy>iaU~Ws=@5FAa+iy$QSTg-Pc5OZVV0~_mm#c)_ z)HplaSFSQ{Hp-vx*#tbFec!x)BXS&a6M)uh;;6MPx~VhXz5Yt3=|lC(*MKLC_ORKP zpSHi=E@F(H_^bw?jQg)(Ia-mhm@5XndAJ+*2WU|W)u>Lp`x!DPoL+mjp#?m6I`(dD zZ8hz*PJaJtG46XmbvnfnK!WmRejf|+GQ#4InfcH~G~4QpP}q8tY9wt`*-+fFdYix6 z;{$CtH1dwl^T$M^>9g&}d|vA@&k?@S zEz57^4*sc#`G>9DE)tIGGDKT@{XihF{UWswmU|5tIL zPt_(hRB5Q2o!7i0f*(iRV5;e^;^2w6QyW{VgZv@ z;TcG!`Z1W0aGrE6W60J#O!`!EZ8|RbmSSsifA3R>!K5b-3a@tAFJ+LPrH_jnV3%RX z*}-LHq3A9SiI_Q-rZG3kOaQ9D#>nLaFrwcYeu^^{2P4TAhD9*!?OoSN+%Ie(tcm8h z%DRqzL4|s4s2C9V-HZbt=P2+TeEX@Gb%W60;WC=AG%=S8ApT#byA_W5KL46JvKqZd zx$=`I;X>%Z6h)3Isb**5m0Db^{H^_kWVq5UwxO=qj9koU!)8LXyl`pzER>mwIp-CU zqW)qcZcYxmDcRD#`Uz(STwn3kEJ-B-S%REfsOeD!p2Wl#Np)xF*wL*z_dpnKgHRR-D@ z^Y8oK%cz9m{RBdm3xmQ*0->V2WFfJz^9{J63p7Tyk!pKBQh-|lk@0=MEz;V4U6Hg- z2r8S|xScvu(=2SE@-AddUBaJTeBAE4b2&exf>CGDj)99)bV_>i$g9`1O3lc18UO?S z#3N7kp>*Dinr2>CtrPW7DyJ4|DHZ1V7`h<0CK2|NYCI{{PeN`Lqy$)xM}Yf8>M2Q z-a(CzNI{vkYMQ?sEMOE?wly2+X}bkW%DapwD(49&kxgGx?Tt^MP3=U$yg8$x2gyB3 zO}!__!-MC^yS8#HaGPjdZ>I!wIziHa_j*pu^_sQV)%7>?!p{?=Ton1_4>a2kzYDm= zY!l!SYdARBzbI1`vT7^G@S(9xNAXy*O-%IUIB&rKP^E~2@!n;mULw%S$I3B~Fv~(? zH7YKqtaAscK%gZZB5;^$hiY9$D_*wSrFHIzJ)r`a2xYi6) zopap()(S*v82g$4cE@6PChRYl1p4}3Pm7`3pG(91XfxsX)G9JW0KYi92oHxpL=SK) z{)4gST{i`WO?}e(Z%2OX7YsH>%WU2_zcGq=z3lBeYfT@-SP=*J2ygsq*XiIAWh_SF zw1FXT-P^F{`FOq+?~lP8il(0!w_Fduw{C4SicfS!4l>CrjGK5{5JLSe$tmA^fB$~W zKahH1n9%y&DIP%Ofqn_voxp@PJvXJtpIcIOKQhVxJ&XO%2?eXt!3^srTM(jf+&*PgB2v>^va+4m)k z?>Zmx&92q2JRUY&oy9DEp`EQozfU`K&3u7dxjVjDLo<4G`MtVbW*cN+aebcDiXZS8 z-o9$iytY-Oc3VmGf-n|i|BSUekxb1wck(j6D$Vfixan9gea+zH?#-XJqLqH8;dfQ5 z*G1||1eH%`jKljob*o0z&T!F3oR%9us-D)H<$Ck0z1%ex_IC1@J9-X_de89;#kkOo zFBu}ASG_JA%UN9rGLL^Zwq<}?DMPR4H{?mg0fP)tG#3>vEC~L?S1L^fl*cyXB*g23&3b%xW%wc z$#%}BSqYIy)OOdnbnw+N71D(|}SR|yUuz=cf zJ3=q=NFO3^fFcq`%gS|N-5juYx;B?FG4Wkd%=ZWBGh&>azF;tbn_NnQqR2?&fp2T_ zU{9RtyH3s~Sw#d!j-Aybb3+<5?>;a92PJc7;uL|;jzSH2k&4u?u(6Ws(k*Pn#Je}*5@ku4=I^2pwZ^+Z-XM^8 zRuHaGATT^s&K5@k#d=v6Q+%kwa$kyK>^g_*nkcHq{tIVEqtFSvFa zcTLy^qH((Mej>*TekG0Y&I+?OpZuFN@}j3*Ov7F1wK9hC<`pqORNJwrSEQntN?#Uq zJ3%36NK6cIMZtmr!xnPp*4nqj5+EUaxy#N>$nIiWMEhLJ+h7Im&{bZ3Wjk)|n&HP( zZP+?1{{}x?bwMYfk7z$>^==VA@3Sa(_~W4?UzJ4RtPpl1tf@K z;qp?x9U+BCG8nr`E{`>qQ;(7Q8#JAIm?nL=l3pBCrYzo8pJV_{Vd{PM-}3PmNIM?P z95b61Isjt5^-?*h8>eskk2L2$O1(eeP~QtMAr?PAHp*i4bA)wghfujlU4>ce_OmKM zoyK-~;kfhiXL0-QZYk|3mPfeH`uyE%62UZisz>d*?(0Rb`BugN(}(Wz@}tHU7GuCp zi=Ca1P9Y zW*YU}ZsCW#LA8@Pyk2V2EiZYL`!Q3;m(ph~nj{U0?O%)bN5{adSmdh->95z z=rJi8F$y-&X01#&(%yQmIaF(nE;cOLc&>z*)nXM2 zNUN>2Px|?Pj1{mEe<=m+ebGjp*%_+uwQDAnplZZ3YCB{pJZL97;ZEg~E@+3e+~t#CZx|S$d*wj5yNSkr5M_{&vCW$OaeD$)5-hy zH2)a}|1I(V*IT>+_<_Q#j|5X^_>(I>_F1%{h_w@mj37$`K4qaYv+IC)N!KJFx}AQ| zN}whM_K^bt4&94FzT6}OrgJ6E3g>b(h^UELa{G-j5Q{C0j5!cgk}$CpM7Nt@>;&;H z6Axq7mpH^f6c6fP)c>lc?a$nf{FNM9|^9i>SdCB+@0NsGwwO@=!ioHPb?*;kmOfXcKa02qN2;%L<(vKD9nec5qt zL9hL^+T!~r3W{K)<@UTKR!#^>++`~o9NsUo(O7!w^${RR^##GmND^1Ga^JMRAPhdc z=Dbna42hGrE$_VMp?iD@f{-0|VTGoeKUC3_($lHz_x{GWF{={=Wda7R=;eDq9xQq> zEAK-OB58`RTXOJsk}SvvywTxoQ}on+reRJCI~^ney#3W}ad1dw?gXWXKpc)8(lqsEC;Q%?od0}gz z_E|tg&Miu4K-U7;s(pHiYeiB}ubbBOqnX05LAf}K_jRyYP7`o|@+GlQ`97JH7e&!Q zC*XZqjDB{94L-K`x(zg@n-_iEh1zs0lai|cvIhYwP@fay6OF#;?oOr=14l)frUaTK zaO*1geX%N%24jl);wv)vjiQtMtp$J=fRxpP@elmsLEz}j=;?zm1X!=!Xnc_1tfo?H zaBMrm?upF_+_1`~clHeHxBL(s8uXKDD55ExNRJpWbYoE?@(ti?=Aa7Ptk{Q$Sug44 zDB#xgSCzxvU_^|L2?$&Ne#uw_=1+D6KYf}doMCT@@ed1iN9|nM>>n+vYoo(;n>VGR zV2L`cB)hPIu8D5EC?;j&biYv)3m+EQRMPzx9-yNQw})Xwnc`E$p*rW==@XBNG0AeW zFc107D(Kd2Z;xZ(347Js$!6Er_Z*AiE1eRT=onQLbInBE{n4P?J(ca60FW>Fs0&Gy z3(4pQFk8FJR8aTD+CInryx^KRAc5}M%VN9ANn)3zpNG!Np6$1Cff-EpciRQWZh0Lf zs2r`>2w*JifvbZIgtpr*U=tNsWInIhN0DCIsyktBd`-rR%)n3tZh5>BWxab7li;DO zN?F_D>+*5N_<7upa^ut^v*jY-~Zj6TQoz&AgJ%_&1m;m8V zy({iR230tJN^oVRv+wbRQOEMl%IAlZ7fk3Hm(#HFd3bnuug6Ww&#!UmuHP%IT_r!) zX_p=4!IUQ5_#Nss+_X8aXZW0$z7Y{=rAZKW+oN{yTiW!}7rrV|>daVfev?Z+sQ&jI z(0&hnp`P^Mt-oI9zxk1*3bK76x= zxg0^pWwJ-LkeI{#MyPc^c-!%)Vth>8-1Epaq5#d5 zE&@3>efnt^^)%>)h3ii^s7`rWEqg1N3y}7j4-Uq#xEM0p!|HM&RZV8%9N_#;n+(E? zbR!hi5sNZo#^6Yh_*vjld%;|H(N2bwH38S0qJTv3^Jxi)_<5U@a9D#i@zAbd=R>m5 z598>y(9Z-TNyX5qsdBMu3+a#<3m&ISD=K}#IeY4`nG%;ZW3x`ryA(yl2@q&J(1=DUuhO8E?IY8B^z z;9;P)JVRXyV8!|zZDaCAh`@`h2}uY>`fa;Ef=`NEil3cWM{eVJ6j?W4nt zP!y3(bZX|u32W^y?2rW+-iTojYkgyZi|7|?Pr)P2z!_GxzPP8l&c&Sdi#hj_UTxwq z+&EKtc{o`gR15}m=xWfLIE>8+gQwu5(Lhv+ohU!zFhb_Jm>onxCkcfH71!lsX^A$F zG6=gLjHha1xJqgI&{H$#rN-`6w<|a75-)_Gv z9(LLbM8ZF&GEoKz9lhFqz5W1D+`}7HW>+yL_>&MxkZmu8i}u=$+USpGG4p%p(#V%u zOQnpixjmFVnRi_N9`W|(&MH(xqab}YVf5G2$k0H}Su{o}bE}|FA=n-$47}DKhdqBb z$RO?xlf*^b28G;9-nC*tU+hI3~3 zr3)TEq6Sy(vx{Q{W36FwMTV{IO->Ys)&k8jN0Z8&OVgE2^vbmla4GjK~~OPj<@V>DP0G%k0hWk*E$f)PWKY9CHr76Tza^u(Q7 zJJ>82mH5g%3KEgcSW7x7JR~6nj;~Pze#ZwGzlh?a>O!mLr)Ce6{^utF*DaLh z_3rS5ms1d{q5^C!sqW=r)1}-*Eh)U>H&R7eTYv;0ClKgU&SF{XycR-Jm;*VRdgP;q zF0D}zP60ej#|9^Q7YApPY@A3`RcNJru!A)&v${N`}-vF z@`|B-EKl}ZYtay|&wq<=7vtp#PhCUe3=6_8!UUk72hw+a-ea(}qCsM7z z>Hd-=O~{Ybatz(n+XAV&x;i;E-8A5}mS|>wLOA9IPD}$FGSwBM2l}Vh`(nj7xitGi z7+5ycgAXtpY6at*6;2iwggnq^K~9cFMnM)H(fuEa)Pay#86^lZI0McbfQX$IceCGW zleyCFNafJ5?hV}%Zr}auL&9Ij2)p#ZZc*iI-snH!Cp3<*%DAhcChP%19fCBG3qygF zBDE$CN6+U*tb@UY4vR#Rl%JuZzd_46qI@@5%sfFOiFpVJ91s_OEf0@{I+q(5PP!6` zVip^LGKepUhW8#0*JjW74{F4qn_9rl?a!y@ zey4oN6XPn6aB)TGxP|~!F>r3uhBw0#K5j;gR9rGZw390F_w5l96R#83P9?DgLI}Jy zaSbuQb_t(y=^JHwJzL`xSg^)O67w&`5q4%}njkbef)Vz3uKL12a2^0EX)&_ogaqO7 zC-3GZ3ng0TtOq~l@BlpE!*EhglN(@P@kG?C6HltJmiGjse?P{9kwuqMPI80kirj4R07x=}Hl@lnt zATY6yH(Ec54@^J|ClM%vr{g^pCwTv)5V*5eXl9eiOV07lvbD^(2%VNryBLU;T<)`A?fRP$)dr7Y;Pdb-NPMXuRB z_Ce{C@tcQ4i11xq6Z!%)c#V1slNKG%Flp~PfS<~#!!7W1^X;8=zlUTm8j^9$ZG?D) zcB@k%L~hShdVM6N#hegzNFW(-IK>Ue{A=%G6+- zYb*5jJ{dzaZSJzsPr{|Y%~dq&DLi9sMrgpTQRuCGt{ADQIL)Y^{RKSyz|FVA@beqf z)AQlUddnDh$rxV*MUhKv#cw{hAag2jl!SR5x>N;^jJhSq_ueE*#doCv>r>(yk`=&Z zI4wCM!@V~7vxoNx##R|6L?5IbcLZhar$F~!dDM{zN_2r)&PDjEM94@Ji>u{n*I36Z zqw9WeJpzrvX0Bj3)qUQA*M|jD!_V0@axy3-u>(C~ z{5Q_we;9~m7N{=Uqx^gB{}kP9fO?5W{E#^k*-SCtL-O^!x)4}iC`z5VmpxtabKqZf zMK24cbSB{gf!XkO6{5GQ2Fl9(td8L+89=S9 z0KzLS8Y7Y-P|;xmf>3HpIJh6NFc4wsV5lWv=lSKtb8?>m#&agnJ-m^0-6#Z1;76$j zT5K9vB-FKCmbt7XWE9op5x6aA^ylE0U=jw@XBov#w0*-MfQELqXbv^FkB>-&>Vcnh zN@g-m(B^2#3@%U5*g5fs%l-y-2ujoinzWh6Z;kcVxAAP4=dK)jftg1jxw1u;H7W$R zM#XuS<;2>Q+mdx2PH1dN?;}upz85g2I0AyY3%|M2n_CibiD>n)2BDK!$ewmFA4I_b zeo+T}+xOLXhi5jk22E0&+5!XK-*<+C>h zONb@V4-}b38uL|TeK+{pokiV?*tqI zU+hF^__^~C&HkY~93DU97xl)@o_nMOcmYRv1_m1%s@w3Iu^j2e#tJ%jk8JJ^?6JTj z5sSo!%4_f6BN48+T$%rI4r);loA_)8(IDZ*)xC!XFGJ&&?<|1Jimdq(?i2&fuMCyyAKhys!mg7`%|W{y>yhM@|>n?|L#w z$ocL*hTHM^Z5dW^{7BSv^rPl?pT0-h91`~I3@rxMeSq!))t*$`$@fu?h-{zM87!4x zjD}TBftQxgSm!ZmVB4u^Rd0k;mhx;gAlytT1FMiUlMmTw7qz6yWXodgSKEdsnq7&! zJ&&YL#?pxeoM2wb#2_8dab0We?ufUTmd^mIW>gc0cw>Q^1yvNpmgHHJfv7Wf%C0@X z7SCidn`43gJ7exKh0m0@W~gl+j63DSpn1`pvNs}6PkT&+D%7)!pEKG-p$KQC51|8Yhf zmKSX?{@-NSe}1^b;$P+d@}8ANZ${ayk1^rdaCWJ@j6vSu287Q zp=z4*F>@2Vw9-|>v{g*$13@kYNQ9 zsyAXVu1lT5!i}yKABu9}9I)}rxN_IXi{*((!?wT}?`XNN0h$a;99!*lilM8A<=FEJ z6;HKD3WIbk=WP6YHP@AKsdpCAGi-q{DANU21WV@-aCv~*Z?y;|&L>xp6c`q8_BZA+F>OjN)Ep{5y zJk%LK!t`F*IC=PaBW8a2Ya1)^Z&bKV0bXpZzC)5kh4N8pUt~n^G8apE_L+a`9q7lm zq9QL&JZzIq&i9acM?E4OU8}_pxRn%muaBv6t}#E{?W{!AzID2b@EOk@pLwgSTb-9P z5E34~KF^+ne0{sk4LoEUY()-VIu=e#isaf+;w8UKrOF@L*sVf3#SgkzQC$!JnxV|G zBd*!trZ~R(;o0aJy*J!n@xYxTPVB90&Hhip`MRo>hS=WiJXVf!;gBn ztPf$BpiP>cN2-0ZvQz~dcSA~$bWqqV6vdK<0B_^t?r@&r=V~4d8Y@Ypu9z?Q55YXI0L))Uf~c z;pK>Md$W8KmWc5U1~vA-Jvw~oJK9g$v|8-a{{H=e2%-jXuOk7gOWUXK#MRlYOz0Ev zZBPVh(ijs^=t5ESWYJh~&fMa^mK|em*HD}+cOT<4qq}l|^G_dY5HyHb{xdJNgeqz0}0uLqiW0=u?ZojuM{f z8ZMV^JG3U~CEz%0IT#EcF4itBziLZ(AUNl*THvi(n64_)EseRY3#+K$at1A@Fv>p} zF|ey!Lz~XuJTfrWOw25@D(LtG!=x^sgk^1^JT~A%jNQ;H|Hw#V>5g+UL z=&zUrE&2&TjYT+}*Y`E=<3? z7U#ZGQApqYhP8%6yrv5|V}0#sz2n1vqp^!`ku@D9_pCM|tDsN!zTbYpp)NTq*3EgA zyFfak{)2B-zE>Hx(z?g)sIGi&Hhk1tAL=RBy8OtBuMl-5^b`dhKH1ZZ<#p=9dkfr$~%B4%i~ zP)7Q;q$Ua%B+Ti&L2J)M@LR84_UycGaa`BS^^N1UD#C03hH-%gGDI-Jm31nS+(zosGl)S;z3{zNE$bS>N#a{Ig;E^KDN4 za*G#DdBBrK`H^?dXEeg}*klk5pmGi2oFge_U6#T!Iu_riOb)|6XmG=y_q~1ZFMRug z2di1K@%k>SS3+aatgS~k+Wz=8(lho`@M+Lg-?l0zrJh|M83y9tJt5j7_ER4~N&^=A zb@uZNF53P#6@(lV8F3wxs|i8#slv-2Pj4%Yoqiwo%*wQ3-My=RX%kw{iee@ENdBdo zh@afxESXne>nMTbkyw$}2YL2)5M~00@I~hvwR_l%XvVwddXkD4rj}~EmON4q%>5lt zLk3K&I|&nifA$7K9k1u#XgZ?)td>fYwq9r3TIa)J&otvNXJR5!k5oT@%yL6(Y-snQ zcK3QrFrDm3ZpfJ_Go~Tey99A*A?Q*f-vnBHz3+qOa zeBZb4;Hmi)JLSPe%N@}qFr1Sc+_i8F6*1bI#CO62P}k>Fv-#ViOmM^m!WUk=6GuE??9KEGaW9 zN2^4fjekXt>T}FSVqG1Yr_cM!`e7Ve99eFMW%nBDtyn6lCtoFGa1CAk;FshwkU&bV zHFqWAp6H@YdaF5Y9$%lJF(8QE&wV=SCQ0cgV3k9ra4KAfLWC4h(TYrXp@O3O87aSF?0<0|$s(DuwKP`ttWS~LVu z(2fx1dqW>!%udDo#iAy1T>La174X&*#Eyr~5zm5VfSXJ%_8nt3H;A26Ju<5tn}%=% z`eCEDThL6gcwJh?gN+|ngT2o{&6|`|TY6NIJaK{pbSgA43T5E#dD@=WA0txJ8OwJG za}rH>xxaB#|8QGRl`**5=2Kh+t?p;;YUR=F=5G7KrwZoRuyFYJ{yl2&s=$c;3R&u& zr{%+0my7efr8aN+`f?-N+o_kw%=3-Rmw2Oq`+~>wDW&s6v4FVI<<=U7SN8vpwzmL^ zvu(0KlK{bC@Zb#Y5FkkKf#B}JA$V|i0?Z%*g1fuBdl(#o2e;rZ!JRw#@7?{s{kQh3 z-MzI{P&G5WFjY)ZoNRbI+h_Vc5AF^bm{uE z*A6{=)QmsAiR_)mH`^xnVt(ZYP(zVW)^zNd|L<}-YjQaN;>&pRYH(bY;Deda6mxwEVb zG$$d6_+d+eh~7v#hL4)elIS@i2#&M&^$t<+&88l7b-(6hd-g3Clc7c77`!|rz_M8h9F}F zM=8ygHa!FzH=>=|Ut-xslMwyac;NqMsrViYyZ^hK7LiDeKlN?Q$8=qyH)oHDbkm&HL4$R#38hwYi?%Xg8}3q>mrA|>6%4#j!CW?9bHd)`z9`q7>$Jq z_!&Gr+#!py7^t!nQ?9FN4AhlIWmVRvGr?6=y0$oP**ag}&b09XWa7Zm+DjFEOEu3@ zVJgrX_xnOuVu~}_9R^y&!5sb@-yz`G;_$v$=i+>(`%R4aF=%Yd zG$p2ESBvH5wkyrSh=v9Lw}Pie-)^h-NW)gM$*NgTosrqwr!o3ooyP4z=2*={Ymn$j zG@yvGN22=%oHm{ZrXA(9G?Z2g(WW94lS3jj;C0fKvlzlDooxnz`eyCeE{K7JwS76h zErcPch?7wJG7Ey_3$|F21t*NnfWU{%NJ3tN_t%Qt8M^DS^`FP41}z;mWrP}RKfaCD zCR){ZHmumYY|yi8+6I*cd2s22Wu_T^kH zDIde{F1LYiC4Oc}a8J(;e~}}?F}cxfUj-V43z90bWMx6~&%SlOyF_eXw84ITg+FoV zMPQq2!A5`=L`pB-u$(bfE4P=id08vSVAAx}v~j8)RRyHe={m{Huh$uq1=x!SL)Mdc zqXNRi-RIu>JX!G$G4c-lL-4iT*ySy#7fcYAoW^EO^z8RM0uS+fd@4pkdA?h&rKZVC zI~~9^pOJ>Arx!q;>Q}Y!@nbufmfAJD$&0r@;)w3Q?}JARmKOknr)mxquyvR(x{cn;LF$q zfGjl_%6K>}_mk_U)w&B(hBo&yO}sCe^UyMS$wo&`5c1Gqw~>@f_`b>T=TPsM{B&Mn`?X9;t(6#$=39;w*IQ^<~wL> z#1IP4qJ+kxcK0Rzy38|mamLsVV6BTMH-XKE7~hj94YwI3o`H*BcaiV%Qj#p^|VxT*s^6 zUg0yi@!h}Lo$S&bccVX!a#YS{@xGcz)ed(|FG-tJ%iJbJY@0aY_ zsm~8=R_MGZzDlM5UXgJq+?l1tlDod!%v^C2egBcDfSjp?DyWl+|HS>Ezc2A!$}Azu ziw(k4O%{alefjHnu>t1c|V_G|L?8x5rJx;K}oTe9(;_@|Prg zj^v^=0PsznuN2CjAsMZ7A=A99X;cvR1^cEA^jD>$1bBkr%w9Ns$hcXJZ*BcSS4=kw zQ(xC$xOZ9|gzTT6<$U)G^H?kfD!hPsiPNFa40=T^@_+Ru zkws7KjWi03?G?Ku8_V8lD_PUgz6k_aBWk`rmXE@>6x#{nbCuKORhiHC zIb3eeW0$t$n8;4##51MyA&zLz;KqJtPd4JXc3(_bM!tiRo4{51)2nUyM!Cwd7} zgHhjL2M+!0r(a|G+B8llBl=J5z~iu*oHHU_M>ZFYqBSbl+~fKL;e(<|w7Rk%xtq%B zqwe}h$nTsPfa^(D09=Wr2cM$k=~XW*K~W=Ti;Z2vbZ!>-LA3J10?TV^h)YDB#%%mRB=XKEHUU_~+10!QusZ7ez439Da_O~8W z2rHvAY8sgiNI!&wiXQ#GrmG3_t&v;Xp09P!6LxT_5wTV9C`CW8IT8Q15C!!*QfT@6 zBcTFTY<@KX_^a1h*C(8OI=mmBx2;+qUk@#IBn~fnBN{`0daa#K`3XZ1BP+(`(VZyJ+Ipr!iHbd+!{Obm>zsx)80A-H`vqbulh4sWV}C%b}J)& zA)}Ee;q-lL830915Y6=JV9?qO1dXB_HRI4>HhG^pjOzeCZ)Rp=HF0pI9X@wLcMw%@ zcXh}nn8X6fg$VTI3M_ni06dDgzsc#%H5kbby%&$(?5|?8NBh6aU+PnREew3IrJ%DZwA+8}!!9-_*{bTy=C#4fE? z&g8XGa1ao9*sKt9$^jNMn?qjxCKQkQT8yvgvfDB@CbPq)Fcr1=7kgM?(d=;ATV*#z zwYfoO66Z0r&AtpdGYhz}HbFfB!oV8eqvUl`*RiS0*=2W@1i|a^`|6#S$OYX(Ee^?N zc~z`i%Os~&SD7x)e4e#Vm8i0BJgtIy0~X(A%D>EZAtl6=_VnZ~6k31cK|?3AV)o)+ zv8#Af)8*_kH6DXn6^j6p;3XB4c#}O~!i7z5OTi4zQgY#0gOj7|!k%~4%?PNhcEN0-=oyiR%-uQ_iW^< z_l6NVHm}H+0H~scl_8e({(HUpemnh+xJAv%&Fw9wX0e<7{I;9h=A{DX&Ca;iRO0!H zS(Wlxq6!$-%!O2(PV5&OGCsz_NcCeAd~BUi9d>%xPq@gsE&~@&>0wQ?yG6$4#=*W@4K(|^-HkwS z-}}SLpBO`PguSNzt$K<-2x2;xO(>gsx|dBD--#EdM*Lii=yNE}0yv5dJlea9-Im!z z^!v~<^#BY#S7Y(a5-x9k!x0)uA&JSoaqI$M1%)eZfm!LrA0EzB@Q3qZ;|Pb2#lVHB zi(B38;e2G|eyvssqIHi8fW+RfJp-Kt>VGG`{Z~b@oR8mc2|Np$P+CAK>c{5Kg))F8Bw6wo2=D+WBQ6lWz@Rv@@eNkHBT zYXAwzi5rp;^{pyCy`Z@kxI_=+`RUrou`oT^;4~i^ij1&k%b42>2{)4^WEq9|P)5~c z=sB!Y`2pD6@>KGu*%a&LOLkWC8s*meebAEV>6RFIb=Xo* zop(f??W;p5j-U|K#&{HzlbLvHiXIv8xWl^H9mS=bc#iPL>h&PMkz#Fc5hH||;aYOg}B)E>X1q`9np^lWE{_<2m<0CD?w z=++&6?qdT;dw%R?WJfkFgPP~yWA)BQz6GO2I%MpylbOWvwS%u1F$9I@7?3P`dIq(z zh+$-`g{v21^4bN%uX^hu#YHQ3K6`o2M4@UoG%mj*d&(HqP7Oo9cLZ(DHF9wteMc>D zgm&Zsa;B*1U=%(wVz3wCl0i@t4t`LU{j7240y5MtLvOHFa_8*Ab8jvA;W60!tdNa- z(9J-9@p3x1J=DcQP>?+}S?*OR32p(0>NBUOh`=q@ksA*^Kuxn0AUsb>bZ z4Bbi1>tM6D|I9~s*;fw)X)D+yrGLj$e?O6frRZb2!HZV9e^2rM{J_7F`j2cy!>d8{ zmml6dB9Y+XBm`|0p#D^?^p{4sj73KD!Un}-1;vf`2Bo}Si(eK)s-L>UvNNqKHQCAO zj+VvLNe&G+tBEbv=SUg0cu$c0!-Ok6G`x`Ln*`4M5e1)rBEkxsrWRgC;!0rmR3%&3 z!4Lu`Qb$bF=f+sqMpb{qBS&^H02xHDH68Va(prt#-K#U&YXI3nRIRCabKAB&KumsB zjcBF3!LjqLKhOjs6}$^L`q&D<2N9X6Vtx8Vb4I*P4S9nm&a1+YfaiQ;qXH0T2lDVgyN8pe#P*SF%9OZKGSU!rG62w65&;_ zx4i{jxQ9~Sfh2)xW~ZK;W%5k@!lZd@v|Jx0j2$kk!ogYKpD3;*qH-d15y~pd-lfIC z)ow0|njM*xlZQo2>-&V2N;`yVP4}W+V8;df9e50p1LP6_`v=5o%;xms`lSjI!^8a)$YArSKzlW2OG> zrHa0gpKX{5D?P4qb7CkmOs`O-2|{&u3r~9lqrc>WJJUbX4TYHL@6%1; zPr5A|yhQxl-qh~HpM#Uhx=j2p9qefgF_ta>-)ioA89RWufpVjH zU``y&AYf|!Vf6!DVD5Pq+S_KnUpdT8G78gGMQm`6Z1G{qoEzJ|xsEVSa;38I?BF2& zE2_b&&E}PFsj2=-g}O=zFI0yB-?ky*D~#3A7K@KM(v=7j(#{-f=B=V2I1EKrx3H*z zHi<|KyQeJptNCNAh?&pgkYP+>uLKnLFM?8i2GcH;r@I$1&0Ln&`rfR6 zJ!vrf#nf@?LoPS_RJGbAaG)+YP2wDKc!2d5g;xAbd|Mso1Dg-11Grf z!nP+D0f?@a>1pHCkSmtoPh~YLV&MxQ@er4nF;F>~q;)N-s-2#u+G<+S>@e(!gcgXJ zClYX9;pyz?QzAgWBo6lZpLBXs8wj?m8D$44vQ822RTjQ=Q#fZxuNkTSLH>`V7~m)S z+HfY{2w|wNc&y>w3}o}W{h%-4$6D#@!OE8TOxgMLpxEs7sBLS|5wkd3$yIJ+HF2k& z4`gMQG5QF(^qM`k2D*u^X~{2l`~v?P=c$PT1dTMep0vhLwexfL^9@9d&3EO{-3{N# zZ|?|{^n9G2%3M<(z)?6Zu&C;q%JjQlq=eODBb<6Ti%C!v<~nBv?1qH|xv{_9l_ z-{4X7!)lnB$T!4hA{xCjqHs!>QDUGo@DRpes}B-nAO+r(rYw7_-#Eg~WNAo@Oji|2 z$xLu|Q{Br7$1^(f)>a>FN~npv(FxaDCfn}gAppD;JH}W-$|bdw#)|iRYYkQ=I^8=) z#vDikT;Mm#q~`cgoSdAV<$-leUG6RD#>?JhAumyjq8!j=WO!^5I~ekH6cXq@V{(j) zY!tKTq9R&4mH6_iBjuw$FZd7-ompgJv*{ecWrI;*tP0uAPSJ|@&EgaD*KeQ15vteh zjplVED7?Ha()aH6BD1S#1?Ogjx++HUT4kjnYpxz2T$Jf9#kvAoProPr9>05UqFa%1 z?evw=f}3#A`7j0)85}pBp7ts>qV%;5-?s|A*K@?uXUHDKT+v5fs{|+*utuV`rorMy z2#_s{w%nHM2Bekq5s|V`0eP>gDa7u{!w-3 z>`5Z;Rc!v8z9pLEwytM?cVOD=#0a&}%2l9ohx0e)@T!Y$8}<&>g;~bH290$_l9ht(-)9yo^@3}YefqAqDTUHFhk{!jSl+Z`D?2@Q@!!=T)%GKhFemmX}Yc z3gkznYv2EASo%wP{hNh}{^gTTA`bYUmZSfCwUGR)hx_Bg+ZA4`e}c%H!++2u$2d15 zXkv0j#`H<+zvx1gQyG|n<|*0Fxcyz1 zp?W@3t|u zn%Jd}#C%PVBTO|Oi;bZt+T1Xqm26RkgVAC@e^sdKJBI_@#_k@y!EppV>bDlLZ{`Ep z2b_p0CQC!fxM%o;q*ZAY-G;AN8B5V!BkCRmc&iFzS4iRFW{rmWOxad)+E1 zvU{?D1jtuC;41QK+S+!n+TzqKz$I)UXHKVc)<9ArocWC3HI-Q*B?t*gU2Yc$4q&7b z;ODIV@B{vA23oHm(YpG@RNZqtV!; zf3`N~=xTZEpA`(i0cju&Qa}Nilm=6u2hf1@;5e9=1f5&0GBo5X=E@_hvO)`lN$! z=RY@wjT^=&e`m+Fpn#yqmYDpdMIwfs!xDh`t#I|pDBB2|z%$^Q5(!4^tP#=MD%F_c-( zw}hJhLc)tIJ?a(4dpXmy2d%N#ZT68h1&KG4V&o=uoKZNg3F6-5y+^hd^?q@r8o7k)3-T@dwNI)t4E9@GeR_fY%el)2j8_u<_=+-V5iNPrd_F~ z8z${rmxqpq6B%jST0K}`UCD5%fnWThW)xmW0`Z909TSXC7%*#3u&RwNhq)kvj5H$2 zU={4-4Zij@S!$L&^(TW{tUhHLevYL4cj7@$x{PGQ)Gpez_#5=GiKGSb$FeowO=OS1 zBsA=a_05Xu+&u*?C^B4CH}2dPxJWtjMj>`gT=1cdo5SWogmsw3K zqyg}aE|!`%4oer9=CEF`6Bjq z>o~DE`~62B(>aFxxuw_s%?qF+D-CB8|eUecph&K@3<-}Sgd4UNdJC(TPj9RocU4V zb^IriiC-&jpG|DzsPBi%{bn>yKI<2)vW@%H$1+#*IJ^#%c=GPGlfXFnu31RWc;N|` zEPvw48yCf6is@{Ryp%{u`lL_p-Y2G?0#03tpn&wzNKt9`^L~aD`@%BL zlQZa}*OLOTh5F%&eY`1H&meh@%vq@B+?KgAQB9 z9b!9}HkIt#$6Ay1T8zPBPxf;8Et2ziTc2whjNUele5RKijbCnio@A_*-eCifX%1nZmJa*1@mqWU&uC zNNq7|U%ezp?%%hNNum4+o9p&bZB_vT#zwj{7Gh_|(6zOeX0L;z_g>Q`Bexd4iinKMGeOd3_q~pkxSK$TQ1&rfdw*+sKoeMMlspArr_fJ;}GpuA_=hLfc zre=>@4n0_hzkvF2wbI1Ljjr^bAN}A+g9g&%s`WyU)z$`2OG`(GS6zOW);^wyHZ;4d zq0SaaCIhG15r&?t&{lHD9LrrO#c64L6*@wNA(@eH`r5MFSH+LcHo;5QzIx?Jf#*%N z*n+{hBu&?9HpK6A>9y~;S$2EiV#x3w_PnZTAWiM>P!~*T4D$D=f^nHPm+uu}p2`+i z?p?>T4apzNaR)V>ZILSX?R5bI?7Y|C-gitCwmb=~imN0ojBE!q?3@tfe4j9(qyqEi z$Xz^GAW>LBP4@NW>V_eX1Ck*PGx5tht+b3~DX@>o zrIz!f5!@hQoY1!9eAhV~R!YHqU8L7#WD^^@W}D{_%I{Lyh1QL*R8vs zhnqg@h$ON1j9q1J+1MJVVB5uED~gZzZOTv8BKS;bV?H(CkN3P6usxztwfq;R%%PZ0 zhrOQq$mf<(nLNRJFM(^$lpLg9yn8E}c6YaE(l{P-c69fH3C{{|EP89b(0UL8y;!q# zg^01C`uDy#897lneThHrCr?USo7TOGVZa9W_`$08XI65alC~4Ynw8GI>!E8+n!G7D zX{xjR`-FLC%IC{YZzjLT<6^y4;rLFU=MO_-ALF`uF_GK;#C-=-Or{8bD$Iv>P_I{7 zFQVe^=R|ew)=0Fnyfn0br2*@Mf3{68w_8(iwRKKaE!bXK34rUWia%SHXhR?&Cngs$F z^ULH(#8eOX9w%>ZCbVEau+vNC{IrLAbr4sGa&hr_b`LH9H`zYZ!cpjJ#K}IRHPsE#S;oYF6m9ism#>vMoOdy5qBnr#}hi$2BL837jj6%@f2?#41Lqi)QJ65jx zU7uD~8Y0{{PUeyRPA&W^we!Du{QX4s7f>1~;U?1Ia`v6G^0go$o$9?I!fIjgE7&{$ zTxpB40|hh+gEAuz@;L+r2oz5lMCDP>-O5Fy4pw%9Q-+OYu!{T?N|B)84$K2gf~3Y^ z@B}Kcfd@9vn5i@7=*H&|V=8orCzK&*`401XvyjmIHu4mmzy2h^9g&iZdYvn%oHY-5 zue_8|#2!m-)oj8grzcMLsXX;E+0T+QI!hMZ(p*nR8(s!ygFX|OonddVpV;%E{Z?1k z^}WIB(~|K1OHa7m@vQVyp6qTP%+ajnU|sPKMxU-qP;kuVc5Hv8s4RH}fMRhmXo6K<7bgFf0Bv&Gcq-rgsG5&_)R zdH*bc<-|ANZr5^0OCasflcH%z(doW7a3`!dEpz0Z01Rt9KOM}%@OtI|46if$-A<|A zo@ZtZSaT#48{mYHGtfU6dX4UkW5FbZZk;Q0wXR=gOzQRVo;uBC_B7JE?sl)bVZ^9O zc>t(&=K7kEUA)NjaiKzkmSxGBtBgkn56?LJvxDbE@1#vRf@rqPWKFGC3=Y0^Ps&cJ zj-Be>D4T2E;Lt@LSlM>`^$@`@-u4H&m&X#PSyfe4$=8j)vt9TOn$&oN<4tbqNZDk9 z166%>@w7-{pGhBONUOSbTre6Q6I{q+5U)mwySoBEl3-(mAn`9oY)ggTh? zmoa{uaU7H0tv{kK@O@B0!U76;-nj7r^8$guZ~(Sw2$Fdd%zMs;&qygwVmTm5vfh=Q z1-Pascc~XRrW#pPzlFhXpU<7KgBe*$kbF#1GvVC&)X2ZkHTK$&XhUxw)!Tn2}zxnis0ezl3NmP{lkND^G35n!xRVcyNyx5`){jK35 zGW>xAg1-YyZ|tI=4v50eA)^i?3YHoluFx|qngk`{mXuX}eLwShGstDxf_O$F_pIBQ z{75XT2wRL8wa+SB8dc2E!r?7nw#@VoI}Rf9sJX3mVLXIp;D<0Op@dNictuodF{9n# z1-WnJyx{fp?K2V558U6Plgh>?yN!2pM`D6(-1$Eez#*)Ns97P{ux_S6MO$x^rs95> zhSB|)(yeOJd5)jBaYgM+#luog7Z0pV#IJ<+24rG3~?(}95_P&(r zc)p8%I34+PyLQmeNY^v#ma`@$<}39}4-4iI(~Ew9UnoA%MhHL$kx-V%q?B+Q0Wk>4 zR#sOA9*@dpP_RHM?l3e_W^1Re<{s$`=HaQ)vs2S*(yP2IX=G&pOB2GzJZ7~y>&c^$YuEGK|O z2ulK(|FQjNy+%M3uf8?e9{=+xyQ|ktgZ);{O8N$%XyJ z9HDP+Pa8Fm`WN&{b2!{V6fb^WkiwfJ=yxB%5q_lQ>}i)7ZX-$il4?^vi+|QdG})y+ z<4_4J?B_G7GBgm4K9itQ^nFo%B)ceSwAn?O{yDtmfU;+x8 zBRadl`B=hBoUiBfVhzvoym1~sX*XfJ^r-c6w|6p9eLE$SPTn5G9?l8~_34HbWytu^ z4f4ms4k9{d>1_1uO4Y;mK!x$C2<}0Y>oN#jXjZ^)k483D=L5sp&Q@!mI59cj1ri)Pr2K(+pyyTlDfwoyd4`W!7^wrB8yMu&$h4J`K6)NVFCi`P~= z{Am5|&ia=a5R}9p( zKASzh0^D1v@(q$>D4-s*`@oxUk z-X1L4&f9=?073~li5YsS_Xg?Zns;^7ym2%%tdt24JHtS~;B^1@r*`4amPvpqYei*j z8sH3O00Po@FOF4{+Z0yl*e&uL{553Ty#As83aU0p{l~)l9~(^afEUjl_dxnu%zu*X z|5C$YPu;KoM6%mh981h!2ZTfh*Tur_-2h>WzR>uqf6+i$o zY`Pv4WNbb$|7ix3hRZ}1kbv6w5`m-xWnrV_aW{RMXhIa7N?j!42ycxB&mF%%PPTW) zP{6!T9*UD9cT!&m6SRJw<0ha{AIUf7;OyKS?9Svq;-ANz{XA|>^%RVUNEb^5XSIDI#>v6ZDO>Ak|8j5fXuCg4 z-pgfKbwl4YpA`;0+fvod7&g=hWF*PX2tzKXLJ|k^zI&TJB)6#X^l*f8d$*VW6$NYk zF42x`Sd&aLb|tfcZ9aiR$jKi z9J@M^xy-Bs$g|f>IJmZrFtdZ+V)>srxy6BsUdj*v_v{RQkF`Uc%PfJ}uD(w-s!=u> ziLPTVvM*nO$6zK;`JQXyunZYRn(w z(AqtRJa=WXo*2dstoE-+Y=*-oUz67|+(%dUP64X8s2G?Uh}@mo@KwAsa`u+@etz&C z#LB|)P6>Nb02j`_W^k4B;Y3RblPgY*Th5~y2Z%W3dXuhnS98ZVt%1Gsk<94MmSUL( z>~ROP3Jp4!V0Zm?W^BqF*`cLHQ(p6~zy=Mx29oM}hH$kD3n$hhv9VIa2c!NpVD+mN zyp76>Ld;OK!(0pbi%R<(fV1=0IQ&nKy;J_gtKxaP!_R-Iy#L`T%tGXd@voTudny+1 zX48O<$7)9yY?cC0wEn#%{<481I%^04h+}P1Utyy9juE(2(pDuaMq(@$f)X9++Tg;X zQ>Xb(o0|Y<#)V0T0FV1f;oR!-ISCVC2&acav%CGy@KBgxWS#b%+@7`>ZpFLL!=#Mc z^2#)+$a8ANpG?aMHeIqE$Om}|sGzUnO!x!c+qiHrEXh44mfmm?MNAz%kyQDm}g?k?49XkWav5f>iHp^dMr}WSQYbq(Mtw9VQ;a7gY#9I z09Rrg(N{@k0TL7xXm5=MP<{&r##OoSW&66g-eG1I(lV*h=0=D2C*4!V{+5Ep`={IO zJ(wS{^N(}K*R1A`*t)rQ*(~7W%sNJv-Z{VCKS_NW1RMME7BkEg`+a0awWnSA4uc_E z8cAL%I$OMzoO}+ zfeu9`xCDtmhalSML?ENqNnYK`Qu*eS=m}6FWQ@fD;4;c!Y=<^9F7L0=nnr4st5p zeh+%IosfS%F>bRC{3jlfGR%be5$Hxy{;oRTaQ%VencH3)MSn?>zxVysFjMh(Zsl0st#U3KCGT#RiZ*OCjmZ7li}1fN#SE29Vay zEY+<;+>uZ|6Hr)@_UI*;ZRwUYEJ{yNvJx5Vp1!DWRcM<@!k0>UeFj2JZFpO}hT-yq z5FQhibyt(ixyy)7fW#Ck#zX+>0pP4hlqe}t?UDNmv!8%}R57KAI~PZ&@F&|zeDEGz zjFknHKQD2cIML0%GE?_qOxRK4SRhTR>fYHWI0(fdPdf7=%gBfwfItv?rSAzP?EWV1#LV;eZQv`5na2_yN_-xkLd^)$2j{Qg+f_&@IDmD z$pJ_W@x%;WTgVH738eF7vnnBdN7wIG(!$+#i0x3ZK4U9^G;ZxO-HSHn0|>~jZn1E;uy(3#i4!P!ylox<*4rXyx} zZv2%hxu#cojT+4%$2PSN`us&QT+*w+k4D@lIZLO8xeW(YtJ%7gb2dkhC~%q%ZW#mI z_w+bR*ZU?M&;d>yH%HRNH7(3}UnSc`pTR6y#PjP^6EGj0-xwn`N#rHUTGkK}OsPs6 z?+uKdm)inI!Bc{D0UV$uML|-Pt~l=0ViTn;Sl*2Hf;|L(&R!{vE`}>Xf?36XI-t+Q zWaRy(GC<`M_`8t)*D(EG5svOUuk08F)Eo%Gru$5yO|dPOy2dkGq7+4xVtDu|KLM7& zcWBW@aiQGm?nu$u2|QRvu&9pUQEB9t4|BCan<38&!U|R!nUqkO&C#3+XIashJhZZ0 z&`#0&1l$aQ-CbuQ+hE`Ptz|X}#`Ki2einTuDnhI&-JXr3>My0ML_T|tOeGc6V+O~e z7%?2olrQ$XAL^Rla*>)!&u{t%=P#Lt-&fTZ;!u(?Y?L2ZaN5c5j0}SPk#$DtU+c&m zWYnIx6LFl7&E1XMEro{nCui9UsC-;=R>8+T<_r2dna%o^0J%VUa}r91!(+JK4vkce z0%#KhISz+(lod4*qqja-M0_Ob(6p>*b$8xbJ<@#j_6JY9qUgYW*qE0A8Wg2LwTNC*owoI&n%>`QFem#7Xv*U4(ScO z?3%^%$h;DR_cOTOokwtob=KchxhD~laotf7%OIUEt?}hJSA@u~e0%ZO5rpXVqh5ti zklKAZ=2WS@$MZXnXg1kHYC$Zj@wX<6aSVp^xpDo|095g?JIrte}+(8+7yQ~s|a^nVcM{+P1Al9JfT2gh4qe*746o3*DTn)&rXJ3^93988ZW zZ5Z?PPUCe6Zv2BO=(`2M-Xe--Ix-6JyjsK%y1Z^kRdmVO_=h0CA2+28ifVI7!iX#L zv@5mx7Qy{rIy zDmLarfgl7;#feo;0GZhl=BlPV7^ZO=$3TMLhA9gLMJs2S~B? zj9+K_q}J=lE6E9$ll8QYM008!0$h+BuR;JcT0}LlZE$W~OkQ48CsxpE04_T2GHDq3 zUFkUjM1(ybY-q2=x}W#5DSUkDvNdB9e4hiu+?TDpFh`5;dh6E{Pul%Mu5alRyL*?( zGANa7hoA?$Rbf?zwakvT1Uo_9(L#XMebS1lb2_&bbXwZU0) zEk#7D9Vj_&;z?75hj_(61DpXl^=o}(mK&1=DG@o&Z|L{n6=O&{26dU+DiJ>f+f6>% zvFOb0g}v&U49z;VE}Rk6sBjBGmNuN}9If{ssEX5w!2_-HwVjTtU+qu|_iS(q7zP12 zp~!jQCSCCm_Ca_(YF<6&&BJxdK}3R`9Y$bVQ`^tFTG;$r;?9nSZ8Mkunq%=bBYoP~ zhu$EvzP4wU%I9oy1zfx*{Fbu+OY!j!q(1e+p}&2H5jqXZKg&)hPPG|8CXUCoWongs zAHo#^2b)|j>D2K z`nB|$k_nQs(KVm(B!l!5isgrucC$y?%Ek6FuDDG$q6iKeee-ujC64x;tJg*JEK5w9 z-iMDpF^niqj;rlXDP`3^O2+L9rGMy8(_3brJ;lNXk)U!?NtK_@7l4`~T(zI6u3+=617p8M&pXag8sP74vctU|G^ingUXXSCJy2lz)84wPU~A zo{tysa%KhKQTANI5OcO8^rXX^dez4=2RiBXJbo~A(tcI*j42Bn_re^$&)u_eAMi+p z6cm3BExo$Ad(xjNjkiA5tois4{9AC3fCYpPO1+eUjdBj#U{z>H%ONQPD;g@6*Ovwq zB1Wp9+Hb;~mLyYHyEDjJHL*#y3l=7eStltHhfRX`GGkag?D$6($s#;;KC=p{Nt^um zs!9|6(JQ}uf3a=&6V7@09d8BYF$U+YnJ$rdpZMyY2*sQ0Zu8@G9>WKr@v+nKFRq?v z-<#aF2c|mgPqHzrPLGu^YC5qt!Mwoiw$%+EDl*F?OnOfLALGOFVsbFczxi-J1u3Wt zfC>^R$`KtvBtUJapcW4ikk?@~-P^LqoPZ%60EHF*3c>n`)iFs7Zb#{ra0(<24)}ju zCU2OLNzOjU89tDWq7NVdUzY7J^n78ZCK-a0*VSrms){cuG2P(kCNdUFB(|OTRNU~X zm{AHvQbqmKJU0^_?o4a>&m)cfW!g~8g92p+potAotPJQNYnc&wpN@NMHMk?>vsfnKb_)3BZkcN^bbgmy!j#a({95mSDtSx*l#P zUQq*GO(Mi&@mPGPT;4?aJZ{tg)qJX2j_#8wN3zyKs(B(SUEBESUar&aTB+pO^px!F z*>6Zt)7jWbb%A#R>ZAG7I7|rd!=d0#YSgn{D8Pd4klCMZU?c=<0iaz+uh+DvxJ&DY zkdAy=7B97jZMg9@=uwTaESJePu4*? zKllfYLSB6m+a>9x&0`vb$SwtKU5x*7VkW>LbKnvdpyG5`?}qsGW7Bk>+VdiuJI1^) zGqq7Y!9d;<+m4hDIKQ;g?%8($jsgXJ+K3u+2(L*XkPzGi@BjkS`UT;G!XRJKCluob z`-y)GPULd>rM{!wdE&nG>rWo8_}g~sKQ~za^H+XRzruuV+KYGFkk=zqUoIk1f_$-| zqZcQ6RWGG@_I&{y)I>pJt!okDs^+W}kzYYUi(8CUDalA@?HbQzLvvXXqhU4SyO=)X zUnhUyS>$8Y%3u7pAnJ{$hX7TZ$QyDHDboX2a#hu`(Zf2y(APtIa+h`?eX@PAOk*2& z!bGwhOm9%YTq04>K5bqwEsGqeCu4VfQeI&f^dXZkou$y4SjOr9q3x~Xs@%4BafOAX zu;>(6v^0oxgLH#|(v8yHuxJpJ?iL9}q`N^$X{Eax>E<^%d+&SP`|PuS_kKV3{yrQF`n^^XN)=KyZCzD3O1a{^th?X)pZkok)8RDJY^+aW%_aks> zf^Cdo4nnfeg9Ulrzg9CBVSQ5O-0+yCTp#Gb2{Vv3e$4p%8EHEDgq$FQBto8|8A)$iSj}##oB1dVSrFiD)A3aLY+nX8%>t4$onAuXg9^ zWzIbp?|qiq3DVl(tUC7AB(`;0c;@GedO}+by#(3Qc|DxinUOnoUAG;tRX8>H2{Cyp z|O;F$4f)c~2j2(=s4SsgjZiuU1qoA^LDNd}IuAnBI?ZbE{rO&un z>t&u@>jjj`01Qb)$W^~<`wiGKmwyCOppVKIcm$q_ns_X++w)LrOU{8B#s`wZZ9&1( zrxBE2g}z1!p?9@koT`X;kn4Cp^ZmsDXVqy`&b0UXiTBk#l-?x%^3LySz`uWUWp<;M ziHwsj?f+E_`$bvvV7>XPz9q$dzQ4GIFg?Q3wYCY7Iof)4k2G!yCA~&xdJv2j<5ah+ z8+4X(X;kY?$PFdXs08@M$_#~Vet;d3%1=ER+S-Bvl!$<>Ad~se=&eq-U z)syVFq{~aHqg^kA9xsM5m&HlT`sNCgJ|;d}$uKgDu+@;_x-cPQDCj)jI{F$N8bcG3 z_QXv1TgUEseg+ueB-_u!4TI5mQr~4^PEsO z#QU;n&9FYKpGE{utgT8a`6n7E#ydvb_qr`OpAe{5!M{@9v`v?O(O`|U=MzabKRGOA ziUo58P7cl#jQxS(F3nr8#+%yT{me>`K7`X@dMR_mMI-Bi-#QYt)8Hf#2I^xeLW2iBNeDkhC5CuIl=x42&YCvGEwzWX{<)OoU{mPN&saXlT@T1U`;1>Pe=KZhk zX<9+thxJwuu=N+mrnkB03R)zh#AaI6!wn4?jv`AbnpG^F^ze^BZV2=DmX%x;7r{q& zBU2M;1FX}|%NAz3vO)s-ZhAVVP}$p|$l_GI>B*8d+|fvFflw$Ixo`|rFSBYIDt|K@ z;gJSVkFm|uun|khSQBTH+X8z>5^6Uwb&?~- zx4vcK7WmQT>optWDH0CHYJbkJRgg`1|K9h#U56kg@37nXwrDhVU^cXY^sU7CyC`u& zyyboV5!|Jy=0Ys*7Qxl0>meBGq+nog^+w@4ym7=;OB|>ZR_wHK%s@c|vD4OE;_M49 z)b~OQ0D4ayva-a{>Guu>618-hLFqXY*5;=xQXfPA)D-+OAQa6u^;<4yy0S4x>%+(| z9%t|;FeeFwv65l|lML$oz9doWmw1bIuuXST)kLc@R)~hH-h>lXi-KBJ4H)0y2FjXq zFKJ~!&&n}L;ggbv#*wqC^{prS(5JTzI-uG*dFEhNnOOp;>pw#=*Z0`7tYa`|>WRqP z*PHUSC~~l1$hn7&@3ks>o(u`FzE6L^BG`*5O~H2$ZBNZjX06S?LoHI5^~nA-BNTut zaWxtHHth8E$>Bn~ouem9{K$yi;c8@{nfyxPYj*4p382&M)GmL{0yuB!gT%g-lBRNi z%f90A#8i@Ft4uTjj7lEu`+6=u{1EOl&yh>-)#~o6Ut9I3v2M1$>;y5r_^0+b__5;6 zPRbeoG2y?O-mlnOM{%?H<$SK|$zQNmW>x}+;eLi(4TX!2TutO+t!q1LRHgncRQ=#f zW{byoW(MMfn1LDn#(|LypGfnQHb0P#{U{Gbr@r@dD<}5DxU9D3Gs_oj$ixQGXCGf~ z)Z$XdwP$%HJn6w*P;1FSRecwN22&}sBX{G&7o^L7_nPv%zNwp_R-VX(QBo80M?Dh$ zW<#^PDbI=)1sRn-TpooMCPto}9Yl*P6ZwkEoNH;Ih{0-#yO9D|ZODF1MdR}K)!XGS zVCr|KzcW8e@6FEJk!}}?xJ%Hb**GPzIlfQGoQ3dp`XO=msU2PSDVH{sz-xa~E={N* zB6noPO$a1ex?(CWHz8jEG%>?OXu#GxB2|?Wj=I3N&KS8TOfynyId8Ini7A6PhV#C~~`_`(sHRQ2UP{643L@~Hsd0j8py zATJ6TJ1fO2w!J;WejBAc3}{$gqd@~^u5KZHbI*ECg%($Ew9ItQJ@L&FL!a{SqxotW zbl6=Bkxze8SsRBpP3bXjUX*Bdb&85HJUT>6OS=<{o=(x#>Hz)2Uk9Xud9e)|0xY0g zfdx>t7QXG=B7#0+?&MC7VrW^oS9Ai@HlA+%Wcpo(jSE9bzTJH0&jsyXUd~@MRXCQU z&$aImGQu~jU(NKduV?T5YmXv_3in?cbpDL26u~!jVz_82=`XkVS2E|)2kCl4mj(NhFq)YSbF1ncv|GMTDQ{@IyHxtt~6e6%teWY5i+|fY~5SJ+uKZT@Bbf zIkZ|E9+EZtKsLiE z=DtbYhR*eL&-Cz`;y`L%f(;jWr;AZmOC&nFBOWV5*!>g}TNFh1Psiy`(;r?-mpBD_ z6d4lkiEYpi3driO@RwNZ=?Ickbl4%xX0as_b} zA`n)qs+rlv!Mr1Sj5W%^$8y13C@3(_9$?C(*>}Z*=QPxU5g{~zP@I}F_Ae4DdC2if zPxAJ}I?%MU61IcZSh;$-*M*mB{e8ifp|tewv9Ux-e;XTDr#MIeY`%?bWG@1{*MvkG z1(u11P9Kejb$h;}J>SqLf}LVtwCm)^x>9!^@4h+N!Sv&Fi=cnAP?_1ck8TW>V#9OK z{I6E}3uhJn7c{Qy7oKMS0~7FOz5&p`z1D0(UeUXu5Y)({3e1dSv57YSoVEw;5mo+B zatd6W3K_pq>q_}dG>EpOS(F$qD)*=EyCA7eictCD`*2*0^hxE`cfTU`Xv~*d8d{^` zf_V!?;5b%PWEKqDEHnZ~j5tC=G``FnbN2|`vj8uRES^DVjljW2t(NHAH-fKlJrj~U z2IqAqNx2j%xl7#gztw$Gk|jEn@J|?ddrYz25J8r6P|%dg$$0*=IQJAXHsj(itsPfO>tb9)YwNTv z5=Lw5^lGOD&WgE)s2p=fzE!Ab@%#Bbrs|qCH+oq2et=dQ%i?c@G!`d~?MC?Dx49B- zNV15AOefro2@DIvpZ0I!7}JzF&%&VbwX~>WMbjwO(_$s@2jkh0wFOZ)VC#We?Ee1s z?AiX0jZ4IbJF|mfvSbzSlD%13!raN|*2fnE6yNES5xJ>Jh=fT#XB8U0D*$$ub)ba6 z&c3@4g$fm3L?4dcZDdGxlA4LRe3Z(VB5wOnb%LO98}U%54emghjtZBX(J&NP@$5L-;D&nQC+Tu|S}iO+@Z7qAaY< z2ZoLz3z}$gvn(h32+=`!=X`xbM>Uj)IJ>8m`mKe33=6jfj6K9-m`{wPnDjl|ZEvoP z35gp&OYjP->*Q}M`3GD3zw_4aeH@o~;mC_q@8oDYvIpmq=X-+D%K<0K3orx>dgZ9& zL=@$8D?E?uxGGBpg;JiF2X4Yq7*F4A@uD)T=u4IxRB8`Hvz$Y$DlqI3)?3!8q zTH&0qr9=M|N{6}PJADiZ`5|S8^5$Y%*SoNL)88935v(a|OFk!{J&zLi9Bi;2vSv~B zcl3;#Vv7eqNqnPV&szk zFb2shBf+RsyempvTqbXeI1MUr1lw+vzLNgz-l zg7z-3>wXuRv^78Itv=rrrS>4gOKmcL{4fNFtQ+~O%7J|8$KSWUN*-OJHy+|QjkzsB zPGlJ*2@-ZzQnFBF`?ZXUxxuD7t6;Qp3oRY)^_8sVF^f2`&w$NAtn^-Z$_pHpnqiLe zIdQ4_K1g_Vp6V&Vv-C61iDc47N427VB!|Be#sB&1Ci+LSirTc7LEU$G{K)!3kF?(| z*wrcZ5~kJe7WPeAith!IWgl+1H~MkX@Z1vLp2oOk!4Nt{~R zXspA2E_1fRM~gHFwgOhc?o&E{FwG-&t|1$HT^P)palZ?L5z+R#Fr69HtI#oO5YIJf z7krhXAopTV38^arsG!Qy`$F4>5Efl!{9x@GvG(V@@iL8P#Bp8WWnj^3R3)p4RwPjE` z^~$Hv2AP7ssS05xEYb}7btmPHU~{0iHVx1!0ae$ zzJO8nR%KIB+wri(e5d0B^EBds;^r1ii(CYmV-1x&(k;pTC@%IvhoQ8Dw*GGa9yUpT z*I?dkpK6QU2nCy@*w-#-+QNCqn%5kd9e0k;h=Q?HcaG2M){Z}2;|qGsUe~7uUH++G z?-w=ae{MJbaS_mINUJO>i>|Th%8))J&Kf|fu`21Xb45J;^fe=DPqrtRZy{(?DG%FI ze9k~n!(NonWS%TXf{!=;ee)|T-DXQoF*l5Um}x3GTjl(~p#v*uLH5#6L9?1DcNr@n zh+ij~E9YqN!QnYdg)Dnw2~2(iRtQn4+bl3t9)vvqv!J5efAlO|8mtlY1=>oA5Thf zT@-EApw*1p-(Mz3rih-it-c!zMloEYnMm^hV=Ut}${{oo6(>4{eK7#B+8~V9)N;@S zY%Ex~Ob!~uBKBdQEuFwfMZQeX@Kq5WC;(<~btp*M1)3GK;t^o2{AfuC>%;E!drv}NmzteTile~q3d7487|5r{1GH> zZZ8FJsij0@Syvqogux!J4oec}FbV;E!9JBI2{~V^yrapL64l2Z)kjZ9%A=~%ccOX* z{WudYq}d+|9|wb4sX%2T7$fqn=^L9USw#ED%$$uoBC5rD9e3Z=vA&uS)TO4(v;&gQQ& z!!LyUAGrAc(OYkzykFGqs@!zNk6GLNtskTn_S)LcOW@uXCfLVKWTK<-pj)vINk_kO zYZ11+tv8X7pwjwdp7V*AzH_*?9Kcw5Os+LO)<;~}nVq*DX-^Ww9(PNz?!P1Hu`gF1Uqg_+76;Qf5L5_e_L%+SQO2q7Qd|0RF(HP)TE-j<_F9VN@4|Q_iSfzaM6E*A zTA{>QnDgzz4v0brmc~~>bif{h=&l;a1PF^h<)yM_>eRV7)Y2JQpHV1T6avXF8 zR5Wq_-O3qEbX@+Wd-`J5nu|dg#UHopf`rJgT)oqB$vsXQ)e!>nk&E{AdU4r$+;x^O z6Wyq4_U@hiEP|%VGxwwOcfB5+L$>DI3tt=f2!zCTJl;l4YUce-~YZG z!<+e5G?SV&e|ZloH-!#gO9#em@+V;yE>4r-{yE%@{C=B$k@;U`hF|}b!3nZD!{Vgg zd;1@bwpv8FedcU$M8${k*Gn_V*y@W2YmCEGf4V?oZX$T{l&q6)8XUrvIZC zKuUGmn_7$)ihqw_Re)yLS*C!U)(mA%^gVV=F8+bQl`bmYymE|1+F2zIwIB_7p8stu zRLbScN@_Zns=JS8U;~nsReEX9X6I%!1m3J>NE>k|Z!lmj&0Q^rkdt3sx4Q9SJ`93C zaB_BK8xz!O%yn>4_{Qt9$Tef(+}H|=!bfz(R(BuTYK^g>V)DYJ%{e& zLvEwt5kQP9^d%`}g8MH?uO==rXT9`JxEE!n_*>v_W@j?Jb4Bn{gD&-9&2>R8)7=un z@aDSQtxROkX>S26E9rz@S5(`x?c-|~9KOc;m)f6+GM}1{9$wFlH%SfYrFT*rZh3Vq zo$pAv?vwYrVp$ztjG&U`Xar;BV+$Ayx~dy%Hww(d^PL16*C5zaRDQkP?<&bF8T3gQ zMzcSLb6py@f87gPvEh)g%5NC$!;t?|ND|G2&g$AQ;%!>Q{Gu8B2TU2@W_a^(i$D3Z zdi9qKEkNAoYD&DhSL#1ej~Nw$ikoxo^z2c4L72SbO27A=keTHZh&?5*IDq0R# z0HxLH@D7gJa4=)d`O3kFP|EO5+8O3up=*nruO81h>CJ?hzronu&zK=2DL8Zv-xqA;~7?tM?1ljzM*&w z3WHJ4o@ycBF@(uSEa6gT;4auhrs@y^dRxDnYgHD%4A{WgU}0kHg-jwuzgaa}Uy`V& zTkO5R{Ps`rL*<%j70!A+78+>kEhMyerG}mUs45xk`WXeRh7bmo z1dg(TUk8m)BBSiG@h&yd*rEKzFn;~ekAFiEoQx8DA4~2RmO|+FO48Qop?>rW1v?U?7?tYRZETsVVSqGXVxZ9f_|2 z_kaJc|Ia#iI*ftA_AHGpjs73eiT^u)+!O&U=B^kA=|6H~(E+O6#_RJ&yCFrM_l{?o zLF7?zL}Y?b@S;jhWxb`Y*ugwi>akMOx9hKhFz*>%ocy$#v~4FoygZ)qj@NGud_T7A zkBXc9qS8ug37v81`rP|kdA!n^?Q_G~%jeP7jh7X}`ReqBQzzgiBIZ*y?+?Gii`D(O=TXd?$g*ziU?w|C$8J`wv;V9zC+^gn%g8=^`RT9|beSPfR?T00t z@FP85$4z$2P~kt<{eSkGE8s#h8~--?zcYjmS%fi0l`7{Q9a<%)!|zYK6HU|1zmXd_ zy&5Tub=>&T$x=CKpq+*kMeB1)B;Zsq>Aq8+A8Ppp$$4v>R=dU_5LRtSgPk93MbjxJ`5%QM1Y`ztroj;ch^2e(aEby8CidxK z{7)$V9~ej|(v6e8HeaUvr^{uWV0UoC#ie6Dd0$_Zcwc+{zD8eO8aQo^!rn>0jiXQZ zP}{EE5hmuf$$0E)qLkLOJyrW2JPbJ%XuiRhP)_eFk9^g4fwqRPeiTH04JYlcu$<6H zjAMDx)fR&LULle5t0SJ|R~iUfHz0RAuOR9dB>9iX_`mx&GM+&*MD>dkOfdiMa%h1~6j!@J9(OOV&UcW8cwhX{ z(T4@Mz+t#p|M+9DFYR&AfVeh&$)+gLA-Q=JwG?Llj7xk(&w<_F#J<-Y~5MY2=!3$qt~;U%C3akC^4-ncyGZ1z z<)6v?L{Ue%>2Dcv3&nryRoP0blUfWL==n7zye-UD`bu~-d6G`$+0K`0#n^Y$@GLRF zFtRw5H);PXuHb>WVGPW2rC%EUMxzmp!v$=oYY)}n_-5TQ60kc6;4RvM z@Wgo-bt4kzQSjanafqKC@1+0GM#EFfD5Bhox5O7gz;I#lvhT(I?cuf2gUIF)SOAA8 zpc;J?oon_(UJB=#j_^D`k_C>a5ELC}-Tvktr*RkF+Gz2+7?u~@YWJ99$l#i#CbF*y zSk&;x&kAj@$3}|{m!{o!6u-me8EPCi;Ebn z4y}eb5&ePj?c33w;YS`WS-`51q>`z%JC0UpSzoulH)48H5CN>D8~Dkv06hAGMn2W! zk56L9%U_{G(%GtJ5$Qwrce)HNBH2S05JQ`l=<$r+0B5F~%xPVTN;@|DPg@W#r=8$Evf4vu>2q}4#!5$)7 zVL>d<{mq*D!1(&=5*!k%i=VxfU2VVe9BG%jDIYBTUa!DT9wTf0ff|0B_j-P=Nh@^d z3@V+$t~9Huis{qyq#5ZDJPIp3~TzJp0-#wC>|vd>OC zhs=Y}t3U&d_UUYpau>#^W;kClOhS82uR!@CJ>LCz`|(brve03S#b{Bp%kaq?=ap1v zxs~2j^u<&{gisgdW@lM?S{9Yy{afp8?lWmZ?&6wc&Xcx-1)2}mrfMreq)>Da4(OMo zG8%30ti|d6%A-lIn!$e0X!3X-WvGP;ggng~w$Gf1;`HmjxF9%=t==Xh!*Mp!q+B6$`_KCQ7A^;amD>DgQyl$HIHwCIj~A~kreN%Q%=iqu6(uk zp&TDTv{obm;NA7shXjS3+`fkcz9~o zCVjt$9!he6UJE}B&2frg5sE7X>EJAGI)wyEi6G3_Q~~D?`lD!gg)b_VLaJGw6^X_+ z9*w`cuVQ8hCGJZ0-+6g?b~spKEI(Fe9=&ed8hF=uZ?RLY!Q&L;kl(FbHQ*WL$pg7Yvh|@lrHQUlTjuB7AndIbIg|@YRp(^$gL>5PTzHWvv-}x79=W zqm2<|n`uVXEXieLy)khO7=acq0;v-{X)^`zmk!`Bl__>6^l%x7!qLO=ef_dWVYiCOUOJ6|g9RXJdTI6jL#*J>He9Bi3KqTj{$rq zbUYr?|2oeFZXgEO))#{$KAFGqlm7*W3|;`zhS`3Dw4bF}v)FI%2|RH--cIe}GU*|z zUP*HgXbh$8JIYcsu%W1nTOwKHdB>0oW> z#+IMkiU;5Qk*_XYShLQUuTx(`<~XdnxAD2L3j`hG&M4ZwvyIQMRuGnKP&FqiEFaNh z;u|Btlz82bEDl))nUsRhEFiItTNJ!DqEc_kKTRJy;IR<+4cV?sM>qu z?zj^3A-c!ez*jyr>@9UCKT$Q>`|{f|RZ|T{FfA}}q6gkn^cPL>q>scb!uiwPQ7CT^ ztZJusjEjI|N*_V?q_Dzjig9bAG9FwxKeX@nL`SrME?!M*=GElG7$)ZEUB4AH^f%P* zs0rJEaBZea92KnMbi}RDHgw+j?~~6usaPg}gBW*2z|^Ykj9JW3?pRINX)b}Frq&>M z!wlkey)-{O{z%}S<#oD5=(?O}YSiR&+xM7XJCsSK%Hz~-4Pch=&sKG1p~r!k_ZUAt zFOO1tFSqpSY_{2NZ!U=9LxWh^KytseR)1WK%0lIg7nA4Nfvjir_hLYj`SH>4zUufE z{5hEX%@WN*Z!`eBzj^1Ef3=GeoopJ+&Y;2Wh+{F74V7gbUbx%v`9)=+UK-;KTNT`? zyMYfVyIPhc>H>eL+*e+>Dd3P7nmMDAbA7kn^^_@l8w_Vi{;Zt&-21nSjD8chT2X3o zF1K5fGY8lphlgw+ywLvkDWT({TIj!=!!E!W$7@$hzuDG^?e-8LVk!0Z9clR52xGf- zf;O{07$jUWfmjr2cW`#N4cp&5Ht>`tcQs-#3PyfI{6Ol4RkB%s)~PoFSAVYG*dK~z z3@Bg_2D#v~G>^T`CMsJ9+D+0oRpS`FHk6xNep_rkH_c7%8e%w>Rk7;&4+UGGbio)ggEUMWdOU(9@zaIT^`?7 zRuaFZ48SFIgXD6kK#UU-}h(}Fnz!BOT9>)FoZUP8n4!xo0+bN2M#mNDa zz4u0(k@$CC9BxkuAfbs1?!uRz|FH_D|8*5E7NeE3fpwSIE_~&RIB9U+sXrzO!R1Ff z-k!QK&rW(Ommiv@ongcevY(f~{1NTAJ1gdTc{sAvaK0V=R``se%!3RrUN%&qSsDX< zNaClmu-F+r)ZiWHh`N;-4 z66pZsgz@@fMdUFQ^;s34mg`ciUF%(*4&AFgLTXI9%SSey=R1x6;%?Vcdi@}=&`^am zYuPj-+3j`G%L6O@E@GNuE45hR2q!Y5mum`D(&|qXh_-k+B5lIb^YS4-3v>Gn+B&rPB7K53;;`<^r!P>bbN@yT$tUBE&CTv)w%r=84R zf$`NKLI5%*Sp-|diTJn6APTQMgaN&M0q1Rna@Q7A`aHh;=j9&&Oi6=OWzXZH<*VP*D({{c>K@gHit>!c7rE9hXck=PAbzSnU+vb+V-)D;&hgB$qcS^Wpk;P7se= zfteV6|M1v+7tp2Y+K%+xM z#*D$HmddVqwNdK}RRFQ<`xqf=L(QYt1ouT`3u)CwN@l7S3Ny9lWW_4A5<4gF1$_e< zNw2oRYzNv~QEovtK(Ni%rt95F{67}f$kp%6x@TLC*SVJBth72~J14R0`_8(@oBO7p z0fpi*VWwgdS7%uQ&Q7a9y5-(E_dvD1DKU>#3UHh8D!D0ldbH!!0g2sD3#;$mau=c# z5Ab+YQUJPr=46qS<+1bdQ!T^tJ5hbrl!r(ExH$(PT1hkr>818MqfCDas=px%LlndT zf#l?M*&lkJ3K66QLEd?DG!udP261CD)Kx@am`AgISY6+r>fU2e(HMrHVXt!7FDu}; z%?CfAYlh{$@xDHv2|X|E6HcZ>BQ&9h^3;Ol_dUS(C(RsrJhJeXPcJGNVX`QGFtMhW zK+louALObBDTf@xH`xj?5tsJojb8PF7rU*Tj_bqyCfJhGfP@;if>iR!>FZ=s*pKDc zYz+*3g8N~SpWHLW7mJ;DrsWEHG_si$vgd%0Mgc3l0W9%jSnwvyW*ej#ak$EY91gwF zJy34U2~S9iJ+SWhNv8?RAFsv)ST~mdkmXU%_OWmhb9t4$t+1{?d_o;p^V3jKEVx*w z-YrsfWBu-gGA6lTo+NX781cLDN?BEl(HyU#y3s~x6{@}z#B01KIef|=sSc2X_?MT7 zcRaXX{ZJrxnY~T>jEsidybFH%dqANi1?h8gcxj995+l6G{)yNdfz2tt0=6-(xS|Gv z_?gD*EBCejOg|h0XG~J~)?63y+c{RGm8)4Z| z6PK0Ljvk;l?mN-Ua^liksEaoVjJ*jXAM|f*>Nm^kAi2@ylk)YX|0b$ttlkJzjA!;8 z*f)SL%8I><&KywH50>(SWP<|av`zs~0k)G|hqb}yT90Hipj4*7MKuiI6>D)XtRexA zlnp?`4|`43Z_=B>H~3k%QD!qWkhS5&fL7*7t&^o;WF8F?+8-GkY8PzQ9mwhk&79E? zOg-cyCEu#&l~z*DUQFIcl^ zI#H8BAu_Fx2=Oa6Z2ut2M8D>b*>bjSwbBGfloPcB6tZ};H@{T+ExFtzwl7@&zD)KU za0hkY*C+?9kS>)Xcbn?hk`T75Xgd;tE1Oy=@0g|?ifMv;mMAx(-+c76 zKL<4mM`%XD8*E9sG!P1JV)r~17i%L3^40sj09b+u=)Ev}+&< zcY?%B!`Owbe%2R#5ipYIjlx=`8#$Km>SQ5YrM+HbcINu3QuJivi48^S5Ngn>hs(6b z?Cy^j)}52p_Dg`>DcJhFMZCgDVS#lZ)5)T)&XFAfjjFrExO=!!lUmDcAY&PJDys`3JX@gWri|xJ6GV#CsNyIhGshh%Y^Q39|j~ zeY_+3+ct%@bAhCbG3rXP8|m?~2{UMpNod9XsIw<`2bIm*C2czOi}jijH0xZ7pNqWF zMe!8?O#QK!QW^ZZvOcE8VKWEUjFZkpPpoEiyN}J^P&=3J<9fEqlf6>fyV~Hwh|;=beM^<-ZG)3|rN^ z9S-wEZW!e1XqTC`7-6?>Ob`2!jpgqmn=xsaNp$7g_Aa>B6 zaQhtd)6bnlS&v$3Y@>R?AruaJKF1P*ro}uiTRSc2Y-L``T*T3a$1Z7e6F%-(Hb-`K z=>{UsjpB@eYn3e#*Y>m&6~6GHcqKp##Pd9Z}8hTdHxwhp*XfkV#S1&eD_47_cd3f;6-rLcTk~OMAtjK150uIp~*;ueprL+TLvX_{DS{9 zPZaN9Vd1UFlNMi;guxKL#8535Zy|^hXNsfFqd2h)g#+8%^<3hnniIQ1We@}f`J zH$IiR>m%8;3(BJVpYG+VKRZSleH1}12}$vPSZnf)#Mm8$v3v?~^3>5Y=$l?DHPJ*W zm>{!gXc@<#D&BB1k8XU9qBSxGW|T`<_s8eo8($m>moSyc!@aG6(jp~Fiy%C3Oc}>u z50ay|A&W0qsszWUkuQXqDg4`FUP z@Aihip~Ll?Sx?AMaRnN)>zd7yZnZvm(ZgFm1Ao`6az3e7?obzDWl>E(3~171zt~T| zE?94QfZjaib%by(J$vzpP}|54?)nGJZrsq*L-jVyWhk5-E}oKaJMBXhp6=Zhj<}Nt z9SFQ;P*7+D2bi`r-ucOtqD$$88)uWVJ@Pqyk0&98!||}04aN2ZIQx%vU)(a!^R3E{ zrG}$qE)$Af)&Xvg4NND8HcniMywVb3&=o40lt!DJuhl~|b7bh(BLIdAE<*KDO<$ng z5jvUmyHVm|h2np!Tsz8kWXndksN~JSHjkPRu^5U4)KxnfsJO&ZL#U|m=~nOD7C7Oi zS4e=?R5)dWJQBx;}K4risC5eO_Pc!n)?DA zjcX|aQ1Prri^PEVu3uJBKmUCDYC>>D537p(jv+sgx)nLHmrAEbS~kayP9f>E4Y+fA zAM*@t?m(^?E?TPEIt~!X%CKgr#+{Ee)gx3d_j3*>mRl!91$RT})7Z&H#+zz!rlYOu z4}bLYHC2}0Sh?f3Bh5V0_-&k&-c*6^D%*v@A1@PRh<;$51(yduB#w(JX{_1;XyqUN zZQ1!G@26MzPTTAe=mJ5X*4(ZCu}H<;Sm7}9?q@i{%;oMUR))17@iXTZgMDtKPGYX! z=UY67skblf={F}_wkbRh2BZ|%c-N;xEE*n@Jk%0R1kDAR)6@#nekrVDn=hvILGj39 z${o!tbQI(N0iW+j;&f>b@|n9S=%FsSB0^dbdK|c(d+A*-U3=(nfkw zW&ROm4QmE5f&=t1hP*72o4a4Y`j1Z^{aeZOzZ7}RI`4S+GMyo-)?`5R*&x_%0p(Dw zzzky%Yy?Pu!|8JJOH5zi(`Y<~gE!Qm1Uh_62GSx?hb3;f4bc~eY>V}9$Q0aiYINN< z!GHE1oswq^(ZTb$1kL~(-$m!gLNf@eqW7Jo0X4giKJ+k|2al<8fgQ%_{YD z0$40WFn2s31sue=8r;nj@v|R7x}U%40KqspXkkI~cgh86<_%vf@MpVNZ%M-poUXsM zX;rgErwM%E`2@;t>-gtq#aTNC3z~sVPX#xG&sN`+dE`tkj6aE}VoVc38MX$!K09?{ zZPtaGT{p)MMo0X3g_RX>wU@DT5Yl z({dmjF545xSp*kV{f!ZzOL<;9lb7}*F? z{7heu>7yo+cu&#(o<)P(L&ZVg()Zo*baf&q$Qot@wyPvIUSO`{UZm~%0a5sS*RcV= zQnbhQ*+?TqIG4e_MEx2x4rQ^flrENzzf%y%MRrXC?Q<3*mRWB z<7Fzpqukiu?*hH5mtwO2B~;vCl*uA`tAW6%4@%TFx$5y)|FT8rXjol2-_+l-#U9Pe z%i}c%_SX0BZy(-}1PQ?MOzU#8&Q>hjO{2U)5|7|*G5qu0-BGMG4(NlUbJivNGKVvh zGa)%10ugK;?Nl);eJG#b+i|DM3}xF*EQ18q8RY@iY3kYB!0UYIP%RDIAG8fS zvlPz0GsN!c+b=={(%n4+Lb~ZL3zS2vXO5{S4(GkDL=&Jp%2mUiwMws(L}3kYMOR6= zaSp@ap6Dx7b?M1yPBgFJd-7k?cTi0QwE?1Di-3RZ3d-<7 zg&-w~2cw8}u{Op#tKQq7zL54*bM?w3;^za~MRqCK1{yjbRzWUefq*2%9Z^`ta z+eh)cpHD#~wX_^4orSMYH+nasQA+AXMK_g#t`hi?eh|tX>04O>V?7k>qTbjj@8tF2 z)3&}|S&)YUo?$q*)(AI|-6fzO6>l*hY+&<3R3+HXfkcmVr!5fmd2uI`&_euye>`CBY{LG`6z%I7MCbkARBDBDD^ZvH~ z>|$=Z*Yg|I`5i1v@aXWS(`Ko0{liBMy%o^gQ1Hq0Thhb9DqBOiURrd^kMP1QPzBfd zwDRROVXoJmS|Bx=2hi7@W1>yDZG3u3abLTpY#@QhVl-p?AQaC)xQNY&la|B!86y7# zDoz{*j<&6@0ro5r9ns#;b_yn#|M!nibM-^1RFY+T3zC0XvqN zO&oZs>wnMkUcSahg13Mo8meTl&2(52rJk>UcB^)Y4Q&#w-Dsm{9(1hr?|H5-NfuN4*At@zngJzTxKY=TvVfcSUX`#r}~OxVUJ zLpz~AS}~Q*^BubhnSoA$s!dnSsuw*wR$=+#lXd^jxp>Ns zYR!(3%hTrbc2Hf43v5-U!8niHCQZHDU9{s`Q!`5#Br>%@QEqt*K*3P>+Ci0&p{)`@ zE3gu%SzKf}J4(simTK{wb0sXJ68*xd2c^>{R~LKRlhx|=pGCmaGuLcsC|_M~Z8!t^ z`-dH(8uWk^PTPjZbQ~th{JT~d&E^-lJo+;&n%Fb;3TZ2Un+zD z*54?Jfm160W#*5zyT5n;4o(Cwc#b|?x<;Q8iroyeQe|9p2mJay=zW7z_nE-OC-lrQ z4!YSIW0I|E?(1e;)E`fOL>LELKlW`q==@nK>1LHb-v`ZP`M0KUXyu4r^n#8}dY)+) z!*RhB=V{lyE~d{NW2WhrTFS#=C~^|JK`-)pxm{jv{P@I3ga<#8p=;$5t-DZ~^uR zE}7BKK#b!AVdx#D3RftlMzDU%ohj6;*C>;m_$#jsu4UgKHlIRA{PcVU;O#f+j34A<~c z#^1{m8kPZpr-tj*4`!AO((AX5h3JJpKBd#j$H{X`Oi~f z9*H{lw$y%5szRDqgN}g8W=;*|?Rgd6x8iy2Y)Gf(H~M08ZA~;~#ytMFrqgQI{blp- z5-1BN3vUTer2B(FTkMCK>%-69`46!D2U0aY>j=?aEC?;k3XQvW`fYoXHqdLW&Jwiv zVxeq_&aQ*zwrGC(g%n}aUNTy4EI6Jur_ckCG~>BGno^}$uUbxewfIM}N9T2U_*4_f z`ANtrKOKCvxm%cJQSs>JYFnOBibHZ_#C*@JrW3GIHZCP;^=C%3om2U{TUc$rdR<>0 z$GS8=-Ib{%Xt{W@`Z^!ltt@g$11fd$j^03nl=JURr9@aJFmJO4#U1||8l-C{dhJ?X zrk3=@^6>TVA3wf+a0T?1kBoZmySQhlR*7h`iEpMH(BI5=51nG_i$L-HMLJT83MogC zm89=E#x$9tQ3!fy)s%;o@SYngSzL7HEPP)=$CYR$BH^}RxT!Mj?da=1t9AOs3~Ef% zpipHw1TA)(51}8{`5?bLGb@f)ASQz8wbddGzm$o$Xb4$_pxF-KvU=D}JXmkDN3{>W z#&qXyK1QOzyGVwf*wYuFZ?*?$See=i<@_A+g% zv%AFzgIoOdsP5dzKCa-SbC+!$&%^KQngI_>UX8HA^T<4kwpU7PXL7FA7_LrvUnxI5 zx=}2=Ja+;fbf7m&YuyU)PPhF^{DC(uXqBh!jjB{-+U(PI9^pp$4v;_SA-e4NY)#M! zzAB2F?A$?MPQBE>J_pvm|0$heCq&;pvi7Zu(v-*kw}yHDqIy!RDzV+PI};Da`lb#i zybMd1($+3&TpxNmmu$TN$;ZUe)Tu3Ill>m(YnSufaqz;b(O^(YRvP1-VT?ALDSN{{ zXZ8P4_7+f4r+wcz;-CYF42>dE5(0vv0@5X-v?!o72uOo;!_Y_y0@5u?H`3iD-9tz> zNDuJ8ey-lnKKHx#{eRzc_Uz7K$35)Kb$#Pgzbv&%T;bk{(TY5mt$@0d5L5+Cd7)!<+r5@d<`d>`lKj5`O3y>>gH((U=zrIt51_9dL+E8{3&Vq<$ssSWD#Bqtf zrz8HF0A?oomYqqrP>2Regm`d+v~ij;fo+6Hyn)YH$C$E4P9Tz^QfJS_u%=aqL22{#Et-NeX; z!32-#1+{AK4!P_(?{;yuUjl)R7*3Ha2tJ7T%hyzbK>-N9axx3(&j8Y$*Y8rSAx zN54myvO>m#S}Iunm%JZ~4VLXQYX=aaxL%6daNNMeg||z`@jTS9M6|U$BF5A%hvhee zb&tt{-xh+TkMDPSD1~&#v1gbl5M-yqaKg6K>=z47C(8}lC@sWmjt1iLL&;`Y@cFjf z2r&k_E_jwsFV@}=%IjtSboe|Oo}b7chbLm*;`r241BG7bF=^lq7PY#nuatX!iIW@f znDjdr&!#+GG_x7)cr>PczQK6j}WwWUy&<&M|3)IeDD- zYE3ho9Y3e7y33GuGMkA2R;zh=Yk6tRt850$uaM4Y zY!SKag{S4nG4!DNw$;kOQ@^HiqGJp#dZ+vDn#o-5*BUR!!9pJdEEo05bd<80zaoZN zodc-rN9N^#yc&_a1GgTJ4oj_FLosXs0VO@pXQH?(1^RsB)0;kJD#|A)?o;J&$abgZ(hV z8bxnDdS#!NZyenyE^jbW*wn0u!;_m}uGgz8W^o(^#+wrMJe`1RD2eUvY@#_YlbJ`T zDo5K@v!3)*zuoMcZe=hg>*6lPlV1i=`F^2sfCpE!l#lu}{1+2O8bH;?i6GRitT%=ih`A}rIRN zBs}sBW$rHOGncHGShoRX`Gj zx2{=#&8kOR!2(xJ>8?J4Y!up_T=*AbEyI=9G{DmA|=JpzRCc2E1sqDu{J#_OxcjaAr`5< zIM;B*E)`Bi%d=%GG}0MYFUDuJ_~1~2x)Qk?{S>)%)$_1Q-&-nSxXM|w-u9u{6rTYw zC44nJ%!Y_fFg^WzJY$=L$t|!qpzyrHW?e2IsJo?ihI;dC+8d<6hXP+?4_L5B=9< zGhr^El^1E7CyDDt(MY_2JA<~byfhV%uGx=B^DK+y71ks;gQ-@}$sl$`PI0D(|X3?i<{ef zBi>TkOgQrH_Hm(%o@(z#b;3gjA$d{+}<+V=2TO)C3HUWzrzJm!%Lk*kH-gp#W z%~Uue^_JOC*k2ht&!(xG)PFEaE&n8=t+iuEYV^lQt@X&>AX=ZB#6YGAW1rk^T%%6* zJGi69{PpZ*9@fRUD2w#waBy4Stp81uj@uRFY_Qau)CbsJA&bpke-VzxBgJc_CjC1u z$OgO1wYo0=kDVj`QklyxQn}1r>$OXm*&0|$RQ7Muad(RS*9!?)4>?V6b(J+UMiKwL zs|yqMV)c1pQDE)KDp4UT30_1IEewPg0^u)22+O6RL0EoIevEVr zPfR7!yPsc`$YY%x`akf&Df=3R#80}X?jjWTcqeZ-G+hd5;ba=NX*+_Tj3n8zQ;FaIwCU8^*vFrb?6A$yvC zs+|M%n}Wy`Lh~KtfJb3FfW9f=_qsn(lITB6M7R+7ve&u3S#caKHi{(F{pmj;Opd3Y z3AmI6oqQnT{07Ja?F1DoGO53BWop#kH->G~co}1S*Op+h)NDty@7WhB5~<;h0KgeU z+ha}2F@PJ$C*Nc8iI6FvUTBys3rn?J0T}N9PSCQUq!sQ=BQbC(IWn&&OopfJ?VZ~5 zE2Ic_%g=f5=>wSY+fplHF(2pk)VFArbERBC&jRU*d}Kyhf9I$BAKk)ukFec^F>oV4 zj;KDrFvGfbzSUtz)QC${wosaTj7^L@g`|l<@skvFiq@AA9w9r{<6wx(qgc7OCn-KT z{Gmu+5XAwb+s+m`Fs>&*Wy|y{C`}abCDsmZ;wvXw!$mowt4T;oT-ApK_AmL?cw;}R zXjv{TiDcJcQ&P3?8p^RYc{1u_bv8-u`Yz;j6sP#uFTs11s(liuhk!ey=mDqvzNN3g z+<3Io0}_&$N_|gL9<104M*U_|CA&KPd#MR~3?@E)UwN&CSWkfz=_)zTCjTET07=&a zgZr$qv1T8&tQof}Q1lj&s_*>x&e6Ngm`gGm0_SMUWx!7hB#nVO@?y)RZPWf{lX`*H z=2Pvf%9sD^C4rPQ|E&`?MZ0aV3(T@Fa{Q(jg|rahRy7eYv_Etgfx+qI7z{;vc`-vL z+Sn5f0I0*f^qu0+y8ymW4oK_p)@#UG<`)^%khE^VR5vSDh8oYk4)TSW_OlEq1J0M^ ztqM>pp?JW?tWV;04EFN$d0{sJBz6h|tcaSMRNGbt2lrjP@ZSD-V$w(n1zUoJqbKghw2)?|>(a@GRT#QapuT&-Mb#>j!V|lP+ZyVEK~mVn;sh5( zU9Cjig(Jn5y1o8dGMEE=ZDx3p67cRkGBvBv@{kmOoIF!z|B7F$N4eA;Te7$SA%k&q zkgPG9^BdxVehrY*wyup9_Lm#c2`#XdX*c1_7mfi)>a{b25#7qSJ0O^&3?oT4LEx9V z`^(Q=ch9&qFwExa$nRqbqG+5XmTD3(qBN+`V#W1r4tKrR!{qhxA#s6DhGKT~%RLtn zXZ7<&P#foicsD|T9+W2AWo-^9$zBLpj{j)cj5f*%1yv4v;c+AyhGX(=m916S5%Ct8 zHw-{9y$82y-b>b_3uNZy_aBB!kHuS~6buJ4lGau_@AnK8)C%~TajOg76$=2S2$SMc zZaipAUg5aC_D}}tEII<3SkK^o!%(ErgM89YRwDIUXDVuY%E4t@SZ6M9xW@^YPn3>2 zG|p(Z@>f12^hR}Iv6e5s8QFc zo=p38R8zMvNv9i)eyzKr*Nk$lcO+!H`YxC5!L_|tT4R&YbzRSSUHlBYf2EOra(+7p zucEea4M0>62ooO~Xhbr0m$qk^jD`1OuOjhb$n78s`em_zhF{1V#eO`KlQMV)EOi{f z)QkR$ZNfbj+FuQlv;OYb{{z$c--Dd{+I&Yhc%Uo(hQ>VuiF6V5d!4Ysz8eD@C_f4H zqaFp=mdeV&7b5!wE95KT3)1D&*lKg|32{URkj^kdom-{OYAaZ zWfm!#G85R^uFAOp=;&9>#%0DMtT1Q(j+O3(6`Eu$hw-`81Af+U)BhfI?|zg{ke>&m z;;T3WGq=`x{aO4nF+B!*hV0Yf$mQ)qm*4QDFJ!@E8UUYiG6ZE85mZ`~UXkaDy=>gg zDKo{bZ!-{z4OnZ+WcYNVpo@qGIb)+mrDL3o*y`8Joad3av0#4x&QOMUX79D0e3(bt<{MISjRQ^D(2-o6a=WS!mNJ$~s)%v%Gu8YYx<9gt_ z`rWa&yJEPw)?KQd$|X7L_mu?Q>+^6qD+5pX7p0tLH_EA*xy+}9uHDlXdrgGT|1;!x zH;-6XLp081{|dn{V9zq&M;iBm^_|)EWE}MdSnP4D>2ae;BDiJZ%HyrI|Cy*J$ncyz zHR$3Q-`bI$HXYW-%d?(l)kog=rHDc#8PHW#&Wq3npZqk47tDBwpbzS<{lx78l zq`tAv`=bof%8StMR-O;XvNCGZ5a;iyItY6k!*;2ooutPQ!#8q8*X|M48Q4o;3k2zU_Y`;r=Hg(GSGSo za}q;0p~7G*OQV9kC4@$FKyZV&bSlwerCE!}b2)q5Muwo(c~m!&zBpEN6`N4S8fO*R zi6G_&R@vL~$(|*Fe-!fjF$qH6rI>42a8)eaeou^t@b8=kePVvqXFyJ*#Jn|G6vTa$ z46AN7=uuHD-E1V-2_Wo^{9&g|_Im;#hCv)Il4G3Ooe$HcUb+NR?yBs#WrX9++1Sz3 zWZ!3olYD-dwEFGV@qUW?LTe@XPH~iKObd>-seZ zaGkvE)!qV!qn0mFAF|CRWuxwObFukkM`EAxEj^Fp-Xwp@Cj@>w$l|5s;%sZ!o9IrM z)5w)7gS65nhn-toKSUq^}+ZQHlOnfo{lH1-vC~dCl32-UmBw)u`!+O znx8x^mn@W%4dwWQAMLc#&2i4F2z0BH7c2CC zmW>45-7~<}VUcytj9(tQRh>OkaobojGUNaxQ-reQl+haYSI-+C^f&S%Q$y$r*xy?w$F2vN=ro6 zCu&xNFG@}M#kMatZ__&-Jucp4I*IPL=|jep@S)?SEe@0dWETrPG%Nws`VL?b3-?jr z?3Wk`M`|40X^6w`F+gAB<)05%AUrqqw1(YN=i8iU`$5POSC-^=_*QsCqxWo$@$U7X zr|iZ#r~4^+W~^F!q>Y=0%|CZK*gN8Er*4Dt-#Pq7*q12zdxoP{Iyoh9l1@48N?*IH z=~j7uc5)P5XFCCGPwHR65crl1oM~t-C5D7H8{WYx&Q3o0ll?`g%3QW&cw=g$yASPtj&Dp9p==iLHdb6v``%RnOtE5{Z$W;o%8IMRpUAt zy)f}E*gPTuM%w}Q^EbfjZZPPH)gzxMCp&2)V|`*4e)QlDQ3SmMhb z1Dqi94362q&_AM8T_nw30MB0{WJsgAItQ zD4=Kn2^US8JKYGI2Ix-^ic zvhe`SLiF{jz@Ums8X1!$*H^jlDz3M%&931%XkLpSbW|yRtXTUM)@uc zU0v~svQkjTHg4XiDAmlp7(eUOJXr9%V41~=_fC_- zItP9$Go%o=Z|tMnthKB%n$G~+^jSmx8nyD`LX24ifQNmwWKOSIxI?KWV27XsKZ(5G z%`FNBquVa-^;&h7#7qMzrE&en#7vE?)WjpnkKY#w@2?C>VoiLzNSKcTvVE!SN4E6> zV3Z`&IkD1*?7X$j`K~emk;O)iq)W1W6N?7{79;K!F6++|du_y{{))W#Yv@blhDx{; zYz9sKt9mzr4LM#4P7J=T@fG8n508%SMzCw-z}{D4FP@#9o^+%H3m6XGa=MIQH<~ER zhfJ02K62!3s}+VrS)U->Q!&|Goq&GwXo$B2Sin`Wr&F96M-MNbDlen&YPqlskUaLq zn*Xq0l`NxxN(d4Tw-CEc^d=MR!;|IUq{h^;Uw;w>CkqBV%^ zE5{db$0|&w?#+VlBDdw>if<#{O+M}yQX~8}a9q(^+@2=jVpDz=$!79id>&O{n@jlG z5pZyQ?U#>it;r_bcELw3g0lEPd?@B)GMr+Hf{67uzk7qYwyy{jVDpOws6?rMUiFY} zc&a*3V!0?Y-|tfv%caFeBjc;z41{_H{l%^JDH~p)6h%zFn^kt4qCdyu^31wF-G2f; zI|F$Y^C3XaPCh(wJ}6wy7f)qvi%2RZ=VnR>4s z5C(Pqy-6IH6Lui@5!aBzp#MQI*Ig36%U8%XS^dlOdu~D|9I1G|R}T%YdwN$|cu}7= zlhgkpTR(5g8+Wmjw|^mW_X8$YBG_OY*j%0`iD1!l+cyIIC{#C0C`m?@FwSKu$!7<9 z`qP-5a&?(D(bTcY;tR5}C^0v!k+KFC6!0`#t&PY>u&G72<9x6AZ7YvBzTfYpwSIK! z0{qcO;J1C@wg?=^AE#N6jMC4+1cv1}@su&{&4)?mU?u8{USW1;Q8u*Q)hR}F&x8^T zG(PSrU}PoW8Bu_Vl>gZ$!k|oI2$oZWscTD_kq}V}6y8NQ+}{qA=1GWONCVA29lD(#q(_ zuko%_lRjW)I0>PmRzV#D-wg!5hQ>c>4*SvemM&tcg%+SsOU!J>?#{EcObV#aj=qO% z9%h)OlQuEagzPFaFDAzTh2RlDC8+MayUjp}t8iiF)-e9Dt_rHipg$ZVpO=0nczFE@ z6auH)L2BJL0-&zlt~*ml@piM=O|6?T&j+mEo)~xku%#KlH+=$&ns)R(7&Y9Lewd{; zemam_4eH3skj#kPEc)h8RsWr}qDhwe<9I7)t@Ul&f3L`YKf2Is_fE(HrdL+*pVg4R z-X_9;;K~~qKh1Ctd5WGtDbv~h%N4<)(|qL%=+Hl2^TMmQaqx<^Y6GV(b8@B+0}tuZIsoo{o7iVuk|w zBFoHhVxro}L)e24GM@;QAFk`iIzJf}4|fyjujcr>utR7YxKtNexwb)=hegZmo}ukUu_~rKrGG=y!r7^@PujQw)os8 zEQZT00t9=t1ErBd6sW`*Yys}MV=?iL8;|zzn&Y5wB?Ld7B$k$|@TN-nqP7JAQ)2}+ zdZo;24Ro@0u9s!#6@Z?RY~>M{;Kf*mp;Feo81@)Gwm80uQ7{V$(GM>%IZ&wKhERZ|A0@R`I#D8A)Aw0{(l}a^6IbcQS;j^Ip6%QI9{db2L^=p zXCM{v7NmY=Nw5m>Q#b+?Czh~2l&Qsc`m_HC*^NZj(&BH))!k7kVR9FO-J(%*SWbg- z+a3W{HZU`u%Pjr@NUZvZ5>M=w4d{Ll(v=%FWkI76g&_n^E4TLLlDZ9$3K14W$-6*g zU3qrAiZvngXr6fPnO z!G0gyip0X*DZVz$aC&K`)O1$B6?nPQkwPp=Iax@*?ai9Az1)=qx*%@a!8q=dAXBN) zn;5UDn;XUd1yz9;gujmOfzWIAhHM7Towu7lK`&ZvARhk1Em_c%PxLY zQYV1-j5EZZPW!k;$unRXf7nu+`49qGeY;E27sbYRt8R;`!tee@|Gr89FW|M5`0?4} z(77BM_DH5V-{%Z(1(E~&z$qY&k7wU-AsI5XAYlq9&wa#)D?)wMb=k$?s;Vn^4N!c~K;!Gz-7t;N7`>oluJjB1+_hMs6! z=;I6%XgX3{&Pl-ZIx-*wg968Sr|3UG7=PCd+>+aZ$lk5aQQF@N&_63Wgf1YUg>YRv z2b-8SL>ENvgkq*zBUPqJKFbh=37H@MP6|6hc1d0AlpeEKv|leChMLkBLEr$1A-vAJ z5Tz6FwGS)13YapVX?8!LA+K;fKQkCLIhirRy$}S&c+HWME>Zrq5ccbjYudu|wYn=W z_yIp_IM@VZv}HRE04~;!I@{+0`4pmK2|itECMap1&oLED^`96_u)2g}-NaPbepl#r zKuY$NXXBm~T_f8k&eDf@D`vKP6~ zEncnnIIJ@d44@#{CioX9F*uYVFPi5Q52A;jaxw~@qYH7i_DZ+_oLDC)597J3FY0|o z{=BR|>YmOE>O9`2QCll&e-Vtg$waOHE9;5l8FfxZLaAlRV%9BG++5J(Max|xV~)6R zZh-{gtP2A-YGAqNVk41kr;_x<-t>#8)K+=olc4fk-Q@F({{3&MyH8@) zJko8n!g>}cMI(pPvomx&QI=p}DX`nt-pf)4+D!Sv6770~h%u0ej+I_UpM$g=Mo?0u ziaj3L*G%*g9V3Dl}w9Mx1qWyUwj><((tP^ss= z(Rn|ffHN}k)4O|JWV-ARvU$HamFr6kSg#K=LW2ghu&l&?D=CQ@Pz466`YFc`*Lhd} zyPO&f4-!zcXxMz)*x!rE@7IaSM7`Kj)V^MRzvbIF9zxIEtz=M^(us&VF(3^706wkP zvcWRuxbCWj`aKa)D;~UjuK|jDLC75d`F{tz5p^O6pD@s*5-~NU`#~Q|1?e0GR?E*{{>UoqeokuyWlm&^SP7e1U^-aMiRjm_ z1OT3vQEr?8gK#bi#0Y~)jUf=MI&qA-l{@|r3D7(-c@20P=z;U2Rf)561C7a%;!l6v zrIvm%ZO&iXu5PsZb~iCN-(eueHT@-tK9%U>slDYFrbMj%9B?5ow`5bRKtX|zyye&3Z&y8#1bWe=_=p&l-q5;`Y{yU?}}46WbJi4mwsU2+q7+6WMP7)Gi(a*d<;^*>Xw%5?2*`#~CY_ z5~Rj;*#*h3<#IP}GY_0O4vSf_+Y0Fcq0)}`kNoHbe)ftUp~XV4ZxQ#6)`O)!mo3_y z6Vo04j~3v4F#Y!k1s|%-nFb%p8kbXTE-y0-s2OK6zLl~V_UM=UK>MU*pHg3=L+o7s zbG8}30%o54mG+@XinPGgj_F6pO?k7*ZEr$WD~+3TCLPU6*mbjjDb6s{lG$;guw-kh zYr3=XW>=cJZEsHBRk-KnVRF8!8Ng*8!on8KNBFuy!f7=4ZX@ojjS?#A6V}XCT|L_e zPEJJ?N|y$D?WomysS-ymt)Iof{i%lxmQlX1Kqlq|HeYBtB;sT^lfk^DDeiWrc3-CR z@qaG=nlb(pe<7T-L9ENF73k=d*SiTcaJa~)QejG0 zSf9z|B=|-LwtlLpaY?qRKdQ)QG>&Q&O!3&B zcGQUKlgVCCOt`%86ZmCox|br$9V_Z9UtQV83vU{%QvAdzkeV z_hfiT&3eiBj&30~>0_<9w=BkbjlKjRJWPMwDt|;Cp;tmKIGEtCxsPx zoIg}dws++54)Lv4z+c}z4010T=L0m$U=jpIE;U1P0|$$|KPmXc91!JWk2&I$Pm53* z=)q-af7J1XZll6-B7doye~W(-l)g|CfW4Ev11M7Z#{*toG1DJ%V5Gxu2iD-*&{W5> zTG7MOO4yX}W{fd6L?gTeqzER9T|(I}QVy=AMXEC^q)UZ@l!k{z6n|*YxZKG$#arLU zLrP^2`G3oPEKXZ3hC^)8&~6!kf<4)*S#k2=+)rH%*3eLQ+J0<(TM8savJ%@~ftuD% znkZ|w;o#SIV+NnUxHhEAg8A17iGQOt$VahD1)e?%%+Dy{4_!0U<{HE=5ERzF~8XH%T0{9BF9Q3Nj`Ex)G zX+K(v!52iES4+AXpX3lVNgq>A*a#L=ipHW{W(z=!@dqC3mBue)<;KL0`CudE`S@oe z1*9DyMhX9+9sC*b|KEtw4>+lo-VyIHz5a-an5p|7&|mvY=b(7M40#Sdum=Bh zmxD{HG}KT6qN28;i-;kd_{rTIu4#b`OTeeSypRv5pf}aVS{F=#<8JoX4f|7GI0Z%| z!Z8v-f)Cu28XT+4rt749i;Qx#!UXxTFNJar$568N!%rTcpuxJ zQHPLU_z5SsVYJ1_JL#EQ<1M#%jJN>QA34oIi-6>d z1eFlyC7AgK#0ice(OC@m*q;IU)UF>f*eE;tfbFzVddyKTV79{H&!Ad8`VP7E3S>3+ zH|weRkOdsn?bRU%~fIb2il5CRfF`x?Gc{*pmAhU%(SMdx45leOP zXjFs3)dnougSu2?s^;#5U0EQYcuYTEuj;lxJJ-8K4pm@`Q|yi2vUz$C*uJjd@<$Nu ztR!MDc%Ftb$NjZuxL`KQvv<{VpQ0PJdLpEvVdyU42K&7KjycN@lDnD9hpk&aP;f4p z#63Np;i@UIS;Nt*@`C46-U=KM1VKQmf|GaYis?;r0e{@mKLBq0Ds8^ zV1uWAkj%9$_Ckk&8W$UCQ&;X7<`roqdP>Ws!AO?pfd3qj8Xjk0Sv_ zI{n@GU82i)58>~xSTr$IN{@DbQMkGK8O%0E3l~e?Tf5boglP)H#z2a&0x6qnRB%1? zzyUxtlUnT*RDlNgF$DXJk=SoK z9^nT3x_R%rH@$3~;pVZ98{dZXFx(wuSM_@0;}jKbr#wR1frE<%#NT{z0v#AiV{Mmz zLYdaR%1QQu8}G=^UH6$&%c^DJo*KlRKu;LZy(k0a^bz`SNtf@MM+M)`FjY$kL8W#x ziLhqy=1@J_xW2Ok0H#}yA6Z-a3wV#_106&VN_bHzh_=zdu*W5Gy^+AA58D*Trj$l0 zVY4AtNPULRhUR&$NMV7Mvemj}1u)QY8qxYWXEViJaRri9hFFmG zNvz_e0z&(BqDSr>U%U01;+HzruOUGfT#Ox1ASEUL9bx?&fglkLZ9)v~$N$j!63Kt1 z!ZnBWBtcIR5F#a%U=HcN_WFf;J!sLmjWGSrs8I1Q5QYj2SC4?jMRXactNxl`@r9+~ z*iLJj0^V}|xdf;_p`ETq1P4}BByD0yB`hcC#$D@8DZOIOu(9#F?~0{n~a zo`f3RTPj*F^?W{GNdd67fI@GSeRva78&L+W=&$lL-ObJ$F#CY4XbGA6{U)*!5k+>3 zS)T&q2*jSWnv_m50GgnKOVJly7o=J0vJ66*o`qmsI2Nvy6JRyJCy|Jl{|;n#4jDq+ zlj_qyv1t9^H})AQzCWJ4>3u9UB&(W_ZB}ElIB+}I?ZrsG%o`%s^Rt5*7Mf>k!R4Mi z&}IP5%?Z8CJ9M?HAeJNq#8OPz#qnM{6=Rwp5tbu%9D&4}FKZI+>WqxpUU#RYp*{sE zuN_<)dDg)^=%F(xssXv&@sWj$`=+@M`Guhbt|VueDD7cBfHIC;TF&->Z?NN+vs@MS zX?sniHdlt5lLAJY#Ss1JPuIkSx+YdwEgLZf=IFa_%&ouBUt9I2QT#=&{`a&u=G=WB zp)pO*{Sp$xayI2XJ!4Bw8@r^sr%IX=JU81NBbr7QdlJm72)z3>1na^xlC4~-iNkh{ zh{2N}=>EEx-Ok|_OU=_Hb@%h{sgAf?9{{iGV#iIr7MHV&aQ-A?phlD~4{4uURFHYS z)H;4Ujw#abbotWaV#kiZ-?3lw%)86JnE^QYu!c;W!S-*)hg_qBUt%QdOt%Ya1X z8>3l%xAq?f(6pVM4EhM-6EgiIvhr}Hs5a_xB~L-s-@_Jha3JK=UpLPqjJj31M+R=}x{=Gi z&!#(2K5>;ZwRTausE*`tdGV`!$fGl(bcvjy*2q-M4sZPd5(Q%Y(sc$63dy`5{sbG! zQy?zh(S7egLpnst_OqVXllNN_Z^QAMV@Ggt(@h%N78$SJ_;{x}bXpC=@jVyTx)*S1 z0QoBS+N%iA(1HQsT?G5jT*-fxul~Pl|b4FV{R zu|;%wl4?N1lK`?rtP3?$F91Xno_9^`4Dmw&EF(q6T9{rk3m_9hHk!+f4RYZUmX8Db z0?2sdL?vZH?SSc*1#j9UjBZK%z$FpMS_6p$VI6E0QXnb& zR?XRQCLx1ZZxWTD?UVYyuCEwpTnT_kJ`#F*DAP0^27xS=7sJUgp-ZC_YiJ;7`T#Ux zBHi3`SLnB}OA?&-KWS#ulx+d!;IkMynEW6>@jy0k#Qq|pqe0LG^L=?WkeAORYumw! znZ8o5?&dZJ8F;>l-W>-23>Qj9P0-i@ub9Oj#RDlgntewbfvnJ(7j(0`Tk8%T!M0{@)Z`25`3T#PzqM$OEg-n0sH zuePdK*{CxvXjB~xzTVCKWc}0dpq@~o>L9{<`d#deO>2vU_tUNIbkzxg_Qhj{ne$9v zc0WIO$DTD@{yNu7ueo&SL=C-}%}4xIk?QqPPC>jR?-_q}u)m{;Q>nVkgWXaKRgKkM z>8jcpy#+~v4gPiVi@FGEAvcT*m@xRizgQ2du?G#23ud=s3f~PIgVDa!V|7C@HeN$1>uEA0TV53Zl2H zmeV5jE`+3|OnDb6a-O~zPt?e+0!%?Crw_=1(K-_3D!jnK+2g!!q|t#%qrzXm3Q~f) zkQa4QT#nFz!+QWVjhs5$FICD;e?6{axpx9wy3sLJyG5nn&Fh&8l`wHq*!M(mcF7|W zh+;OhQY zw5)e~u3KaaC4n2}3LGN^#R-7NC`fbAQF}1tJf}tWadk_@^qVf}gGUy#jnTyG$LeWu zG*1F6OUA7=jPy?~R*V+!DVt3g_bSh7R@mo9qmL{Se67!- zV$$et-XdPz-&R+3!8a{hd%a8FbE27j^#N~!QCCe_WTTOZ2OejJ5ouDA52ejW;?`21 z*WQ%Miem3HcJVPWeu%%%QC9KLYrKe&Z~YYC$QBGT_JK(Lz@_oVQk-=-u<{nfVpt!kF9m1a$=+{SZBcEvZH6xD6e&Sbd>;PD~W zav%5VWn(_EcTKU3Id6VhTt#93`^EfyRo%OB;7n^-rDOlc&HeR;L{ZH3F$pC@Qt4udYynQAZHIw$APh16#y_zPYL4r3LXOVTyxyCVm-oW zSEcPPr<<3K=k;dqGQ}WKeEtXH=wgr;v&ftt>Q=uM4-~C#d95Q+#B*T>hfvn8v5t*# zrym{X=SjP{K!dqJu5J~vu3hc0jaT|{A5Fruxu}?N{Q`x6$kpXKm#OL)sKUIYa>_=# z8oDQ6O$v-`F21P>T(`eO%Xy_mykVqB#U#bnn6?~9uMABGz7FlfX#?@(l@a_16%Fi@ChKwz-@9zd| zf^c+?vu~8{#NT^H?m7YMcj>@TnOQv8whh3f&_+`9J;$y7trhv>$$a!^2*me3p>I)tN@e0_EPrA-a$%=@MFp3}#a(#(sP_9iE8hA8IO6Zka}U{v zsJ(f-!SA$6J8?^(^f6qaSS}Af=dW3OSV@`lu?2)q$M9z)DZ(YP&8wIghq@q+_~+C? zwQZ{aABY}$rJ-}0v(rjc+230JGF-!Coms%>vE%N|?QcBY!4_2!WeI-U2^rM37eS4O%U==oD@|$@lLX3~AAyFeCNq2&vV3UVjd+@^{ zWjYnx5l{?Qb6iPhcJ(W-(sHv8oiYb2cLd(^9q#pK$>E+(po`iPJew0N=vkhxvjYx% z!;<~y0`Yqb@2cGDGs>K@vkT(xkwKKG71-;QobNo=eD1mZ;}ub+O>p4xj)13L#vT+% z#H*6vhcRS$@KO2l>9VYAGyn$pm*QWrXD#Qq-0Z*%oUMLs->4ce+@=>}qG&A>f!4lL z__|dqD@Dv)%X9LfgKW;8W?E9afqtv})UXZjrqQ~wEB8Z+43fF)yJv|WSHHGXCTW5s z=*U9zD#P7->T@;ddG^A+rFIMylR3z^Uj}7W*a6fDHB0UowFI-3uGIF&qF%N`j{Fh+ z?=Md^9^6J950zdTM9h4#I5&O}djLi3B^(cYe$JEs#u&Ooc9WGqE)%i#&@#`55XLE6qrRp~&X;SW^iB;D+B83_7oA~A?DDKSVb3rdJN zP>(9r%2%Xv#Z|;*3p^sQv;~(g^N3QU^VH5w$w@=rBwKLv;)k7*QY&>(Da2O|=Fx{L z)Ki6-SY|CJ789i)RHK&I)l89h_83eq`lD4}(888!mPTHbk&%O)PPf~uK9e{O6aHhf z28VW?40LlB1^Rz}gU|&SbeeB~S;VSj)G;Hl1nQ}nXh2immAK1E*T@h$(s};{xl(Z# zpQyl||PJxX&>b6~A)5##(HJxJn zNI;=ZJ8+M)xq0Ma;g`HYX~F!_+nl$Wywj49ZOo#aF$P9oP~di21V{@(fH&Jop1-{f zP!pyC>!H<=H|p)KmJek_*Z2+{=jdZ){o)iwAuVHVQ>!b$JUtbzRn*}uy7&p@G2Oq@)w z!jG4ka?|d~{<*la7VJjVx``RZU73%oO!MdD?(EyW-hHU8?W4+f&S~mGT3;%e zj6k}4s{j!Y?g`)uxdLaEg45N^y-$KeBDAV$>Jr+Zh&-R9IvHsx=$RuJdd|$U5?mVs z$A_{bzIIPm?r{~El8_YZtbgTDE|?M}U#a3=<&ULpHUq_-Gjxx7$sx6F>UE^|KVtbVAz4P|qWT&$m%oA*56gmj}tXHn5 zdxZ-S;L)?j;=%t}>eGj_d5trA$G1=HnPjREUkq0--^-n~bUiY9Ff=v$a1WdTzQ=aj zH@{4hN-nYQ{CE`=coGG)JpSP9S{>pFQz@eGmcv9GEyYsHk%13CpGU z9S}U8cJV4VHI&)(GB@s^zjFSZ*4nk;7>F6dSlCiTMp>9&9llFy(A|D z=QcaQnE&j(!{(j9F+A(D9uOqWnrfXP*Jd-wHE>YXH57WFJ|b~+PyAl++-c?*!5qcR z;Px3sZZ^nqqr>H7J3Un~G7+rScz@Ys@Pwi=v?QY2WZq>=%DGFOs4inW&UoI|KW*ok zD}IxH0KW5*=qm}s_+iG%>`HOY2jY(aV(Q?JcNa=IFUh~hITJx;&k1X4{tnI~+c=tlqLZ16 zIsRSy?NPmk9l9QdQK)1uGYTF(Ezx4;%xcoB=GLZj@VwA`X%_yI+2om73!)zzIv3k2ruK3stXtAc z;Fv6w@~+0Sxy45hZ0krE#g#{)r{5Z<%m67GM@?V)heu`_f3yI(BbwwCFSS#QJ?SIH z9};=?21yI1X%^VwiM75CaGk%D-i~LmK7w&A=0^iV>`jNIq@Q5LxRWq9zstH{e|sr$ zEJ_tX3a{*RkG4PcI5DUJx%^8F3Q;WLh<2vpJDh7!yydHtSzntb@wt(agDxj2`eDN~DjWD}x>=tm+0__E?a2n3c>P7y|Hj;P4OGbt7=&V$! zbZo&xkW%qDM-so!8pc!Qrh%-MRPY?HTjOsic6m2mr8(NFlE+*IOU{A*f&0i0(t{n~ zSm2!Swz*6k!8Pqgrrx@M2BC8OtXYZZc*J_J^cg`s;$TL+g|){~gO0q*c*!W$Y4Kl8 z<7cGM{e%u5Lc{q&ODFN5=^CIp#eW1ZMk>-~+(LHFnk_gyDMmyaCMeOxqFuqGtc|>9 z1~M7X_>oQ3+ks}O@be7YM*UsO?njKPqEm=H2VG7=hXF9*42%aAXM!AAI+gp(lz};x zimR?wh!h9Cw4MT>sIe;t>aokPhWS@qCi|8uFp{QYnXHa0)&qv3@&c|yxPF~;8aS*f zAe&7=w^rj%#i1Qxs^{q#X5A@Dvyw@f@w8AYcGO0126pc{(138v?-D|qSx1f6ohL5G zJY+Fb8larX%U6ukH_2B+WftB4!L(^q5qD5*QzF=$GFZIF2mZ{WPApmOo-0V2mj>R% z+>^M+EUr2a`qGoSyNISn->TV*R(ms-jvZj7?dsqi12e4glJLDWBi?)GJC(T+V?-#? zn~rPwqoM=R{My~?0PtQ0M~6~Q3@rG1tC^J>xvr;1Lq3O&xkOJ&VZ`2#opKTQ5B+D#YB3YkOC=HYO zPlEuAhn6}qkFJxM=FeLC*E>&XH{( zxxbIk@A3G3|G2Na(|Nw%@8f+OuRWeG)ek+5YHp6AP*X%eBbE)r5uOfZsNdAwC;=U5 z)uIJI%DjtN+qY27`yNd=>t~Yt6w8QH_FpJ&2QRjau&IaS#49<%M>Tsx#=an@iMPlT zfTB-z(aVV^6f)P+XH4bSUGt9?NODk#75?;1dIQbAYpS2*^$&L#zv|@~5Co)8^Tcem z%brEmdE%_+|GL1IRz1sY0gh4G#U2i!qg+m&)xliA=YpyU);v-IL6TFMQi@IP+M?~M9XUWrgS4VIKW>= z`1F8;+s|RurH%GKaJWlLbH2n@Xn6cI?VyzNWY1@$@KM!CuD$`3z`5IaGtH5HQtrxLM+gVsq1fCADQOoD~!_tzc5v>`MuJ7~Q$ zvDh1B)bh;P9BLc0r@*A;Hzn{xD5?%+OcwFCJf_>(VrXDKTy`mA&kHhgSMY~wpylN5 z&XatvcFD3B^{Hza-{W;U1MuEQC^qgosizdm9%XV-Q*pzKlT!nZ1;KtYKPR@l&eQfk z2Gpn1eQ+e^3)>bEXW3DnnF!xAMymY#pJ+c;`e7lhr?Z<2;s53gpN+U7qWG=y0oka< zRs@k%ammf&>B^UdUSb=jFke}pm>Su?u zenOwkvjSf2H11rk1Ig#|I9v1`THVKaPYBA^K9SlR*W!9OPyGAOsRe&v5AqUATon#? z+UsBp?Z(PPTaSSEgAoU}*mh<{mGC;Q!l|MGro~lXUPq^WzSPzx6SV8(`#w|OtaBkw zpRbvNZ)Ndg_&|E{#QEVzEw10+QFN|nAG$zT=UBEDp8?az2QsnwQ3>aAndr{@iB#EL zaw10=g-E>^%*MAj$U0__GH+yFgYxx49@7&-&fmYJJzvXwIyhUT$-3BEB;sz(i|u2O z`*88BL$Jh_)AoxlzsP0pyCR`O(+DPUdB&;9TzMEj#@ViA}MXuk>=ufX968?4Maj50*~GLg52HH znEEza{+t=S5S9oa9U~A>=cTwDM;RN>&{sW>UhgmxF%tAMX?8McE7)Ay*;(eMw^UsAeLp4)Tt=} z=~}zvYMt3!ojbB1u;{Eu*Pox1o;uSeycw%atI0fgeD}tOKx)8N<Fev(Md=P4TpN@w(&02LxNpN+4ukmj8Xf$Ki7L$=SdeQoz zZ3tl0SXEsoKlsHAbIo0()GaGF2Iwdzg*nX|8w9Zv4y6{!X0b8eb(ZTE_Lk+00UU zPHkRxpRlCSP~1tAB~(OYQWjKeW>e1fn{{vp(Zkn)fV~y!C-(J$9V8CWAMpITY2hJItt<-e_H=(5bX`jD zggmiCmjlb+YVlm}Q@}wFyd8oBSR?=vZ3*$1>?RU_TB9rx1wF!B6M%}d#TnZ!Lw}%- z_!obqbNX% z5YB_}HPLl|T_{7vsx?rPafNat3Ix}nId&mBTCV_qta=>$;xwqB%FJz8sSt?CD0jd^ zbGZ%?(+_~*W)A(ar4k^3+_oYCZVp9-R#~?E&xT~hhYE>mpbM4sq{O+FX8=eZ?_Fw3 zFt>b0YOE9c3F4meP$^gEZx-<>@{?6JJ?>_FIOWs!_*mfL`tkmwD+}x?zdLbIoq|u1 zk=d!k*_h^CiO4{zf&~gpyP?)AUV9ozU!_K5Jfc`nsx1hSf+#>hi~?@tKTv3BEMWLB zwOVoK9A)%F*cQ!|F0QgOUUdEz&i@R`I}E)2hJqcCOA5{Sgl*C>fb0We=ec=>@3 z$0ZHgAu`Kktt)vF+1E;7hAYc;hy~8AnlhjerK0j?o8j`(xDTMopAK3>Lzd{tTAqMa zUTps9EGR2^)aoAotmGPOIU@OIKdj$poql`U4zSH)0CGJ&Y69B8#E>g)o|HGH2v7r7 zhXcu1ctFHtLdZ3uP52x#wTxq!GJ#`^XIgacIP^0sT=8lWdssntrS#A8ZDQ{j(J9 zR~gPP0 zqlDrvlUFWGTOTs=Hhfi&-xKaJCv^RcVc@6mU7L+xArLt#dClA;$7w-$)u#7 ziLa2);yYFOnoOY3B*gOae4Y!t4+2rH7RR2!ET3*HDeEDyW*l`O9OO77gej%45xf`(06p;3=^??@5yP(eQi8R~Q zq(R=vq;tCe+=atXKduPrdj0F3#U%zsdrhP6sVhQxw?ZTI_DLCV&RXxigNU|~eLaEK zx8%ow*pX*t{>{T4Qee^G$-I}UU(gWPHu~gziHA8Q+kb1zg`)<`kdPc%hC$0YfYJwC zB`S(I*|{CWl)|rcw)37Qpe4=&5(kW+i^$TChDb~d8gzncK+g?AV_ppugw}xZ7Ce&) zb3USX8@-}`D#NryRTREKszvKUI$f6rQY5>-r^wqca*P~gYtQGvOvh?H*ZzC*H(8tS z@7W+bw^%0HtJX!JB;Mz3WhEB|pF0iE%;x?c0Erq7 z)*u^b4TuCFhpZ@NODM?g2IxX1DC0X^+Y+qJ)AVwV{@G(N=>lwEKt7dM0iSp-wZ-cc1M>7UKWQUhqrd7$CL&J6gj53fdw`4Rys)%rFmps+e&xWY0KC_)S2c545Y-EofF<1!QB1~B zo~tW2c5d-38Xy8TJ$dAMHU&+dJYK|Hiz*jEE7-QKPe`VcvaW33lv zN5W~`xo@ya<@8QQJ^%Gqm_Z=M{^pcgK|F88xs4rX9r>m9Fupxs!r;q~>fin`IXWuL zrSKj9@cM;aUgdIwNMd4ozq`*|{#FG3Y2N*tf5Bkk_6%kMLSA?eca=r`+nYn?dlz)l zt=k&>|MQK;w^7{waC<^3@95<;i?!Ow2xE3}yLfdn;y>m_&ES2HE@y(?Tq&6s;)n3O z59TH!sVZzOA3l=e29hHFrlz#~LKh`)2wW`pk6yvEnOF~6U=)7NgfM)I83_VmqQ`u6 z<`1(1T9AxO`(;=3Guc)Oenl%p$_F}L;DpP-vQhP5Zw<9j>10(iRtHaRIgRH-V2JRN4* z{uOVoi^3GZbMJL5SW;vCJwNvn-Cq0xBU9`46LF&C+QO;)i1 z@onXbhvPZi#jCK&$i9ut$XT|f_;zgZlKXc-^ zBAPt^O3nPhFH^5oY4Dv~mkRL9jM#w73XVs=HP{PhLLHO1!aB!{bfA+i@&K?)fo~cB^)59><~F|TA+SriR@}Aa%N%)zM9=hk0JO(*M=2iI%7dip znRvJIZ=^1+9UY)@qU`dUR^}3Ve0ra26laQOKgdQhP|$=`KX1V>TS}uiM-qr84SeX? z^yfmcxBgnMy7$=kp2Zr=Ki~g<@)r`gy(aE?CH?ZZ`D2g&;WGq|A+?~WmxpgtmhQnn zlRh{MQUgMaK`Yb%zBBzNZy>LT!L%KE8}~0<_J8_v^oKx_Vb{tXU%p;UCWSAw+o^7Z zLjJn`=4ubTX9MIt+8oMu3I-(oxp}yQh@`p-dl>MLRI}9EiG^AKT6!R_ixW>)xNCXa zfm8ED9!<*qd2dfl0rCL?Zq=#aTNoG^lU7ML9{%EIYOh$bi##$){)wbP z1_o@yCPkJNsu`V$DkD73YZE!uitn2F;q97byj@l-W9}F*7m!g^VN-8`@9n_An6w(d z8P1C5?YM(L4n4YYb!_=}*j)_Zo9tqhR9X^2Uffn(5<02Tp_IpjVqI~8p#Mv1TpWcl z?=<%O5!?OeJF@hJNx*s5ti)FF;v{T-{!w?>$w{;?m%i`Taq3gBEh%u%L(RRu1S?0ZcoBQskA~3{1zm+Zogi@UF>Zabu4z5@SI%Rr!P}PRs zvU1D61JMa@w~FKcyXJ94W_xbQf9sE3-wv|JjPsGy@;Ar%kbujzisQe&%K}cvU20k! zUlj!y6(Hn4Kd-S{F01Eu^MA)}UF5g_;XnRgUSv5@F6Zl2@**xL4WQ2Hl1mm;_^}SA z58yR>a8qE~I?OWm{3_sDaZWQkfL~-@>n1Jd__@bZ#(+K2_Fhe7xrpo%M2_w%j{o19 z=ImfpfT%cIXpblAiXnwmu)`Xy4J+71A4Mouas1bJ2_yaZPlA~tbYLoqSqLxRGi)gG z68=f(6-3Y9NL{;=AbMWERa=qjbWWk8)54{8%(G21t;@eNg^pdx!H`77BGgX&7dheG1&9E|iQ z3~MlWq$)9)-HA{21wZXk$3Lnf38>F~yFEA(YF?$4f`notEW2NodEJl9UlWA*^xd|WsP@#M|^;gm&61oQF&W0 zCqFLPUT)js-ca6zU$xn&@hq+f>p|D`)iaO7SMA?h3h4NH>JV={9uRwFClX6;gk93V z?p`?z!BQQzQ?MD#JZMkn7|_58+#2eeamRj(%8_7By-|my2n`D^jvykEO%bxo|5LdeYx;w6OjtgEa=Yql^$WpPeYDE2xe07P=(PfdQeQKCz5yv}rOIR@G8>%ik zcO(se=@`B}SR}YK6xZp!yZph+5AOft?6-Wei!Zk9ADEA@LmA3DmNVP(pV*Mh5!jRF zJ}z7`ncyL25F)CQASqrl)A6lvtp9dP=WlC?G_QCRkQUXwRiYv(Zlg4;99~GYic7u>*dab%S^plxGyODX{ zhZL&J0J%pt>J!+Nm zlEECFeHcnjc#7;Q@pg)l*&X?W+H}X7_qheE@6Ck11?3bE{e0?zDtYE87RRRDb1iiU z+u^vSg@{4Yc`ETbJ9y?CGD*&*Bh3|hKtpcOvTjk8Vl#`Vf#e{2xG#gp)AoUbTnRNM2ko^vCHJCiZ6DPJ)erB+w?ONg zh4{~j_SDKED;G1RRF8X?Mqo@@G{VH2`WZ#Pzu^r=B=x=?KW+#*MCHW0Bkw#Nq*J76 zcv2hv;FA7C(Z;7@AKo}_>ge!#K3*_8WA~h7wv38cJtr0?>dryKCAGrg1z=O%s zeMOl|F)FYtri^#`tw)wf56U~BiwrNS@h-gU^+G7$twG(;cF>bh-~2+2LO`U`y;rAI zanQAO>ii~}gP1lnZ!#Yc`=SAcT1tbtL?vjqk$lcj5k(DfO8rner~SS-I2vbukrR5( z9rySg4T16JYOfJwOuAUAI;Jf~dNdY*M1ER~Of+>$2Yf9L30Bv4A8iVFWzq<^(3})$ z@tIhg$Fjk(5{{f93kH3cENDgDy=Fm27B#a08J27`7dljG04gY$)qSKYI-X#`+Mes{q*@PPSap?h zR}j#H-9+0JQK|6=+OoQ4RnibxCRQ3HX-O}|`z+aakkPo~$Vk{>KDtZ|ENBy49@t7B zdt-&>j}60zRhOONDfKN=3(#{hpX@p)qrLH2Gl3VN2Wd6V!zrH39MI-Eo85808)m61 z!pz&VIljtz9~3cdsBhK|TJY1qs5R7s=`Nu=k31Axs+!P`x&>?IdKryHT>H{$l)E4K z>{6_{?{e@m9V@g4@zrYRz224EcRPPLWH1s60jJUVvfkf z2GxQyM-Fbb**}&X2>9-`YG*;xX=4oI^#Nn6wL+FbN9?nq6s@{Ozpcv74(iwGWs?VV zKD$12%Bro;A4|^=;gcngXmV-S--#On*uz8mKT0%E^I83gTn@AE4{gg85XO-$i4uZp zY{)LF(`b3~SVHeGG+a1pybFu=)CI#3YsdiAIqwRuudsqEj{M~?|pvUiG{Z-#AF3ueS#N;N4{L|p`^qYZ$2<*!=+u4leoDPLx!hMu!= zrMguD@Zn4&bLWFV0Khg&Cr=sE3uBsbP*`6d8wt`mdKppc-2IhTi&R=e!#*QH7Z)4E$ z$s5W4wN&+BdPY*pD<2XZceUS(cUC%{oWMlA7a^OFsi8HUFI3i z^=+4FzcN_l)aKK1C&XBRbnTX7n^etU(APd3B6Go`%)Uuow&zs;Bs2=Cd}EPMh&WH> zGS#1{l2Yde4SiHSuc09&RruRFy6vZ1FF}VhT{rX$D}o+N(4Iyu%BX`l?b|EnjUF6A zfcs<7g<6oryKtADjP33-Vv%BHO@}6yT{1C3W8b8X5l^W}oGORrSD8cKD#OD4&RE{G zfI;NJs5g}$Kwih8#kmuDESQaLha3y0bVa<=^GmOv|BTgfg$6x;%Zb6+pMxVmDlSN) z*0oFJJKe7^%x0ceo!r#{xB(@Ju_9M;%zx5*T(n>(AaqBSGW=I`V}83Vh^fJTp1{@& zW%1lw;OgHr27f7rRwKtOuX_t_KrZI&UlM?2R09%7;OZ%8oKQk&ZH)kVRtt^GT927*mBS)!4DJN?4D2fK-Wiiy-p4ZjoY z1`<<&?yG*>*vnVR0@n<39uVEltNc+v`*XU-aZMg$fRRsb!MrbhIZJYHMx&rYGX)bzHy$CWp|_ue}g+&XO{ z4n1C}H?G$DLEDwc48U9rttECdDfs!boa1en>P)b zL6+pYPN)4&*kTmeSt;phTw*D?eA7Q6Q?T1#jym>7@&nDoGYu6U_dh@1_3cw&Njqxo zL2wRF#(sp`S)~%VI@jTDiuXM3+oD`scj<8Nrxd+u_zl&WsdZcr{S(sbwJ+@|p*&M} zuIkYNEvHuKULm#HRx| z+wlEvXzYJ7%$-kh)#gc^w^N}S@wP;02v(b-b>BNXJzN7Iabj=S^Vxg`AMq4i;*r^% zf6!-;Cx0~IoPGcFjuOc_YLGB@p&e0(?e9Yd_zd_$fpza2Pi&}l2XF>O)SZ`-o!mm( z(d6*avSICu5-OLJHUSZ@+Qx^%CqWrk@m_3y;?;O>yc4w?HadO{G^OtOx-H=3Z7)%V z8ME!Uq1c#EMSz}pje|b!uN$$K5EyTBKLX9udZXX5_NAEyF$;Bitli_X@RaAfU$GNz zzrw@G#58j)1zGB(X%ZI+ljd1eqrU?BT5CXM?D^D64XAW>(9rxoL?X&3)b0D*vqo0N zhuRuU!$M9O#Vx^pSv0Q*2%C9Td-w6*h;Ngh4S+62KN!|9(md#q8E)USH@m?zFT4)N z`C#B8#jZoM6E|ly9jPW!n_n1ACLv9@^!idLiV&ghJO-Dm!QWh=$?oZ(N>TFkRr_%S zLklCm`5eRA4dsriUuL?`hD34>H1i&R7o|N%;KAcl@n*#c2iBeR*rqhG_l{aHO#Hma z7{Gb7e?PhiMhdW!t9!V8;bc=lP*&O?KWy){Z$+wOLI&J76QU*W zwcG?)CJvT<#kd^{<2|>d7Ta!^g}|y>3_>T!^6rz30~#JjFVmy;WOKk8V@^)lDWdi= zI^n}7jebTy&mx!btM~eypg}yTINJgPoNl}9e3R^u?~jw4=$%j_9a;QI&|GUb zs3rVFmS2BzF|~7?((dtyFqm}4YOQou)3?m;+=Z!+wgDaZLBQx0aCMWWf)-g-Nn|^G z)7!r%XHK*lxeK!fq5eSieh)zc*Q2Tl!d)&jnXza0?>*_zVBC$mgojkV`NwD;4;8mR zbIaRORVV1159u-Y$(k@_+Hy^glQ8B|%RoPmltNA9QQf6GNF5_5uWp2y2bWwmm^$Yo zA+z%3_}Y$x@2)UgWo@xce!e!l?=ui3!1mP3KYgFBb=Wz~0C%a zDpczQ7z;7FuuX;O=)f}!!9;U2E!zX9D20%e0cqv9@QGw~!*r3WbwPCLU-blo2{r;Y zo#hjx^=m-8+^>Vi_Yvr5=T{1q%>L@#7>z@=)1PFEr6!*S+d?ty$2-$%is@ccZej&NyQNB+{FaCpXh z7c;d4F&h|&7?Y6Ecm~5#CW+%_9kYXoLp0cdqNt0q8_-8CG+Gx^)sTV@Pmc{lGIzb% z5r{h(>6PQD;lw9vmu^Nj@fk~E3zOq|&R!`;d+EX^_FrHBta|r*?{q*+V})_14c^7_ zq6gGJnu$W!x7}#1Mhw_AU-q!X-eIER_GNzy0SQSd05#|iGz~>qF8f^&ynrJZ*T}pP zt-W!EdF1_5szu43wJqTj$i&FoWrWu+a?2poYWBL3%S&n zvWWwpUkYZY3SyvN*tC^v8o-ARBiv!}j=RU1eo~t}wn^&L*ojMS7)@Kl*o6ghI3te1;I&NDM~ywc$(uWSNW6Fmi@)<3MQRO zZ4L!t0UK1b`I^knZ#Ns{pOH{7@bVj>erwcA8U#bUaOXd=sA}?E-KAn0MD?Nc_?UHA zjTxrRIxR*E;yp4wk0Yu#nLhkB`yqE@7f*@pY&%T=$1Nh>{UuQuvE&@1YdF;W+1UfG zazwJ1Y$LYVhs7BjD*epCzM14Y)l~+{s|Uz3*C_70C2{d2F-6CAQtiH5y!1cGysGbV z?C_s;iEg$T>lAr3 zai0{aj5kXs-npe?%c-YBer+Fyof${F8$9K z@jC|RQA^RyEB^lb<1IcYg*sh9lPCA<9k5*+G*eA(L`FtVP~u=vBN_=BtC1Aii`Clj zfKM|SGdVsuSZ@_c9~rnIOA1B+dmn`H8@jG{UwIjCP<86A-u7d9xWag5a`fq`m*hv~ z28;c|v|2%qoxXfBM+&AJaRW=FRdlG6#F!ko8cIP&M;E0MCjF?rlCT(tKN6G+M1N5hz>69?^OZ+z_4l67BHGwCQnBz3iB-Z^gGwE#0vxZKW)BppmJjS=SZ7}U+*JPCII`ErY*57-+>|Yo=^5lHxkYV{G+FumJ{+$G{QMYi) z`wn%R0bIdp2%8>DKU3?!7DsX!;59N-n9=xBaMgdLGnac70p6UVl~tn9*$9lghS1f%ZOfJ|UBV9+4uL-^n0K#aw-713DH%tbar@-ovI zw%Lg*2$SIs*lfqpv>@gwQrEG*TQFI1lH}K74P9JvQWEvE&l_vp46ki@4=69&I5~@x z%G{^MuH};{$k1V_n=e&Xc(3KoFt3q^UUtro(g4TWgS%uA$&m44Fk4i}R&oW|WhGA; zYjWmoREwmx>`2xSM)3gU#h$knoPWKmoCK*HIkz|GtEFh}B_dDnviSD-<*_6^sn>E_ zaH^3wTp>3@Q-)=*8_Xm=59fv3av6(j2H3~H_H=ibertc-0Y>|6&VzpR_WY{3`flI6 z5H`R@Dv>l-GyhY^j@`EFty2O#$=yG|^FMSeTvxJufgiK}GH@47j1GRz@q70lcsArX zD^TyQq&Qo&N%cWU2p^28IWK(IiH4h(m-j9hc}!DeFxf<)uC4#_`n5$4bgE{f$9Qw^ zJZZ1=JTmiLI=(T_V5D^MZ(a*^Pr^<-{Vp1bGS7;C)6&I ztyg`+`KNF(r29nkut;7(ZpG#;e8j{8W$Qd?YNDKHiO>5#fjN5b-Mb@!QmziMH#B`_ z5T&nMw@!MT(i9UOf{~0Pcx{Vm%eL+O(x2ISAO2raPfzbd=sJnvqt6})ZYJ=uB3H9- z+O%oY(=)X^8twHQ#W2Nflm4}9*G`SK?oMR-%CSI@>?I_ctv9}}i=0|m&l*A-zGSd} z6_k}7=-)8#d;oU85l~Do(-c2-hPjQJp3>1Z+@&F}B_S+k26*JnSpP?3z#K*s1;o@Iemv&^2#{slomLmUaln|7_*sD~q{iM$Y}-Pe0E^4Mw@R z2ktSxzFF-23#uz-Si`}VyaO}6?_=AaQ2pW-Bz{gQK%7}KNa@w@<6eJ`FxR2~HjG~j z=t+ho_oe|=LUNT5@ofOCb({BOR;5~}RdxphjD_?`3BXwliHV6x_wPT936H6PT#nG# z8ui4J-Qv$uL129cu*K2}k1@d=`uWd5fgvXStPAmQrwfFPGJmk?a$WZO7?=GE^MQnn zdxT4PXL%}J^2V{ZHdVM_PgyFicO@lgMs-8J(Wz69AenUH_U+qW!1-LyhpQ=)r3%@- z^F(^P#ziW0=Vy|VW%^$R6R95oDE?@-@MBJOY;`2@*Tir zf5qj+8hDtvXAa+vHehi`&HUW_6|6a97!mp^^c4u{lU^H?L&Vkg2nt5Q4BF>8r4@5( z;`%zolh~!Ye%Y@4{TG-AB;*tn#sK^ADqx(}@8Yf)T`JRkL5Tl;zC4ZzFQ0|vg7MI& z=g}m#YH{kq>(ZQZiv`FR)49k`*Pw&LnkQx%x{vH$3W=Cy1sSvGR8 z+-+zGDrD(MZDNM@U2BWCf zIVT9ex5)-Y?uawU`bbgxa$wYI8j!Yjg0#C`8>bQ6BCjv>9@q{B=S84VD92)yS?LNuKf9dm_9!}=RV8Y#ZmhWHS*Hzebl~=(OW_W7R>Vp0{ZEUOnbJ8p`>3XiU z@gCyD4dphFo!IaA{fh#c9BTF$Hy-X~4I*vN*$)D-TB*?yv9)UoV3_#iNh4F~>oQmD zd+UTRt`TOe>Tk>7cNlO4;q#*>F6lH3Lp|W-jU}Y$EDPo$T1%N+Bhkjpk)NweMBu zOtuEXzaMa>upP262gVQXo!h}a3IMffy)HO!?fl2Po6MICCMjoR`BYa^cIuZeU*5n# zurs+Ksw!J?0w?wj6P98KyZ}7bk8<my%f}H#`47T_VzW!SXRdzWl!lxUjO2I(AGMX>s zy$W~TO)CTtJq^yiR=~c}ndA)I8h_24XG-qumdhd{DQ?zN&;nz+0rO55|x5l$X?*^g7u1HMA?R)#$qu~EQ4lI3-s%^;^W<9za)8ou;1$I8x z$xNJvbaen^XbVRTcIiFiMoc%{S#cP|DTHUGkU-1^Bx&CZ3^HWgc1pT-;adA5)i7<~ z-R%qv4BX>7b~cRJaj->KC@UG-xBMg`U)n>eODO*tO(ubtcqo7YD`ubX*gXES$2mya zJ?Hf4(|fHd21o+<_3z)}JUE#xa<1hhNWkr*z4e(N={r}m)o8ci_|4D+W^k^HV`O0K z@0iy_zlG|9FF9ez$cIqHPGqZP1Ncz~1TO+$8XQUsMZxfFkA88g55q$12QbgAGdr0o z+q{%#MqWz3ef#!ySlFO#3|yiD$cJr11GCaIfPVHJK)&C?>f91(7@WG(1|7sRE$VkU z!w?7eSM^WSc4|F6eJv$Z?bwyI?9wwMHMau-I&FP`l}aHoaJVNU%?GypMI@L6yTuYH z$ydk@>{D8SsR;WSB4_GV)XW;}8wM~3pLSkpncoh~2)UW3fwp!~ynaE8>3Xo$??4da z5KQhpNo587a*qrh9enEGfL`|5-ns*d(f_@(K1|zIVHWS4?AT7P`f;;>foHsSdP$5D z9837+ZD2l}fatG+7)qOd z{fY13HkLwUtk>2Vmu{H3#r~H;W;NY(vi-eEeL7gC{kCyXQc(K6qqGA8hYmF)RjMVB zc_|vy7A5d%y!tWuMQ?o)Gyloz>=Ega4jraff&TugBmE%d-)iW)Z_63S>4Ph@zL_pC z&g2U3LM?!pZFHRdg*d6*aRJKN7v~H}c4fp&cdnT{SjkLxz1Lj$bb_g*iD<>yEqo*^ z0MDpRH0r>@31Ud%$&=HPQMVE#*XdrXqO58Mp!NHMW}H0v@zDVatsJ}9CP32lu>4E4 z>p{aHAs2zyg_&OcZNkE8B4L)c4uKiS7<9z@x8eDVXfRq7;658m5jC+b?C~!@c~Ns% za}`b|N{A-TxqRrmKC-@#nHAiG-~$hf>0W9}dmQJ`-{=suyL<;PuaGPmDGh6%#)-!- z)tNSHgv*i?jFEAtDV=qGbjPy@k$54N$QTs1bicXbC*Mti$l5u2CCzgXqJX#ZT*oJM zJ4JA+%+;!;5YhEnYtkq-@QnThRPSsDfGTF*)CJDQ5^{6v>v`} zS6-i>!v)mhCmzYavAWX~isYl~vw@6WMJtE!fUM5Av}YDTAm1h%7W>+}4z`2CBa%OU ziEX;Db87M_e=265d&8}Ph}tJ=rMF&!qKt%rzE7QpO~QIdUK-{UJfE{e4fG)jHKqRW z+ET4D_mcaFCy2OMX%{$R@!)=)BfB_q)XJSB>gRW4Jqw{Wee`oIV9<{BT7zgi5-g$w zu05TdK&W-r7CG+;FP61Cc|hHBpR1&MNKCK2p{5pwL#L4?Ls($nV5e9cNa{T>nY|&) zCZbyy;u{bax_<~1mvOL(`}o87eSuIJ@O>v4Z;K|bv*O8P)sI^vw!0fJBEw{$M0Gdkkcjp7>=v} z&`E<(di+?v+Yg){AjJ#F_Oy6RSr*t5<#ioWaR5%r-uKHeP)!pE`SiY`k3^>N9t3ym zoEnaTb8vR_2+^+5C=Ap2z_74$E@cq?xM6P>R~$bWYAY;c1E_=5nde$@6$bDUJqHfD zgz@QQTwVJY!{99BGk77|kT&y~D-I{$e5Z1wXEO+xj3d8H?@z6S#Pr{{{$VU)KEO%E zBp{8|1>8(sOCRy(#p(@bS-|&s* zwV3jZUB7OpPW^-r8`GXx*Mm&dX7)~B-N3~{;e)lCFAngVW1?zlxpAsVRUVNsF^5e| zqTd5UB?TH(=qFbmNQZidji&*F*u%0{&u44~2$4D~={y7>+oKGi%UxW^v9Y0!Ho;M0AJj_R~QrK!K01Qlr$)-VWYA@Y2`@p zH;}p1{t=COJUunmFaIG#?NA8AW%K81K$W~#hWE}l)_d`n)mtjt?0jM zvAmpW!R;ez-&A8-I%QV%$jh#~`ha%E*&PV4cK_>S^P25HU;yz}e*Ox(&D!rporVk| z^wnR32YBPrhxmBjn&S|Fxc_MCOy#2(%n)$N`Jqqyv_SZo*_{3+$C8?wdV~E9#_(o% zg*4x4`?HPT`p0+UcH=#h#`bnymHTerxf2DqcqN{wH+)5AdLMEZ!2}V`J2KA3z^lm) z<9(_2k|CM<>X*z_^!WPIomA8V>1jRddtg1*E9uS-f`H6**aIVXY!P=K@4HGcD*cDK#ouk#t=F+uA?847yn?Vaa=Bse==qwMp z;qDDKPAOQRzMlKttNa_nD|#W3t5cPC4f7fdGT#7}!2W|>_BmIoQj887xdH5=K+q&K zY;3zi!*)MJ!jWlQ)b@yi!YwFcI@I5oez^DOCuyJv%sUA3F$x}ki&?@FEA;H&e&o<% z*E|$nlW*~<4VBbZT};K05_l;a&r~=vsXpd2!y249^Ta$Ww1#!&^Tq;ysEt#A=_{>o zz(8@|Rgc@pr4iV+ zeWjv5Gz?KG=HBLT)=5GfWNu%QQNLfaZ!kF@OGH`%u386_zKZ1C&}!vUe#i~Jh^XGN z(+tz5F$E6A>(Ud7ie%rGmE8-MyP}<+R$4BVS5c)}42A(JJbtKG@=MEe$YQqKBv?hJ zF51Sck!I9Z>z?;JIL)j;I3x}_LG>D=rP)zUSy|ai2QqF-Mfwq9WdE8y4!^6dy4Pdc z$r;kBU(oz)04#oUm6p?OQUXSNzkTy2dGjSLOgO_27$Z!&%%bJ|22~D3vtmcXF0iC}%cw)5qr2nb%~gcS{v5G%LuZjOOBzs@=H)MxiKoA@7?I9S^`tdnJY@s1dF$jr~tw(8EDS+ zkp~N7&V@2Fh-xsb8&|lq{q2VoBV(|gUr}7OyV3eKoL|oc617nfWXj;L@h<|o@&*d@ z7^_p0MEhFua#LbX37dQj`Me*w#C{Ny^KE}?+OTch_URZ3 z0uNwdfl;Ovq4EYH41o<&U^nhGb`SmNDQkIDZU-0SJa%d%-kqLy(+M$e%1WW!wC4p` z8%&nht`ZIwvAq4|%jqzYv^N6~`$M+zgAB_Js*m?w(w^AsIB*i`Z#6D5Y&;`?^FDRP z&;X24P5LAIjM?-uoexu9riSa-@m*)|K$y>j&79{XEPh4v|ARfY`1f` z|9~7Ni};E2=g+$}Is+!PuW3 zKAP%(temnaVDp$T)H{E=SK;$kIEX@Runa?2^=Vh(;6-s$g2DLYps*hZ$U7_&4sRfG z@TD?b?!Z19rZ;tI=Er|Ptpg7T;pr?qQdPLB2>tZ`r2 zS5Wb+^4DK~$%Y-#UVNn$LiW)i91e$}W|cJS+lEp|uf(4u#=21JzOEfT(Ja?h8Gfl_ z@_h0Zl+*kb3Kbc!o@q)58=nz=tlH@S$<|k_MO6_8ed@}jToF{xp1MAw{!QJ7Z>*)> z+$AW~tbOHi>l?tPlpmX}lvu?|1ISB3B1}EqNG-S{aF)oeAid! z{`Ix!v7;kZ&MU9{bvRdz2$Cmxu}|LHfvGBD;S-4)c>M6u$z|Lu=hGT2xFSuSs@-w}~N zb^+hc{KtP&4Fc!uezeH=V!UF7H280QWS2$yuku>B&Y$P`@2&d9ox*!NHMVkc%6)wo zc>HUz2TXQ4jWXZhKJOW%U|CI`!5d&NQ1pR$shw%XLc19R;qCYD-(T&b2>EphdlT$| zx6a+MfBde6DZuxBZ?pa+sD)bsN5F|LX3p=1!v)4yD-xDC>~o8`DvSk5_Jb-mIa#32 z`>oT$^(!b0`vB6hqF zxqE|T@+{gL>gMgY;uJ7hB;bBq`&6?oy?6-~s){4`+fUGO-{NbN@eVz9{@rcK4LvcE>-t&?^ucGRqm@%uQ3<$v_wK)O zOduS87Vhxl3Jd=o+Ff?r6Uf7^tvT4aa<}Kt-w(xnRu#^70in=q8}Z@Pm4pkz@xmwi zo2lgwR=F<&G2GWn>on!cD}SwS{Y}_`SE~wVMRhot+oMtTD@8X5M^Gkl-6qMa+*c0W zxAOEJ`juDyqNb}mkuq6TI7e{+A;k*V(&4}QZ`f=Fk^ZZX96IoVZ$jBzuB;%v|MtgK z^~Jwh;VRs>>Sd4+0WM#X5czMv$>RM~d63*D7Zm-?eE+?JS^C=SPm$d2`w{NAlGyg& z!$8JtdQwMIlTpnG_Xm5-vmiziMU?+Bm+Zd}+;>ZuZDj3=UB^CV0A2scWwJ{Wzkqs? z0=nKt@(1Ng>ty~$kF-J8KMs`^$LmO9_Jgixyj3F`vvfC*{JN@)#Ep384L$tgW(|^S zluHHB;;DgmU9|&>x$MfB^L~rzB?rfT8}0U&hVXNL>~ zQ7<`}!cc&x3V$f&s*ZZi8?tRTt(Y>@xJ@q(-bkD!UKO{q7)W?f<1<;>J!=7n^xJ<)sA?63q0=?Lb;If zXix2JsKtZAJ8R0Qa4#sy@J~w+7qjb9ty*_e^7~a@ zy=R(G&$~{CeqGl?h%P0aaE;#=!L*f$|4QID+`dbvK{em@_g~LX=}Y(LzFn0dsLSGX zEYWKBYsy|%C#b8zvisEK3Udg6--i{IHaoVm^nJ(4h+4GTLi?1@R$*abGv?>YAJWY4 zY~|vbdZ?a$MolZzO7~q-NU9!dU-zd@IAzQi#E99paut7bI;)T-T!GD6pXJaW6t9^g z3v+q7wYM_%gdfoD?(V)F7`OwCW4eWDpA-a=`6Dwp zXHM0Xa=LqWu>lbn@S)04(tkz{n80;r-|8W7)d2-1l&)++B9=VRQgUAsng$aa$LQ-} z7^6HnIr&ymQ4J=Mvt3UT%nGi4E*mQU1eF5DMVHq-wQiHuN;A6^86E9CzLr3n6PNrY zc1ISkv(W$^O5A^ajYZR~J#^Tq=S+@Hov}joS;ODIN1kiVf9g7J6}In4sH7Im{9>st zd>2YFxVD&<<1zmZCJ6Mr3l^=2NopgPpxb4JD5Wtm5Hf*q`C{dc?I?2?i_o3d25K5(S zgV4_7x_GhlL-29=Um-EfR%CR(O_gh%CtLP95+IJyP1|t-lD29L7ELZHRKvl7rhXOd z)z#IfAp`<-)oMIl^n44o0QHwp&J>E@iJy5uDFq64)Q=~VYx4Hz5!4freUq&#l_UOg z9_SE932K8^&dut^QdNVPCw?8|t5ZZGd`TCnbQ!OFJ^)J4DbW1YFNYU%dfmxf2c@S^ zpPoi}S(X}u=TL_BrkF}4YLICUk69bGT4?L`UNYYZC_bu!DzoNGAvQzKyD+%HWwR( zseB*IIi6G)=1D$@xmnKXiFpY1V2qtKYl?pt`Tx=Z><^I|+$(Ipn(NOk1xEGZiXe%3 z1zPAx2+68Dp;E4sUgQu8RD+f*emQT-Tfpugw&y~I7plb=51az>8OpV)#O;&673laT zT&;act%a%`Ie`~3eWM2>(Zk1HzLRdQY`0*2gB6Z@zt-hak?$(zQ*>R>(}z%8ie^D5 z;m(36+Qsac>9`G7necGX-Z=eL-mh}w`_t3YkEpvnZs!-4^q1NwLvdFh2?Q(?9xLU& zZb&ulK+(+Bn!I?(11W$O(cRM1@*V~56RFabG+1^-_-OL;b2?d}(9Gq1puPpztBL=R zP18EyqboT7ft@yV_(t5|RyY>y?w_Q#ynQrq13-3vB?+}L-> z@=ac5Ee{etEJS%!|7wTW61v%&U-ml~(Qca{-b0c3?x$<{Hzkf~LXg~U7rT((ydDF= zX4b`1S=<7$U}VjSC^D@Uc2QJ={W;e0)nxv7*-u?29qXw*OwA(xrG2-3&xyD1-ra?i ziT9T@m(eo{hYt_$;Hg=Ag^GDQ)RNsneb@$5#PpNHs?Ro6b86YyXl*#>G9HT9#u%s0 zy-awwt^>QVW>J*i&4-PNI>*|>qSa2VHq=@lP|M`FZyy%W!W5xEzD>}7WW??*)H9dd z+bO|{%Md>G;_9PbNC)f$C>(5;Px7;ZB(C|gOqSMS9!)5Ab6-3by!I_rK*028e_BrYi6+}m zh1fl|Uyo$N#M0vG0I1PaIDh`BzRt(Ya{(fjjl|C&1Nu{v{+xG53KXkI`qH+NlLXMp z9I#ve=X;YWfKlo{QS#*!Fh{Z8eF86(umxFGa*dAb`r6}Qqy6}Fi6#;xgx^}TF-c!m zsYcCW87Kw?BcTMUg|9cBtxpW;$~gz6|C^f+>PHGgnmo*6Efv$&Nr|}{CY>smJ-r=O z;n080$XQc|Vch?CZ`^EFClB0NtQm9-f{L`Ltwl&^=x`Dg-3z-~8ly-wL7nll1 zFAe!`DBpbx=@gd1DSEbO$^|FA|;~|vPV{A?>$1x!- z=llGA&+$Kw|8sO4_g%($o#*HLeBR^re!X9BA)uN&C^8h;%6F5YKA#y=;7efBST`=59C z%LZoIjgxWvIQs?woUf3PyAD2excjGVZX^4_^))694x&MSepVxf&i>26=!kdlGd96z z1R9fy;J!a@QS2-n2k(FPGVaeuD31&f0=@ud{!tT{KFt23BME7e0y44F{LAnwEAt~> z;jb>v>4K32E`JkC19qWRb_62sD)d6rF<~MXYe*yNOr zlfc+~#(47N$r@oypN>!lmB8E0B(OE9L%|z`%R<~HJ#EYAHef3auK~0C1CY+XJR}j} zT#b56?rZqTAjh=-*`O+P>#!LL(%=QGzCL>Hj>lQuS#eS7)oy1f`=MvX4L|Kz-~A-% z!fmQ&oMe$vH9hqtO~es;E}@_0v*m+U3M~+A(Lmx^C<9)aCNmp`ri`dTL1@Wn=69%1 zQqFj`PAK?z=ImIQn3I!J^LW1hyAn}gvg^e?iL!*j%8W<=4$3#R5EG67aqg1iQHK}F z@{z3}OQxkt{5pSc#NX?OGl1fapRk#d>d7%%^1QqrUP>JqYZE7)37T3~m zeA}zjcxm%>K)|g`*|9vuaOOojXdm$^?z0_4XJaZK^bdZw?0?ycHPVW6QpBC!4hEIIGZYlQ?Q~knB5Qi1Hzd|-$4d*d9tqAY&-b)>j2A@8mHZ)F#U_A z{L4;8%phQ-i~WCNq$oB5K*$GKlA4=1W|@SA)uG?=tH7PQcQ*_7kcA1^D?mtl9LoL+ zr#v$5waS%3v!4`%pH z&l=$T_pEdhA4suZT!Yqm4yg5?A!y_>QW9lFnjZQi zz8|bI@V8f>{BM%-aW9Y%ipL1w11_TTXc$k>iq6_OO2UT@nOTn~*gro?_Yl-SD)xO{ zAg<4Bji2!x4-1?rLzs3ACt^p(HX1>%;8c%KNJvN&g#w;Y)5qeFo2tO9dfBPzte&2c zLEFzuGfd1Q;^YnaBpB*{8@6>2qe4v^6@3@<0iLr?n)#qg@BbD42->W1M(7cX&`K|0PTa&hnRLXc~^@8&~@n0 zYjf36)7_^E&rQa=H?4o=Ejf$ZGexe02TSp(5}}6hnD&izo#5wJcEa`!BB&T!3hW-r zM3HbRg}03NWeC3r*|z9ie8`t&*EVzh_Dys9g)#YI%a;KG6y^*_V+SyWu84^}u+RX~ zgyPU6fn?ymQC^4y?&GL*P{tPi$>5LV^)cOd*tRnucHATj!QceB-2}?R8!rb5AAxY; zTsFk@H1V>-U}Iubb4;`8>OPmbuHFs=Ug1>*1%>9t{O~#GU#bCLbiZeT*!_T21~_va zj*FdMMI;5Clia}1=9<|=2scy#-B*8bK5LdB`03HORC#kf4I0;9gEC+#g*+Zrfw$`D49E>;(cYk}djXaO z1`v~7u9a?<{oS%ep^zHUgR4=V{}M>LJb{ErhteQs6NE2b1knO7AIEJN`T|pOmW1X-r*ho0H`XQ`c(8IOSV9=ofy&%>kEnOqo)ebn%TpAu^-b|3C*qMSWqD|$` zSjRyV1MNrWkz&5EN~XSc;rn=qqO*fjdn{A?T|Pd^*G$tY!!>{pn$|^VMjVCT9vmbC z&=TVe%@l7yvFQRvYJsw8kZASDM5N<|e6u$tyQl7Zixts#GLfD__Ct+y(;peNCJtBa2e}~ti$8rA&bTebtnE};{W;t2x;RcodO90n zm{4}8fb|O?o_#3USmfY0|KxHXL=e*MQn8#>{j&h`7RlmUjP?;Z_ta(4_Mwxz(Boj2@ol_hqE^`_lUN#@yTgA#0;6_XH$=T_y=cECWC;MOR)2F zUFx#1wR1Ey8;NkBC!p;H&{f&Dw^B^IFA*`fWvwC%(PYO-I(PRBM4zx~!$Z$Z5At*o ztjYkdJO%p64Pe7Rm=Szm?vN)iAy6bjQAyGm)&>D23!pHv&2%sIG$k>Qk~EBu=!>#6 zZJfU zG^#qiIY#$x=2p-&>%=l>n3Ho(UEib?hBh&fvx_z8v?@`?gmLT70fC>Zp;=45pQMf9 zQexWV#%*2QlZIQ!w}u0Ard= z{mjfT`k9AY2+nh8j57UFzh8JO*L7V!sO8l=pjJS{a>olhSe#^m717fzbIsSxyz}u; z^I{+Bv2t!?JJmYiY!@pCxjpJF$BL`+ttT&5^yAWk(t#gz3-pYVsXy*O2cS5HdUDLd z^?f6i`GSg3dQi5glCpf7a&yv4$dPhY>_n0CoVW>4<+Y|B?h&}HU9<*4Pt}IfT)?q$ z&lIc1NYnm&|2_E}F++Cwdce464F5hgwfFTji5>4DV;`Bu_KnHn!0xa%;8q$HlCd?_ z7I00;g}?gDQP+|k?l{9g%)i#`<3#q2ziD(65t*84G zp5QU=2u`Jv{Cg83@8^-3RuN!mPL?L z8M+#P{bzryebYR_?SViJ2yLe=hB%mhIv(L`F2*ZM(YXUY_M8den{RpMhks-Z$;bxN zSv_|gy3~9*XLaCuEwR_AYxgR2J_iZmn>w;JmR$NxC=iG+$g7SRdIZWZO9qwzL0>kH zY?qgl)0%px?-7ED)`=?b}Gej~-!_;t$mY*NAQ*O?L;yZmm_y z=g%Y?&09~jrAZ8e~$#d25iCi2$=BB&QDWY`WqSSo_GNKzq7llkndD zmo5ApbPaJ>@-p0cL@x)#(BDAQMV(%l#IvK?dp1rSKfY(Pay|U~f}1YYl2YM2Ib2@u zPuE3NZ8X+m8Z&|nw4yNwKa`ywYyF5`)Sx1JTVm9atUhUJhEDKnC?j$Dw!7Cm!~>Oy zR8`-dmniucI>8^A=r6E~>(PTvpI;#T$VkGeqEAsX2iOLs+c{BecjFi6v?@-Fy$xbC zo_D!!)E6w*UF+%re8cNg5hPn!Wqd-Gw%elYrL}c+3wer!smQr}bXb5tv*{K#s`3FK z{3(~*c>Aqh8d$o5Pmj1NzL!-oNk5TQ(|J8h-ggPSDmElMEbNT3va$kjP+X=-<;H4t zj%dAp@!~dFoWZB~m*RwS;ZCat(fW1RvB8p(LI6b--l=f6eoZ1c^H5!{owoqTO{ED_ zcfRohtUEfWWtS{F1JMoX$@gxSJFN(ivAAbSi%oHn+@isc+jMhO3PZ3BvtZfJ)D$o|7UtOv0v`4{^x6nOB5KnKns-?g-)Jh_22) za#H2KkA^-{5Fv(~f~Ka7@ikREvi$Do30t~ccEf`;ulhDAIha=yRl5 z8Dtn*vbzVMKYz+nl%2e#yo}(;X}*caVa6R4?6*~*8w?Q$h@FNCh~e26AIO)g*Hb~*=!i^wrTun!-FvncZ>LAU%UVj6lULH} zBG!?MhBC{NbMnG#2c&JkeCW1Fzg&s_P% zAemt)QXKRWW}OuekH*=J&*$X5@PON>!=qTK2D^`Z#$eHrRS6Y)b8n7u_c4IsF)MY! zc+N-#k9@va;*&2B^y%nrC6ERcVs-~EJs zar3Nxs*700gA=@wE(7Sa(`` z{pq$D?m@+NDU=YRDDYIPX;stWdA*(6T!77PKx#7Q?!AT-^vHTDw6sPUWk3dVe*JEQ ztM%$_)>)>m;*UVt@uDEV2I4ZK0z_<(qXdtm>pC7UR;p21=~)N5y&ccXm*|L=An7dW zs}t+*D{Nv8>ZpD9)fh_h=O8soP)dt3k`<%~s(0r6j+$1Pj!|+mng|!tAtIzkRJV z%!PbVAEzEzI$o*e)4e&p1>Z#V44xyKXNlsCp;B=2u>D>sdl?9oF)Mmry_=XakTfw$!hyE? zf-yvm=M{;_a_Isdr!I#mNQk^j!w(4zJo=5oyL-ctiEpPEilA2zkO z1Ql9hHy3pPQduyrBbGrkug8zpc`GS#@b*fy6qN&FTKr{pLo2XXo(SXjO5 z7IIIdM`#I|CcPbTqOLtW!4zmGm=f{BAxB$`e^kVATb(Ka?e8cZj7ah3`YfUqvc5XC zT=heX4S7@xgbb=CBlvJz34?_~%S-i{_3gwTu7TdXBe!1kn=Yf(&z^-FC4}>Taj9ma z!n^x<&wif;;h}>MY!Ic5zDdx}e&O$b5~_0?tHtekWlO|UOB_up;_s#r-Ho{s^d3V& z@{ZcY$}3<&UO`=Gc}|}pX6DF5u?%!kl+%6F%NFI-F5lCfqJ@2_XlcL`2Eqfi>33`2 zfU@0*d9#cCqgaqe@zS#gNsg%S-Lp(h#ew)b6Qf#?Hi&fUJ3E3<#Ig1E%k?6otLuOV zNkTnGEI*~Y&^_IUOhGUAsH*}Qup7zJ@{atz57N#cy-KSG&WrqM9Fg z*5u>zy5n+l&u<>|CD(#J(FSYLg>ulQ>|u#Ts#NlVuH~b3Pxp%NFK3G2d^66P-<1@Y z2=U!zc|GW15X*nkO=Iv-(00ZT>boV`^#o_mpF4L4^dJ-f@2p0jr4AOcMEa_s{t=WN z03j+u#{kL?Uokz!*5*dtN0?!Q-y6ra@g5$q{2QipNFea!fKlJqaLbIQY@~xO8KVej z*J#vMF~1QrRV;TE6XH%xYnY_&NSqkJKr3=z31ZIi3}@|jW?5A#rMHvKRJmhlgl4zU zBS!*00)-V!OVx1NQt$u)Fual009xy@rm}r(NM-UkBosv_oA&DtD3-mpF}ssJ8q1;E z`l$%ij(SY0W`+7QnnqQ7JBxVxOZWh$o4YCGo4Q=-8Wstes+a?`A+Ay`(hQB6rMjx% zT?fcg6+k_*sd*PsQJbm2F%fT!HfH25V}GFMLFh-pCHSKs1zDD~0-Hbus*BAPF3!HXs zzyO3AC_~OWTabRE-><*Z>1GSo5$hUO?m{hoB=2_>(yz6)L2?pn`H1YnG|i`Q38s&OJ1d zTeY2``W3RL-lMQ9Sz_wWgo-&+{`@Nl#H6Z^C58zYAJD{?zcviKM zhmfxhLNYDTLcwG2X z{24q##sh8U5yD~_5e;%0G`dDE$EwsQoI9p9CchT`!z7rV8Kv42B?ct0_`s6_zc@ARG5zRUMjo^e_Q<7+8rk-LK#Y7(;_jy)tRFR}?#M{@e)K?!)}^5WpzIhBXJqsW_uM{_#Yk-_Wkol0 z8-b+<1QyEO>u(;XpQQCP>I*xoUgFfhk2d7oUCCxn2te6t12_UeF{)t9Q5VMrh(P`z zij=q5p=z?O(EKSwf*#$b*T@9TYlzAXRGZKBa8AlXvFq8VGDxA72C5B(RUbA&`QdJ& zv#ZQGLKDJFW>Tri5nz``ea}SnMZKZD-0z3LDAiZ}(Gjs2N;!Q!=W)QU=RrrT7XE)p zxZHYA50lkuom--;E>7f-VQ*4sdY3Be$GIjRTwQ>S=GFf2u>a>WD+-4|*wqi}n&KMw z=Ps29>H-rMU|BZDLvZwD9(GU3JciUJ<1Ze{+z?_ zkBl*Ddtn7b<#0)uhE50#d^gS>fJ2NNQ`KgJf3lT|d67Uq4JjLR%=!ZXMGCYZjFCdl z8t5=R87Kivt_oA9!4QK91;LdYkh;%{C{A`OI?`neOj*BZP0dwOKog0g2=IWI^j9=I2cdIv?qnP7io~CuLzpETd!?_TNglJEf6-f6d}#M5U(6o;Pk> z2vr4PkVai{g`8FaVEI&|kiWahmkb2|u?36txb~6-9Vs1WI5jYJSI9DqNp9#y>fWu^*Uyr2L`fG zzVVTjHK2G2HWq~ti7|(`mfVg6evyA zJzQcOM6PMt+g2FTNa%8s0WqPFUxQf+>^il-f(pUrbaW^@? z0Qb-zs=x;6o=-G%}nR$Ki>4Hl!8wA`v`;n*!i%xf24<8vr0Csj;ryi`t&=q)11 z$g-X#Eki(qWE@BO{LOYq!CXjOx{oeAkkb?z$xG-SP5DRqq&fH*(0WkXy9cr(^3+7H z`_k`vs^yxOb?m)4s)YFjWmOZ0;%>`y%TXDo<;H34BXas3rqHUBR>BD=65>V*Vw}!o za^zRX4F%cuTL;`oRIKM<>%fXZroE+W|Frl@gJte|AOG3&jveCm+OJqbuUZx}& zxOu2c!n$}bPf%W_)K?=jTb;NliHcMf-GZkSAZyO?d211>jM9x5P2nOqV{HsNJujiJ zWcis1r1~w;yb>-ZER2lEIYMNCP{FQ{t3BI+KT9eC#qoYTvh*t2p7S55a|*hm1bB)0ln*t&f@0;$Z9>a z=_k@40<%XbV?p~f$?1J2NB&Z_pWWD;>faxze)BcQOv=|f#{J@fdaw1K%cD?K7e5{A zscDK3vy>-2QXn59w;AQ}?$f0r1_nMgQh8(j)C>4HcfFt0A7^-k!rgyI=5)f`W|I1; zD<`-^-U^JiQ4XvNE#7$119Ni*vSclmmy}D;nh11g0ooE6YNbHKbfOxJJ|GAg8C)K?7e)5(8IBv*Pz7U%p1L3dnCBBsdOQJ9=Ul1 zMN(nDC5v*;Y^<9W&Qj< zN)K80tJ-#HOGrq(!E&DBOm?Im(^h5;cV@w)-y3(q$9|oZ>}a^QmXq8IXB?9#+W^Ql&`HC&R$cDHSzqWcq9R zB(IwRvCX83w0NF`T?G;Edt`!ES8GX;bH1}x^CgPPlff_~Z7NlK2@U0|#^RRTRf5ZF z$gH+506g9AYwSG~uM0z$+J&%HGCRDzKi}uyUvWJ~U}a-dh0GgOA?<`YHPnbaT6*Q} zmA;_h;EYSU26MwTy~vmrKA3s3Ds9BnMroLX&@1KL#w<%hlEc+rbGW!bO~OkGttjpGKS60!SJ zI`p;V9?6A7wyr9gC3?i7Yu~Q8Izy>ZWtxieBVItldC&}0$>#h9ddrqR#W6+#MW#93 zy1|Sk8Z_IJHUFMp^G@?P&BK2e-OnPGMz12nH-m&xm}Pr)I%RiE&t|QMK)bvZ%$G zYYX{}K&p7%9%crom`-$dR^+wAir@{P_dkKMte_K&bz1rcQAvL~Hb~Vtt?x!hFNzg3p=%`29FD@l~WX_syG8`GLn=mf!U`GDfoH{HL{F4_Cy4Jr1l*F`t9I{@zCaoLnQRibCgPj!Mk_ znC^y(*}p(;6;KsOUj2rltPFp7ar8WR?PWM7G!V_sruBwzEX3J?j_crPWCan6w5t&^ zKeH}~dvey@VeRxj@(`vbCk{OG2vYXEWMx?epG_ix~=zdY-z=f-fL*SFTUaf8<+*H z+Q!Vv2zH#Lzf&WRJir@~nu98Ad*b@wpdgt8X=-$*E(i|jE?7oj# z8c;-O%YIeZ&mvuv53|@l!$imN=CVvqcJ_Q2;J14(8vUkHZ=6aL23I-K1d~6Bt#sT= zYbBRr;$Qv%i72XX70I_&snfH2)?b_NKQ+wKMYfWpc0=M>tCG3r2 z`i6>3FiNo##N~#kV z4-fJ9qoRJZ<$5!x!{cT0tl^tbu&&2F4Ab}OLmFB;XJ17QbY5jv&o$TP*&y4OGtbk6 zPQRBDfUzNYQ}S4ii0i_sF-~<^#B=r*5c5QE(k{cuG-hNBv<7`tn&mcDav|Z{H$WN5cP?zqoIOp*cWXXM9MuACT-Zqug)XhGY&)go~&aA zH>Y)M!A&fK@?&5>s|vr8Y5b6WKT#Z376?TSJ%{yl~RZIGq2N?0K+7~oh`n4?u_rFj~!4UPEL?o`L> z0lG}T8SOVlT7Z5eW_9)o2WGZbQJc|}z#MD`wYc5Zu_=R*XyJXvWe@PWE4-b6JGH3q z5aIX~y%=3GI0RMrR!`3Nt0K+o~H7POCAPO+11Y*QuFwsWc{*^0

$yYUaN^Ddv8n$y`TOU3mP&$Y zIkR``7R2jet&-;9y%@T3#$}mJ@PJrJ1Shdi7vK!A{%6#H3_e2s@QA2QyiD zTEsdBvR%m!FWwDV{nno1#BJmlQE6;2*Mvu*Mmo4$#p^I#f%5cexnRJkr1I?*`M0FP z7&jDhWQGHEyeXTG(em2P^wlk-*Pc;*$5F(NQn8OyAYqYCaa-UHOs&G~E_O>Nu;ohAP8l7X z0FMypmMjyDGJYM5SW~{A%PhE3XUObXYBP*%m*ePnzq?Q zpodrKfh}8xUaq_zi*b=_1gB_(9X^>iEqse7s|uKT6@#jLm4jaDW>&bO<4m%j72{y< z@U7!751keV`>~PH?bg@)#UnDEnjdsDaoom39*&C>bVv^?EsAJ6lW-ZDnfX{XP8AHU zoBA*!(~`Rj>Fqwb<`E0y=gT5f3aVlBYyYfp2pLV#%t7deO{}(WUGGtw-CS5?$l9P; zB{(=>5Eq*MJ+^rCp6r=*jjNbYWbki$UrW(D7!S^`Co6b}jY8YrHjl!wQU==2%uJ(3bSp5xzx+TybWMPD@nALdJ{<)DANT zLD!mwImBG74`SHFMJBgUG-$|9Sszp(aCC(8n$A#Rl$;manD+IMT$ko9b;ITB;j1^+ zdP~+-jq}H2i_YLrjE#9n(AghXz0#?wGz{{>#aD(bfzjy{zRm{w^d<#_mvMOVNRs$k zx_oJy3s5*JJp0k$LYoW4;4VQw1(f#01QL)8;*BpBOk~5L^}C(g4(y9r-_Ei36e3O; zvVo=El-I9%uM#e|OlFt31;=E5I*0c#)-4vvMQ?q-;wjlW8>>d%K!g#n5ZW@KB47eW z&fjv_8g_oe--Rj%{@8XJ<#%z<3^=`flRq&E^@gO5flhy1!t3!}F!$;4Vl7L*CI3`v zg(BhRq$!uZ8f56tCwd?DBTfU4HC8M0W{y<~o7)8>_$(4xPQVVxBuX)>&+l19)FZTGVXL) z6C>6D37^&tFmcTvzy`lqj!FsOvG4c!c9ei+WoHrm~4`_q8_y669L)I0pe zFRc{pDmmhaN*LW%j%uh(eTV7|r#Iv(ArFdFR8-O{&z+JsZD)5o9((CL5fM?hk3!cc zc?sIA9;bD;wsOr#@LFK-W7 zZ0L1I=1?nz6whvjUL-Q3aTts27Ry^&TCxK~C*u;Y!O+c#>&~iYV5OI#m-;=D%1$Mw zurCh7MC~UqL-s_*wQ3=-{>tBw2E(pN?L2oGe+ASK1msHbyiz?q(S|2b*L+8C?6yn+Acw*Y*4+hChO% z(ocA8x$U_HDOB7upWAb>4l%Lj<$#yGYoxgMc6VGp)|`IVc^DPJx3N4t43=Kx_2a&nJT&N*n^Inkm`b5E&I+6fN37rtDt9 z7#Z(*djUcU?z;4|hyG6gf4ajRcJx0HvWSa#Eyn^fStjB{*9|T>1eWh{h6dU@g!l0? z#-^UKCVO0$;lEodf}T_ztXUoG?a<`=_3$o=o0+$Dq%+ z-Do&r3F(Y*?qZ&qWxSOzH-yg^yZQ~m&iE#iGTf~-;~XPSd9VwkPPYf|C19SK*#x!p zMLL=FenRSfnK_P!@&<+_O-QmdHXw?8j|2yFm@NE>NtCE;NuxrShO>8(7jOLt5H{Sc zIk7CFjwjAzdYxIH#!VfkM@RWOM?MUCz4q5 zL9>eEf_5sS14NqLVyR}J@?N1rwg@z6PSsC2aD+y={1pgTr14L2%}QymD!_><3xbfs z#5k*6k>AULQ}C4`N}Ebtr49`c+a1q7MWU~@*3Y19>7A)`4(}NcH}{B@60${P;^Z0^ z@=K=DYZa+0E>Woa6@~3RoF8=fQRtt0#Qk_gRgpk2mF-}_3o4AShVE`UF0eane$!xe zR7?gJND~oUP=WJ~NcO)_rr3!PV0FT0>(gQ64gy$nlNCJj6b)EQRx4OpL4$ z_+G=%Isqb~+t~^F?nUa<<6)JfBAC1>4ONF-&05)!ec!K4LkP6qT~Ukg=$u|)HokF# z;H}WtK%I0)b_8`K56YYOmu^8nQ_Hq$WSR!XlC$#_jB|_g^_KM-D`)lq->MlAZeHGM z$mtG2NRgA_C@0?EQW32{4U^G_#2C9*lys3P0>b+Tj zy3NPcaPmgLI5^eW4dxne-co39OtlANcl$JKNeYt40$-(QWird*CkAXAP7i@^8|)!b5e7Y3KACC29dlO zr5Z3a2TpZ;N5CSmFpd`W+?`(plBO2JG%(@I@0oA+TX)v43}ISa|29prn$@fJNWt@pP`AtDtLSoLDVz9LOM!#+3kdRAuUouRv@u9&pHD|vj4 zDCSmzd+1E)8|)QUvlU`7>5}U$!f$VI(AVuQ9)Lryt8F@-#Rs#(E)b=)OpKgDEx|cz zD4)ZpUJ5M7L2xNPuyyx}XPa)JvK+y<>>C7Z)=${O zHa&mRMD*KY{O@;?YLhk?`tRv~UlS$b5$GCNE?ze5ob$$Z!JkRq`Im6YM|&>@$q) z{NR0+FhpUq^|L=>)s2is@OV}b*R5s9k+%WNv!`SaFz}M^628nAhBC>Z@pJk4DUI9? zReEnNS{D}>&}0%H+&odp9&78e(H3#8g)0W-0_w=xo-SLT^$O26_!;&Y1>N4@=!W^oGB^sk@*vI!SBBC6J9Jp7PI zKg~&VL2*-NREES?5~9%_=+hvL3%Msro}NALKg;lbCj0sa4FYj#%=MOA`LoTv>wde5 z`51_ym#@pbg6J_#tYX6A{0%ebVK3g#k&o$WX1q?6+{vGRnAswnz@FW8tU%O%rh6Ll zfQQ6(QydYgjv}qI@2yHWh2Na&r=Kjwyq@ul!FrE}NeFDhO9>5-;^{ZagLj$X$bO0; z6}xfAP$=|#zgagJwG79H=zh;&ZX?AIyU$N7z+IK}$60BfEy7nMI#U^I}pP7X+X^RK;e~e#EhHJgl`t)r@vrW4vrHy--r8!WrTvM!5;V-=xs) z3qHRdPrv7=YuD-xi>|q;QvZH#e{NO3Y?oD2gGQf$Ki3!Hp$HC~MfFbnUw@T_$W;wK zEjrHOg3B&fSMajL#&<}5I|vLCIP~T<2Ww1sw5}{?aTfaz1(WtO?&OtG-jL7ni*^52 zbRbiLV9wQ*Th;|7k6_TRdO!Z^uuyjq4Ek454ga+N9jASuOx!Hygzx-_SZYzx`uBw zEWWp#4b!iyfCt4GB`vM`!whma;6pEs*g&`VKQAEHjVto$M)!9kt6#pnb(I^*aMh89 zch7fRg>GaOgi9{}MB=xvI>H20*ZW^3W%rXFg=HQpy}a~)7%}g#sK2cgLsKG1j8VXD zdUYH=?S*cu()P#i^cepP$Nz(i|9tqTr{T)+eKMC_P{_t4KdSWo|Jt>;Z}t@{e8#=e zjt~28M8ozl46)WZ`Rfb(pMT^BPq=a+r{SYru7^PONa@(&Nb3LjReyV>Bh2t1YhR^( z_LGjO!qncxKlXO;5A$JSZDaHG9hzvT{RDuvHk3XHhu_}(b&qbX2$>2TfTr@EEfjKl z4fBAUP*?v^W6wUn$H);2WG*`X>l)r={IpxSQ}E35zTkm-!Ih zqF>&MYt3O;M|(@di+kQGBUxc%aoXWu7Q|HuF&|+UxPLtwTc6>2Y=8VL`Tib*?ML|K zvwij8Gld=+djG!X;h|ii4 z1MuwMFDEeWwBU*mm{^_KzRvc&+8+i8y-?oJV~5+r!M$`uZjk+S&dVL&FM}BvjDNdP z);)X3^2xS8<(C!t^=w;(D zf}W96G&}C%4<+DkH?C#<) zVY$D^qtLuQfkDT1IA9E5;>N^&U+4dCP0ddC{JS~&Pkx3mqUq8OkGPXF*nW$@?x6qT zL%~E6{TJWBNy-H-{CBhGWa@!-(L$heE#R&LuEl}C25JZbon=1LxuS}sDnT<^7NR#vE!qEKoSPJv)q?Vp|*#rv8A9h9{_0#TEW zqfckmoi$r}UI%D&bX$AyeJRMh@HRm#{lKzqAyV~wCG*oo<>Fa(Sj#&u#``~;z9U&8% z=(qm&28*BlM*t@&1^YPjtGL-;->(rb9ks3130rva_oi_zC$zG<%qB_6%$hF!l{=@p z{8joj#d-mIBZFS}qHu;xeuk`n&Zr*xj*fbbP=Z(N>JfhUS=sz^vWB;f#cO!S8wAE{ z1h1}~WE~B=v%(xb`0>*CU4Ffx=b^61$Gp895qn({)h?awdg$mgR1Jx}j)iKWPzv{e zN{|>{!2`SkvAqQY&*DVm!ee+x`xzgN&)Pef2?x%2cNLF2@5&!&vUNLZQ}TM&>1FtX z0~x4_Bb$dg?P29;HR&h4Q+%3<%84Y5KZk6Q%mAO!LVy5H6{2m6_ErWJDv)#PR$Rl6 zX6R9gX502; z-CnJtGbxs)&ELM!01{A~M19N;o+o{~=!3wmxzQy(or@dR1)u zD=3#z6z=#12{U#RALUcu@5rkb79N}Y5|j6CZhpsC((f%zaU(r}+mO^;cNRs;p%pC9$SsYE;OTb3!Ae z_ticTBW=~f;#_vehhY!)k8&i2wawlOn@l6(PP^*xUBv#9{?JoVGoHM&Ji&dW!&FAK z_I9g(c}6)`WPiB6G`zB9!(AEo#a}1Ed3TXSKJHBOlV(t5{BdMZIEWK>d3$yH-;@Nf zIzw+3o9{06*SwZu+6L+l9FHg#^z{wj-f-~Sa%`^N4IZ#TDn-9$UnJ+_OF2=?4=3eg zF^8!q&vWL=pcjnc%$E))^D^R*^SqCJSs+H3@vZzL(erb%9pQ5HS@VRCrzTPG$$k$3 z3%D0}0)4#6v$Un7!A^H+-fqHcWUek`q?`JCz}KuhWsl6xyxOC|PEjAEGm*|a*YE14 zn$k|zo7_s)`>vlXn!501ivMIO-?r(9LAJv`-ychoi-5H@x;Dnzdg9*eQ|sFi{{c35 zu+-2G00j@o#!vKfV0!s*u1@f3Wp$Bj_GdNV)`JvqMh+u%~}WB>=)+&rLd8Z zJFbtu=i}~Ibt6%%yws#bk=Fb^x$q^KN^YfJ72D+TyJ5zKm&I=$J$f8A-f4vM7SotI z_o}EgJ-cCw$lmto(DjUf>Q*zh#(_Xv|Mjs05puYvg3MoDB}(fTxRy5EqweZ9er;`O zlB+V_q0G(gUgw#u9sKtW-Q_AtCKs3dUYUI@uq}bmZ0f)cJ{%vOg!amZM#saz!c^bc zE2DBspW&G(lMc0J1n3Q3|N8N|8JlM%`ypAi6KKL`MoHr}0?Nc;n z7V6b^9mY@H^P_Ake?xVD+HXLNttSoz`w~oh3s}3!Bx(PdIz5B9aK>Z)R{h6z+eF>B zM1Fr;{=XK;^)y*vQLZo{{aScuKvAgU{FljE9eF8?BsCMKz8j2n?tgni{>VwMq^M>K*Sl~{y9pR0+E6QL!ksdC#`_G_*x}|!gqRa&3Lvbg)QZ%32&dbbv zT#oITc+Y8w95i1zXorFhs3)ko@{;FN?@nR!+6&K9k-}5`@O+Stg_Pb1ao2~_VhksI zW{b<>yDTftc4N@Il!vcZU7=0!_{hC)%TWBi#;$JUIUE~-6$t@`#~ zN;$#AKHfj}?H~|;X%E^kpV%ojEH%V6p*`r+s6y_Hwl@$um%-}yEsvj_aqfMkLJcnW z!L)W2FIeBpdSz~o#(V-c%Cv%rxmvQ*R%hD<%uJ>WA1BJ7B&0}3A>+;P?0Rug~+eiPOXNBbm>^p_m``1|> zf!$!dk@Y7W2?OrHMVvdlD!&h2kyyOpZzSlglO>F&%ck$SS3|kymHoMBet1`G{yCmZ zR-t|+rSYplY{SRhj>Pu86y~KmOf8edvFS>Q1M4P<6g~FKdMCenjB>Da+GLd)E*`J% z#z^R$@$B%PA1lx}bTkq* zlF5k3@pWe3Tds@DRzvud6=uH0dy{Gs05+g_5$EK+);+^h&ef))SuF)0V)h zL+2G`jyz#T`?;+r{H+M$=MGP3Q1?*74=J6DH+Y3@9o86jZ~{Hwqiz4*67wfgb7Poh zHmX~4hbvDgGYm1E501V>r(JB9bsY!S%lcqJGOxf=x1AMDYIm32pstRNadc@pL7<8_ z14`==;HBQAg{{y`5prVM0!t|MIh%sK+F3M5NyTnOIyB7R1GO5_gv~ihJv(?$ZLQT` zN2ew*|Iqkr)4%L9nHYkM{grP6#?+ROxK6;iF+>2a{Z`Z5&wE8>Aw2V1X=>)JXO@P| zdD~F%)(PTiQhhn%KcY4P!aF~19?FjN=J!mtA9w^!xIwzVeG58|)wpsb04q%xrtK;AFFBWUp zOSOWZzmAeu&u;2zlS}4mZY74efBkXBjM6q0W(Ea?RQnFl$Q<{BXIGmc-r2(2HUzFaWbE@ zNNc7dI>IL@zKzt~2mn;$X6Jos_MeXleQ4GbYs!XCO0{5{?v1{yx%KodNS_hf-D|6u z%L2#FH1bZCR0Mzx>bLf7Ez&1i5*FR-m7q#W&iBxd*f zd6x1RaqHSMj~i`XtG;+0eJ#&QT@(T&IWpwLY1_O|AX92-p|mZ`J#Ok`Bfr*}@xR!6 z>!>K#=xtaK1u+m2P)S9l1Vp3-1`9~$V8)tEJbXq^ zAN6k6KW~(&qb={%sksTSe)&a=3@RjRxxd5xng(3V4qT!5>z)W^@Fw!)a0-%#V1rr5 z{xjaPVsv%Vi>hk4Jc7d1=$-BC^iyp7klP znQL#yLS#>^&M|xa9xjBd1I2#>w|Gk;XN8pusc=z!O9x%#T}u707E!^29sa3SC1Dz> z2LvV3g-jfDZdNMR3Ur)=K#anWJf@~0yORvLHw1F;=b$qTjGqbsFqfEyD(=sBvXnf> z7kNMNX?qxS{PtgAIv`>lxy!7hno7I=>fRHzENYvp-E;~No^Lj+cl^%$arkka;f4qi zzU9AoAwav!CU+fE(cy<6SQpD$@59^JR>a0#JfzaopLnjao_9Rn&Ox$ca`v5`$q30M z7x+QG4~c~=>4%w0$ItTab8K$W`xMKbOgV@kPB(6VM1$7er|x2uJlnd{WllXe3{^Zt zQLV@QGj#X}5wR<^ZOzX2p9RO6+Re^G2`ka^b!;;w{kEHMHds7Z;Q?ZnW8rAAW25NJ z%5Cc=wm{g$_oxte)OUm(LytfHWp`Uf6oU?b&(~eEapmpf-90uk+`waq##9%$?7AIO zaOAx~Prw*!QIhBl_XT4C0fYP0cZP4%(Gn5kJ6If-nRBn^_f2^A{6jiW494d@YXc4a zSDe1sC}+)Bv#DsYlf`!-Sn*>s6vpq-9p3Uf!bSLXaN&x3?<`-ULg8wLB>M25f=2Cv zfb3sze2^Fp;sT{e*$%%AzqQ59i`&l%DxVmeEu}1(4Y|%}^}kEwIGlR-K$JS9zJ}81 zi8lu$$MY_OG&OSfUq-v2E+Hi2;82|Rmn!uRMv0B~)l&fo(iuKvN&WncGC&`t{<6zx^j z?(ZpQ+g#y&4%zw&y7_AKL{5dJh*c0i0)M*+Y=czPnf4nYS(PV^9%sj*#R{o@%;L3! zQiqyyrZ9?<5Y{T;Wrb0p`Di?D=%E5$s*w?HJ%J>v!c#}K!Wl}K{KI_Gbvza#Cu1DhFDlvV% zEZx*kFv&OeGTwms)Li)Vwx}viX2^d>pftmWP>l2e*+}lH*4ywuyJ&y)XgP(gydH(5 z_3~ha_k=9-kQU0*D$Waz>1PKblpjXqsc`Wb2jiq1eg?@a7V2Ieo1i`1at96fgga+s zl@C*wgrIsryK)vo+~?2;(nB{6%Qe5UIg`dYaokbHx#kS|^YxdrxhGe!AA&Rce zDE9y%(;B9sRLilHNA`LqyP1hPtkd3nb;g_Oof#SX?4`kXa+~hQaSI?7hq>}zf@Bo0 zLJC`a?J8nr(m&jgDNJp_V>Jxe3E~AJNnZ+(xdWR4VO)akKD_L%@m@B8yR&h3ge~*S&(!U+fl;bR8(rC z#!}s3Zw?uog%OtR7l)*skgVb1MU`vqJ>4t)B{GZww5Hs~3GrWOAEdC$KA0)e2C%U;FCt<9swYR*o^z)mgR zxt1dYbd_!Fi4~@8?UOs2=;df5CxqF>lNWkVjbU*d7a}=XMFgOKN@yY&_6sN(xu53( zA)`uaARqFO`R(euYKTaFs4^i-ZzW!Qd}QH-JbcJ`uCp*>Uqj6DIO_b;S|-3gu~foW z97F>V{2)#F*XV~Y31AvCn(MX|GuK>tuZE|c)cX2tZ_-k)TZ@CV!k}ik&``DL)mGLK zFH`rOl$3S{oFm`(-9KZ030p?z*`6#>quM}IKadLWiGAUCU)Ys%hs7N|Dpw-`y&kr| z;r%t8QE+uHHG2|%CnT-0qXy%Bg8Do0vi} z=u+KPO(kT<=fN%_bCY9@(N9f|?RWJv$ZMoU;k3&6O`_Cyu%7l%Ntt8+$n0PxuL0M?Sl$A-dSZ zfD8-1fUc3yf#GW5@Ph3LXG<5x?Kn+rAHKNQN0gdneI>e#-S)xjxy!}zBVOfs6KU&F z0cS4L+j>8~pW6QElJV4F<^8E|mG@g0W?x~8+IP}iNqF@>je$v{!&G_{3V94gA}Jvq z|AIFtd88_GYHN%pHN8_U1=o)A4be|c2Cn>-pSb}cWwOn6NIBX}>hw80ULLR2m2tEI zh_lHNZpI75lDUbmDU0;zQdD{F9=GFD*XU5^?qr;DLhHqNg)}*`P8{zGHOjV@YuKel zHDAtJp-QT|zOuI*GB7-r7F-vwWB+*C`XF_eRB^^-({to*>O1$= zXf#p!I z&4Jp_Y74~^o+8a6BtOL)~$a!-~v~ih6CaRlH-V_opUft6H0Z)ZEUbcppZr ze-17VuQWZUFcFI{zig86=bnj(I{}`qY3v?uQ0KfrEgM;V105nF$ZG!=o%axERQayC za<=CHR!!oOOwj7NnQ4DB$Y^*9%VpJ--a?@P|5j)jG~F8Ocb_u^oJMoG1*jnrZ0>rL zZF8U(OA`|-HxtH>X?8TYk9Vw*+Kx4W%Tr2MK+1EqhVi9Pe9}qr)Y9HX2oy!oQz_Sd zH0QSs69X=$NL{a*<>~;{pu>!}Fajo%KHWHTH!!;FyHIP20?~StGElvx$!SHDj)ce}m~?#nb+%eNe?V z4k(3WiNvqHppOu->RKLdV6nR|BKU_h%9?hoT>0cpYL6=4-HegIy^oA5!I(s&xXcz3r-FhFHece zJm0-fMYIWOnmtNSe~}BDAl~mY6lTq%QF5=R<(0)889x%Ox?4g{_S!z~L}Hi_ zI1{j9<~%^SzSuz(>b#&LzoMgA#cO3Ym|ap#ns(&1W7yfYAPXM+0^R7@8!V1 z;(}vaui*c*gw#_tszX~cE%-)$ZmwinXsx8VXZ*Flw~^H4!O@|g^AHb2DnzepWXB0| zJXG2zbUxQYnOKc@=;syo#L0eL+ES)46?&iQm}=(lz@Rd`UQMvlE{(pyjU4WH9ssb3 z@_j-cm4smA3Gs(ju~Um96|p9hNViGKgpb?1L&w3NaeVK?Mm6I5Vn61+-4XhoE==iQ zvqUPJdSJ71Q_o?e0l~12{Sp#7xhx4Lii8%<9nK8lEu6V?9AE>T4w3+~I ztoQtW*~mMQ^ZyWx%7X=<552A~p%LeHGCVIfPc((I^d<|ClyF(s96p01E-(hbuE+Vm z5kPPH7UnJa2j#vU3ps}eu;Q%BqHb-{c8r1$KlZhnt2*dqPnorVx}h!KC%iv5UKwc# z=mK3dk~Z+VXnMXnCMhcRnUG^bnHlz|VR1%DTg>5f46uD72-~O2&5_2+{${Z-KaW~t zy?#_rKcVIB{2@i@yDJGe-5-|EZos0;3LyZUi!f*|2YTb}4~0n)7i4kh<))6}BU zcss6j0Sl#9&xN$clB~=s=c&5C8JCnw2KjjHj3|qFvm(u;XJM$@F<srSSN=FnWQO7W?3ynHb&<;;zWnMmY+3OJzvp?UfrJ@=q_aI znFOER1AN5EqQLW&9AoAJq3R?$+(s3T$P*m3?sm*f*LesAo{bT3nyVQp{i)&;yO?x@ znGiTMqoAXE_O@^-Vfjyx($Mdd^)5d*h&c#{O#mscj)J`$p3%e4NQeIeshd+@!0SoD zFbE``oM0NLb@=xg-RKirrczFMg+g-KCqIW8u@xY+?o<~nA1cf08R>a1igu?k78IZF zw7uT&VgEro3^|S7gnPzHM1fUSw9l z%wDX&#uP}4Wctd4Nx!L@F+O2#Axj1s@^5q9iA;FW$jT$VZuxrSM0}k2fOIz=KD6{k zNdmsvT^Mr{zsGP7*mA7i=e@6R$=NRh()BYL-*HMd7q}vl zf`^BP;f_j#(}Qw><*&QwN=!z4IOgJqVb#>kFpNrkGO59B(kC;{tRpo+ewJ>|t~(HbI9}uIqnlxO;>ab9C1^F?&1Jx%3@Vu~Gx2 zBcAO~8!ksP`I&p?t;iUb=I7c-N88A60FtwSxgT25J@8 zoCSg!_J_6Wn$zdc6a}37$jxJH@ECPscO@`f`L_3*R>PopjosbOVG>0ZXpnqwYP%ql zoS8Ns;W=HuVXaI6|F1_XhXkQ|ijt!(k&pco-(k+fj%m)z2a%L3K;m&2Kodxp-y{fG z;4un3e|3gGq2xvlH{-K<+SOoo-&0QxI8ApN7Uj|TJPQnb6jry342D>wbc;ejIh-G> ze7eLlPuF#E8m|CX-JM=)vj08Z>iMXYOnyekCj-S9d!Sy-lX=AZNslvfS$kK8{&Yf750C)W9Tot0hfJSFuN3IwkXfn z9oRKc8)>9H0?ZtW#N+5>cSqCW7Y(dOm`o5;MLQ>F^n>iw zpzeMj(?fI#-(~km55TZeIds47v_7WQ%BW};>G?3CQ<5sfed^*2OIts*%Bj1A^x}k! zV$E3EC|Fr#*@;6!C^wd7-w7?u3s3dZy_%C)VCuYkeswsk*~cwQ`VChPA)xj=wekH=<>hRU@`p)7Gb78#&DDtR!`5 z?>WFmdCk4RtX3tR-@{>GzE`J+M2E}3a{@c3vpV{=oSZ{d`?m4w&&PXvT&hZ*2gFgq z*{wH_0)TGw6o^4OPGRv5C&ry4GW7;dl{|wI?hq|w9-2NcRkKjbR#a+k*9QtRwT*^% zi-k;G?z3kfNw?0o-@D#nS?9)^7oB#??cQI*=a_$JA@nb?*GUqeK-BzC{X4lo)%*(7 z3=*f~#+*ZDId|RS`{NEI1ahE$xJA+yOT|0!D|501C8nM1PKKh3hbi%+W+8dT<-Kpu z?zkoUGkx5akK0jC-O^u&mb5drB+I>vFz*01BU`hTYZ2msgX6c5?hy2F9pAhQ< z;6-tRH8=4qc1VYBW(){(wFR}11fKTyvu)+QX`01+ifYe#Q`=mvLU_KvQ9fD@u{F<- zW(Ile%l8W;`tW4-AcdopA|FI-;KHxTG4=)TwTvmtEbKhzt zmF9o+*~KMo)Tnza(4@S&zhOTzi>34O4r9nQF-k|v6+bUSkwIsUh3|E7zPbcw-GX$B zK%gR%O<2}dm~4A{z)gE|W(Om=Ytf}XJY)1aKCC|ozH{FK%`<_^@VT|B-?3+dJ)LwK@I4SQg02jL9bt9tv*<2B3_VFr$zgSL3a>o z0(DrIu5?4=oyx>Lk5NiwDJeE33*%0l)hjbWNjbU6rg38Qdm64DeK**AEFTrTJoARFbBodW=2;+U z5=PaPlWbnN%Li-iu)6pyItfdXNn)3YI(uHzop|V|Vc2qwk{R%aZY}5a8RorQn>;Ki zl#^3LA_TYEd7od5Jy@2l&3m0kUq6rzrN^}8JT%T|UpwpNUOD;%%vSn>W`ALt&`{PJ zvlY+2jgO8<{!G}gr#kv1!c2dNphel^2t#@xr5*?4FdQ^6Q`220Xi0nt0eYMNPDFeH z=}4N(y~7V@hj)XPIZdSZx}(aF$ll>MNH|yqpEuWeOj2|&!AM*R+;;~m`b-^M@_s!ZcE+6H0X&_* z9IX6qtIXZ0orn`#=Poi$bp&x0i|S*lZ>vz5Ej?}Wk{M0AjHwP+f<__dr1N+XU0Cjr z*G~by?o!iK9qn_|Z>qGeU#N0lxJ0_?=EeH~?rX7$;z_(Hb%@}@A@(e+rw;%F!}4|< z-^Fk#xB}fX?+_W3+r4w>+wx-*_laA!n^(Iegmh3{c)60 zi~v{~`I^5n4)JbGG$QT)uO_-5=nlziP&G5-f{*S$^bsiB(?kqtmx6FGY-nF2Ve~hW5e`=-Akl0OF^LB5dKQ z8QFb2Z?pr44Z45U3wkkp6nJ$#%o5I;P9tYc#Vz>XiLD{Y+<9f9m8I>eLvT(KcXyhM z3H^$($VsLueODm6$yTR;2k7O|y_nfd?lg(9>i*=&N-J5({_hQdBSYv#tKmX#xg_*e z=yk3#{ef73EQGFAU+Z^4;#bH%|NCTf{}g={;Q$$-%AadfXR&S^kM#(Wi!wjDg?j z?bW8uE&c3#ZGm3eyYcz11I%o^5|J;t6t1-cxbM64&SQo*tMlD%z4!rc`m#m)>vw8V znVnnBj7>Gde1?b>GN0jsiU&*0ly3dw6#jnZS~(=@0uglu(3bb?9wCWMcO(Ql_$Z8C@w1haDL7;zl4#LASqm%Z6@!&xGnkEMzDDlj24e2ef3d)4ik)?=6UBsdb?RZBCQsV+cRw^P%vZzAo9nDev2!m z8Y{}GYm0>r0>a0P8FI%KGu9vPz1-UY^8+X+5)o0BeM?K65NoeZ*Z&|(HSa)Eb#FLY zu2G6)*Z+IF3BB3T`cCm!PRhnEWG=cM_GQ# zw5c`Px}z>-`jx&X>2*HJefL8zqIJTfUr|PdD{{M!7A97ouFMl3n6r123{`Tj$W?8& z${(2P<|kQjRBK*X%N;xCSk14oYyUSH6gotsCO7~UO>91VOEi}I57!UpCR#F4``iRs zUY2NSJX|aEFDMIkl~-Uhfjz{!*6NkSK4GWHYjLW3B;WdaaEhhLN)#IMaxL-3!}=`S zWex0^*%kI2A$y(cd#|lMyU_pP0X>|^WZq7+ot#hamWotPxBr~k@{(YBs^k5YaY6f2 z@y;_W-HFep%9~OkmyD5hq?lWr>)$R|R1)`cj6VFG+QyiIf3ci*sK$2#|4obeaN@Lp zKw1UnqjKo!(BxDU7%#rc0n!5=Rx`KGR)1OWgKaVII;Np;;=*ICB zMFjs$92iA{mx!hh@w6J#R%ZwGj95l|qJPmxrCC>_%~WEnVDak!!#|D;pIxnk#scMV#47EmSQ-UsRb4q zz6Y~mwTqLC>$qQ&UE*b%+qzde65mYpEa_;FiHp%Fjn*hb|mmkz%|PE0a?iaB!L zir@kfo3QQ}lXfYiFKM9i>+c2GE89#cH39cG*z*kP;ggyftXrX~CE(0;VCYY&V@f;x! z@H0fd`7REE8;mh7V8PR!zbqJ)H$u1N^K3VM^h#v2=Vdxvn7^Of6G`D=Bfj!ubVL^7 zo&=3;{lK^f#rlfJr>kh?GlKDUJ-h^Uv4Fbxs$0jV`Ixf#^K8GijaKK*V4SDSsHUP{ zGrhoRTQjR*M%>9z)!GreAv!!%TIrLJWAdhH)3-gS9(;+;@aMp@hbbqSBTc-pB~Ye- zHI8pDV}r}46`^VC(=Lp zLG3|SA+I+!yqBkiE4mMtRyW@P0glQSxSVJD z#!YNbx|J=SDMPotbI>eQQ_(k4M*mx%;#RNkCrSpP3LSd zePNi~SfVQ}>Dd?Z@;(!N;5Lld?$y$`k)E=F&S0_cW}dpm6wV`*t<7)$25*g^mY7R% zQZA^it6%vZK>&WonBg$V%VB2OcnE$S*qG=4{yRh#W@k)|la1AC;S-7Sd|!9lDQ(v7 zdR?ZQcx%flu-F)G%2<7Bn5?_h%fs!Z5S-$SGZ@S=M2Al`0u`?mj9X|(SFu(~p^i3B z^QJdMMbM(=>b!Go0Qkm;H5Pxi*;Z?GDwC8>ciG*e7vNRLee|Zu5!7=Vye9tq_ahL) zx!_8rWf^ZqTkC~~_DpxuXZKf-awMzv270D?b(~psSN%BX^k< zJ9CrC{#)>3Lf5%8cjbcs^(-;>ooyXEvJ9@xpORua&1tecCUsBaDMa-snF5!>Wus)( zr4WrAR_t7aee$`L@qKG5GGeE(8_7=(%K4lJQ{|pqt~ur7}%%n28=Ph5ht$S)Z}y_^nLCU-}0rO|H?Qy5Z{#b_WO)nF*tnZ1|-?{9x3L=$mh zZPPV%@u!`oS9PCX>e!g?(blSdu4Qlw>LSX8xb`EYxdWudve)w#c#9j}fw*I6PlqQy ztpB8NYD}wk*VF1}rWrspxQ6M%*Fl?c|>N5ug`bC?egV`e2%! zVA}7=zeP(?&x#WfTkZZ9JwlTPSBOw+{Xy7Vb}P7ygfWNc)i-LcPZo(oOjzNCp`n1HY++7^5 z%P1<5XIcGubIrD^0C5z$lHUIRx#rQizAmTxO6U3pmm*TGFp!9FO)i1xY8<=M-tF1L zb}A;s37Eo_v4-R zSm6%VFytrs&L02uC6aqlMi z&Vw|K=wgC->^!(kc+?DroTRsbnpyeLHjFY{r6wv9&Zu3vQoy8nBkHg>o|roW7NXN8 zRC=**$MXxjhy8AmS<3wAbGEQo zT^%F!;OuZI>{gCpCVmBpT9I9M-SgE$F!MK5`$AxDW|)`Pxk+)|>lP8ld)KG7L@xBw z3>`S^{Tl}+Qop+tdOKE@|9L`d9{d!``T|HBJxLV0lQ@g(&su&I?7TI#60^(lJSwmK znE>b3SnDs@@5kICJviSsBgi9mu6nub$rDTI!z5WJ9;`RtDam}@p>*#%NU-(JCCaGl z5Gu>rDiou7JtcGZi49Qh?%v2-{uUrs?^}2#Pf^}dQnRza%(h9&^cknAipIT6!W;jH z_$*}Lq6IS?MBwn>q7Cn+vi%VNWy8T&3qEo@L-m94RMHJD{*m9nM?`*^P-%xHW z$V(MlFlL5ZILe>K1n=Np8XvYTyCmTe_fl9PX&{+SR#8Vo{!%yxWUbhLPTdQsT)jsRJW&zCs+Gt;pqZW{_qEyXL$Ax`hmNK7%b#@jujS%hZYW1^cN2}4@Ct8 z@M(9kg+fDz8bdvjR+21kea*pespQVQse#;f@}PgxDE<(xucu3}$ZNDPD`t8}Z$saL z;*&pwi%JEd8;HeShEW~3`Z<>K_c1$E_zg@QSdEcxmHT4QYX(Z5hocvR8s<-;G?|EL z9Sw1T9UcjKU+%E1qP4TUl;&*^zZCVU(Y1KhJ;TtaaMda8${TeTGAj!%%!;BXUfQi{ z)xK=I)YSpQ5FNqJyem;)ZQUxLQ24B}Wv`kjBH=j~O-4Y7G(^HyT%TzEbPIA?-w7J5 zW*_CZi>SPytMBRm;|ySd2}u@YOoe&Hi>pm?6wkYytB>*;ew4v%!}yU17`=JEF(qSD z`ZQkgMO~7Cs3*~r)bGjxC`w|m=yEhWtqqo-u;=~{R72xSU zL5(4j1N(jDSZhh72u`C6kSFc3sWwguvd_UW0`71;M)`wgPPO+FdWon@@X~{s>%yj# zYO_!ZI}eV#IE@~)=zf>H&xh)DrG6)t2=eY?oAF4`XnwAkQ-+p>uFxJfjDB(Z<}ASI z^;l|YO*YWgQ!UPghB9UcIUx6Bl`S!U4=VBWz;r-p~C0q4zM%x;g0;f12qajw3to=2ji1$)MZqjir0I2 zTt+~>2FIE1XfW|d%He0G0DyYib*DhtJU{yN;RC;)&uAVt5%udhj(z*aR?}|DLUw9n_BLnl=t$w zA&IBgSD!pBmfWqQ(;_By(l@eTx={tkTtsxKywoLP359Q>B+4b6;y=#%ml-o| zqrM?7!OG~6PN|WCRsb7>dU_>_P<&`w0#`KXb_cIXHL%KZo9y*4qB%hx=B`&00vnC3 z_(#=zU}x^Sk<5eMIx%!Q14T=Ea9mdT3@@Ye@}sZaCwzhq(O1J$2lsGIJ~O;i9JDbN zpJCeB^tSoi(xvU>7UQAGR8blTlJ!b%dpiOdy-N9<>1Bt>rKiQ5GHnvESm9Ifpox>L zEbGnkoITE~^_@u%>(e^CHCpZVvVD49cOD-EP{)Y5g-+CwmEzZY7c!cyv&u?>fn6>OnQKtlsR{9tJ@1>of6QtxY!@ zzpQkla$hSUOesYGaOumA zaPAp4)IjBNb{TJQS`y<8;3Lp3Re3_xn=!WF2N;-%V3362DeUBj;wk?~#zUlB0m4Eb z1fhs{k25Zc^}alzjhI(SW+V3oBKEM2uCi`(DNu(U&SI`pJ>b=Ars$q}TI1DaS0Xv} zt`xnf3@08X!)=ZZnr_TsY$sk%@CQuZ^=E+P#RPn&+$aB$``o#wq2Zg+#-ls#df8+u1(O0_<$qI#}2u4kM$tcsCIt^pY z5+$F!ayF$I^)FzcFnYGI(e5~vAAdGr@@EMAM3~Bkuq+ow61GBr`_tqAL=OvBTqQOk zBXL^Yx_g~h{QV?_q#X&z!Iq5sXT+DP5H|`uuR70V27kDJmV-oCJe<#?kJaCgsCh}eXSdoq5_O}*^x4_>&FI)vQG@jRG zq2pZ~D}$9-U#X|?(59^!ZjySdOw2;=urTg5weFnD!@b%R)AHH4sF>WL)1{C&DCRgZ zH3Hm@>g>9fc_?Lqn=z}a(st?DN-5%CXk`(bE*{%QD5)LsS;mg452|7^7Te^L%w5Ck z7G@7Gx`r{VQMiBpQ(IzCtt_mW!T*eVcM*$0K6CEE1ZPRG%KS;EtkC#QjJIFO%Qj_^ zfz8ct?EPl5l0JQW)AD|mEsV$pb7lBSj)j_4uG;opB`vy!4sc)7srmLk34JyEE@nm0 z$Wz8~1+&;IIN_6k{har+bEd5to^iU|mqHRq19?$@s%XK2S1)m=*u1asRF)ityF!>b zivDyn#klK`UDid6eUpiokA|bO!|cdH>D+=bM^aI_p*e4q`K{fXoZn`+egi>-)G$2w z;b|V3*F3;J=aiZcf2qp(!z9T@)lqq(Ew7JuF;rI6_kqumP9!p0hi-ONAjQjYd`P3H<1};?a*0 zWCz*cjhGt@5yxp=-Rgq`;r(J}cY|jZFHPN($e?&S7-zI2?Z)NRiW?){?=>_Ihpi`& zuKJ2=va0PlvcIzDPm7y^r2SL*R3u|{mCAht)sDOmet1^Gbh9Sd6oCXNZ{Gs{H=Cyx zGj|R{uKvEv*2Pd&)BeW?f)a;zfy=4NICcBBPL0T@({GGDUeo@bbNDM)Hy806@{U{` z!_^5xh2p0Ps)w7q(%I`d+CTi{brnRa6x|@rAC&Su|vNoMbXAmLZjJ)$osEPjsNkK{90~D=$BoQ*EBLR zdj0+e8vXI1>HvWnIB?Kc&Ifx?cn;Fq6%7EuYCgRUjY`V+-(l+IX6~xWb*;wp18tD- zys_JHDG=_>Q-OQ4{~zuJK_y;*^z}XO$s27-83~>BhMbqQV%Ep@1s!5CmNccha{EWq zieRa3Ub4vgx@c@qmx(&rs+%==PI$ z{CKqi5LA629Zgq_uLGxH>k93H!d9uFcMJi?sQKgvXE7?o;t2(Mz$n7jRc~+(j#35{ z8>}4difgJj7z4UzWa{-qQ;z}usl4!@X$Qg5cwxRx*DV-F*unAa!5f0YbMBc_GZMU% z&=a_LZoF2wk;~)+F&CMtMX6iHETp%(iMVH`++DSWyUIK2Tnf*u#BdPHgUKkqx_5L0 zR|C~nxNsz@I` zX)>!p(sayyG0}v-JA1CR+-4*_6@QHM+YP|tDy6|&j~3OG0c&kqFrL*34mMkLGAnt{ z+Wn@q+DEu2m(tCN@`{4y*vGQP0lFrWFuUnB{;Bt*X&>xcp3CToNt`otzE3-4~b6pIc z2996=Jr%&Js-(Ty_`8=(aCdjb{rBCFehaJtT?Z{4==bs|mSIX~cIt;Rx~!tVREFyf zU9yfqa=IGX=vB=g|FVqb`MqYvu=3r_30?^uDFOn4uW3Q6*l#QuK1&!n1jGYuv>mPjQ1}1 zu9*FhX~>JeGzUN&_mOZIZ%8a;rRU`debAdDWWF)=g?4%;hKK~XA7L0xTh92r&)SY%Xu!`un-5IVNNGSQ8bdt~`qiMj@l;=k%KgwPf4Y%tUYi z?^o#_ZU>ey({JB)6CHx)krsLuEYitc9$i1?^~a-{0cQ7R4_RK%3&P#zAPBeF{CyiD z>pjp2{rSMeDkt@x*16CJMIE-?H)kT_fxzFXR+--}FeE57TE0tW}y1qhu+%n;(qbC+gI0?!SHx3)i}p6?Gi)hV9wU?bCwroXZ5r;PnXTijGt zU#=1>-N*jWS)I_1#KO~sKQ9G2*Si$4ekBeV&gSuB1jDiCXt&fQcmXyS~ zQQqOfc6e~_$=?`^8XR`V@JiuNx z^?m#0iLj;Vg6`MC)3< z&Rn8f)Zkl)O)Ttr=*)$kTKN>cVK;7TDxRrfyz5hI3YUp$lCVjJsa!{*mR!e!uKsAI zYI|pA$PwB>!jFwpKQ=k4?TOhMlK2I(-5@IN2@)uH(buqDGj%d5IBUl&Nt)m9%+01- zJR$pLvs=HHvD|m!W2eTFN!wcVh~;!=*1b5wGo60Jx6q{^dSYBAm^{&K$JhOWwCb5Q zt#O#y8UB@fQ}g*6XLzxdM@K)aAPpTRvBbW+Gw6rOXn|5MPJv>p1tX8fptKj9w*g0; zr;9yBOpV|I%6se;fD5EqpT_pMWb$>_u9YyY8um9#T%++W{qCtFWqN)o$y2xV?MfBB z$<)65#uZ72rC~0!`tmUehprrRi;m2P*E&*lRy1r9I*-`IVGqO#EMsy!!=8Ccs*Pp^ z;sH-9v9@;hKJl>8HQCXvaS9o*lQ7vQ;wK~HBa_UBAF5tcl1Hmavl1Q?bQ!^iCVD$? zMo?=7Ni{ux+meac8iJLS%VS`UK_nVK-f%k0#lgn%0_T>bJ{*OZf5cI*=U}qVMf%V6 zIWHr2>lW8N>5<#M*GDPGal9;eN^N6Ri*BGwzs4$vwdW&s&_|unS*MA;$ASZG&hRhX zGwjHq< zp0>YyDuAD*f59nCjD@DUbzNcN>AEXz<#Sis=cPwis-AzHr{n2=x{7tq9uzZ7FX=Q) zyT0aB<0_$-fX(1)G6{X28kAO?bt5;wize4ufIr|taevDo>s0)z)V&`fP-FyD?#9Ol zR5!xJV3|wtLxzW;x`5H33MoIiifEN}63=#Zw3_NQYWxt48&Y4~7`TM@ryr8Q#mL+R z&r@3(& Sa5@b{l%{$pzHNE}!t-x^7~;+YkcL&(7*pD?dW9#bddz0uDJ5-mj9H-j zYueWBClX+Ir8|5Hf{#R~OMA!QE z`FUsT%1X$_K(uqZzRIi{RUn^YGi_a`mD-+RlL>h|XD3Y!qbCj3G5F)ZXIWwNq&mw` zv@ANi$!u<(6FQv=C9!XYHt;Uv=M{8mtm{BSzEi940<+uQb?CiEn3! z?SUly5ubrKqX8P9!BXQl97=OuKBYL)F{L_TlTUUVDQ+9zHL=Jd!QlR!MX!PCo+EE+ z5#=7bQcxU@$v8)7=ig%nN^9p0b9_t6Hv^dcshz@%M8w6wn0OjSKf)~$=FX-&-wx$m z!57cx*qFQK*uj;;kpY`zEa4Y#*ugC1#=Vobh=)DU*Zj$>911DBU=UIi&R0)Bd{#8K zc^Xw!9DcMm2jqdFB!T4-)euhep#DMZww2~7zG75@g(Mri{t71)T_Ah9oaDem#oNc3 zyW+^|&fo?A6&8pizsXWy2*dbE=SSSXGhc-T{$p(R>tMi9Fd=%}^cqlN5a%c-bELz! z!{V&N%Uu1S?A=-~_fD_P*s_(tQ80faY=z9|kyWn&? z=zl9%ZRr{=kV^G@7croNKx$CDl4&;OH%K~#9U^yT>>ynnZkdMVw_GhKW)AxkMxivb zT!PzZk>@Yy08>}>u>H3uE+cru?-Wd-WM&(%pqMYg?mg7ZPU5|KliEiR!%ajCmuj*R zi%^AcM%a|_Yls-4+Z`K*wPcyDFhT`~dIF`Lyt_X8AD<9T24bKzz72~z4Eo_S@PGe@ z7>b~reJ1#A3Wh+@Acn0#^P3n3bHF-7Hb}NXZ#@w%_qw)wqjX>mI!%dLJ|kMPorO&> zC0SqziP+)q#}^C{n;U2#(g~#Yl@lB>hQ~$z_TkL3pP|#yJ09b;4Ra9I!fsvs=J!A2 zReBlx?!iH^30S=514#d*f-^fY+>rHJB1{%;#(GLRGTS|doJ~y*84#g=w(BiZxh6{SMVV({R)YxAKTx&%M0HZq@bn-qXJy^Ary&qHY4Iz!Dz; z88wlPNI12IFrv|N6#SKo@J<+Su)&WvknDuc(7ygYJpcYnUU<+7aD6*@cB5(I0hmh# z?cn++A5KAJDeu((X~exV)y3o*F-!&kRE}&~zK%NqT>=;g0arACo3*M+5mMXAPeXtdgPN!o0ha7{s4vx=p9{5P&1ym_r zUmEhXFGwSs=O!t3eY0^S9L_0-f-rJ(M=sD$m@gz97Z`y@#P8%DD6gzje)DI+a2&98 zY*!47FgwB`+L2b(6(w*azwG+LR@FTQM4kR5WR}VhY|-f&Q}_?L0wjTlQS3Y1mQe2j z0A9{CMh4IUcWx&L=K?YwOL%S;%ttub;N~Mxnx}~td`V}f@y}FbQv#PVw`trQULQo_ zeCKew!LI#!NDpV;{s#%kEudjf_l>7K5TJEcMx~#CjyKH%-l+O91^iE_vfV1!5qYdV z1|J)1qae86Gzo&>jl?DbPM!3HoK=eV6|(0X%v}ufwJWgFL)Qz$dcWkH!;dIR19=Tpga29qj9xtkao~oWFweoq5A#>t#NpJf zl>)k&?ku9~wIv}t2orK#@e$;k!%qZ91NEzeE~=f0w*kqc2$ybOjHQ_QU=4hRik+pf z2K;On1awcyJUDIk@8|+?PdJqaap5X3+@QI%P2(eo>z5IrJYX#cv%9bV@d@zO_rP%p zd4$>^{*?hn;u>Vt9i5_rh@>(>aMaFq;+vgY1pWo5^! z`}gC8gJJ}koLlbogH+4H9LXK|ngh>u{uWtb6A*Hd5c7WxL}KQG=vFs8bnHm%<}AQd z!m&4?wj1!&_BSPH-&-sMhv59^HYY$hAh>aI4fg~i=C)R=f|)_+W*f_OVR8QspSxtD zy!PP8rhj05LL{NbRtLeK4_yYgy4#R*AzTzmqLIyx@_=15t}}u!qV6Nu1u4kzpF;`j zJD~qq8)p=l=dh;3f;Hda>;??vgxqh3SzsgvGyr^zd(!w0za}|Y6FOBTiG=JpDD+17 zE^mB{IY0?%eXGfhECh-DFLrUkpD`E&Te(+!B@tnTI5?o3x9~`9&$J}o7-(Gsw>()k zAF|~fT{}J(`f-CX<$fIo+{O=i(}2MYdC(iU4>IH_W-p3QXB(KB5I`-M5f&F}aXL2Uh}s-SVZt2Ns~dKcyp2kNGWjZo|xi zaE2DX?E(yY4IsrG3HhzoJN~{l=-j78o0<}nWI-)3rq$f|*LX0S3P|MhN-WTzbySdv zazlkEp&^{W$t?&xrz1>`KcJy?J)rl91$4}g;Fd;{;(w!PB4S6V+E+GX6SR;1g5tHm zrU+{@U=e2Kbbo#Z7&QXhstWuOG>ryFSw0R%5d`AM^U+6wE;C|gpFkdeR}=e@CS8b= zPq6Pc%xB1i_vhsJf0qGl@dh|(&@xPJ{pP~|(j~kTT=rCUehk>Q7zm_(WghFumb~ZJh))G02oIbi1^77@&kSMhm_-{q=gIyTV$013}3Cwg& z+7ACKjeal^!0YKr{l?p`Hc)E%a&$lo@ zg%35QG=4q&un5DQX$bPNJ^-aZC;)Le3MnWJmM_S4XBG zr)NaR%f=zH;SaNrQ$v=jE#bfu5|)a9@u45lKUh55#8p~kKhH|Yet>g<- zoVOvS;0R1a>$P_w@QZXfMBoFc2N*H6q_P`)nj_$Z_1Fap$lJMlk}{(mC< z|NBTErGcB%xN(w|b)>6hAL23)J9xn~aYrgh&cNv!-8!~Xd?4Ek4oQbf!#8i)AO)+~ zy1s%VQRaY@dX>9TxVMM%LrO5571%mu6NQvN9+F(}pmmHR`0yarQIw`Bl8MAW?#ejS z>xz73GNdB@EglEVnl^YCZ`R<_Ftw%j@M$A_lL4%(L8SW}{+q<$o9ySU@!u2yi`xw6 z&{n<{8H^v?ZGhYmx3xF${3@jN|2$a@_PWKz*#k%*NJ`-CnmWC$44WZC(sop~Pp=~`G2(qEMA^1?t z4m!ejM9cxd;({mc?Q=-7+>|t;2|ieZK#vjfqe!FBVy{gHYxX$|#qduFGMu1cx zl93PVW`kjBK1EOqB&h!ZasOw4xL+CXx0AY2vf#~s(W$6X;QSaiw)x4ppnAYiO3I80 z4ToR(kXbev41j;TN+=kHC{oSYTYv3iyAZ+W+TJ4bD_>3t43>NkicTx}b(u2j`=dJ&3+wmTzHK zA1|&3`1iRku+aa--g}30-M|0CC8bD`P!u90L?I(HBa*$cwaCuiBuNMD>bkDa_5I$T|Xxe$%~kYELC22Nef8Va6DzIBQmMl{K|L&YI6MAuFHy6-=ofY6?J zwdlQHn7oNh_M>Vd@22=Oa0wa>^(?4{G0Hpdhe&mZHb=?dg7RTSDU}y+w&H$pga3E~ zNq`Qc1LUWB13spp;X0KZ9m>!dl*M#T;5By`1ZmvP$1ncLvGGDR%qe;ixsrGASXkww z&2Jqq+k<_Y$<0eNELexNo-=*lACGyboq|G%F8|0_=q zb9}4<;;*CWhcO@9f)Z_1~GEl4Ays$6}|0tdW8DFcV!aqv0=c^Dh#STjb zK3fG4QXt$L(Hc9uC0T>j93vK=RQYDjY#7EN75Nu-__biZ*prF%kEM zhma9cKnj4{NQDe(rZa}s6s5_gwpb?l|B9@S4DNr5hajb`<82a41qbq3LP!DRO{>7b z_vs#DKB&H}>;3F8xO-^l_BRz%Qyaqj#LAYzrDE8_CM<}L-{ybTz7xhHri8h~(~yz1KXIrb=UMJMmd z2mvPxkx?X%7@L=y3=TXM)|{1RnxvG;n_y|L4x+5~zj0MwDQ=J-ogz6+}Gt$V|?DS@k;Xs1eO!b^k|A><*N2)!XPR$+=0a;96E_ zrT_f)p6bBI98rG=*LU0zArrXuAmEI)rTN!Gx&0_c03&#P&j9}V8UH_)VfM5DQ4)Rt zOs+2@phi?B2!Af4u=;{Pie7NM&|0}iUo8B5ay3G=pi4UMx^ui8m%PWB|^fk5p$qysfar|_La!K z4E%>PQ<(vP44BYSw06ba$MqXvCMzN%Jckc&Uk3N_=V0qT2To-zb_)&L33l+mKbV81bHW)3+v@$)7EXE4Eupq2!!* zHu&|#sXQ(wn83qsYbeH6HRGkk8B{IgK=7@@EzXCnDu3&Vn?rkifN9_)b1+lh9)tV> z^xgcS;v#{$6v@3;cVv+C@8NbGURwBov?Gw)%?rbYrW`Jy)@~Cgtm0+6zXR=C{VUxbSW%v9u5r z`dXgAuIJpG%9;9F9i24anqtc&%C)@|*1o)lioFmOiSURA6(I%{;Vd+i+>X(BI}oI&i{FsQYcM!{T@>8+F06NVXSFhdc>A|~Tgp@JC;D@P_j>2u zbNb(443=WheMqn8vdCGWrfUcU8p6DRDfF8!yp}f@-bo+)&7VFP zdm?LBtvBE-=M)_X(_4GAP%bFLZYvuHucEWI>*;i~ZkqZ~*5iDOg>QYtJM~0cnP285!0hB*vAaf(^Up$aRYQZZ%0Bf9H~Y(>WVv0HSl|3R;ow3wU0+1w8Op%(Ddy$W zgJZTcV}m(E?yeS#qth!LG6}Nf%*E@qYfCX)SMgP?=ZVnpf=xR$=jT1_*ohYXDwkS) zB~D!|nzfmA%`RF_YF>e@K6Iv7fU!uRcg(~x>627U`{!uU>oIx{^LlKCTc$U<_RIE1 zh|LQsMQpV-VtT-#1EVWGKZbeJ9}#i3 zKi}-r4>9}ttW9WkR!+YgPtlD%u23vO4>~+z-}F+7XMy{Dv1-;o0ZpZTi3|AZ?)>bV zFd?N0E9GKn0*H~xrqK`dZ(r%7F@~lttjOf4;o=M2)eZU(Agkj}+?!36oNp$zH5NoT z7~j=aiL8RLbx+Aq=B1P64{_@4c0CC7NRMo{NxSm=MtdJ5!x3-v&|oppn`Z)mg4CZOuGwyXI= z)B1Ls860PCbW`RcYji()1H#xg{SntS%X5=5mbBPQDyFHHBP7CwGe$M)AAP6CQA8kV z2oUexBm#=n>f_Hd@m1Ty`EkZlMFF;yP`y2L<%d5UtTVY{8}tIL#$}8{>l+MA#t<=Z zS?(Gs7Xxw@&hj@&xTIuO-D2uV^RudH(-)wQxLg+~bLe}@k9o^`$3WdO%tYlc|H?7I(tKf(1e)G>+ zveF*(*<{AD5v6md5Z4HKaPLd@5%@L4d7N*myS1XfyV7#(TacZ|qeo?k?_6tD-EQ?` z*6(eIg5lB%TsbA|;uY;;yml3<>06W5a}LBGRCkwEJ<`(B!d8E^yTUZHa8)sIIIv0x zM$s&9z$hALYtUp3-AK#0abX>2j8xR;BdtF8;Xs_*h&ZRDdUET!#b6+-eR9f(O@?hh z9XoO+@WWnHl}=0-hPqohGB2xQvv7RA=GoW-H#&d)b zWH{8h)@`DVY$|XQQQYM49*f6|O{kX%X|{wGn7mfZ!5G@LgZcS|rrcUR zB5YvjML8SZiKtu}-=4Fb&%`QIg=y;UG|Ou4v8B0}C?YEs8J{f=UhN4+{ldPtzr^)c z-VK%YpK>#XH7}}t%F#II>{%9T7^n%am@l`=iD6O|OvEQdPn&gW#*~-it6r(RV)RH? z38pLsw4ZBp9&Xisl@=;{#&-jl%i39m%byc79!`0s$cNmP~%C)2M<7k^2#eTy5WN7$= z?yr{qJY*8X2&QfAY-;1zVu%x+bw8iD+Wegiow0Qc+hg1WUUi3bb&;=0XG4G8m%62B zr{a|s{=GE{52mpGg-SveT}xe0BE zrptPdVuqkZf8J!WYkD0Ub#mcKr)Fho(IQ18Zj9ZOv#L8%@X{+c(Yz z4`7Lt@oTN*L-*gV1aTXB9P+mxx~&9Sn=uwA7xW0sQ1R7k!v0W0>z zQXXTQC3Ub(H5M|zMo!;vP6UmuF8~85>Tzpz^^;tZb_#fORquD%Bqz~h98u_u6sabYZEF!+ z8xiJ{ihX(2!G(4!$!3Mr6_p9Z?z<%?u*wMg)+!Ka6g_P<`bDFnl5-{PF+g?NQ=o&T zTF5(Ju9L&U!tARs4P+y%SU8R7U=4n&X-Gg^6VmI1m?9U-&N1yj@>rn&0)2H88XhJU zJ12C<;4L5OMX_0%-H*IY4@9;BrKpvNVT4KDGk^OlfP@mWg)3?Nac6fsc!$b80%FY~ zIOSkPg;=Z)k+#vR9%Qo^vPp$_tGW<|ooZ>%7(eT}yV;HTMCL*jf{_b0<<`d`kqTT2 zJ1M4mmu_d!QFyS7+-Rcfd5JgXjVtF92P&m<=?!~00tRb$&E>3T@|Z9&jGyI8Z**ho z0{OEaE`MIk8IKMad_iX=uVm6H(~NFC$`EonWNVmmXzn{!O43|4T`{}L!YALdiPi+- z&SyV9ksJIV_btN-o_njX!W5c{C+iBIMM4Ep-DVp0BWfs4{EQp+1ql|XN^dCXd&`1r zM8d)bHY7Zo5(@~y4RT0j2DP&30|Vyll9UrA2Lo=~bc|{mvrNc1nDlM%0Ir*uy#B~} z4wFD==TP?d;~=wL{eo7mA6&#T`oW_${m1zP0&x~p1&5SK`W!u&oLnrF8-!^hCE{py}Qa3?9bkF6hyQD1_ukr5w%#a$iOv-uzWL7-&@25#{G$yA(0s*ukhG!7H{YRBxztg> zH0ZEvt6H!aGV5#-u-&4Auro|Q_G2BUfZhC;AGJKuEDk0VesuF ze%U8-VJ(g-7&R!^-JbOb&@ZykA{oF5Su1;7U~Vuq6W;Y5%dpR8wY)1LB3LQlO36@ zl1M;qwR5Ce$=$e_bWr>IHyLXoGR_QER-`RQWV|is_cSCSrOD-gm9gpg2<5F0$Qmj? z22R=OQrsk11i=51iT3kge;zW;U;-Y#vj>LZd?-!u8f&T(`b?Z*Y<8v7$k1kK(NnPO}uk zn}=~^nDQ0G*h&nQxi^oCtk*NXufE&Zo|)a5;Y2%`C6k6sZFV7ykOfi|octV^$5x%3 z>}6;Rivwm79_7}z%4q(xq!M}tEY##DC>>3Hp5)Km5bNx`lshAwa&l4L`;KhilK0I! z?>ZcXsb|KGZ0j?_Ik!F*8v`bcwhR}jr+$;o(D~^w$!Sm4j;wf3k8;yhiY}sNePdZ`PQ+r;nfC6)V^U#g0xm76_++(!_iasGq!%= z)Xds5W_-zqyTQI^+vT>g2B|r%{auj zYZW!LY$}O(%N~WpBb@g86Bt{BSw3^%T#D*fMirBVod`PFMOg6Ic9wl`Ar32fa|fKU z2A8dNxApEQHudz%WZY_QSC0h8;nayx5O8vhT?P{aMnkS+G_H+1867Y)6?;s=yJZ1=Tcc}pPF(D|5gP16 zmkk>E@ynZ{geN&lPA3qGv+R?=P+5dcDIz{n9(pewr%`eduiaSmOKF2$!TS$DIXIs` zbR4=T)AU^hav55wZBD=+CW=W1A?-*Lpt=C0ltPGxJHL{7+rWHNh!RWZeau2#?kBtr zyn3I%_vd*}W|zCt<(2IaXAD)~-* zKV#($&RwB+()L~q2HEbCL*_h2NI~t#(rcV9fg9|67Ai+~5i6l4#=BF;Iu^Lr;KX@x zajZVYV71YQ=sEX*Ka=*;p$a!F-8FkGYm(m0-c`|iB@Z|kJk1+jRT!s3+&nxgOC2$u z=_Ic9ZI0{>2@k{66;YBR2xY5)LzyH7&;Z9)dw^7kQ%Y07KMuZ!NE^LI@*~zQ6pOmb z^`Yofo}BRIk239xXfJ_`SYCYt?=eeZ*W7Ky)11?Vsf9A4rwK}@+I4^3VP%>f{yN!y zP9jRqa6DZUo8+%8h~Kl-=L;^+C#iD5%Ovfr*Z2u+VZ{F3H?;0s*#J1Xw$@8A^xSH@ zPSIoxd5pD~qa7oYoZzG8y}R7FN}a zne}bYm{oT5?W}0-t{o6a_s0FN?sdU#06V>^pSk!MwhB!bnsvKt#n%GoVS*VNlw3VyDa41YF$}zhdJCoa=lp zeE%u>i{B(n6@Kfgt5VONovWW^?JeFc>Z>;~nO3P?-MOmL*A=wRSvsqQ#$DK^Q zp1iWB(V{`|!5mhdX;pV*c^vL1G=CpF&wcE8`^i|T2fpx5kj7jCnROJEL{-Tc_pRiW zr@>@>jhkD;6Op94A z8jDj6$Np~|L)pa%EvoK0{M%`ge*EJE7X^HOq5Zr0q|-7H1FZu;qIze7QqvDx;a~Xbi$r1jkoVUyA<@F7#$FqQ8-sadvGni~zRM zH!4pJ$}rwA#_m(oO0gwLZ7-CX|&=7xi)$*0XFn+%ENlbKQ zQEtES!e2Qv>ALG|%Z+qPQk<$kwJgmnZr4X!k)1n^`V>Eu=gHK&A_98{9?uvC4v`JZ zhTHKn9aeVoF+5L(*F{HhRkpd+RCqi^{pTD5i*pvy=|BWdeV4Hho*W+E8PAW^C_2w< zR^_RGl(26+&}q)WoYC`|;+JrU@yXzS6yb-8UbS$ElX{?6fvb+9g2>=*wZ4Iu+~Spv zTh0OpC&M|;S)vurcNWnNkctypv`%6$`S8+SRlE1ra_MvF4u2=i-75h%$FuA zwdwqCX-*zj#b^j^Xdid8Ho9`wrF*IZk7?;~eoYl!Mn5{iMTL)V4So7s9eC<|ID7LH zDaE$#pL8@O>`G;$8|yg5)wXwi$RDWkB-ohf)mm3BxfxlwU4X;8-DpS7@eLa}eDcxZ zllKmvhBGq49x(e!@Iaa9vHMRXQamg>Fb!@CFaok-E>MGgktJ_R^f6W6URE}uB*jLo zFfF;hN$vg-Cxse&;|VbL&{_S*Byr`Hr-pK>e`)gKNRQWJl!9!J%Le}W*6XW7M5`K1seCOWMU z-{tDLg>NJp!VdV>3Agq2vI#rhv|4b~fsuLRfMsRXPMQsS~=E#MLfLFS7?sf4cF%9X%g8`Y6Bga}<@7y`yKhFY06ohwpg^ z(0M+1Wwi5!+lTjl3`J${@bVKTh9)8tk14;p(zrzPgz^8l@q$W4PbpisUF9mPX_DzQ z)z`hpXRXJZOG8DqrUO&$i(&bSX-I$LPD*kmakxhE8t-#_`HLbB_gz0p{FE%PRU39H zxZhf=pJdyu{E|7NI$_Z=Sb6lB<h>QAiz<61e&mx!jn4CL^?W?hPjC+mi?<&*+ zmEuTIT_63Fu?`(yzH5iqe0XN=JBXKveq-?@&aF*1B}~aAj~{1#eOqcSBS=1U|;{#Sw9vd}M-bagSQ?PQzs<`=MW4R^e_ zAN}C)i&3bL;>XT2&9(;)r6s+hI#)oaQy;=hmY$D;!F<7Q^gOc`W-AoLW?i@aacnOC zI?9p9JN%$e*TDSmjBvM^xw=G(%nGv-3$jv2)>21pg$O$db3Kl?dShG`5_zgN$}&cf zipujE8cQb@B9Z}pHLhXSty|7}mh_K5PJe;})~4G?2A#F}H72--LGvM!C(Kc3z2{Rw zZ;qavttWxIazb~=YA5FO!bCx^hVQx`(eXaSi>y+)>o{CFduR2b*ykcb+r)Fpzk3{R zG2URT&nEOlq_P-H`oeuj>E0qn56Mzlplww;WvKl11BXY_&uj0&wevWL&7=vGX|=0| zW3@Ztn*-W|%x4hf$}9NyuHFDIR8;re!=TP?m#W{WTjHQ3S2|76@@$O;&g589X%OuWYAL;D}Ev-Xn#@YnShOv-GK;Y>!XJ6 znJ+|tbFFun?-d)os4b2zT0e;=&=lHG8o8w>WXno#SeelGvb*W3>9hPCw&LLq{`=8~ z5djrc#bQw%m@pAxADWK({^$U`rW}JIFHcX;ivd8(#=$?4k1FID$0=lL$xIOZ)O!24 zm#O^33k?nJwYh;!w|mbjK72e$w`Zz$r^RFL){~iN8Bvo);LHhce}Z?WuCEFQN90%M zqW_0>4N}3Y%aj=CmwSTx9xgfwPxjrZyzR`M=Vts(Fm7UiqS5}tyf6su)^ZQ`&T;kT ze+VA_%KiG}OYxV%TO-VOrClw(Yv@wxKS3~}7%>xG;2p}l$~7y~W7V#;+Dn?BrmPf1 zyf8&dEJfCD1(0Tgv)ohnqXW;V3JzUuOKnUmeQ`;tAjBg-uRhuzbZ8E3v%ew)uoBY= zyUJVF$?I=jxWjaK_2(bk2i-H9fO2x%(s=VK1IzB#BJXapY?6XdS{Tn0Nxv_sNc`|R zW}>xdEERJ%oAeIb@!}S`I4R!{yW(Niy!^m~|G0mWV2DVyOg5^0v^PJ=)_un7p&XgL zekcI&=LcrM^qTjMFOs>9$&|@%qk7sjFQ{NE z6(7=ccc+|fAMgkk9D`Ry6x?Rm zcX0h?$04>|UNNyTs}#^2m1zWkc`SCmQDsJ^F>3Tl=3u zj}6}N55xq7f)9Z4rBBpUaZ6i6R%Xo`oGY2zV}*Io72JC}mW1DEJY9Q^K~q$>W#h{x zLmewLz4V-}@nun=qhykV_L2>eh{eMb5j~R5qsY$7BRDb|Yd9NtmQ%=|< z(!~qxkG0tCi4(22_U@Oz)Qf@A${=ad6UW5ZU+*-LdQ(%DcKv&;1)Ig-sf4!Y)lXS2 zJr|~UjCN?v{UY|XidnH5^}z}`uLf)he>a3VP>A?P5-@39w|>TW;}Oek97H1aI1mv%-2P|v;seI)t-p3}Kj4+E@ zPuabnnv%b4@}whF3Lcy*GjA~~{GvXQ-&;eNu5Y#V&nK?P}g9DHHldMm9YL0fJwN5;7=z~rd za7##d3V0ADJ^B7_T0;UNhDZpf@^ojWa1LO19MKw7#A%nIq!cW;_}|ryC-Y#xGpMbb z1NJVD7b_LOiap{EPSdPABB)i^Wa8y&mC1n=)F>c<1I<0O{;wxvXZ?Gw7A4N^Yy~l9 zx(+i^886CgpB|6bh;qQ$ePOGo$KYus)h80Ew^g~KWn;F!FcSYbEnJjyeRwVR0mJZN zFF*u6>Hg&%#|x1TU~8 z*2#CeVLHY7bIIjPovtW7Vu{f)w-O>gpi{Nc*wqAdF`0kMZcD6;c7)rD)=9-fox%y_ z3A14}FyRLXGc?K<0MjVrwZh5Hx z_0A4LomEhbYdhl(0|OZ`;~Q$o-;U#jAs6upHL$PGgLTxe!T!RSnPm#oO@PCu5fH?U zNPlu1pJ$9xx@s!)S<9wEGSU=mYXR~Um;2tS4P6wFiO?u8=4ZR_h-p_*0s2y%0 z|5`<`o!_ZIgIMGm^ei+Jh?IAfk!zsCcrGi{>}z&6YY<_@PP35bDU6Um58 zY>Q}Q=Zfm)q3!WMN7s$m9!!$M9azjM+n5d5G;K=N-VI5Nl}h^9qZlGh9jLQlxH0)yPn z%dD5-C{*;DE?lwI^G=V`Ruh>GnVt^ZrEYuLOsd0vDFb@h8nQnZfl+S8#!JVA2L@h| zNm1#c;A}&n!+zwN3n+o|BX4btY_d{ri1XsKI-q{@-x^Ui_w?A00ds)GUxB#jLAq4U zW{RsOEfSsiX8JGZT3T8f?63l{b{Mrn3zZC^;82Q6hj7}gOqZ?)<}}APtXHnrc)HQSP1Qe)LZ{kbt=>N6IGHt; zFrTwLfd7b3?WB8BP;#q{N7mC_etyaFu!KzJpV=9SzxdwZ@i>&Ce!D}1!if#uO6
tP`;RUg>t>OJ7irR?1lWiCi-%5RW|94j*j_W&Y?f4c9~FUY^)%IMxC?=5y!(1kJHsf zMEvM^^dqWoO<}xfZddQMbqYAbea<}Ji{iO-0ExD*yj8Rp zF`0O!Ju>!3{||mr%LYi9zO@!#bZ<;uvuBsUJsmT4JoWy-Hkz_yqJP(*$-xF1s$uk- zThj4Zqx!MVjb;_?wrAD%0dwtP98++14Rr-MSvSv}`qJpJA*_q3DeQ2cfi}GRV!ztQ zfDLt{u2=NGw^#eHZ0?OyrxZv|geOSHk;cq_SWLQ9@Fz=|!u^%0?K@MjD9W+&>lr!T zxOKu8qns{HLFIhYX+P$Hni0kVLjvMt`Sj?P`A;38ugczI8}0}R2{~Kn?{P0{LTb&? zB5V_}5gBIsKbXaXqBG$_CBTQ8xS@le>p?Ci@cmy5Z2}Cf>R$|v5J{94h66VAW-Q0! zgvoTS_>pE#&}Qa^ZB@KQlhiYRU>O$Twd!!$@WxI4iS2mlbRN|9^BY!RpDTS>S*>P$ z=0lj6m+$aFHyj-<9Rq_DA+w&er6sGI(6okz<9{f@>(?=;6rzE#oHiR?d81mb@#8C9 z`dBu-RqIopn0q#M-%(n#{svf^FKMYR__w^E)Ih52X?N6y9Np8 zeSLzuFAOm)CXAX*d?(P(NmsgGHL1Gh#FxYC{K0#y69w8p3I)ebp#ivg*)&HR>jxrg5sPZNOli@OvwKE|A9?G|g9yRfR!|HG>u zJ5GFNcx)_z$Kh0Go{9FC{F{2xzq0jJS$F$t0Rw!WjENSsde9t7UvLpy4`GO=&-&Gh zfr3+ppFbTBS)7Ca`kfU>Ytso!eV7;W>vj~TEd0m*V3-~zMp3)8`$a&WiT)dto3mZP2N9pbOe~(5^~rt*uOVwI(St(>_jB zD-Q8(Z%JjDi}F>G1S5KQbv2_|w5#2`QB(FeW0&cUXf@)-PJbFVW02YegT;UJu+?ncHU{6zZ!QBt){dV?ET5s#{edi94 z^KsNi2y_$8 zP(?+T-__?%i7wK%8|<+SrcuffcR<5xLnF36k4MeGz_9ef52DkPXJ-!LAz;vbV*SvT zxIdy&Jn{=w)GuV3@(CJ!o84m?0C;XpVb8URNZlxT_wK27*2v_q`N>+mN75v~>-=`h z=$d1ne|Ir~kHimBm$T}<)!j^J4mEnGv-_&(R9-xSpR*o%Ri1=|&JN}CI=jVKklB5= zGgvw(Qo&8iW<61j5f8ese*8tNR&ajh8pTw6xJ)*N$v3v^WF?cNAVV2!9)43M{vtXp z4NVL1g1l(o$t;$qIvAGB>fT`z`dG=+V4`!a4}TV4{N9=%=XsIJ|Pu0`-Ky7B|fm@5Y2W7*EVuOXCm zzL8j0*%-qs-nu_kS6BZne5bj&dHTVNgWv*$+eY#OoXW3<{?7h7I{QKuSy;4#XF;HV zV|K4)!VL7!M?yx%6DI#o=jDwob;Tn0tHr%K-w@Ar%CT24AG01uUoh<<>KiI3F{q6e z)+ig%S53VWTp?V4k#sW}u11mk=Mc)3vtPOFovnju6tier{9X-|I!f@c^y#o^wZz6I zkC6VslUAsv)16VdMq}^%VP9tEO+AbRh0J(1KjB`K1rzvAST?v71`6dPNW z_{T{|Ae+C2g zuHNQa$v2YCX217iKf3gblVBE1(G`!@g&_2y_syR_en^D7@=4Hr#5mN3S zZz&u6^rG4rfwd@C(2jm;Xp@^t1`+Oycv2Dql!3i?9uP+z1; zCmyOZ%o6hlu@PjdDf2==vHnLu`Cq&h<*iSqI`mz0FFXWA>TbI-xhbTJTh7R)|Mtg> z>P_)4zmORMczRR+Js+2tip{bNCfLXX*od0Cdh}hr?%1Uz<4qp<10^5=E1Vn{PBT8# zP!eYp5B7!kdim49))^Dvu8cQ7IC1K%;4h5^z*;^;gaj@KMI*=K4)BWktN!=JGD6A3 z3;#^`FKi1Gb=-_Z+?DA4z?i-0u`vkIV=^h5(QsH0nF|_qyVqyPX1Vm+uky*U!|y+K z{NBkxeU8ngX0gnt<>)qR15O*zK$Zzs(_lY0=)0Ko(v6V04g0~BFexz(8M}?oRBJwxy;$mmrv4|`L{Ns-JLjX8${f|n z)TKXf6g|TeZm|U;nl8R}VVNC<66F|mex>>m;NVRn=~i~Pq3gC^;`zh4@F%T3{rdHy z1?kx0eZ_1&H_dFBcdq3d@S{sT4~#hz{}p)usS=@vNG20Gv>T4`7fs{1xJBNxine7 z?^z00cdz4q(aq`GY~nH4AuZ_1k3BOZR}4w1uAT>BH@PM6)9gZAi2NE*n-DN;56L z8pJ<dfE^MQ}%v*>U|nj3OxO{8mlk)qiP>J;G3BjYSn2k<2HW_eMvdO zCUyo1wZ`QO?D7TXX)}3~w`f(1*pmrODz0!?8Y@*kr$3b35s*#g4F#gxpVP*&8*hm5 zrcPf`A!Hi3*?a2Ogd+@&`BeH1Bs&Hxf!4q%x z)woQkcxM;=hxU?y4YNfyZw5m-Aekm%IwV0b(0=r|Amj`V#aqtMy1lIa=qURSQ$l3F zwPnrpvVj}X(5`TZp3HsL=}4m53dR?00Is1zgO~RuLpbl8X67Jxmj6R z4K{tyHyKL)f-U#e^|a3(T#ppO!NtR?Fc|{K4*r3Pnp(KlpUG8I2SjV#*y3Fy;7;QL zrFa#d578jzw*OYlXLOM&g6>);e&ly|#KU$L@mMa9rvHxr(nPE8S5CneA1k=Aa8*3rCKxV*YK4%d9=6zP05E3@5?>1VGb)WxXj)*_QRE~C>FT-^1|C;>A6Qd z(+}k6GC^Rxe^fbuc!-hJG9M!l z_&)VN!1wo$`#{X(*3w9H#1eapg^B?93uG2ZVnJ_w`UW<@*C<4tp;p*w%W?o;4`Vc9 zU=kQhzZ-dh>v_Mi@d}^K4Lv zAB|Vi&VL#C$ks&F6D4K!Fivy+^5ScW*jb9VOvw%cQc%IY*@Qt?aDL5b zYB&^+8fde-Kc&uJzVx4dmK%JVs_i=e@?^W5o}S*4gO^cMbL<>ajt}K=AcdoWpYZx` z{X{L{x;ohdymB-yvPJZ#jA#y1^?5%QhhbdPx0z+m0gF@c_q#vjDM#2MJ3%(TKIzzJmKcz_e`7LzE608XI!2Trg@QsMw( z0=a)rJ@2jJX-*TW6LLbmf4#5fsYt{QE1$x0f&9|0GO4mBnN(`u5EFSO}Jlyd^0i4qE_lr7ab1gx$7I3iz^DIvwKgk?2&b8K_=T9DK8H@$0IV^IojHG|*z zN49Tpn7U_d#=P<@-r1rv6N)irc6HN`<|$g5t&B1Y_Cd!OWHtPeCP^(woAOz-m?!>x z@w-=0iwwvz|DdothwULi6bVsL z_jtJ!JuU+3{RHAKF~i>PBtZKZDDcLnrqYk5JwnS_8+7GWb#F+NPdB84 ztU`xveu%>>>eLa73wl9YNc$8LaxTz8B@#!!=Ip)`SyP5oPW~N&AdJtgSC2F$ssIKH zC&>7iyp?ZZZQ+UWH4;lBz{8|gi7GwL!kV3Qby%ogg>EGAedWv1XD#>SClOzAYT+^4 zp#k@Ym#obXwWg>RM<$hMN}GSjWPS-r^9$hjK&9Crl@tJ1qI8ng1(L|BqW>X@oGS;) zEa}3C!SQpaIzmeNxWq>5Ls9_p{N~zS7i+@r1r7@s789Wm-!uDZOR7YF4MTl~fqdc_ zL{cS{D9}+fKR@%!zZNCpfkzv3%YQB^LyD1r*4V})KR*l#07~THe%ZGON?fZ(=k-nk z+AU4`9CA?q;~qS@c1(NF?aM(oZZVvesXCQ*+0T#QA|CETkHBl&1>bd=MO)-gMB=sk zRr=!Pu3&2F5exCF4@sv`_T-e%+*Oe{nIF~BPF~FVWPW2Zh*WvrOL`#G&y3H8S-tcv zmU!o4ndT!FvzhJ&-zduT+fU}zHf_ z@S7ravt{^7uXu8V*H{nEu!i*haEj1$?el|>V?nB&Y9rOB%r2_H`2$2Nt#xwzt5Z}3 zIbqBt%&&UROWnFny&M7qVWG0j4>edYF+VTe?53{G71|h_zAo~(g7Lw&{KS@@mp@)J zTkLE_nXi|t-7dSqME>GvZS#9HUxc#J6*+JJ07yI8Vb2KCYv)1s3WU{gS8$SNr4 z5UZSHru!F)e0Pr`j{}OFOUGx&G*~*LU1umP_F|ljeq1Z2q(WYYiPd7%3y<9mvFk`3 zu;Qd-W}c@KuHqCmZ=^?vhwcY+WgBa>@$kmmZUBubiXxS!*u?|_m4)lMcCCL`H)%fd zyJ-5aa(tiu8qfou#+|IJJ20Si2h6uu2NFHl@&2`N|gGEM(%@Fc2O# z3TzO{qo-b&3@;pv$ZgshXjBREo4l2y$d+c*@=U7F&Ht7rK3n}~VeQf16A3A8&`AI3 zE*;LFVD*>O?YzFd!#OrVn~kN$6S!98RxefE52Uxy<}w)#(vz!ui>VIMdlK*rnlIkV zgA8utY-k??>5VkYA<}!~^jMxQ`8=uk+7G%Qm?lvZ1>eYM}(qQUD zf`R0i=!zLH!@&1i9Q$yfq94ue%U$s)Z7-)Zq!T~@Dcm5iVJ^8%0ri}f>P(?;DZb@4}7RFATU&a@d9ZZZi#a%`*|*0 z^eP#NFD24&*c2NP{L;LO*Q8-n3~3%lnl9pofP554*Ql2T5~XGF)dBbS7sXjqeQi{w z&bso##%yJ|>D>$UW6thcza%Hvs2bC^^tV)PKT>3Qqc4yKr1w%at&>G6JP1(EW>!^L z$;p^H12CYSMFRIuq#~ZMcl;OCMaApOJ@0>fvgMJl$BB^`2xK+7Z_)<~fUcV;Z*MF& zLzV3l8|CMJa|wKu9|HmsfC{FfqbuD|H*R)JHYC7NF{)*P=A>V?kg_@fz`C6LUxL{p z_Dj#ROv2eI0K{TluYtDZI%Xd>w2#nrIDDMZXTM_e*OWI6yNwWPC$}kwvVNAb6|s}xrs&R@WlN$_lW_Rh;dFkJlx>&(KBra?5)fLSl1 zrVcYmeLa=O_)=_mEoV&QQcJcv(@zP~Cg1khrTw&_SN2?}n526#GBs6vcde2O$ki&S zXw+0yi331~I=Z(p7R3R>Uj?wkA<$+8Ddp_>3qHCADD!eGHSjiKo&V;IOD%hriQ>wg z=kF)lQ&dNxdy0`a^S`7XyCEBnC?neMTABAq!zw#ngbOGOO;TIP;r@eoXt}b41rO}6 z|4q4N?R{t!wV-=2?Hta^2BZ#?y;ieKNFn5s$wX>m*s;GmjBm3cl%huWV}!z*6H_zf z6cqfwe*Ma5KBwlra@_Zo(fnbUOI3t6y3QbCS*N`NLzgTRB3}H+UhYxuiqce$nk~NL z@ZL;%nJh9mJ@Thhh1KvvrPaby%}xAKqhL#K<2vrewI)(uu}^p&48%hX5s}3^&Fnkb zf)n}JpUJmTn#Y`xE+7>Df$vV*px9?rHFkHlmrG7y-<^5177q))_F%0Yl02B%c3eFK zv>#8~cXum6kyFgV!ovBIZJ!?$#uJkB9zw@9bv8g_qz3X|Cv4G3!iHx9oMuEe#!v4n zXBW7mX|=?E7hE2{atazqlicsku(0;2{rpd2Z;LY3eEw;WNt^tc9=~S4SHo4Fi0YFC zJAtlW{=2UCmyG@BEwtiAJ6`$WazuD|xFlUMtnFZg5Q$KL-E&8|8~b(0-8j5xd|dXW z9)*D23qz9|$<2|k>O`7+WYjOsyTms?n%H{05P&oD>jsB`{rW9P=JG^+BqeK7sHhjd zs5DiaF8UC^Y8|KU@O~8f@UeZVzj<!AB(si+r<_7}dcKpESqh$RUBK)0_yfO3gp;Fpt!5p&L!TTd?;%F!_e z1qC_!KQtfxf;_bWpPblY*c00WX(GAvUuGWRHe`J|#*0u9^jAE|^a(IYRY4RCD}KH= z_?~QqiJBdXxh*ig2Hgg&Cw|djA3nm7;32C_M0WbjoEL589Xs&|(4bz;GZ-BqgO1!$ zKCexbmbjjJo*Z1@20Ah{rG68B=(Ap|m2?sk6KjX&trVoQqMPxLqLUzs{_*-j9CG+=k}0* zrpTq5?>J&jWg9UUF9uoo|0lov`ofwmb~V=SE@ODm`2ha?41 z>k386D+(1Ibcf#Md;m9qeMZ}@wO^gE}?pYIG?0R}H{01F6PTnJ zlL6Y|!Ygd0;{n1_2L2BJt2^ms{HHtN;`Bp06JYrOt1E|FK?bAynN1 z+k48uf)vYU*xiRFJL6Y`{1SY?%@G1U$#>#^hgR%;H3|Ihok@36R6laAZdHRQ($M@! z$GOjObzbCJ_PQE%yZiL;A9hn(2@ZhLLow|vMRglq`}eT9~K@K-3pgo7(^;eX83 zF*wL)oc2_-&LnRp{;e&+_Hbf5S57HAmRoq3CF8mEvF;zO2|Z16c-BLH%8PYxUek)% z4yet}+K!D!zVIY`Z{{>`X`_Gz>ZD~65%n@sCoSeWKQ}fu{z#OMcr<+XZzQLO)j#?d z?)Pu5^w>K6Cv7`qb#~sfS?uS3mMB6C9UwXAW&VG&dGGT)CEGcJ<~0!HXP)(RiF0Y5CYo`1gZGXNWofwIx5RQBZ>9A`Gf|J2*3~v!< zwM5Itk}ycv9RHrM>ED9f&7-p=N_f6(bC}(x7iOlXKWkq?8hlvzbo&n~^v}q)rG(&{ z%8Z}u!~7{U@BL_D*e#MA>m^Po+t$Y}+#gX+m_uT?!kJ6-S+VLf*c6<;p`TrG{mzL0 zi>CLZ*F+UzVK<)jZlYHUetmzkMWs6mrLb)pyV&jH8vLi^8zKX zH>~ky0APDfR6&&-_8MPz{@)th-$K%^+DuK4k1cG->7s5X>*v{p)A=#Xls_ za6PHlOZM`&1S)^N3X2ZU#qT;eCR9)9{aE|DNI5m z2G0~IYUYt$tupQ2pTvDzrt$3|7-|9u=6jg0$7Mk`m__S2!=MSnQ;JfY6!i`LKfCIG zE8-d1FMs0tMUp7M#e9!yPv;x=aQ-xoF9R+92kQR_?0&*5i0X%Bj_W_*-?ySZf?6zG zz&sk9T*%C@|2tcwJD&%g-K94ksmnVM(KYD_F?L}W%8|AX4dJhsE8ZU1W~e@!RQr)` z{^9EZ*>x9z^PCT#$EPpL6st`886!JlATYeq^(UxJK6MZU{(C$h@gQez{7X}P)<691 z+mG-avco@dNX`I?DfhRtbq|kFnq0W5#q*Ew5z?#B;Tx-aRu^w(bcIA=h!F*}K7d+B zcSA<9G80hD-^Tj!gXHkpj~2(M$aipM8YBBi))!4XKdy^2TVVQZ6e9wB{k>_d^|0K& z`A?SGZfir!^JQ3>uXYPpDJ@_p3-n)iN`3UG|0I&Xpn`>@4rnrv1+QzoG4?-SLJD6T zCwnvAKa$Bj& zBNJ50xDV=xPQtO09cfH1lNRn|#88olS;pm!-^#7Ei=?Nq{-T=pw6o4G!61XjFT*D9 z*?!Z%q!pF9lJ-lzPw#Dk7KdF}SRJ^3e%kYljMBi&L(>|v%8z~wRJuz%hQEPv?L6h5 zdwlvM68P%?t5cc}Z42usDMTTvAAZyR&aGk{8iEL;kZ5h~Wdo;!+uon!x(!T$C) ze{9Mq-FiY-c%rOeA@Nq9Zl0n@pFQnMYdx$>**m4gzLCvdA$$LZ>&2zs{f_qa7c}8E*2e5s z_xjH(-@Ti!-we%JXG1@K&WTXRnzzUMI&Lnd>jjEl zNzs>3kbY6_vOD*ZIl9-}$UqcOKflLMdGkpM`RQ^TN-&0)X6RP;QxA8z6LkW zWuwm^PI|sobTjgb+3N-M+(?-ipo7vgis#sXDHNZbH;_8Sx$1TiOlHbQFry591BLiB zWqc%W*VX`NygQH!YL=G4u3j&hM;AJ^_?;5jUhMOyVI$TDMCboXm%ix1Qi;O!R*09H z-t73H1)W?+slytgU$?mR^AC19`W6(oHrUZmk4NKwuYG=W!6D+NAzPj)vI6Ct_g{6K z`(0i3W2EK1>)@j+hvy1zraMOblaI&mkNDfJ0$kzv>l-uZQ7ZN~2CRI)H8h-dnKg!# z^f4-6{v;w|sezszq&)_hkcMLt`!}p75sGc;6v?)Xu)B-Zh| zi$l_G%znjT`eN}~{;&DxV|LPBF&Ia?IQ6QzUxl^yWyV96vh+p2T=F`mLFjdo01#gM z?q{6(Q%A{u$Mc7BuwA(>^)zIHPyOc~2rmKG8N{HJ0%nnj zOBC!F)YaaDlCQ*zH7!rqVV=Mi4Qd9ScC5KaNoQj_oKv>=0f++U26he(j;92G>>y?s zVDldXK}Nb(s_?EgPTF@4VU0i%PQ7N2=Ppr7iFo15w~DO2Mz9Hp*HeD(?5IZ&m#%8& z;cmrY$I4huSCO?r4~POX-w4@kse23A*fXAA0AC(cMb1XGn-gIYOkgm>*Cd) z-Ju0W)xske?8$sKiz^8k!)Jm#2NzrKnrv0|IDiclz816p?hdRO+9_jRt9*!*>-$1_ zBY2>8q8je~=tFB9dJb8eWG`>&VBP!5T-7*CchE>o(Egp%ElZz~&J2E=SRlC}oV2JS zNY|kI-iE_Bx5TT>*iq7A3dH2o@(qw}4WW7i(RLR*7)FqE)m%{V6&=dsEE&8eJ#M8} z#62Ki`i3hES=Q6^XETP{7>&g_1Lgu*?@F>O-#PDB*(d&6}X^B@|h4k5uD7b{7Gn@dg5#zQcWRyz1%x5Q&ji z4f^>KS2Lxs!`$B%?4Nb)wkCYfD}Q1?DBJi84mPk4eEDhz!zd3htB#j+Uutn;c{Y+~gh!_w z4!Z`=J8*Zkl4r%pDPXViwWyXDDD{G#Xs@Tsx z0zFWgXB#iW(Z)J072SX}z59}q9v2m(sds$*GAj-oE7lPW9pHi1PyA1YF?WAd1?g%K z1kPsH)8dwtLMt^_ORRV=LGHa&w$sK!d*gfdnk)PObLuDG)D7j-d>xJn_rrwkbWq+u zHZs@_SDU$GTsBleJjTQ-hAh{Ol)J10(Bd1_y3IS9mig$bwi}k+(kiIbSxoLq8*nL# zZGS>6Z?mk=xJ#&_W`j@0(%tEbvxo4?h;&Vi-LL~6%ftg~c|?P;8@>J2&mz1~$R9hi z@Fz}ugd}$^VM2R9&-+IUpy7`A&M0H-4aTFpsl04=(E+a zmlY0p`pNur)~1IJnUYKll4SO~nfRT>V^!T}Oomir^$FeLG?s>31mh&*_zReEB`f71 zqSQW+ZIXShip^Q%W&1R1gar0|!4LMxUXF6)fSO3jG{8lzmw~r|tcYIVp zDE*PXgOyFG}B6~b}vhVKdbCr8PIwYWsg^gthM)6Cy9Gh{cyvF2(=X<#?k$@&}901BU6 zEog3y=DXA5>dXsZt@b{?JhXWYU5(>Xd0(>-{oKD1kW(5+{fB)8VIv8)bizMgl&;6v8Fvy z9atob8@X%$Ux1uDh{u+dM>y_RF5}}=XtB!AQ})q~zBW?c#Y$j2+|&waKSeeCMr($7 za$kp}oNFefPkCsZu|$UGgq%D-U$WZ!JwvlZO8bIxi(@Y?KK#3g^)#ev|uiZ~{j*?g)gbJrOq8(LUz9{E`I02D>Vm+K z&!8nzIdpVy(+YNqgvAG##-%JfUhp6$$Y)=%c1v?=!KR1VsSZDraXYjQC!M)u$^ci5*fY%_#ai~ z*tz3j^UrjA{L#mf{0m|c$91-)u@Pr?n~#bfN}D5@&|!9pzOA4XDTkoG;I`+o&7!rs zN#PR}&q-8K#gxR)QS)jAjqlZMQ^&tkr;lXU7w2Dal7#a1IUtyb zVtNX5ApTN|eecN@R?MOcijyQCxERaVyu>Z6{M0m;+{0>XL&Bx-tzO;Ec;&e{zgx57 z8`{uc@(-dsCGU%cr=Xx=s^l_Hqs1z$QA|^DgQ%1vX|)N~ZxSHqdQnn=lS4yze$JWW zs{NK#NlEF<&T?GG=yYXh$F&vEAw5LK8MD1y+eNh@t0oS3K;_{6qdfpx%DtG)pV=iUY>QXztx_W*7Q=hl5r>$bPrCKx!^wJ0)9 zo4NnT|LC8=3VdS|-L19EVLv9W)i1`Xk=2az!pEYgN`>MNo8>-(3+z~NcaH1Xo|b`+ z-`L9oSqjjx?$YPVg?|~edHp`3bwvFW*6?r!_Tg|`y7={NvDfc~{Y+qYjyvODlaRDdS7tZ9} zmtB1OR=Yy44u|KPmN#a~GF{t!Xq+PH8|h3BS&KyBt#V>F12GApy_6zxNu3+!tS;)j9tXq z)m#etE&|aJK*2(Vea|Hy$jqkqUsdrGD%R<%+Gi|b3bAjwa2g+Hw;C)7#;4asFZs|_a$!QuxDv$!c7t_(jpLx8!z-Z|&^QJO}NmT}@IO-zbfw#C7@gtDeACmT4WOr0?divzMEE`>o|2P+Wr|Z%Ij-Df7w| zSs!BSqke5meH3;$yI_|hGgQm*X@$Q~b8Dpe?PMpdPX)600m->?^}{*SM=~_DhKZ*5i|~c7xM#+`hW`;(=J*Xvfe3$Ww%@R*hNc>#Nt5Mc{H^Ytw4-P=hn$>JrfVI1PYXQ z&m4ZVGGHZ0>QIbS*_}_!`kGf?VkquE{^fr7}qehMprjJ%nguRnh&0p;eR;&1s^1VVk(# zK}K9GKGIXtQ|;k>7)*Yqkq%g#J9iFg45UYHtL?QS+xhWbGUcRfwafS(Ih6Q9?^=Oygr_1@Kfi)WeWv;SrzgupB@aRI(g7v1#Jmo$ zxMTpBvDH0zlh&qdp0Zhu&Vy$m-u?%+MG1{OT(;CV$sL_*-sDoCP zRD+Ak-1V)^*CpV0wQ@~HJ6sHKHjx)bIgO538G9(WeQO4#^#Rq-=nF-ZzcNiXxPnR5 zE?AhwH>$OzmftsirO)H)EJI3%Cj{z;-*8cG)DW%nAFYm3KchTDc4%(ND`ghgAibD9wdJ@FV%)*72RK8&SkJPQh^Kd{exkc z-m5LoE9#m6xdHQ_-{4a3eWe>Akbwq5-teowvIEDIY(3qg26L6G^)uKMRp@A?%slR7tkDQskp8iP}yL@zJS#W0b{4CGRJYWT~ zdF}$~g6*_VvdYb3t5^35R0pR(Mk~-*NH_s$bSXkt;sj)PaT7Evx1BYPF)^gp=)7^K zi*Tc9n4iS-cEGrv^1e)_WP?QYSx*)T*Xg}oA0MPGCl66uqTD~aUDM{buDDA`!A}*Y zq_vFSQbk{4{oo!Gjeu)e_r%mdnbHG;gi7WDx`aeun#Ys;7Azj( zRjcreg80F&A_&O@7@vvto?Dvadn7a+XOVUuH?SEnq zS=8WPa1{Y76?n+y3HFDAJfDx?{`NjsJE_Rv{ANTDK2P#A!n_m(XY1U;Ku#>EzX>@p z^&PBw#wJ)`HTXDqa4Pjk{HXH4Uxb50UR70r|@n()SZx zSPZD~`lIA~=1L@uq2I>@)8nE7SqE{Z&BTV}i#FjbUBZG(YcS~xKh?l|R#HwCD$jlT zWF)J-4riu8aSpM7JqZC+6!9yELP1^dtr?{6-FYasn~!0Kb897BB2?ek(6&e1-n8#R z_k6tpDCI=m)N^3Thz(jCuV0Sl7O;L3l}j!*^qGLSJjdxxT8o+oRd`R^NWZVStid8C z)As7Q`(Q^0kAii4y7`W%`Vi!avz>Pp{q0SXbeoYX1&%Ym;f(FyFFcasJo<)KJP_9p zOngcbBa@BYhd@mK24rr2;@!AgY>7SXbVWsG`A*6KKri#q?>z*B2pXThku&cAFa%4x zqn&rq&g_djZKCSm?K(u+6V}HY7rWSV`E-%D(u-H;r9bu9aGS+7q|2UX_@+5a>+RKZ zfpPX1+glQ%mt*hwu1lMpA$22U3<6A=-uz#5>o_`_;u zn183+LcjZ#7Mu+QDTy8wMOk_Iu6|h#7`bZD2t{H)kg_V*jtf}{OJ;EN?1Ex?1&r+; z0Vx>nOHJf%2eV)tAb%(&<(Zyu_(dMozL+0^@_`Lp6TV7eJ&ia>Pejn5(e|{a-%TPwZpV6zjjU+S(!}~Sten>d z&Q0!{nG}w@@o^OPKeZ35(TM;#8 zTN>XmeBjG=cj-&gwdmv8G3bp`V2@rVB9{h3O0F`%Nuq_=aME3B>8@|*;>>21RZRc# zC)ZgeZ^EobCx>ks3&5Hif)yL*sX<^>2SNxXcy6iUbwresJ1R-+DGZjNyY6&4lPSnA zB~WmbDj%XOE$^^V^sdPdjNpHDm-^6H5rDc(cP&+kH4OTN9Ab?Hv#U9h4&}F0biAV3)L3y(CR^+m4t3{sN2^-Hx2xQ z>QPb-t{`T-t5>GTg4sySX0v-&`Qag<5UsbDqES)E;h~|*m+3YrVicb?oV#2W>22vM zKH9!XQ&9DIAyVl^m+6&qp%iyYNms|#*}u_|TUP9uAQ)%3bKf#ljFa*fnG+TiJO|2y zy2+-{{52*M+2@a$oL^ua|D5!}=x`{B7}GadG&kab(Fo$!evj(Be@@AMtQvzOZvg=H zS`eq=D;A-ni9zqLrlJcEF5$&YbH=W~Xt4!6G`G#%J?V8>m9H>jfJK*L?6sFfKJ#tH zEM(>t`&U56Mf&N}CyKdS86&v{iiZ1=dIDbQ1W+pPlYa=q1`U;CdhDOo~vtHo-? z1^|vCu|({k{b8R1)L&#*f12v(#Ln3)J?xJ3B2oZ5R@_xyM_Jj)cZy=4{mZ~XPe!q$ zzX*5ZoztBq4-kJAEj~nT{JITkr1>l-iynj#DHA#$`{R!LzBvydX?*hkHdFSv$MVHl zG@Kz$(JQg=2Ejrh*2HVQqwv`^{p|br#$eI|>;C&Nu0mIwKf07gZ)T9)+pChMQA9-4 z((L)qiJnA{1j;&kVMXe2D<4|{VEvzpUSdaD5^*y}2(d>SsFP+1j zXr>D@J~et^R%z%mr;@O*8G~8NoL}E&i!`k7VZkIx$;wed!{{W{k%%j{){}eU%-uj@D^0HHG-@ugLOYU1 zRsD!D6xFUyMt5Ff4NbW;>b!8d%o9-xCKL%E-Znz&CLMn1%EwCzsYc1N=mPz|Ivyx4 z^gDuCk@hu>Fd1xrOV}6Yznk4BXeK!NZY#f_0;?(w+ARhY^S(g1j8EOT=3EAnRVfZm zv%n&AEo3qt$KQqvz1cPMae1Z#WWecOgZhZK{zhG5?DO5EJd3nh!ZT9!`?g)*s^=2w3$n`t>Q}9$j zk@eGxBjUX3v|;jlX^86V*|Tczz=l!>U`N^!Mfq^PDo9F*1#rPd$UbcW8{ww^o!D!X`TUjok7r=7`XLpaoZa7fdrY-i7&rn-wnuY@e_CABrG8%yY_sZm1O_k^cv7=jUfl)i%WzyMj=9s8zKC1M#2&4C0=F z`E`9AmsHv;@DEJ3;6EIT^QA2wo&?U82S_>DS(%RbwmA{y+@cFB zgLlrs1;cf~JH&<*iITQi=r9Fc!^&9oXrL!1rFIs zaQ!JxB4fLE8Xg+E0pyv;V))`o;tC-O<9kX36zsB+HTb0ERc1osWalT-M#Z@hWDW|- zpw-00#JK>3!%}Nd1!2b<0dp;lLJJg_`zsA%Tzr=pJh@Q zW-QyDiXfPln^A}N%-Ulm(KvJzVg7dDy<*xw@rx2BqiT5f+~@rDSA|i$c4S1(3}gUQ z(SbV5V`ar6Qq+k|*lx)S9c2k-;!R5EJE{RIdQPhxMmoo1GuJt9!=ajU%_);Sxu#3a zq&w{#Q)z|?B$nd5o_)E|Z?8z%xj}RQdsZ?9F~AQ?p;j&zjuV*DW@?sTVpE20woqL$ zX%oHC?T7AWJKx=Gs+6Rar45iQkM-1T-(BUM;B~I5v0rW_C0H;41_HKz9oN>fJZ8ex ztoA~Ae=)J#7UG6k0mQjn4-HBJq%qUUl~^**UB*(ah@cU%SK@6v zP@~U0mqQR)L-XVSm6T*|y}6Q@HTH!&&8B?z3$gP1pK2n++mI?ajXj7`L|jZpl=uhZaWS@^U{@SnG6O{!6q?I~Of%*F}lR zea#Aj;HW$Q{%1}aC9l`+G&L2G%&kT!-sBnjAKTS^S4Q4Fl+vfMiuEA1Pwc}F8_c<; z!$lN33{eKJ1)t&|v%Q59ahf}}?IQ7PrtV4=z1z*5%$Q6<&1-(!tc4*?m>&)~8=|G8 zMgyqU#^+H;gGL^G{)x0U2RcdsV6MPuOrhloR@A=eUK6&6ms61KFg3Gcw3pYm?C7i1 zc)R6cwT7D>s2HV1=&fQ(T0!@(Z8JG*ir)&itGGkv*9W-K2{>B6{m zQDHkVnJVjIoQC+`3L2QLy#Q913G1a-aT(YKDLDIaJz)#u}w|C{gQG|^QKYj(-ER_Kg69bL}0bDcMx4%OhPUPYfX!C$~rQ1nwdn2}KT zyyIuylz@n*XBcbo^`fE`dL~Z{7rE1v;7;EmX>N)lh7r9 z!STMv?zJRraF}?2%Q7LBpPk?IAR5~urk^)c;>&}5v^20QmVpJ&Y?obIO-yW;5FPVv z7r&FP^4~&b3dh2&N5icwl|8${_??bejJ^ObaoB^7BuNZ3!#>^~Z!tXD^&wwO+$6c&w==eWsTzP0}Re#n{mJa>s`ZXNknD?|14AdD27 zOYKm>@L2K&FF&p#0T)#?9M8t|gRfgUL2A}{)3v07qMHJd71htA^7ZRzyYkXB&ctlI zyne048UG0ubW_O-gqXk%*D~-i6}$|xAlg>a2No|VENmuc7HS^7F4HhA(X(HT?3qZj zPzb$;nE)icYRDBzU=(7`RkL<-$>eZ8B_=*v8LfiHU9+WKQZVHmsFdt~&)ngtG=pqL zFdi&X4v?9#t|7D^X4A5ziMKyI2jF$maJPXm(9nexDCGh=I}~rL1IWpmm}vSo;UOW3 z-pt|$TjPQmj8&%ZF3L;U+ZQ%v?}0fN=nAnWMDK0H!<@+*UPbdq3lPR@KmbIG5sSvk z_Yb^kL6Kh94aAu~S%SVLS+nGex}qCD*ordKIHXW6tLyw6Q! z@pRgfLbV2u<(X4z777O5aUhO9z(E2r?n#r377hgBt*hcO`Y_*Vl{wzW^>xCy{A1?XU{DOjY=il8Cd0K+x3(rI>-gkLV5zEFU4eG0BnfIf$XiJ zp^fnJ``B11Z)e2-MR3P*b{1hWkzQ^9_myb30|$hz@sV=E!+-045|bBk3vrs~M=C1F zM%828)u>{I(McMyZfS#^p$JTG1pP!)^d8Pc&qCBG!q-TOaQNRHFx@MH!KyenTwOvp zQ>!fR)GMgA6l!NPs;8Lf6{;`B$H&|3p2fw!;|vl;8dt4yXNOYIuBly(WA^nTkqi4^ z9hUc-OVm)qdvw@ch$?4*{Or=6?s zPxga#IVzWq=nL!G6Q)?+c@gYyfWndIyUR^WSL05u*K}=ZV(b=`xaDXwi;B`f^WIr~ zb)8^)Svlej4=BC~VX*P>qSY6#O$GH3=V!=xm?VzYzN^$Y_RKohovbn4R=1R@02ck{arSc!9t9h#d8u9#hgWH4tk#*;1 zBLAy91b~19)(oVi()a$r+w*9cR_Z3An#*S34>rpZkdI`pMN9&xc*8o#&R)h;s^ zt|s-L1Qpq3*FF1!Fqp|JxhnRaudKLDEsXin)q!BfI+8ig<6)+j%|J{$COMZ@E%e+B zRcsjnAQ}4z1DH(7W8vdV=KcdULu&0c`n?Z4+~%k_fpp>o*r)cu1eaRQb>hv9ysYx) z7`Toi!2k^z>6-s4r{8T)Qlyh@$kB?)NNWma^#tpgGhkY}k_;s&4}6vNkj$h}lw+oy zaS5Od4_GPHa9f_d$$->C@T~TNYB-++S`r%ehZ&txiD)h}=`R738UsF@a3(<$gG4TN z9Y#P@M^i=NP^D(T$0zK?IXpsu-;jpHB)UkHA$1wCT)=qSRNO7Bfw2puC|(YMzmHmm zc7>JzULJ_ZRKA#;gPy?9@HSB|OWX|6!iRm8oX6Mz)@f|$LWXkK?@>R5ig_k9EQ~^W z!{izY&Z(n8;b9jVPLX1>Fi$V)U=qQX!twRA4U}$p^ZVIC?^)Q%>+<${^{N_dPO-N| z!KL8jVn$;_PsGdhCGiA;qECXLYSt!|TS7ug_JBy1`sX2raw1uqf%oC=dhCs*Wk+{X z_rwPPP@O_4`E>Hf{;l?sWPLa)nn|+j?$t<(75b!Nd}3twin=Csz$?_V4(T%N4o<B~h}QC>`h!RFq}m^)?Jv! z+bi7Md=rAyxntOkv4xz+{RNZ?Ep!7zx`1}c(~-bVZxDi2I8TsA#Xr?C;%^%+u(7q;MhU1|NlMbr_!W?AL}xk5_RFpw^nG>b`K0NP9xu9;S0jkN%& z&CFmC@5b`TGIu$E_E7Z*eCihlm6nI!+LS_@2M*~P1=ye|uK>sE3zI2&MLmcb`SYW8rhb>11;! z#Kf=@`@!qp%u2pG7Wi2uAy6g+ZLA->(jl}bE5(NpJ?<5DY89@cYF|to_WNb3 zyF~K4jm;C>rLfZ%z%##wd++Q7i|#Oy6sw03e(e|M~M1@}YWL4o(|53h*u zw^RkIGIOftpkrfW`|Q5)fljR&wi0MsRxif3agK9a5zeL`78YJ@*sH6pwV!CMBRT!# z2wG}Ql0)%^yfIlK-<)k7Beb>l2qrmB@T|vW3$BzZiwAH&=+rORQ$nW;d3bW|Rg_@b zCyV3@If03kZbM*HMV)C{K$3?FKgpJZ=OMXlO?jmYBXl^SqE>D_x31DD@$gy{dsk=y6Z9{Cv@vs;?AB zqa6=sLkx867a@O zdz`-R#9bEML1^3ifOd5|C>@}u$3{tm>JxAdXc8v2d&%xip@)a-6aE6jZDL{Pk{KBp z(SX^hfnlD0?A4yTLF}hKuA@{Mc7Yy64^ZSW2VL`LQ(%zNtFA4^g~NZuD!d4M*X!11nq>5xXVooz3OI z+S+Tr#?2piv4~`+nzBL8I0$4sfhKHXquvVTy#A8tg7d6UkM+6~`92RVBgP@nQff0X zrFPA(TZ}914eO#$Dp{v~?TY-(8~-x&qq0}-4^{36ZJK=mpqh~7yDXNL(J76N!?*Y`59A}r-TP^r z=gyyDwssRZld|KY=Hez`uUty>r%L@>pt#>Z0;WtdZd!C~rW=n~jhN)Lq zSH(gXZmvX#qji05P-;Z=?((uy|TUE3G;M*Q1OD~xgzfiJ9vi`1i(V1 zW0<(YQc}MgTz@>VgDt(zOzjcvP>a66)NMYZC9;*DIhvJSqP$NUNgAAtwFwo`u-5yX z^b)7Jbbk_6s+QQA2Kr29x>qYvVxi%PsyzaDH}nW)q)mg{ubOWO2b^$pz@)NbhSMGYd$$60`wJTtI+QI;E@r0sQtlmnio;B0d7ovfE73bg1^>1l-K!hZK+zK}Mo@_J~nreT2TBF$C zz8SeEJS5lTo$uo=5#xA$)pj?hfhwa;=eRuZcax>Yx~D*SxM!n(JH?L>^8@-}MU$)B z@5`8JVgYmknpXd|aEZ((`{c{_^5i212aQR=|59Ck(Yg2ZC9!0lGE67`KCS z(4ATc(mwg{zknB%TnqbVLo)#_nth-B{=FvmG0BSFaV)Y18Q6v zx!{T$7iu%DCL876FFlorOI0cdQZ*jf3b8fGUwyl}gTB5WR{3-F4MJt%U21ZsEs- zu3%>T!rvdCmOB&L(EmVAPBTC>gbVjIE-m{wN{ks0duX!nRb2gb?G&RO>X^g+NI@V{ z4{k^hDim}aWMI|k-~G=oaSZoS;r8NIuuip(D!q4RUb_DH*_LeL&Op&D720q{%sL;{km4qtQwa0=y@U!Bv1ArePeU zJhP`%N+$m}**YpxmX(hbVYk4SFD+x&_>|hBN|N>g+QWxq`o4PbZOF!XyQtzhy=K>F zsqLibD6FrZB_o@<)#uYJ&N6mPK+p#^u;@S~0}=>ag1pWp9xmY!=S z8x^y$FsL-6(}5)@E)e>>fbl`I0cw@>^Ka1G&}bNH`TzH|M@1bR;dguc9`LkD_iE!% z4}m2#&i%>5uXny&X>%%qu^}7AhMm(hW3#pc;JdnIqVQkQf*iGu^fB@HE(N*xX7WW| zwtj)5t$wptG<1=s5M#|pS9hAKeYU$=F2v}FfMhG`R3X6#29hc6^4&NY24`+re}^Ep zL{}Ti)->S+1e$?Y_MO&_N$k03IY3fD3+jcI2{iU@0U zx3P{6#kJkJAoq>w8&o2Os zr8BI$@R$u=4V;pMA*kfFCyF;M$Mq|T?utjZ5c7^`EO)Bb(%s#>V22!XD7_n~&4fw4 zt7vJzFvI{Yxc=zT$BdwbHz4Jr1aTHMY;*6&HgNt+<^A)^GjJPIatVU_j}9s7mWK@& zy1wq<_USegd!3z)j}Kw6^O*9zyHHp$k40wt4{r763ml!0?(qrv&Y^fKFTb&V`a(VE z`F>J{nmvE^vsCO(w)cdoL}pU&sXAT0^c=&X{ZZA9tY#vX%iJ=0>DB0TyzA`7#ILS zGBT0K5Ny-ye|<&DAbFvW5c~3AfjJ!x**&LWe8Ncl6K)9Q%+TsGg2jFUuLm<6Tb4;A@1c^Zh~Lo6vV{i^Ep4aNlu?T>cADF@1x=*n1Pn$d%D4n zM3JKDFiGz=S{h)XY|)N$tc!NWW$}`pm?}k92^+g;f4i)M?T^CKjJ&+>`2JSY$}AY- z@$X_J#9iJkiKA4qUq8Rn;#s*p?(t#SY|R754l~i5&}s4@!&k@E#B6$BQ~Yu^`~Ob9 zxY$VY{V;+92fjwL$Mb zn-~hkb9ggat0I`IXERUBtpMiyGP3WXHVxq)M4fB{_{W#$^_Q0+f6L0sR)V|3q{RmS zd30e1sv(q1yOOiwp8|gs#<( zbvr1~ea(QYiSxc5!gA;QjZMe6Xj|-!+@`Cq2X8r6eX+EJ_I=&;=XTr?GlrcLJJx}V|pC*N2Sw=V8(Oo?&C?v zt=Sf5<-X|1{VYmbFqn`9FN9#YW*!P9}TW@g94%q$&!r$uO@DIeZXe6DU*gn{VIz$4omEOr>q zpRW#lpY^YiDSQ-;4z6iLN-Q>Xf}uV#=Csw>oqWO^yaCsFJT~}o#`l*57|sVi@}3RW zJJzUFEU7SHd?$et@nHu?D}oS{^(yNrGpBX>w+b3}a<4vk^3>zGLrvD|8=S)Ni{oQ< z`UJfY#w~=H`>XYIEca77ZS=9F5j%m_gV^5N$9VAi|b`rj#H<~wj_O`we=vri#6Yjl^oVWqe{K6vrAmH^O=%Wbuq zh98HW0STHOcuu2KnRV1XV43Gb_Ykw&=@ZIL<;YU$VnkSl+9pB*vXrUs=S(wQpfVOe z|0VookAQKRCReH%O`@6eBtxE^_Ve9l0>_mh`pLNWejo*hj~q}B_M zm;PQHAO{>rB3fjaS;>AkEhdRSSM;BuQex$3l1wuInC0`nIM#to#AX1x`PmgYh6`-~ z50s89Ui5N0Ox0B?J3=X|V>ubLUZaK(Lo7`uUdqB)tBS4MaU9q!p1^(Kw3$_qv?hX6 zNTTxIf_MVIEGkY4jCDZTnXMCRmUJu@l1~Mc6(I_>=(N7YO9W z)}q>yP=A?|08^yQbK@KWY)3~JHS^7-$4{!U(oKo-t~J=ns|A>frt$5mLU`i^ovCmX zG;tK*Scbd~eJvW3q}XVg?Ns|a!JG2(Uq`Dv^Xb0;;vm88hWX~( zy2xVdeU*6Y*eGU9{e01X@P>4Bhro4+e&b~{PZuQhB7mlqhV5{v!%PnsH(f0Vn9{ln z2ATU{ohelh#(-pa!nDS%2RDP4NNT#}vZ$yg?#oCan-uAe!5w{xxcHQ$O-nQDYIi9+ z_7L$TcgLgl=E0Xo5)7bYDtWk|40@*Km~Tqkr&7_2o1C6uXNGJpINkuTC4cnbN5ZWL zah|l3p<3rT6c%}j=?f=ubcJ~IAS0aEXy?Ez8H41&1t)BiYxGB7CC7_GYzfhka_1j( zUjd4wdsV)EmTkABoORXYZ%SgIZ@uCM{85;g3$(kvV}YFcVsNE=0L7sM^a(}$zw||Wj5_rzTn6V-fdbga9})3dtkB+QWy&ST*;9;t z@Y%VSr||r*>t3pLwZ}ct_g{K(B;3~lJWI?U$HO*P<{XXxkx zKYe2I6=Rx^JSbTkYo)(DJJ4~!#HTvU*c>f75QmT(Cv`8Thm6j71x3q#3`3UXw`swU z53txq#xmW3=n6Z#LHUf=V1BeLuIzInJ~D)92fm7wi`T2ji#mnP96X|ZAuZ@{r36fg!icv8F!iJHXpajjW#abcG9e|D?bEv z`f4h_#q9Ch2<~FH;^OU7zW8-eMBqkJzpv@WvNL=md2xA%vyS#pRISM9fCjri^vW#> zUHSlI0xL(?ERP-NFtByOX9*tt3a9n7Clh>#K2?N;g-PJO`$H2VUxDHnTyFz)&enRI zpW%+et18vUyf}sAEa6;)8zQc}kNhTWMpWX+K;i;FzZCB41SJVcXwuoPvg(J_f=5wf=0poUaUft8QLXLTO-yQ;BTeS5uP$>*!rk~N;R^g~Ka*wj%1P1Xv`HskQbMc@cC z3okD*yl_GO#mqrbkN%GqU?@D^yhsaHKlWYarwJ~cLck4scu!43E7f&>NA~vZ&I8N9 zlMhn@0|ooTJakL}%1ndiQ2t!;yWeAJ0(9z!w0-sK!>+rgi(^z}gfAV5l?ruhFlA?S zH@FL+wi-&}A&+I0UuK>6XyDQ(wUWM7uy6lSC-T-kPo?oEuo+ zvS5ev7`KVD#%Y6i6G2@IJ$}EbZWFF`j9S!F{I7&ra#!Pkx>kuBW~h+UhQY#?)LvU`|m)m`|p1ok8H{wm0dC_ zE0VHFM%jCY$O_qHk5Gzal#Hj9ky*)JC9)+lvI@!GWPi`Y>Z;fEe*Ip*&p*%0>$=M8 z@jQ=nKlgKP=XP#q1x;?7q|09+pQ-iRNTuHU>oZW9M+|)Xo&Z$g|_HB<#Qk$Wz8LgviXAkSp6cc%eJ5$J7!DQZ~mkg->A}xU{oZ3&IZ_*1> zF=)?{-UkpSfoe-=Wz6JN7#`2RL9zNH?*=t!=d*&;4lZukr^|TcY#6v*k1Hi<_Y#ZO zn8P!OpBX@Ic{D|8wi}9uR#?SSfk7dK`ufVafzxJCB%oEK$Q0To^a*C!=x$}WECK+z zEte0$vM(E|P8c9g#B6Yx_mWq`hN#=I^=P2*PLg;$tU9QIMXlLiQ-ys$4kz|6jp)C;-MH!I)i2tI_aOdjCH}D1J-Lq#63AHbBarUZ-km8600pbH zlnX96aymP4T^N@>=CTueVp5XbMx$!rP2l$;M7?l!-JYSf=3Pn*68DdezBTsZYwJ>xj`w=Wi}}ks0xBy`9naAAT{kz!OCXB1MXJH>O?L&3;CkQ)|2U!mppVlB z^vde$YI^xN0e*$#9O$;w0PnXW?#UJmXXw1Es~D)KG`cDwLG^Cw0%{h~PAhM>fH9~?>!?r`_4Vg1C-h5HDf6>eV0;LhZL>O=EboVLHL7d)A8I6g`w5D!Iws{ zG7`YzHzRLcg;|8ChRbw0n>W^4ukg4tGf_o-=e0aLYqOcC(VCaZbQK}Hmtp%3f314u zsjWeO+5*mm*2l1gIb?J2)mbI_?D&pUKSqb2t!Thbw{v+03Lk@61^qr46bPpciO5e` z2iYv;e7+3Ne1Uh}Ia*l+grx)^4N6JZt(I@YER7`0(&*~Fe-0hL8_pnHg$y+eqjU>x z5-51mR#2fYT|#pU015fl#5d6R=_U-q^bD-K27uosds?rR*pA>qh7EPs0AP~Sp}a8A}JKxW6XISNn`PF3N+ zow~XFL&%XAcyK(We%+zy^*BiP=vZex(p*&gp_XUQ0ROaD6NC2AJi}8M>iu4gyGa;Q zTT^3RQCo*??)(pT+y61N1mKJgJ%$%kGd=t$&XY&F%J8~4X{1W1e_<*l6L6Xe5=EY0 zWSXVi9>oI;GV)E{gx}g$HW$;|#J!$ErLuQ#Ttj&IFn<5=gW?f8>Fn}1-5tCm{IaVg z_AVw)2=E_WXBR);_{Iz71pZg#&nnes*`D=C!#}P?@|}9cuAF-rVk5Rw!ynmk12}r!3&XABt_scx6lbB#7Y;I=WE%(3~1I< zu24`*OBVU|QQ+b)72{q7PMx~7UUFmbxASxaKqnv<+TK{8hPu;^#F8{qeCy7gcYe4} zFFCLc({U|5f=Fjt#SQ&mLN(3Oqq}tteSp`?Lzm5182puAToZ-MnZVQN)-wLb0q^;h zR~VS}hdG+B!mY&xo11jRgCWj^Pr%+w75rOI0^DEG&3m-~PMlvUadJS6?VFV${eaH6 zn>{QmekpwEl9rS4D%_*&gSR~qStDB0o(j(j@mTH(WW)kYcm(r89KRhF5N>KN&y+qf zDusT?=l*rSfc0&`RUp{V`24!5hwQBWs1xl19BDOti*OM_0AR_O3W13|y}&l8+%H?d z-U-Ybk>1!4Xfz;VF@g8*+e+JPZMYE7Tq1)F!1bSg6phAx7Q?fSA+)c3iKJv$$v`KxoFuM45QZA4RAs`$l7|MFWuv$rIFMa(7o&bF~|=#Gb7@SuT0 zw!rWJ7YW86UiP1u_x}WGYAqzgCZ*!Bkwt<|mc!3vm7 z`3|#ST_>4MG0lW9^z+(L)zCW$&uo%X1b^j*k``(mgyk2y-Q+>Ws)SKjSI45sU0Bg2 z%@XE!DOSi`%ZXo#GtBRl^hbg{6w*r*9jMUlK3|{_nxrj;(DiOy!cD-#$3L&~Vng>V z+HH_wFnOeKehevO5OM@)j>KAc_G6LBq;k{ZzP3B6`Xs*Y=_v(Jxw>C$R1foiK+p0D zB4z##BUg2bNq+0nv(W-)hsS4c5k1p+|8?sWi!D^6&QO~=$huGF)pCLyX#oX^0&r`J zsoi@a$O8S9%c^uvQrzPG6G^3Y;IcBH1!~qJGa2sUS$F|{LP8s}?-9O+ZTLyF`?mVh zQa3CuGr!q-u21pZzCDhKF9TpMz*H;9db3kEX`~O5W5H3arZkM`_xo(aN-f zJ)UzM#-kZ4D+^hoP~bd_XM99@a2?=b8W|U;mgqh4D9)NXKYYyq;}JVUg9~O$J41u> zG~?@CMe;1AnHFmFH%Uu zRjE_h{rRg3nEZMt>xouz`>@9vwNyV&vi?#_GSw85C-IG0Ec&)mPrKo*>`!%gdY6$V zZM6DcZ1lVDC{N!sl+2p zpLv%9QSc0W@IZN4$jD$PdJ`Q-FT%_+#+5>KBN?6lJUNG+K<#@jpnQCQUlk0KMo5FT zIKi|n%5S}F>5F#HK<6}V?i>?}6Ums-BZ)Z5LdDWkV4@_{S`fMd?DNnrhB6xI9fwxL zFNuu~Qy*JDVO^Tw{@&DTX&vHa_Jph_cQ&-_O+9sJ`ZYf4lmkuG6h=cutil0_Gb8k4 zI(^!FGm2Fii_UX{3zXLALML24n^_H{o!OKQ)rV-EPcN={3x@lf?i|w`1=__2Kt%F$ zYa#CbjBEqU`1aH>nhL)Vq>A^&CTz}^FQP;SMyAT<0mR6KneubNwjj+=?C|j^^s;Ef zo>WftA|Z18mo44p%h(WKR`nY79+VzcNmm+iZA~d{rqWI7@3j*MKv$zc%9RB-;6B%% z3C~|=gvwZd5#1@fd%fNJ#4{&Bm`R}!bX~MrE%7c*)rOWc5XlDnFr6uO?;{Zdv@&-c z^{O&FLf@2q2HA~7xY1XsUro;|^MZ_mG5r*`%@j$f<4F8=nd@A z8d^L;tYgpSmHgj*N>L*wu+X87Fk*ZdL(+aBYd|o#C5!`ODZ2FO5+Ap5;x~9tvvrt;}p>BtxH-=2UFo^QvQ=H|@zn~Yh8wEm{ za-nl@?qj1Wr@kXA?ilF!J)#(Au0;kM70x>aU}%;tw#jPUcwS;7Hr5d3CC0BWhBw;y zEJ;vhm@Tsf1LDd7&RLzsk!K6}K4M;RvvjR;!(@?W^VZ zQ&RHi8&-v)u}AK%(!a*g5?byuVi)I+?NorWJ3O51<6(p8qeTO!x?n11#=f)t+o)2! z-@QjL?x^5CeJRRD%tik)R9FSy{MslXPF`^@3wgal(dZ?%N@D``z1HB%5^=HHz;Ny5 z9cp1E3PZ5Lc@stmj1P~uDCz=D(Y!enZ@oREj#|i#3hDF!F6AKmAUL=hCJT^}mJXR) zf_v#%JmgtFN$KSG;o(EzY1^7uy#o%#G>rr>B8suKMsqQiAZ88oBGdMBf(;jhloG0z*UwN7F+Ie>Jtm$wxZtC)m`m65wY$h9uvWM9y9ooaNwd)Z~nql8Goxk#F zo*;8!q2Z-kmKaKtdr%&uRp1~EH07r-HQh&!hq@b^_*1!pYIs|H?AP>1 zCLY%bz6cq^a}-1$NwKI;*gaUDF;x@VrNo}ek@_O%T?r^T=WI=6F*+TAZ45gu`HWgB z%ZmcGpjTHI!=E)Ul{6=e)*IEgzZc77$ejs#u9$RFXypFpge}$&Hzx{ftINJ8N6#+i zM#Y~}b>@^pcSiX6!F0m3nD`WnpFg_Im_WtSBYcWh*n=9{mcIb>jmT(OA%2Cub3}5B zkvaTXApRS5zcTiqlYt6(7Fm}D^AGpdmw8bN?5EIfgIHxX^ci4y;Q<-rCM}-AcD9ePal`J0Hb$GQl|;i;aRr#StU}j=h?F``E6q58+Zx+zWVFU{G;) z#cHbmF?RiiyA)~^a#04fXZ^HFP;Kvy)_%o=tuRLs<$W!w#K&HWMMU6HMVoi%ZY@!P zM-?H|7Y4M6X)()@6;4eH7(DZifws9L&o?378IsE|OQhA*tzr-Ph^&CUkkmQWa za6~fGg*_H=#bp`YgW7X_k?tku1M0)OVXRUIu3B49btg=$%K+!;S5}>?%z5smUxCIR ze}1KAwzgxa=I~PSS`u^4I>6DL_Gp5S*Gtewj1LF{B%!wgM^+n{R+MQ{W^=Q6^Ap@r?yZ{W&fXY+n{EO6owVzt|+msGKoPqZT? zI(x1V0WCy+OR%7=QQu~El6Tv&zTfg(Yq_L>TkFtirrKW}RS%puzn=d!TdR_Mi%ru| zLhu?bupy z6}@Zn6uY={eQcBT%SQ$7xx1mKvL~NW*UrWJ?kid(8xI4IKpE*2y9~O@)R|`A9QJU` zdziq%MqhQ5!+kc-RLwr&Lt@Ga8cV^)dGTP+AfHYE&*D`PgD;p&l`#45uZ82wJQT)R zj`Yx>Jia2~Hy7%JG%Bbzx(6V#%|d(;q0N?&mgW**hM8_3KMa{cTYB2ydc8nD6REUh z0jfxcJwC>H9qZf^^~Xs+!y*+l&_bMBowuzJfNfY*7j5Wa-Id$4{Pb;HmKY)T;;RO+ zP6O@*%b_v7_;FvVyu@nF7DnBvC%wdu1z}`2ynkHeUxw(Wmsktxo)O}(aTGzr#{oz3 z3@ens?fs#F#Eu*gm12Cq_4cBc>xPDmYs*s)K;EA$MN77^%ZfYa08eUU3Tpo&g5~|^D^Xk ze8yHW99rM~BxtaMq&=UZk&&Q~zTE6B5d$?eGc&WU*S82k6V<}9 z=MC=q%8V1vSxXoe2V~$mGnO4h8awdSG8Zg`@m1G0;o$rKc|MQH+Ss~kfCxRl99(z zM@sJ2kGX+xBSQ9chUlZ$J7Gff%M^$23S+coYYfZHp&D}qL}tiEo<-Bf3~#?coGrb= z73zkHQ{9BSfzS&bS~uRy)``uv&|!RYE9S8K#{~ya46TiI>c_>s5Y4Vc0;=I7L}vXQI&o__TdH?^{xHdin?Y4johYDHkZ5Dq@Pv74Cgf{ zReoK9!uVUAf!SUT!GQrp={wNCp6o3mMW%m{;Q>UZ0q)A9WzxC&{&?hXI4`fBWU!E= zO`JK$#-oRo1M3H(;Y}GDcYks8{7v@FU&J{%Bplq+EEi zG%Be~4rxzacEKztx8?LwztQPP@>4GrXN$%&iCbKzEX@1vfOJ)}QB*{FU85M#^Ir%7 z@UjHhf7%u`X1*9iiMVy)vW5hQ4zcMIScM-Zn{IJ_7iK=}M(${h?Hz{s{*LYBxq5<; z=L78K)?Yq9lS^yDr6W)>F?&Vy`>P&6B4osHG}eRQu)!0)3(HnzfeK5B~DLN9KBiRr=%&rJzD)L$wMPHrEWf-cW9{AZFSg14qv&N5FYddxH5O9zTLAR@u|=rphkZz(ul-8DUqqBj64Fk2f5Vp9%8$i(oeWQ7qRL9(?RwMg0nZ@tj_ zkpZN#uaBz8@2o#u?c*b`dGH0gMz`uH(RaNiTrq-J`)m%0_-c!H#it)-CuQ0bWr%?a zZhTq;8?zmWGSLf$TA=y$QPBP7#n3e!UWt1FMb^}n6rOt5YL9SiP*it1qQSaCwXoh`9DE@%FAHK`5{4X3<5cMgPpNb3nPivN} zJ|-L`fN4LlqkVT3$fR;vd^sy2De3A&9CzhE9r5XoBWi#n0!4fC-N$}LeFoI15=z3j zr5Rtr0{j!_i+mp(W%(yN^3MRM46@;&L(*Rd5hP2veuFB}Tj zphsgtwCWI5E<_%02!=wBR+4uy?O#3raNR8+E9Y}5LRhpjJOc_R@>w+kg&98U?d44& z7-UC}^WXsX-8PlB%a~+>e8IhhsAaz@+@q2}V@5*+`lvOhS%;Tln9bDEK+YEApyLt)Fh$%ATjA;LaNEdm44 zVPRp}+brztN89wTT?=kAGP!f`^p(@ld9oLNFW;|9{hF3#q};$uTVQ*{?AovIDqcD7 zrnA^>W|}Z(jko7z2#~=^mh&UGek@*W!a9r>p%Hi12fZFiwz|`~QaORw-lz-sL2{eY z6VDnt%C?8(O==8hY;H&}_1cOjlMLl7x8+>4S<4{FPgBC6Bf#su*7%%{FhpSf;}X98 zSQ{n)@9cPF3MX^V+nl`Ba`1=;2C~41V9>R3C%y+J0Mi*D@8JDWrAiKg)!k!xy6*u9 zc`@?yYZkl)j)D=O??8LapP@7&-2F}7hw%LT{YOyhp&a{MfS-cO<)Tman*H#9!^r|o zMI!}<0%R)Q2>-Bw$V5=(?O1}hgmUM>&uhECzJ$SfZT`4@{}hSzawGnVXz>8ZgB=b^ z6gPmG!=jkz*QNnS-qlru`oLdiWq@Qe-K~Pl;xa@)7pXT3xeYh~6J z{Fx}YY5zj_$Y3+~{fYp{JHS{<-20aA-@ns;^W@^?Mbay2)fO(MNuAHJB(rckT$%zXAyiq7x@xHm=p{ zNkQ_3VASJZ0Dlo`gk6{7_XreUIa2&*_{4F5i|m9p8d&y6wHnk|@jV;-HtOEwkS#x~ zYmBdejqlFm>8XeeP9L)D!wU!@Y$X*|FK8e~L9&Y*q@z(}fAeqTtjN8koP?Zke!rL39Fo^jJmUz&pKs(k8 zWH(N-vB{X71EP(f;Mqq9`GGT2r2zVhWd;VBhr=x6bZpf3@*8h)%)H04WDMH{&HV=C zdu@)l+=hE>!RMxkfz&`EwE$)>M1j_Gil)5ac{M+@BLGFbuA}t9MWHf6#NW{6jw#G1 zdEs6Eg-e-j%%&WI=dUqUg@U00v+dcZ;o*Lulx76OPOa;q_q*R-eMF5k3v+LOy%3dx zY?oiZPyl#Dv@Uzj@4nN)tuJz6$G}JbHgLx>82GAxJPcXWAUGXx9~>H3^-3>Bp4Ie$ zhR90=c8k4~K?gD-2Fg8j#S6V0QO9_C<0GPE(7N<4uyLG{*!1 zZpQYy<`rcaC|m-`_Zui3duXksTKcoTsj zpFyCUK)_y#JDUXQ#6yEU?`01T+z@&Ft#V4xE0@yzK)|l~2_Py=k<1TJUayepJV*0JR+tDlW=B|r?Hinz8{vcQAT#=Y+JFxzX8pHT zPM#BgW*g2@%|?i&TkdwOpx^b3$7aW!C-mIhB+}B-NE4SN&h-jNf*yg55>;SkdyC+} zz)GMo&w@e{>t!s8{UdmgK$77wArAZe_HMecfC<3O7IopvN;XK4feK;ZQP4p1=Q9?p{FQ_hOx=}aky-!ej|r|Dm4_PR zK&(Yku~>IZx3-VJ?b9x?GROTi+XUD<=ki>)dCuoJn4S$t2QhRWtDu$@|Axi^UDi4{lypK{X-_ssBrP95dGLR_~=ZznL! z#``O#VYFIeC`>RI;a~9v$5p*S(i;vhV`(&yd=J%9p)7=rejpJ32&V8Zg^yck(80wX z@A73ofjERHR?>Q}7a4#HL$!Ey@H1%piFAm`kn!AkZzfRS{Xco_L!+cz;~SVvY_ctf z7~}eKB+jvs8{}jAB1}c-_6^fh10@^Am$FH+tj-~6);)q_KJe1Vw@P7%P)bftQbNLS z-pF8||Nf6iP_h#O8bKxI&k*nxLIAC*C;K-)5+xP`f7h$d&KGw8ec<^T7#L(6_5GJO zVM`AAKprNEEpWDI6*S(QZOx@qNIglEFij~sk);P@gp$-IOOOIQzvOaz_aVFlF<^@x zGMIKP_ELv|2vAHq%Wr1EK&#%7a5R6tytz~Vc-ljd%vKN}&KP*Eomiujk77lgJxxM% z=u7-N{1ftL6d%Z3fqXTk`^Gsk1$juw#+!oD$>*R-*kD+Je1PJuk^;)?1NyJ=$MZ5O zj!frBS8KPE_s!YX)}{cH%aa2$R2Nw3B6~rE4?yNjk{qjZ6pnez{Gn&Aoz-#n7pt` z|A$y_M8LbAe?+~#AB&NJ$G4nfR-Sw+hw&QP(T^`;Wqd$$dH3+#(GOa8Jk|4XKd}a^ zmyEX%pV^m65WO+oTLjoMN<97d+(r_L;2X4Zsf64vCPz-z0)D^=_>07qD`Q_0DE)p; zQ;+=wdE3B{5T{?3iaI*fJ6vB>@H5JPh?TX%MVhc40YP>}(lX&uWzE*er^z4a;LAT2 zggI}2j)z)U38{t6E@rqh2}d7zs33Sa%a z;!l2P4$I#IHTtj{6(EdBL!$O{6DEB>06rP$gV`aK+j9Gxeu?7|katF=!m~Yb7QL}) zD}gqjQN8(&@jp$LfFPDeuUq~O2T)h^JyqFWg?`$n0&D%9aG=s{4dPx*ke8v>6g21# zlKFkGp;8nn*(f9+CfrjJF-Qm#$&VCidMnc1Q$ykR4&v|2+2M^G9+-C&zM2`jn=ahI z^adwR0;qvsCW1pvR!Rz|o(LFOWF~rk`w0b}h8R*Uqz3JWRHPju?XuardP9A+y+@dH z;sltb&)ZMB(Ho3z@}NNc+UBVE${rcrMs-?Mrz1$H2+cdTd1xDY%&$@f>SSr zsCZyL2Wdg@&(eDQLgW%EbyNtm%kKmix7WSDc83hQ_1q zm}k$V&mJ7Q8yeujHED2-H3nrhQi5-<+6`u-znoSsIT1B33_aKG_7>hO#EII%D^%j7H#ZS z7pr$&^$I!UfEPX|9la0M-J;GD+kFx*a*U(Y7rlP{az4ex#2SuaJUa_29Z{&30wT9MhBws^0=M_=Mgs%^)omws#qXSjIPRqJSLfj-%+1-b2x)_% zOLjT@Yo_M;jrDSs{vTVPKAU*A?6%R&fU8gyguxh^WER(JTn6h`drnc92Q3*Nh}apJkMBw zj$!W2Iuh8vQpqN67`yWuZzzIOYT19+xUlP_B8Z&8@#n!%)~d3hI49$8RB;!er&t_f zdbzbwq4**Ax?W&DptEbF&3|MAnT(vCTW-*66~0=u-=+WmX~G*bLwrMDlvcx8e&!L% z-)MG3!r}jcz6cJ}0!Z*q4G+&gOBbV|xIaz8_-ae)w-;u0JCRq-lhYKmXsNgiC62a1 zGs+D}Nov`Uydc9f zAOyU5<mBb0_}p-$%#sIMN8gD& z|4Zn?M-X9n#t4?5j|m?c^{;rc{!f>`dS9Y*&ga7AOq!cjsK&-? zz3wZ0U9XHE9KHz>@CfMzRtwjGJ<#5%z zsjaO7$%_lff~By|j=f=eS321XY1EjRX70-7pJfDtJh(>Iuy=X0oJG?8C!|X2CF&f9 zIQk6;P7`G@&Z9Y$pg*L;#KX9tz(h3iypI`_)8f!pI!Cv%;UMmx&&sDFuCA95Wml*I zM=lzG^gPT0d-c=4%Iohd*gdrSf7S~<0l1oCE9aZ`Z}X~Dwj@J)JtjQYi*r;ITQ+h? zyz>Eoya~%90mkUyUbmLJmIb8ZV?%`LP*6S2jYve_wBoquLQ~J8*q3uFJ7NCp&TUQu zg{rjlCm$RRokG+RM3Wq8hQK6OQgx3J@gC5z@0G|4)KpVB>2h3rX0G(CE-PwU^E;w58I zsHASC*I$CB^iu&BZXha?avL4x=HmEWX6&6324pVQ=t=WmxlfldCrfgYnC3?9y{+4@O=R|4qjMJCR-WG?7oV!`Novv{f zW&lQtigPF>2Lb?;%C1}NeA}%0!OlvygO5QY*iYqHx8&~5w;8(FOg}ckHz{H$$mS_J zb}yCbGb;2X8?wM^ZltcR-D$z^*@K-WU-Y3o=zt@^5c_)`h#;00&_XY2d@Y_D>!_t8 zV5&exsdoCxp}h?+0<5sCrPVA+)WGzlWZ}tNqss0}Q^^~0812*f@k>4GcVh!Tq|n2f z8l?+?m}o1=!Wum}lH4%P^%k7vt&&Z3%jV&d5oTcPoi>D1V@>~(&|y+z)or*nZk4=3Qgn&0^}gsg4RF51oin} zu!CJ0{_`6kSPzYYOu33lIgB>d*t=i5cko_`;$S73_+8jO{D$~sFY&LLq+2hqDe@gB zdmC4-YF932hh6(v3M?(fh;tb2D(pY{X=+G9P60NrTVDg4qf5F-pa#f|dFFe5ZE&j= zV!SM%YsWM)Q+V3(@PzNXKI!S;ctDV?KtN>(4bc$>G3(^%ifxaSWQ-j^I$82I!Mky{WMOows^`$(Ge-1lf6voPT5jYw8Xc>no}Lc@_Y zTy4`0Yt!C+ZQ*ilo_t*l)fOsCXHwK!K3FU#KaT<@W=#eAJ__7hNydTqw8k5V$?&qu z-T=KBB@2p{uMw@4SlFm-z{e(F|1%U2{A|ySA-ZVLPSjhG9(LfZq@VQt;T2kjXpX!d=cCgAxY>q`9E?$g3u@h(D52aXk z)y_l1aU7bDUtp%%C(a%Q!!72A>x!p~CnlMVPwo1h5HXBw>7Bj6$9Ctyo!=t%PKLFD z{9J5v@H1(=uL7|`>QScQ=7}Fy?ba5@NYjKz?LpB-`=n&Bg1LFdWp7ekgwxcbHe72IF8m9!4 z`*x*UfJU|hdGlIMfVsIT*7H$ski5y}xBGGPc?o0*8G2=Fb2Sf6--E$;SKf%~-SwT| zDb8}R*)BmD_D8l#2HRX6{5a5}xaDS5{dsbvX{~e3-3nt!fecpeu^jXB@$yB7pEy~A z2!g=v7u{XJ?c0uxaCtLF$0UlX$4yGK(^+3aovDGnu@>C$I)bK-DG~vv26scN2OttV zfq@OJ-j9z@s-`I<1ZG!B@5WtcMK5fPvZuU;lDh}{91>4fQU4iFLht50$MOeSPCG!V zK3Gu92*OmlHt1p4b2u}KA%EKjiQJ#Z7%uLvAQONsu$}DJ%U{l9$1s)&w_DA*aIxu& zrhir$3)XVE!>h0F3vXjA<)3eZ1OI7_PrTcF&~({~UY1T#n>j1UPlTGyI!!`pp95}h zOjFvQmey%9BVbuC6Vw;egged?wqFf3GoN-Xxs==Wh1v4s1Ns^>A7 z`yti0M_#f`GuhFFnlsjLBEIx}Q+m|fT_oXi2)!2m*hjsOrz#(cI-YpvggE_kMOred zo<)@w#4 z+r4P?+}++uA4-5OP#I02Y4UK_?A7XCm-1sd{<Q_EW5tW_4EQhBs;J|$j!++=Nd8Hy-<5C*bm-@;sCsSrWZX@hIC)AbJMxOGy z`LRs+6K?M0H#BY8*V{M-r0WAM`<0s`i(5h_b($}+!~5B6LO!U8_ZDe-5dDHlN*>7W zK`vSjXn}XM!&V=?hHcm5prKkHnSYm-y$#FXgcxa_z^K&=Sa{CU$$NxWK;tm>(crJe z&!`S^0{Yj;T{(jTYaA~a`kg@cpHKbS!ob^yBdL@Wbzv+ie)%$K$QkceRBOaFB5ZUk zJou@p^we24D}q-^D;@9#WKF`aVoLH{R$bZbn8ttR%rWPCWWD|7_aDysHFgVjMxmoh z6g)Q;EUZ8zzU6wLq6uq)#2~$$ul3Qy+>JOzIJ1Y&d_BDPWQpY9-po?}65E~h z`3Se42G{{%l9WekVdm!#v^bdJ)&UvEX?DMlM93by7-CfU#5FdYy+wO1@G|mcV{^t! zW(dO6uyd{tXFLv*&*&2?M&;W}P7R6^ZZTwSD`?GUzHus{nGQ;_a@M-EeQ&m9D0m1W zRo?&se6$b~GwxhGgOuLE!2|%G%E6d6p_}lr21$RQ>9)~0^%6U?*S_WY#?@)+{uAT& zJ{RCPSu}s+f(T4eBb&kPu600WlaAy)Qoz=eW}etx1&BO0tOhmsxd;ntk;H}QA}j9| zvyhU{sM(w$KJ&2`hph%Ll3^afqmjW%pdUoRi=(+F!zlh8QWkgpKB}7qHzi$E2D>NZ zmE#-gFA{H-OstGWM?u=cd#smgxOzEQnh2IN2S9*(0UF~Y7m;MqE-Zwo3@-sMzEWZajsYf+M1T90gL&hj@I@$Z~cxff`TiwaIk}*-Vx6 zFk~by9~!)H|Et5g62@re9xVSKi7;jK8N|WUf#mfQX5L$Y5go-E30<0c9p>I2LB!_* zpX@l$&W8kq{O3sUhiG(9WbEDQ8#{#~d_IpCqdcwJ=g;x5G)5zfk6&NG#Xw_5(#V@y zB$Q+jj=I->)X0((Z`&uX@Va$CA_#K{!8eB-%u5y_dABoE`3~!SnT4n8ZwfW|Cgam`E&Yf_LB-*qHiGzL>BM%p*Sl;kpRR27&Nn~kgePAKyp7{ff6xBea2RHynv8rH-ZJB+n4 zIZ_&)-e17EbD_eA8w=!-?CFr;#85>z!~Gi6BASMirW`;r-#h_Zj#OasEg$GEDACm9 z9-5QPu{aTx8j3+KU^ije3S3#0Dfryt&47H zWZAd5dPs&I@(w1_YHzRSNCtAA1NXsB;_VLcx6k(2h=F%qR>WrV#Urd@@c^2;&A zdxLM5ahU?)#9PuGROS@GRj>Hsp>ZB-X3zBfExx|Zf=vO$6Ahene}2Eah65HQ9IEPYQQ^=^*$~L!_{XqS9}7a>|U*vob5VaXv%IJ-zP~E7OOqm>5a98MfP6R($d@ z9_cg8N(oOUYtFMhIkcp^!iNDLb`rbsX78-*mo49Lkzh&*SKJA%cSpSIQ}D)9v0oN} zk7>1RrR8`gKxW|wSK704GW0kXp;#AV{v1|_g3PSBpAtzdA{1#UpMH5!+SAGUt(wim zBVYZt{(5(ih7KO%F>3;33pb2GyaZ`3;MT|iFeI(Bpe0Rw39z=ui1%xPHyEnGkjpKJs+W0 zLsIEe?urAtyt=M4GmiLb_wCow$A+l{y_VMr+hm&{km_#VOHEmTNs)%MYdiND(Le9A zeOv9C&TpsA)7!1{_%Je}u6L}gs5+dak{%82M>$l`*q)|UM~B7bXP(g?#por2W!BGK zq%~CFJYJo709kKjJxd6Fqq(|XmPOI0U$=Xr?zZ3gav|hFjnKx;VlGpd!8HfKq#q`Z zuZ1Eh0Z>YeLK=eqdT}`PgVIQrYxY;w9D2=RT!F&lZXXXb&vm@(uixFc3ZtyN&=D1c zF=s&j=DyBr68`C?BIwA0Oju7WUpMhYKpqO6d~f>(;i;OQN6{VPJ+sy&pB%JgI*;c4 zKNzT4kXLn}cS6qh-S|n5R>I4KCEovcJ#Yt3qtdaFJKn1_Eh-Ck4=(53R~H&cVz+}G z%)H0y9F1D@JM~^d=hWou6R0lR&lU83uhwJ2*^5?za`YPFzQMu4KTnTX?)^I{z^K|C z$6owd41`*OnP@%k3W7u# zhCYPejTq}T9-Kqw{BRFVi-N)3tKeZi&C-aa**7D{q?Tea^M%Ad4M}4X$g{TY!N>2? z_>ag+F90~ez2(GR{ENXxv`B0%aYfS#<79gMd12EbD*z3yLx_*u>dV<6*?sGx- zNbH()Kd@GTbr#CPB4)Un?X<^R?LPg1X`~2#Y{`eU3H(`PE}Lj6d^wpPMh01LkKZCVtfV!p_SK0Gu#%VN9Q# z7kbqIrnlr^Wa1;qX{8thY)lgI{R60ufQTomDR-m2Pv@~$toHi!u}XbMYaQFICsJ^m zrX-(RJg2(&jsjJF;(}&eyoftrOI;EDpH8}Sb%?}38bNg&)|c<(9F3{1uNxr`W&X75ZZn?FrX5){4#Kb_O6dU!D3%qO7dU z3r*3bvCp;pR-*TV(EN6P@wLPmNZsUG!A8UmDeti-Dcokb)1_ET^4=yLos8VN^Q`aZ zJEoNK_^yY>D*uvi{2BD#kwTVi%CTRuM5&%l47sb~2odTQfo{%}*?-H+Aa^K9;jSM- ze^8>X^#1kzOL_OB)TY1CEbJaYS0f-{Od;#yjlVvJu75|L)4)`9oC8xJNU^0unw;$? zzK4bSkqx4K36&t&dp2((#Hrrm{Owd($^o`>6BA=&W5IP>Axi)@_hyQeT! z)IhBq$sjC zalhO-je+@4Y}9ClukM~EDIp}7@u&V3%rYUEv3q#B!$i<{S6x$5pFJ)I_ zf@&i~pa76L9e5ax4=Dvq^hhYkA%Xa`eqh1)epSsSw!%*+oB0gE;@i`EcVao$M}ZAw zJl8}V68LNcG(^Y5tETNWI{((9Qw}mFEqe3MZ(vaC8Mn}X0P(*x0i)1$O#c&2pFgH-wszYwNj8pQ$!);uY!WvvfE%9T@F9FvB5)^i{rT}H0#9V>=*U&} z`9mz0e?UZ0PEpq0S`S z^Q`^IFM~vWuky5+=2G#sJL!Es7Wtvso^4i{Q)z?&vhn4Xd~2C!k}q)d{69oBzBs!u z3j2&fIHS$Sw|qT%uK2#o#D%o$ymq(f6bQqAy1-6o zgQ;>~y<*^(z(&JpfGVOo6YdE9ai7Dv_|6Vj!lS2vjFBdha6lJhjvt3y^i^lN`0A;K z34mo3LBTU3GLpi+4zA5k;Df@fOV&*WgV?`PJYpP(aN-MB+*jTcx21M_##~GjNqCZ6 zLLq%crU%XcxC4)_YeM>)+WENlwE}5%AtG}%JwiqV1GxPM2JpwP6>&zY$|f)p8#Nqy2W*e;cpwxiy_D&_n(&qI)W^QjV=LX@e)u|1j}f> zLdmKmfrL$9t%qUzNBrf>eoSDFM-6bV9@&mGS<2PUJ?5aOojyjtK3DWmXYT%D)#qiq zzIh+OMWNJm(LqYh=idKwsaev)#8Y2jcmbhpE7u!ep z`^~k`eOPht=pOPWML>`#Y{+s6r=sG@bS~MpT78mv+*5H@HRBe)P=LYqrgXI11AEi> zJ^@TT=@qxLDHQo9TK@m8pDyWZDCN8ndjIgosSfIV(E(^mY70TUYouTz!iYhtrzd98 zItq}w-TGZUS-PYZH=ot43{nl&&yyAWF=N9>5#=*jgW5J80s)`))zSDISgMDy<@q@r z(GI;dmi$CVMqSL1-*qt!soG)TpA__{agU3`kPZ(F5CP)of*bVs!LB835=B7re;M6a z5=(0T^mye%x_6lkBI7?R`Sx^iT&B^97orJfDyGLNur4ENZE3PdV}ajWgBMcRL1l=7 zLf6kWi0#~&^n{3E4c1?juh;mt@mY5R_`#+mGtRBerrN(1Lwa?(`*c~4-rA7*`a(;I zUh&+q^|^mV_V%A^H!uF{RDb=D!t?EiEpn=Rl?N

Bjyi420%z^A|Jb5hp`Tap5)H z!~N?`NFncY!gtH_f?BptI%H%&yKlv-!eP^qc|w|(sg*ASx=U>cYF(q8roezKRTzi4 zbJ95y3?zLQna7(wWHJ9EE@Bs~BRYdzEn$CMEeMJv)8E+%s$sC>)*R*&MTzfIa%2Nj z2GhKA_e$R)f+8wJ;1%9(s32iQ66OJbkglIe9y92lP%lij|ICtJuRodl=;7KJshNlH zSc~Ad*v>8EbfwMNW`o5$@E)eEvHpqE{nxx%a6ICRu=S-r@XV1DxoXFnC5Ge>6p5Kq z!pG|YQi*Y$!3{?GShK%Tq-`PBxAkNWNuu92XEqWa%R0I4!I>tVpo{_P_DXYA%T<}s zlK}a!C|J20He^og`jY{?wGsQJG49S=)gvE7Q_C@Vw1)uMTt!kC_J8RshWF+?FMhLX z5QDH0a6XkdStD%&0Q&15ei%p46gs#{7cILcpiRP6B!1Oc7V?8zt0{p16V8G+$`4)lCIkLBO zcOHnA2rNfCQc=s)v8o?)(LvlMl zmI?>;QY@{{PUhxv~hBPuqS|V6Vzkv$G^ol9IJ(2SX^=Je@>$pOA>=6_3lQKR$2DAYd~gL z1*Z`o%$RNL=S*ZH3ud$$Ir;4qVn)2*W<2a`kzwOa#++C&*Ky9(PWOk2xg191AblYh zOBL?y>vFrP55#)L zzi~|H`@Ue_&J>$+dUIjiyu9ovfRxIz|Er4)CR9Xp_uI8}pPG%)5Ua-f2!l^GAVO zfT|vbh!#O^^(WF22ia|2SWios?GySpEcx*)BzRU=|E13m*eOpYB`d282_7$q&7e46 z1J z@kp4K2_wbJBj3ORZ^-y%@`2Bfx5Q)%#LX5$^hXz4&Hde#)E6B0EjWE_%%S*b}!$B;6`zG>OlL$uEli?mq68+_c+fVO1w0RCK#H!A&?lC#<7WF6&cmPZS zYyH-)^GO3he#u8jc3P5w*k}a^$xcm(g!TxOn<+P3pU<1Cr~35`UVL=C@F(AfMZ94M<3a zo40f1Vtdr?->JfIobFAB337ArGf{7l*ldSoyFi1GKuQMUznpFqiNhq56Km6Jgejft zGFJ(|8dRIBl>4+bZHH#?-R}sN7A6GaSb`<{Kawi`l^gFA^j-K!*Q2AGId#0mLsgtG zcp*@H>=+xjY3w7D>Q%98Gn(GSO>!OXo^X*HG?-~Gt?`+~!e}6x3i5=!4l4k<*@J}Q0~Dlp(n9{+d+gL z{~uj%9aiPKbq^~YA|NH8fCY$zbfs~C^bKf(@7<0`2N!r7i|M%k2J@Jl+Skg9scOUb=kdIeB^3C1+ zQ=#V}T-*MTR=hxIKIx^U2qxs3CHZkdF~EenQ)1oygzLZ^l1y#7BjwCe-7(f z7^JOg^BaIHj(VmOCPdXax1GQ7Lt$2vjoae^(_@|=lzeR1$mtrO>UFFQWk~rWC;aav zJ`D{;6wtcF|D0Hf>|M)CaqyYsTL|6p=ux6QqG`T$yHpiZ8ofiCHal52+ut(Hnd=VI z?%b1@lbIG)NL&t}_?V)HAGRg`2B{g^m(r5f6v5S_1Ie?|t2S3ib*&yGiHVq)os`)B zlG=-ZowFR6$Zgx91>`OZs0H7!UITMx1u*j`T@sI(Gn7pzfu(7BM_}Ox2%+8vbL^j1 zxlsku{p7c{sXsyax-WuhbNx;C7(k(SiVWWZa|_kL^-A1#GcPlwl1(08Txv-atv^MP zXg%Kj5_yUXXU0k%j+~FwmFs`26vtS$4 zJe%iU0OCuI&sre{m9A7;qatw&tJPEs@$-Qqaae^*aM*k}3RHGF0IR`-b>M%g0?o9h z!=M2G6CXN74$R3I<^R@re?Dv2W8|P`(~c}D|32w&JaOt`^GwTaC|b4O&C(nWd`RP+ zu=QwhZzfal!x#{JKloF5`G^Ao#DN$6nny%}wt@pc#cS{p<3cpZ)Ui)2Q9js|*k))n znOZ&gVc)k#8{zQUQ#XX<14HKWlky1qGUi$7C5pg3gzstgu|!&0n!;62qV#Sl+=eE0ny6#Nq|2(rF8{LMw)#z?J( z4|Hj{uKoywl1^9DgTe>#0*d^dZBnqA^uJ73DDmN}VH~$v2Ds@VT8Ka4gAYl>$@)Zi zf0_jvgl|r)NXuEJ`Nfuh5dCrA-ehrh`@!e?3C^L)2Zr;*hKirBe((4?$PZBbR_6>f zXz?0A_G^(10IUjIh<=L6oPZt~-;8ob&`UO6U>=P7ltCAEZGSukfg!*jfJ)TEEyFC% z391C5$3o|}%e@8@pM!ZDS0~pilQ4eRNDyz`MCn{-g*qAlI1U~r+x%9)c0VXm8s2>7 z+F$C+kF%)VdaRPC#-3+O87O9fHY5BUQ8C_WH-gckRF$;XjweUoYGxDMHd;S9_BIED z6X2D#9fl}LSR{wX#`1)#yBBedu9`~;P)?S>gnbNbKTN_mYVthM&q=^k zD7q*1wgIuXx;Q#;Nd`@RW85IuZ@^E@QUTbz;>Ti7Di4(ybAve02pR;je zc(*i9G(5?*Dzqqt3WL{ zu{O(b)K~FBG5RU`$=^tMm+2FFUg$S#nm^AO=Mth(sHf1#VNu8|>w3x&m?pcN&s@E! zKEcADLU7}mhjA7N<$l3PU3c<~cMiB$C;5g$XyEU->Bo10chb>p| zh&x6oe|2SqDPNuLxz^32!}JIbM#qn6zUiE%kI2|UO}V2VR<0MNyJyt$hV2)W+synh z@-LryO@04Wc{*nRS$FK#+8G+Or_f1#|J@D1A6JxH{!!BcXT z8mqhY&A72Nlb?iRRA(ju4`G#5{w0s{R9;@r{Ua(U6}{4VEm6Dfkz+ma;dla{cI^D+ z3%5`9ckj>QRn^T%b>1zV$~-dNRdlM~o|ay7Cc@#x@_psDHYN^;vdq(R!xec;n3h^9 z=|bzk<6pu6$UYay4Si~4m7y^Byl`l@paPycLU!(` zOgv=Mnv&q3ky%R355t^aAgKV!reQZ8y+DhpItD?EPhT$aY1!A}3c1nQvXksjZMqf* z>GU8_aWpc*Lv7z6|Kk?^{1|P_Ivz#zH=uz%3L?6KXqU>m3LGUBN4Kw`{K5!x(nm(96J zGmWBW-XLyk5_*aP*BsdFne}N$>pRa@I~5}3&o@eRf6Q&x*Iu$^b;T3!?hyF91ice< zEI_guAo^|Xs%7SbFZBS`ddNF$98eQ7s=q`e+-isn^uog*fo0P_%N%eINGA9;>JNIh$|-mkhP3Y- z-d4Dz_sol@jCeq|M*i3V-D%;5*E9U86)!S2gom*Gy)vQzh2gVC~0O;f6>MZP0Xjpl_ zA-#FwqU-LOPCj@p>nnW$EipzcX_-k+Q_kQ8&@E-WI2#5VWHMlv4%}}MJg0Yt;2_tj z3=d|b(Fo%9!cckPOh+8WhRclbvx!@P* zFoUTK)|o|Iqve8-bn)ax%Bvy}9O>NP;r)vHZD(QKvFMa>glkP>5=%O=yFkt|%WC7u=H>cT%ad)H*& zpyo!m)6IH+oGc`^Ihu5RPPMM1>Z!ge&*=GvzEt4O@?ypJ@#DMEO(Z_oSj5ob{x z_g3M5`gWW~F*pWJuY0qWS4&L-uuxUG6I-J|~OW$TxzO zR#z`roWo-yhxZ3lQ+sB$w;}GjZ0ZheXZHlb!AFBGo#!L&YEQQ>?bV;~ob2et zuy$P6L%1#7$6_M61i+2tpcg>{MLIs6q9<-gz@x-y6{vkk zse@hjfMGBf`-iXP0TqR9L8@NkWF4Gaf3!Ws4M-0es|FvguNueSlH%`U7Sn<%^t>Ew zfJAP4EhLeFxS6fM-fYwpp~}K?XOv;7#qTGuOBV+(G&VK|e4=vY5=B}G{(#qiigTnX z3xNWYfX%ws5jkl~0HZdIa1|P*)y4HsXb_(D#Di=E>v#JA&h=r1+2BqW*aV^suBzrT zxV9JA3HsX!DL|;=&LQJdOanYF9@o>V-H8C(dvH@lcQo=;A#)f5tGK%R5gcz6N9)}6 z++)m9F}1(JGdTv!U!AZ=J`Xsvs@VirBt9;xou?7Xn(xV6gyclHpQ;VpsGP^J>zPyw z%!L`yiTUV>Oke;ht{y5`DfqVfp*}%tt63^;LtDLqa-{D=N>6DYZ4|$9+Jn#{Ruig& z6)-dI*7zyZvxQW+utZO;o8M`5R(5fNhQLhe_USyrSOqA2zYLutv1H9Gpoqp>O%tN3 zHEb5o-Oy<`9mAKG=8t7?_^G7%s9;|fh0`)#(-N^XwqyDxAUJw>}V z{$m{PoyGVJZTGEcS(Vb_>;(_0>`y1F3m?pT&T5Kyq~?zE6N4o5UVs7ZObBdN~N)L^fKk3BY8A{8^NlD-x$6tB1+IzVSa|?x}P*ENi9NO0O)N zC_~{C1rK-rL#gs!qf|Psz&vqgTv=QRlJHdpI!J!jrC;w=&qQAm!Iaqu;`S(2q=2i-! zb$JR)Hnqx79fVXtw4z$+kD@!RL6LXAF+rIjrU{DRtsj7Tqb>4`vc$~1%ZO1G3ISs^ z%vicuKV5Y~RQp$0jzw!65fv78LE$0{1WAoK$3QSN5*+0^hIWKO1({-+nYoV9KxS3B zHw02xJ6QQetF4&Uf%aTl_jl6mMQ$0NAIQSPHS_2_^EQ{jOZ*&bl_c}CZ#t-I1)8RX z7gUrckY?lp3ydGGaae7)Wmrz(;^lp(;KCEPCtorTT!QDRx*Rq4B6-xG>U?V+8FV|3ljHtof zsxgSI#Z?m_DkR@|Ww>wu`MSPt>;GgRYjPkWL~g@D>EQb!izo)d`y~}Jw_UJmsZU_L zpT373d(LzRDY7A&i*VJ-gxO;1sHYLABORX;dt4hXvVzDDHDenCIygF~F_TF)T$ zz~qEd*^^omS!0g}S-`t1@Jc+XcF4D=UgmM%pU)g57lKRy_%4ei%n8wZgjCRo_hl$U zdDsgxb#_gZMvW|+FcJfMSnorwuq-n}Y~KvE6Fraj6tq3TF0%@D%)m58hO})AeIU5; zDM1C4T8BkK_w3r3DT)`R#nmAoXI^~JfX~(fxu}_V70Z`?2EY<5s>JQN@7IPd!1!hg zEEZRRQNDoY4I$yoT-V;7EQ}B+;jXK}EgxriCZ35`wf;W*^r01a8U&0SuMlEiz4G!I zTK`WzspqEAyY+rAvzfG0yfR~TX!x1pHwOeROLx(It^|DJ?J__Pm7o@XdlV|=MeTES zh-h`>v4W~98~I=>t`Mm2aU07kHl?y}K6I_g`1-){)qgO--)Anr4y5);dDH+y%^6hO zrp7>z#L#oprx@31@J&Z3rBsVuNO6_J_W~6jJ}11_CK^gOG_w51tR~5 zc(EdF11?^#e@X~s-12!kM@Xvd5D9f)6lA z1a499p~0J2xbPa0se-nAOH(^N{Og}o^_B?g($Eh|qe1OKC0VP**w+`Tm(VbXUf&<< z*Y^Ag<75TABTmX!#gB!MT6-qR7Xeb?j;e)ky*efiWBi8aRZ#%QBJ@Qzio_5|KuT!$Sk^EtT%Z`$^2 zgtFmTw`DXU0_E#ZkY{Z)HnHJbTC5tmCTBNx4*G|;FEu1Ceg}AKr@z<&JLZucs$ND3 zrjmuJJ+&}Fnq3n%BY*?N7?$ry`_ti+8GxgVsUP3W`C6{}W@!o|0eWqWiKiOB770CA ze^+RGy0fDS?bMkC=lx)IaPuZF@2z3G9+^RU(_bI6kGBdv?|FJ(kJo`UU{#aBg8Fqu zf)4K?-g&>e3zK%6*Hv@|Pj500pjW1;jTiqW`W6|eC-o0};Kky7WHls!sevoK+2Pl^ zSPOp$wR|rzl9Peukj=L{?mW&=NH$SxIYq&UMB&k`mlzoSd7H3%5a{(|GU}+|P$-NV z5W&HSR;B_jVodO$2m^foIy6dn1IZX>+_BBTA!7`)f@h2Bt0ba)xEHnak%N9zYpz>p;qYKtEBBz1E! zaS=LToJwSz9(;iBNlWcKJHlKfkOFqt`jMFHPx&Yd^2s2f%e{_>+Ipe7wFWzDmELw+ zT@W6k`DmTy49(%rsHbSpU8vdmVJ;sj_2yWKrk0>Yor7j2ta95Q*+YQL5Mm#})hBHd zBB+Z+_7kgS%EoUZ`#OtQQI~%}jsWTHaz#E0X5JKFI;9k#9_fDAXYDK9(*;dY^WiUk zZYEBd3$)Htq3?L^20E`v&*39C6&8~qo(n=2=2SZ|JNID5Vsat@+yOjy$FWPF4?PA+ zU-5AqW%NB)eMNYjlDjwvdwQx%3{6k>@V&a9CTWnKcGtlpr4!WuDO1Y@i_=)XqANmq zIoCXjo*IXRz<&<2JQEcnh67z>@jVV)xNB3?6M++$AIr2=A{istHl#LorKw0~*qz=* zqc(gJXK4G(0{6uwgZ(P!u3zd568|8z50Wwi8foy$v(3N_AiI?TLHIFzPk|l2VOHcQ ze9syDs-uIQ#TcUmDT%YEp_Y!Q07S=rx0;;(b1|79v~CEC#|zj9cAonaXR%J>tm@-R z!wxD~f~>gMUr0!01FkmQCO@vjwCA^5kFFv*icd!sRN&B$<`CLKSiq_uH+tC8hg|IF zMJzK$(>hMhMeYva$;y!m=GRif3}d>ea@e|)4HIkLJD)!2LAI}2Z=#-@iGzoE0&ZJ5 z@TV?2za2UA8Ok&2te;k3(>qv)xOfGfYD_W_uGQJak6T!UkeiWu4+EDk98#ee;bfSW zh5Uf?Hjm7nuH403nUQ2JM(L5^5*rL;h}$?d;;tUl+*SJJxtbC^Ls20XVM0PMn(qAi z^5^uL!!e_9|G3T1en-T40(-Jha}7+pf$LY*#G6|Yp`q4pim2S+E|G&gAVkQj@!3iK zwp~*QvTIKC_p!Z37(}}l;Ov8%Txy488LkJS1=(OBSCdFYfCR8-88)e$#+}uXT5jD& zob-$g7Za041i#{A11eyTl$h?%8-v;WXb|hYUPpK*bGVQd$c5DFQ|Cncj*nrspl`^c zTJV0v4JGpv8m9e#soOp#vbzBG&%sU0WvyP~KujN)6w@9A_Xof{BS5=zuot@@o7RmE zb?$|U>E>4o?Mor!5O`F89zgG+=Q8Q#2xb%z^|Vpbov>*!VjW^V@yajf=-5sbtRmn!3*6+AE4f$_3=*chOr0`_DWb%{5%*B zW#R3?S>Jl+@pqhn-V?3)AStU$*VJRaZA8VsGkc`6Fc`}>bXJh9bJNtPA@b>oa;>%K zG-fDU0qAwK(?ajj5%EHZYYOU%BLV5jrgL2Xx-@`h>vIg>d?+EWVYet~G~^O`be{0Y zuw+;S=}x!WGl43MXA~}GA{ecw_s9y$u+<+H!$>dr5dQ6drfA_XpH_H{ns6C58{snW z>ieDGBKH7BcLex1mcFXQz9I#(^d{=9SkV`uKrWbP0y^n?K-;yyCB4EnWvOzv zwtmkM#CY#pa4bVCYmIo`_eN|90}vr{V^)1C%y_4dravE&&`ZlhU9ac(fzjxVfsaHF zT`Lz0HNQ<7)I@rhV@%HNcHbeyj3pyyQf}F=kte_-1VjNUO-+b4rEfBUlLNf*72+R( zkR5&27}UnhT5jw2guyqmIqt4+Y_~=|z;xb=st^i$*DC5QV)?cgem(+GHLgY*S2PDf zru3a!r_0*zTaoPt`XH%{VMUxZp(kOU@bg}O!@F2x*aaFJc#3vO{)x*vvC6SV_U*qQ zMHsq1d8U!o&gLNn&et>wLJ( zirv}v>@PR9HZwXRqG3Yyp3T za1%oLQJD<$lE)aj3`O7wC8osCGq&8Q*Yfs?%T%ajG57JC-;rMuZ;~VbY>tmk>$+R3 zquRGr3(kqYJ`tP*pp~(livkHSIpSd%Vq^e+~X$%Ie^<9?{#@D0Kfi- zFB7w=siDD*mlM(g81jhZ`331&OD`{i3m-Bhu9FD>l!@@!MwnDtjLH{Md@h z;VVl85s4?XaNb7GM_d;3U*EY+fOfJT;)$`X_3+`FpF;fH+qqyeM5hf05{+`E&+V~!nrKBDesTDiUGFhV&SZE$)X zAATxvPp0ylA(!Jz)u(EWr7s(&nMsV6o@@hk?kiWO$y1~N;dyl;yuw^g z(mys0Te)nKOn*KHGhygcjI85dv3ygbR(jo64=;Sp313x){$IOOogHl)dgj}g@~>ZP zL#i;FQVz`0oE>#0g_h;A2{?N)b(7oy6i|k9KhK@<2;Y0O;Iy}Epk;Ax;}N|&_TQNG z7e$2-*7y((koom&rRFB~L8D~Z_)CJwiJBU0%jF_`07a_`BrM#jbEq>B&RJ`)y-^ir znWCc~F8%9Vdd(uxDZMYSEtBt-O5ivJUk1pXf1{m=_;u+gxU^KpE0J5kkeo7TYTB$h zdnwQ5oj_X=lc53=@GX6B*~30bU*qga$_KRK3iZe7-lR34RB^bzbBu(ZVykYJHn4^6 zsT{Fc03>~eZaP>;tJ}xkXfuYa!v!LSHt`2nBAg<%;ksKK1m$3PF0afbyf-lU!AP(#-4W>f-Av}_5-DnaOezn=Vx<_GWym)2(!O$-IVz88`| z%LG5)sW$DDt>03dQP7XvD}7LE{olY=9%uW&!P8X_nayvi4)W>mRBgL~uf%_wW;6;z}vC4}IaU z)S(%RfRZ;S8M94vC({vR1{4FeuH_FSZR%g&Tlt%z{v$yeCIVorS_n|nc!T23yO8EM z zvL7u1LZqbG0iH*Aeb5&A!>EHOx5aRUv~665WwfS4OuOUdndW!WH6Z6fIA)v8MaT{a zeSP7^!=xvRCaJ)V2XfblM1nT0%dsktPCEMZ3eNj z1eXOioire25^!|{^oRCnArrpR*FJD|@?F!44tCP&VKj$w3{mBS8j;d20W{5v;GXpz zNqsGoKuv5xkvdnqGtvWPE79hq5^d@5k8tyR&3h^HK4^nz2}XR^q*ottW)7Yp{8anN zIT}urB8g;q%ClBGfsg_ntv36Y-T}1?+Lox?677B+^2>SR z$$Ra$wSb}{s5k`W@Yz%nQT%ozzd>yEIKkM%uLqLTb^8mqpvQWMG+m8G+iL(qBQ9l< zhaMmJ*$b&iSHKgY+iM+At4`9#S@#23xfo$1#q+Te9eCh_4?1RpoGoMPIh#c|JB)%` zGxy?*L~LKw%29(;eTyaN!fmCMiogwKwbVI^#f&-hu%i}?>(OMK@yVFPD`pa!s(`d7gfOv-1&jPeNhI0(u_3JN4N0&0Op+Mk<&H@J{t| zbPAAvr6X!m`=_C`q0cy#s?yIksG1j&ADhE`+9)$y*V>OD@k9&$Bp@$MM#lqdu5WxT z1vNK?i9T^9&O0{Sj%fFp&)>nh^4b4GRzCpf;fj9)6%=^PtXM|GpvJxQ^u=kwWXsj~ zpzDjwe0Id~VrzyuzxyQQ1w|QWJ#&ox|D1miwonh*!THZOD(<+CoPXK>od0w&*^WqQ z+ZrH&+PF~G^RF|+$6-f1phTHT9l_GJ_02S{(oh`W{(9EIHN6kAg)Kx`4qk)b?(4=f z*TUvnWF**T3NFCy#b-UForioq00tFaz4t~x&J4E*n=r-+2AgJ0%aON~hJ%$FtNp7&i`szI~x!SFh` zr6>C#zzPj1wsWd?3GZkG%jl5&PJ*t_2dQnq%Bw~C0|fYK?~{(h4*YFrTk|!DF6f?S zV7wCgd^wrQA8RP}^YKhrtvkx4s7na7Gjgal;XE5p(Z$jy6P=;Ia{g}% zFZKyh;p9gg@h$XjKMrh%$pgT+F;20U#K&MoD{H?rpa9$C&Q&oh#oZ?4nErZ)(E~;Y z;a}54d*b}upxHgob>|k8Y7d@Fp7z2(6%RIzcpNNySAt_bxm^OZ zG97#1_o*KobT&lB1xg3RgKcNnR<3Mu01`Subo&kyOz>D|pv-!+k`by9Qf-kbt8rZ- z`)GX)DEwk(*k$4nW%JJhO~EvyJS=3)3=!i<_wd^eaJ>uI{so>qN}&4Lgbv>z_FG2f z(~teZQ-{C-=KzNwPW?Ql$%s&7_gOcVrh1_PRd9@vm~;<2%7bsc0&Wgk2PFbSU%Jzt z|3Uk&sHlyL>fGZv5uSO#3Xormh)w3~+Yf%#$hmILg)Sca5*pR*dp;t^K*K}8dQ@{h zPIyVtrvbV(Op$>0+0_qUxO8d(H@fDW`_f*vhMIJykFIOU7FAyDjGEzZdOwHdn?Y#` z*P4_2JoloWLt z(uSbnI+gjgUIA_pSdlHJO7@mmraW)nL{*KRp$OGvGz=&n`#K}1dm@h)IVr%MH5a0pk zod;skoV)%P9t-eu$8i!&NPo!z;1@f-S?wLY7QhSI8U43Za?+qCywUys_WLhfH@P}u z+NKK#zWtYSHx~@I6`OQBFI8no%>dGgE;C+Cli{4aci3Wb1RWCo)-nIOz)bZgnB2d* zHmU#lJgPo!z`So0P)uZsghPfHC{f{6V`!HLhj-l`Q7Rvz`aMW+TYNdz?kp zyc5Y2lnYQ6Xk95kAuk%o+M3D3Uga(?O<4lp64_mFvG~SC>@gX{%TcR8!=C61c09p5 zkM%rGV-{-i4LZjOii+_&KB-(sCT9GtEcp75TE4IwV zQi#$`6sC~f6Lra71Dyb^(VH$$20(!hZCsqwuRa+_PkBS}jiNr_B|j&qA28Y`#|uU; zZXOdJ^tyc`Ulx5I+RSlWb&1ep|5jq&%n5$Y!Af=2Osv_9u&J&@ZN%CKL}5&*zZRCe zkC5rMNV&(SC5)HYRYbJ}rLp~?`H=vpy=lE6531^vu{f&w1~_sSh#XIauQy^5?Ym9| z;lSR{fKxk?WEh|DyYNQ?3nv!`Ce3*x6FDVkS@8>lh;)y{@tJ91PTRjLrYi>)X8N8Q?{GH5n{%3 zGAx2)j|p%LAV^JMx${h;2+ITx0)ZQ$B0Q)h+i86rDsCV{*iu~gL=ToYk=hVYKLL*p z+fIIlZjHqnR42ZfOz64uWeh+Z3TxW`R0)+fa+j6uCs=RShxY%IH;9{?e` zk!U?dA7J*`yD5Qz2AKtf7;W?ZOV?u2-XUSx34A1~T@9wEWqFbAc~~*@P5|}_jm#Z-$QwdxK3)G9m^&-y)?zUwCeup5F&_JG2(9~Ldo_{&L#hM42S5O zsUN4_z@ftA(bS~J&e!ko%Kc999bL7h{*M0rdr~*L)YZEf7Z@R6VoX#!nE>2l`Peie zEazr=1_Cjv0IYLYMA|SFYFhuY z31>dwcTC+EtpKKJ*2DSfG#|t}A`f6M=hsUXcgpjsIWn;{GuAahFF#S|FY_e_dOI`V zC%ny&F^gCc_bMip9MXO>*KF}|=;>3zbIJ^?%wnWxI^%PJYU9_K2a4u5Vc8T#d*Pk4% znv1@8mzD8;ys<+K0@o)wB=l(WC|wucEiZOSX}!s${OQosUlh>i-AhyC-T-Xw96Bq@ z&|8Gf$9y5Kg*p(BWGswBW|-3vHR63yYON=aVXTv&d(2)to1V`7aXy<#i&6WXQL_Vp zo_dRvi-Q_9>~o*S&uw|`s0OK*arU*z{MvI`<1|r9B*hnX+bu$rrN{s`CFN(qSlE@w zvDW6s``b=Q@m6Rvh))+~aXZx?0n6(`pvZUkW8uIwj}EY@Cdn>GOV8AuKSdeq z3pWP7+?_d__I}|zT(chNOjI(YDeG;EPKBwua7u`Ktgrq>nhp~YC9WkV939^6HnOZ$ zP%I*+CLB&p4aoz*O*7U9U@sL%a%_`F#^>-#N8ulnu;xh-af1SPbi>#QFlIxH=Zj_H z!tK*KKEB}A{+2JUBO5f(@O+NE-RzHoRx%?RG0%rV+Z^zU488C)KDzX5l#DHRuK%5L z8&FWia2jEG3@Tw4B}}L;Bsd8{vFS~Vhwp%4wxn8ap&7Fy12Ha7DZG?@ z`U6RaqbC@w79}*F3rzEmOYGM{(cqPxkbKW$VPTO0krp0T4d*M9x0NtoqX|8P&a(Fd z>{)xC29T96u6mwS!`*yFW^>(Du-xCLBYMb{RqdK4XZ_xgi3kHC=_?I>fHl2IdD()q zSFiv4j-|zQcH8sF7bmuY9Ga8!{JD1GGRDq+RPicY#}xS1n1JvIVOC;|>H0KG#}Q^t z<|UTu%<7b{3z1$Xz!Q#OmbgcE7U_V`RJBDLH z_nUI>70vXvQ0vwk4L6B1BhK?PMhZqMMqIkbN+1=cc-e01wH>>^4bF{z!$9_T2Pf;A zU%L|2T@AJSMqD+u7T4EW*v;JQ6IW5y+wJeWMhBZdCr@HwZ`(W9E6T6_L)Dyi;cCiW#};cm!SIroFFrC z#l-Gn25y0kI!t3sH|X5;(x!9!?JHi1|G>tv4o@9vo#))eUS--R3fMn9KHjM}6Mr>J z3!18MPy|F%HEF^UMI9-Z$w)H!caf1d0NH#C+P#3_^(KFW0Luo3&t5MeH4t(7xKi?~<&W@UlCS_tpN} zBDfw;4s4GV`UYG+d(>eJRk{kngxcptD*KEZHJd{!+W2eQuP zg;%r*?~5hlX)Xa>yN${KO8f#1&5HGM-SChB!OC;7qw( z>!Nat|sV5O@NH8dsqwLrJVEA@M@4f4R;Jx9`cX=)-!})$N?HyPrtr`EuJj7RX6SS%|k%n z(lD*GAV6v5w?z~2W)^;7KI?m9=gPp@>LM|!o_3o!7q5wV_Ft6L zzMovb7!X@qm|SnRdL&#euwUIdVD#AED-_M>U>2xdE6T^dSF?#k$-?wAz6^OuI3~MM z^O(Pd5WQQ=Rr{7>%k2xanQ2h8vrbY|W@TV)^CZc$X|!5;abUsLr?((VG!|F#7J%Tv z1ja*|mxP?;<5D01Y`_zH019<9?&(Tvm4QCMzYL)4XSGFOo`dpdy<@FcONxv2KY5*f zVl}$g43J|Q2-6eJDa`OM)Y9~8I>xv0gG*i%^kk;uW;a5_unzX9-poIG9oN&Zg`#J67Y9u72X-1+;Lx?KeOUNzjvW(e*XQ)``NZy&A#0_3{s|4hD0|- zEtqeyd>DPJphBW|M`=sA4x&a zdKj@;y?|EvL8s(Qp}Y5N zR!V*Mc~ss$`_m0P0?i9P^YA|>bq|v-_?v7^<+wDAEq#E!atO3zjAt{D2a=+G5pTt# z@~Py6Gm30yaPhY#&H2m;)mZ<1475{E@a(SxDhXO_Uhe@C!5yzWu%uBIRGqV+Q8!hUsf7~u+RO!ryr zFvl6VC+65}9d!;6%rVIMMA49wWbiTd)XQi zM)g~}V)n$fOGp^5C)uyy-}{dipxeK?GnRNw12R!p{v~UAwsRb_Jh`Jias9!eQT7v4 z8&BA6oR`%qQ)Kfrh!(Y{_|E#y46l}`U@rQKR|ikG*6&QU)H^1I{S-}zSgN^d`(+?c zK&fO;BSiQBukQe-dURoFYdT@Dx2BpEat)M^Gb1gv{_fJ(7?PNTKTFL;6Cjc{DXtR z|0AOXejmCj#_syFKl%7B;CH*);*Mys*#MrdbqagmvUCsvxRdSJV21D8Dp z+#KLCQ0f|_dr8#NRf<_!(4pgPt@uKKX+bn^a|ZF$qj=*9D`Z%JCLllHTsiGgASC1vp2#^BGJDRS^9H3A9BgeFjeI99~lJL>g zgrGm!@2~$@|7XjI+EcMr5}BU?=l&@~wTias=w3eg@Gq#pd&ldzpmYUe zzq;=in`Lf#{bK$*?yk&wvkv!EQw#}~B#MbtQz}7+ylu%sNqyxGrr~+m%0l|H92vHn z22L`xvGH!XEfukRRzt4x+xXbFQ6IS3m_c}-d*TdWdg({1B;jC%b?WvsG~R8n(=Z)` zX~!11&Hd5m9tgUQLkE5n5l%Hv?%Jfd)PKDeB6JlZ*pp9lWU3lhpn7EGj;Qu(_*(?I z#r&G4Lc===fnW0Up1T~N@j0VAkqqca65#+E)izT$QACr*eN#b>m3!$DR0f2MLIDLM zcdTG)(-|nJY0%<^0@u{OcqA{{Y}65Z1EwGfhej!I7&l`h@sV)ZgHI%Hyw4(_`b_%n zJFa}nRD*=;sv)5P%6A5D^GOaWlp~CfL(?_4TSNq@C|MO5#zVA+Z{2_O$al`lKj2(= zMV8evh4a>Edynr%lWOyFiE&(hVdri$FIs}F`V3ah|0vWJZSCyri?09xqu6|q z!vxq#D||g31f{qRCrPH5IO31}gO@Mn-0Bl2)WZl_&;ET^Ddc6Y6>o0UC8d!chfZEm zY^w#EkcJsG+vT{Y2+HRvfN|aeG=p(2U%%yjEF(X^I*r?!I3+kQH-7zE*}e=Vza==cx@I&KIH9}O!X zx3500y`Ojj=hgVLn-QUXSJtQEu${o~s07gwP#)6*FCPKOWu-JH*g_%6q_MFP!+ak~ zmTz!KZo;P(;%!Fev} z!)u}w3PJ=n?i#JEOwAWZOU38qXdc~vRqyOd8+BNjjJn6*G|odOLIxxJ;cP1fxvWLQr(4!Q=-g6brA>z@+N;)2mHo0(jI!svN|*XeXpVbE-M= z*72BfA;=sVRDsAyA%Ogk)^Or3mrpbQ-H7xBP8^l&H!qxBW+;`71RiNV@a$I{y7vL+ z-9s_VYLFMUr)NNjZ3F&=0m`AXxuyCo!-M__&NGyh(3VFm$vIQYXHiUK&ZyNmeE?#q zqtLz*-wbgzLUu;?9uRek))0^~7xGy)_u#84CTp4X<%OCRRA$u7OK;g5oB$%;3cem) zKOx64vkY*&lho2m2n5s&|Gv1|Z^V7k) z#ACE)w#HVi@U?4ql+Wbic|alL*mjOtxofl@X#EScwA!WuXn5{} zXA2OAr*;;2(3Lx{7T(`j>;9>dW>)gBDu9)VoZqfk?7aST8#t{Z&sSxTp+26G?q#<` zIo?@c@?Xpk@6lmEDeQOVce~O?10Cn;`O+(1UY9YQbmWa4RfPSMwZs&Zm8TS2B2~3+ z0`L~r($dmR-tt%Rr6%viTlB=LhmjI_{AbRF<928A4Eo6fZZ)9gB~rRv{tj~iCyQ4( zi}ze-9B)^Ch>MR89+R^7=_*SvC5*XM0fS6+hCiptnVlfVp}2F zg8k;-?xouRhwKb5DD(Yazh1L9F7Kb7nfXQ{Cv*kmqgMQqzB4S^bsC5(gJQo6_1mcx zH^;NDN0>PT1)n0m3z#3`JuC1rI5}QRcg!w3tu#rxni;~0|3iF(nVS_ft@af-bhMTb{lzY zKzGT&)<0H3hb1fi%>UDnKGa-9-~}HpT`5v90dK1YR7g`ja-#P9J78}U zhRCJC-a}#K;z&XfjG;U~y78rIcsbqWTyFEPJxLG(8i1jkBEZF#nWB!z!1JboI$#4H zbY)=jNC+n&Fu$$g92l%4=fIF3N@2E(oNuo<8^kH^-@5cIxb7z9ehm;iGvNOIveQ#1N5jH}@`fl) z(~ojzRgYu4C)Gjy{OMXVX|}aZnV$yI2VR{!$livh4M zSN4=^=~xr9|J_$YI*LEzZrbx47ZUpzG#-v&`>`XeqLTx(AeJq z0naV&8MDG#dMF9H3FJ0zB(0TniI9-FIC;E#3j9tf-RJtlX*Q8UDPP7MfiBTr>`N?~ zRm!Xo78NI{DDP%q?HCBvT2+8I$OFKAp`Yw!z;_&vGQgDC=lbqU5&2P7$BF4Z%hjt5 zqsg^(T9`)J-OV7RYR`2YT=YM#q2uIKLh6<0U7e!6DAk3L>glMb4+(1B4;-LydX#-z z-qYvnCe2e*8?q!PR0r=OYp9omD!DdI^UBKQOayDiWLZ2YC=B70E97()OWq$rl4kUD z)++77=;XwNkY_3AYyQb!OM6obHK4?@i}AnZ|DS&Yupk*aQZ;r0}-7c4x` z@W7{8772;WSBQ?M&fhG%n@)=CU{%wmlfL_=iTA^?gc@9I3~7Kzy}m!O9dj%IB!CJPpUBbpe-X%fx*FHxv~Dn=nk}0vnr}{631%vpq#P z#UC)aQZMqASno8V|MP;vf!N-qB@dfK`R+h$=iH7_iDF3_k>)V&ri+0^yh9|f&QV^4 z+Z;JJXUzAn>F2W;!#Lfe(|t(G{^FLHCvU|_yPwx@4COU-2&x941!R4s^w|hJUBe)w ztMYPnKgA}SEBkZ$lO7o-QDwp;TuOrm6a&=i;#zWwk(*Q@Ft((nS6E`^P!&Nop6%;>N?nm2r8Utfo)hwbfJWA zA}sBUVb{B#ZB~PeqdZE1FznDytvjuhFyth%`(P6y-uKlSiyeosdo{Og8>&qg;d-sH zWS7x#P`6&^=O0{L2PhUE=_gn3HtpeS^RH}c>)+lwz3?^U#tMS>>nMMGT3kf_()()= zVQja5rA8imqwU;LvlZ?IeJ z=;lKU3}}MW-6zuU!jznhs1<#VnXC+X` zTe5x^?2Ti9?0Ws?&DLsfe(FE<#oyCt^%|neD|4;u(-eS$YY>IQCLy%WWMMF z_V*T|;~Mq01;joYbc!u-8Xh{=ZvQglc=qW`x$W)+`7AVIm8>7ok}8^tYzcYcQJo_s z+;Lh}9*Dy;b%Fc$P_qP@A~4B!wQJ^pia}kTwc5y7J0m zV|$XY2jkT-~V%>%(A5+Gkau2Mh+q?WE4_Vwi2>s9EC)(N7<2?jD#YmB`ZosL@6`7 zL6Pyh?qk;H{eArYczc}QoO53HeP8!ApV#vupV9wQ1};YhsF&`hv>DZ&QLZbTkUGig zY2LqoKTF4n<2q+Oo<@Vri2gw`a|~og|7kJYQJGh%?f0JC4+tPxs&cp7&NB}sX_w8q zJppqbf2xioP1*~%;rtO(nP#%A(HBrTi^+GiS}EQeeEQQmDcE6h>1a;TPYeke&(G_L zQRt?=Bcn8SR6V^Q`p((q7&V--*%92C4zBtKAMCmuZSsM6k&+hN4^~wfswi3SvUz8L zW-X1g1pFT_NkKjxzp0$br|8myr99J^>kxUx7%zgy&=0NSPJAIY9o~bD%oX43`3Io+ z?2T>cjTQ)9GW$SAq5uTgOLr^cag@Ea?{(K&aW-iPp19s&U@-xH_*WJ?Z zjTDWSay*-}6LlT6nP#dp^vvC$WY?qnE&UN<#SG}5D~93fanfyzS_z`cURp1r@HIfn zfYRZW&{vw-E(5J7%#e0DeE6`tcS^L|Q^&X|u`)DjG&+5QXqJhz>MJ=|%3yL@>;#tgF$D*<+uS>QX8ll356Q5*BT^%p4v zXD;Tmdf*-)uTr7lhXiu+hkI~#F*O~pidY=7H}*y2sR`)kaZw6rbGHcC^8~<$#=}#yL1pr8ZKV_b zxVA|u+ig`Tk0FHUXM37~TtF-dqqm!)r@%q#HwSm@Mi;ktJ1*weDFHX}0#bx9>^%^ea#J;oMJ~5$+Y*YB7;Nuuv2Mu-764xrIVGa6en_P1 zQ02DYc{ZjVn45DyMvme5nmcm*lE2Ol0|Y=woj`eqPHeDiL(Q zFDJDYVvpL*+htQvjwo%H-j+c4$_#>%|cg zid==tZ;Q|v{a|~yZkh$xO;r26odw04M?^98i{N0lvuyS(&7RKbRb=onYFEIf<%Qk9 zc}}j+YzzG(iYH!>HCA2-23!1_<=5bg$h1qV6(XWXX;O_WpmLQBeh)(jHzJ$;V$x({egJ zs9BY-G_ZJZU#bZGP0~ShZWw+C@hlzN8%t`}uWy#weg{c`7SpvGlu?cQ>(Un+kWX4p z$C?`Mbtf9%zS*ijH$k3bxx2s&*K^a9or?AjMwa5a7r(;{*&6^Ssv&!i!iF*>2Onx; z{rC(|f6Yk}4qMOZ!||SPuX|#W36o5~r}Y%Z>I>_ya{^V;q-u}fU>rg~>n9X%vSxb1 z_>=-wUAdI;5t^l}Z zbJE?33JARe$2RvPX-usr-zWD+tQ-u2u}-1%%;cy0a_t8APqRUDK0j{y&MQ&yE&vT^ zlXp&jIMzki=rev~bj0c?fU7ehoE`EPY-{F`Kp3x!WuM#tYs?wNt?jxiPz`&=GKxZT4BMNLXNH}!fvk~_>!VN%CzSalF_7Hd!P|Ll3snHY#<1WrA2 ze)};o%`ID!Jy-JVRHeUFaw5R22ay4tSV%+pIe3_SPRPWmKUTg6zJW5R#N*u(wkr5} z%!ouMU+oyU+5%;UZM#l{y^1f{kqWwa9_^lgg=9+ z*L8rX{CXgxu<};((j&>~T@ba|PPxfyE0R~0BA(1{hv!r*wW zJEb84yE(#t(SboL`(w&}hhFT>k9Uv;%dWoJ_u~kKCHBmjGii+aS%U(TJi_>b%F$+@ zQ?GOUMYuKN9VTe+)XY5CqV2m+4k#^+S?x_s67MVRa!s16fVM$#WZIk0B~zu`0}^G% zn$e@!H15y%kBoN}`JiYn2nj@IFJ7X6kGW2V;2_MXaH|2DN|8PxkkdCaNC_F3>A%i+ zFS(co%W4P#{T7X`vp*W&$Fun^^%lO&y=wxefS1OIO=R;b8FL$Fnei#(GcY4{dHc`R z)B-VC2AJwH_35v6BT-Ax^=REp1O_=B--uC{2xdey+$iY>FVc?lg0Dn4>6`RsU z_PBB94O+CJryRk#NYUU6i>L_;VozZ&2Qm-h- z-2&}xra@D~k0L+20;_}g_@(_osC#ebJxJ1xBX&OqIT?m?o;B-R$KMZvxUR~3>gm=e z+t@&XM55S)LhUD~nf}qk*fjn!nwxzk99zUvbzWD1n^plF*bP)gdw{gb_5Q5aZW&ii z&tDVwNc?h@NW7q!ijoRWzCkmyo^J9{jr2O%jB&Sb^P}m>p*tn&M;%Tx1WI2T)U@e& zR5}kf{50TaX4{%~PQO3#G<(Fu)i+Gx$`+eXzYIj2jG)%J$a6^$?cZtKykbPizD3R> zPUNpoa>k(S9wTEm&0e^6t{`nE%Q=%to?%= zA7lecDD?BF+5RlORsE9wtnoD8G@BVS4)WrvP$U@uJjWx6S5QfY8Q>XmZ1ses47DMM z!cId|m6cq*8U$TQ4?g5GKu9hK;HURr8m+bxO>e0oquXb__m-Yv_L8h8+&v3mThWC^ zR2hK;=-l+%45@i(hj&IR9E?P?kh5hq3`F|s@Kx}cP%6S0OR@`0il%;Tv^<-u)u^Vb zDm8-$8hR4FOGMx40#uWe_lR+GAAwqRR@L3^+b%gGPmZv79B#d|335R!Kaf7yC*f2H zHRO6oiWHC-0)(r%PXg|eroL(~fJrz|gk(C@5bWXMVVs>oJ}Bb_9l(kRt7aNVQ7?StvfXE4Z5+ zc3;H;VADn)GS5nFbH%0^*8xMTIq(5me3VxSn7+{S$Y27%?w2NM)Q=vGLAhaoiZA#G z{Fe4Jz>v4iwO;ywSS@B2tido32+fW)kDyLHz||Kd-DjFZ804=I#8mX<(9KNr1d4skFTk4xTf}au7Jw6iiZt=%s*(YujHCY%%G`QkKQkfGmcgP?ey?PDhv$04f@ z39A8wt7PX()Cr)^mE?0mn&mj;?(ItV+&R5$Eub9X+tK|;$pysy6sU{#9vQwPcv}qL z`J*Jy04S^h6eCOSr+k3PBojDsTC%kFs;R4gpYwFVMGys8QSk8aghQ6N4!q8S>3O%Y z_n&DE-GPQg70{If&}b3^=0FWTNBRE6;~)J)AppC?ESJ1fFJKciiIo-G_ejqI z)RXQ-PkmPsLdXI>l|x}lP(43>$LB!4Nrmp8+0=>Kk+}OKURhmkzLPzuaP&FRYJ2HN zD8bJDTqv)6bv4VhOc(pPQz9qz8B8@aI5a<{H2%$JF*#>4YvfNQxqs!?ySFpILl&Y3 zg_^ua6Y|+suc2(3_NPQ8peT3p+tFL%Z+&KRQ=W6#@m{=1$|InC?LP7b+8KJEhvz?b z(+9_7kg@klcw?2kevn@$ln=x|gvDpGOjCIE)IILE)=CS`O{Yf^)`e;7<1qh3cY{-i zud$0F&68MDAT{}#eu}OKg!4UoZCMAx_R4>J!JjI=eCVr*V_XSHOa&AAXCKJXu8Z~8 znh=DNFGHuw@z~_GYuCbonIm}Q$e-_bm4||h&u)Bs;T_}+Pw?3(Zo=sxyEDB_L>|*b zuk%@jnVGp5a{sdsqnJY@bXU;t^IZ?>NDh;bL)@-SQKILoUu*2_{6OrjVd*CfUoGNP zicCtnccTp;Ckf!xa&mJ1mUAuKqNCt9Qk2djd0X;gJLZx8)R);aeztPZtq>0R(0X7A z$yzw(dlRDe;|Yyd{Js+Z^hf>P9MEG>^3^tzb>(qMEAc<6n7_Xd3fe4BLjVhIqmA!M z3zT)O`nLCaFRNngb-z2Xzs{|$Ue)hCPL}j9T2y=ZvYjAU;$iYeje8v4#>Z8lIhY{?|5Ks7Z)Sd}G|o;WZe zKVDl}LeNmEIJ>AX=6!fim2Gu~fD;JB+S?b*_w8LG)cIvJ0%+}_4g@IbtN-xm_`L*I z_2l{IJWPZ_M@rX&lS*e884ZDJ(HTgSphY9&@pXt@)igAsqNC|4?nk!#y+@zGM$Os3 zih=duXCa!Z*t;s48`Fr1JcX{!yf*SyDRglF`T}WvPSUhEf87$A%}X( zrz(l_7V<2wqb!9dV&~bP2bv=RfQmJr!u+3V$>{!(w_zt`C{$QmF{p+NHIaG%E+MU! zCKNRL_k$5%riSov^UgT5+gz~9OB$@yQbgdCDv}!*rhTN@vquoPbt0gSwWWZRPzYUr za!o$asTsSJj6kQNGFxny(DfC4y>VI8B8I6$k(-OB9@A~PS?>UQ;8#NIdEh=L#iLWh z`N}265ObV_n4_93X+QqK(!9{k`-i@SzAQ$#A=XVRRz-snUrPzjX)>v~r6tgR8OR=t zS?wbtM5*uto+qVi<$0JPZx`FiM_!r@j&xcmi=6SF!o)9M&h{8&EW=>A_D2kb3Osrp zjY<-0vUUhSi_ z4&hisL&Kc5M_zhQt{58ftvuHbPFNPJY9LhAUqRBywL7+?mzq5Pbd@ns337oBdSt-4 z)=_Y^Q2j1=W5hqv!&|L9JeC#ywM93shz5&q0`VKKe4J19*AbzB3S>wR_fx5&#dfec z@?`eX=c44W=N{;|-VvW=e`em$JJ*tbJoH^`lP7%6CaCyQVV&!#v%ZI94rqAwzdGlk zWh6R^@*nezss)UKrrT{;8Pe6aPXCsZ+8nRg3w2Ngocs#B^v=U?p3+MkBh1R&gh``^ zz%Z@V%6@qt#)M(Li(ZZ7@Gb6ej5W7K*)aoTW1p%`fyk5{=}0+=bxVbt-jH%04r=h-o6CLFuIB39O_o&I*ki{cP)Z-M zpOBD{3=PhWnlACd2$}7}-IVB7fb?{d02r*(?b_V3<|qt;>aEupM;=@;JUKwX@`dkk z^yc89l8N%5$Ub_hLCVA&8tYo15m!5?9}N&>H3PxjtkQsA-ZoZ;WH8J2Tj4j*YccnD zV3#9G+5U67=muWDu56!DW_svjOrPea=kn{DFZ;t1-t=U5#2A7k^E}sB`FS#cbs7Hw;f36=)FmjtTTSI)TF#Hw%zD z&XF5|61J_R>U%V44RMHMv*5{`E#wuW`uoj|9-dD?=;^{JQ3xM59**BD@W|xuemM;5 z3kP}Fdf|XZX*3>?cNV~1C3^9rY?(_Q0q=pKIJmNRgynA{dJHZ?>7Q*S5MWFx!^IZ1 zHCgUzCP>@bkKV($g;zfY<~qGBe2?Sk;+hEtwG6{IYCho2q@iiPLmi#MCrE9~9UUGc zy1O{!#th+135}r~JnK{z)&!3Jy`)-~M7CeB5;4qD;My+tQzxg*b@+_}@`HnT-n%6L zy0GOLDTE+i_7M;PiUJGhEU;(Ve+noOUA~+vPmezfD;9isCK|F|YjprTHL5TAlN*?& zeS8bDKK|SZ+z<2G%as0W3qc|sZv+cXa0}{!^M4QLe?_qA@?ZP8^4G_iq+o`#arK-S zTfp&8sw3bHJtvmlcOrVAN+>p)blFuPbiZt?CJHq?B)m;jF=9U`{t*nro#*|1e@4|u zA2}X3C7<@ z1eI7344L##FDwbVORyPfC03Timl>qI=N?ePAa2Mt47pxoQwpqwCRHwJ>8=i&@@>n; zYejMj5w1z&Je@MTAJz}>XIn^P&-~-R5jJHvhr@64H0Q)J(}#<$HT&%>(?B@D(Of8~ z#GW42KgmwY-CqN@{|dMx;yYUFo?qzlHJ-S&6tep@ue0c8I}no~GI7n&$mT7rF^`xI zA3Q%G@ar;~-dPK*RUNuK03(fnT#lc`861#})T6i)pm!~&lno8zWr0H!iGA?(FMs?3 z%5MGT`r`M2)L$r$5PzHXi><&niI;1(f|-D)&Vagx3aSGqvyctXaX(E>=4bXXg9kfl`=rA?`~Ijr@Z{V z(`YKVAB43O7J!x;o!A2d!To;0o>WQpkrOxageNBp0g^ZtBKNPcaq;myKsXT#zJpk- z_EAvq9H8J2bZN#eYXd)JaN~@f`*yGV4h%#MPp@n}wdw4+a|1wV8wWwEG+m8K z-bWaqg1`pQ%g5AX08<_mPz%-Uhfj-^cMM7hF}xSi>E8Y-=H-wR9_btG#SKXdumkWU z-nKu+$r#vrZ(?0t9|A#)cC65;5!d()S0p8;9C58W0AwfbHlgGNR-us_EiW%`dm=ad#>1=W`ueTurkJ;yJ<+{h>n%RRmLZ9osD-+LoA z2|Ikh796!A_^hh1BUgxDi^F2{L1`!qSc($+3yAHh<^2N#Uz?KFE)D(9u6XD| z0higgW7%a!R^alSpV=06nSzs>YV!o`-V4gY-cdG2{8q*92nH$cuguFjY@>hdf zkkj}R{dVX`-bQDAnqTG6a1cmLiPuay)MEi@e;(i$t}^i&FWu6Jr?#YqsZ?QvLPPTTebWV1A0qv7ZWGV_RQq{-FAGxmCNm_bwuNv=wzT~R^5<&lnnOJcSa zUbwzroptV40cz!zmv8pE@nL;{x#*L`rSwsrv(rO4P4H?bx9gGa35BqDiS zBmhd;*KykG-b@W1aOt$it?Eww%(rGx&6#nZ`}s98Je<{bauhgFI$xrq-v*7wO6`p9 z1GkkTA*4H0ib6WjiPu9yEP%E}6zGq51q518DQ~C|gcL+V0c*u`Bo2#Dw43zV}E zf^9&ZOv?hY@sB{m6yPs%lV_m3#l(og{i(e=WDy43@j?^AXy%sQFUI3A{M0oDOp0oqRiX5rW$P84|$oX4kptNTW zd?=_noQ#5k;%G!8Vq;$q7>Ly%whvED0DtWt!}r&pu~Lg8U1r|vwbi2SCij&C+;qr3 zCX-(c3jSF=f?@X6pvqy~`}wOyB4S-2K8z5mg`(Nqm{(5Z18)t2ES{DbB_}o=gs;Zp zN%Z}HXYb0byK^B|oxVD2Yxixk4bI7mP@$A?);2;)m^2!0>T5Yzqy-s0BV#?9&II+w z^u+=<$ag3(3K+6N8o+G1X1RJ)fN!t1L-=-5L*(snmEB1HTV9th?{0yqiJ9{rzZaC8 zL>}Xxk_+qsv&Z@7p$|!d#|c3jW3d`di(}w_OBsUM+IsPcQS4&p#BS#Ai)@{a;>`kF z#{lLer3v`lxd-_IQTBPf5`2-G!-eBk6&rSWWxxHvSKkN0`TEM*X*Z@iPrB>sMgm|2 z>Lb@n8C6RE`UJo2pk}?XeV}wifXbpEEs+wj^{gM|$}6l6N%5r+^TwFN>Rza*9RC*LK@Mt^-50sZtpeC|FAq5Pn46xSX3gY4Dj5keE)GPoEH z>dQF((HHe&TBQT^6z8tU9~4{L;RE!f6nessUFASUTqxI#UA+UYbRdLfdo1Kg4H4+m zW#+m%jYyOqIk!Gr0AIe&Uq+{tetoJwf)FsO&p{Fk$X7q7Wbmva=jWvNJL^8z-mB2bW-yA-U4+eD8Zix91 ze;z#4TNjS(KCNx9P*hpWtCxJzP18r-VAz2w7O3HH^x4pOMQ4AH4LSDCqRyCu5`-j_ z5QgsoA{s(Ih&htclcZA^?vv*^LYAcz{}z0TJyi<=EVxvZap9AUVl&e~DSBo(&j*MG zsoNWS7^ssQljTm*($QV8!KcIHKmhl+##40X%JC7KA})#72{c4muzHt~rjnI}T_bp7 z9hF{67VFDyr+x3rb3(=E^5H}C-!e+cvmnV^n262Npfj(5qfM`mh|Np#hXfz$f0wqp zFId_#*3ItWcrH+LVcxB-Ua(kyoE{?OLB?#_T|zfcpDx`Eri6U7*mO_D?WMT^U68&s`wp}KuE?N0I%udQLBMxmcxM? z1@ywF4o@ddhXF1=0S5C>0x2>UeZoChoIMgnq_+!i!@nMn^NcF6I>RcJteP8FKR5w? z)0`+pVD(y2@fS%JOMXR%F8s{S?@`i8D|1CIW&uW0Je#%8K3AxtEpHPQ?4}trq}l{a z44Q=WtFXhfP_BB$RNe4ROu4DXY#O}b7(Y*iHyjso>+f{XXbv;%h7miUiJ`0~1jW@T zC_%Pa2u<&M3y^@?!ZXh?r#I7~1Hw_mk>hkM0KPDJi;biIJ(=+a&#PK9v}{} zpA;FFwYJ|m@FAq3d68#DJiuC9BR()D$90aBk22!WJ9dB#`;*lx$qjTVk2+vduqvQm?s{L_Mj+cwlUpnVf0hqDPD(0l{ zZdP#+`M{baqXqg}L7rIO3vxnq?R%mYj7WUKYLJJ;jq_wWTt{~yPraI7I6Pj}pKSa= znd|8WaF)4IoD86#Iz4SUi=oWohqQ!5jO-MCb7V|Rrk&nBV+jwawJQfO5C8z{$_R3- zu=nxFX}huZ z5(naXhSchlLNwe2o$x7pSV<0Ml9hzN`C z{dKf-N*vFutO-3@>t}|6l9DvGkTPULWiyC0@sPJM!VN;})uqR0R}zzK|44;6k_rUk z^LqkHV1n{LWrBLj!0gkv%N`egI3|>E>4o*v&q-5uK)cpU5+E@MUp!&(R#Y1mOun`0 zpdfh47v#c8^1X_go63{MIZ?eqkc?Ma-$EW=I1n3vDz&BLG)_w~OxD#IlB%K4$cZ$_ z=;`TIp`X>9=qdtc$riRg7v}7nxQy>wX%y^d>!^!t7 z-$0iMcBA(()r3f z71B9cs~teo;h?Ppdm1JM>%QE+_mBy2TAqKce5}+IgW6ZbsF zG~6L;f`$xk5M=;m&9&mDEu4Xn0c50A2JuPuhG1bR+|RL2y;k4pu&Y;zk=}$l>YS)- z$A1378V|<1`KKiEPMJp6Hru2T7Zy5)0Pk6546RS{*A`RqE6N|iclyyc<`VT%v-5nT=;X!mXv}b3N}C&=5R0+cg0INV~mW9 z(x35v@)+9F!~P6f4k2!Afx(q;80wIr!!lz=h73_2Z-?0Gk)q?yAslxgytq)FBR~e3 z)4%S`_qA-*EvDB8$7akbNUcFMDrnYZ;RM{EZW_|}N5H4>o{5ZE*}?z4PmSE+raZ-G zk35WZE4DllHnmOW$2bk7$8xal@wKnxUNra}H|U?(M@Gx=`D+XzqA^5ubi2vDZC*S& zhCg2kPlN!uOpJ_neFDbEQyg!8fP@T32 zxgJF)o1d)&FR9R^(Av!oh-1*a62ETb(qL21|5w(R7-C*2P1uR&2NDNXoM==Waw8On zG*Rwf|AWH*6%nO|koYmt7R%KMZAh}_>3?(OVF!I&Og=6i&~RNy9vZSnnVhGz*7_30 zkm(dyD0qabv&{K62?RES8z4+5F+>)N&zV1KR4R6|rA51TD{Pa^Gx96S&9bEKoDMTD zv8QesM9S>szBc!1H|hIRW{7svXa2Wt1JG6#Rzl0WtLdRpekC&~{UQ-UVCo3GmrK&_bv%xtwV}YF+?4;mRz-ohg_KO78ufQrNcS_oALIC>iTTa;n zNCr9Lz^Iahf4Y+f+pCQvhJ5v!ViAI*LGlYFu_7;YE=JEau0j~n=)r+e_a5A!-S9HF zy}+jk`%oE`%q72@4SL$-np9Y)FoJ_n4^N zY7vwJLbaVa0Py)O1j6GcQ?UArKhT(0+I+frH6I4TV?{P59SaOhGHDjcm)&?ej6$qR zN#j*}fmNwxwpiT>%ETGRBu)$4q~A+}^fhS_NDkY_O?M@4Vj)7iJXgKj!_Dhq<*(;t zbyBCylfPsW16lxZu-~kB&iHq?@SINPAmw`S`9KM$Ffk%nLKGsEvK`vMwVV}(0J^}M zF!|Ct(e?-qcp4f=m`u!GWfnoEuP_0!!o{6|#k*HFhBOx}RH_ri$cibqfQ|!(swd7Funig- zKZo%W{a%`?7~_G6W-{N;X`Ce?jX#dfyloOi&;3jMXn1P_pIQ~)vAg$Tp25fCbO0Z* z1ElW2J?xo?THl%E$X`CSv6-Jz!Z&l1Yo6o}U^C;wQSd9P$63ZaFnd`FL)! zF%1CLP#XyJ5AsXAg^>-y063$%Ow_pSW^Xi1ccF{r!mWF$)VHCM_;7h&L^RF7Qvuij zQ)e}dhKPscN-@H*zDc`s=8`B9ul3I{LrT}R$Ecmb7G9)%BpUq7#aq?yn{Z}iyX%F* zEk2YCEbJ||7*F0^CrsYn2%tM}5~!VYNnDG2EPOP)=_p;sam5U8riOa7!B-Gyku>tm znBY{;Qxl^ij+4jd!v#&3Tx&jtSh$ex5O`CpmCvZ^{wtFqQ+eF*$`LCm;xR5A6B7YI z5yug_6W>E4V(sn4vC^J=RFvFsAe)HpLjdaq4k~FmLe~YN-^tRgK;-M70og?u*)|#u zm0B46!a+krlT1|)`OWp!!z)Fomuw>1fJ583yDNWdwjD=Tq5S==Hg{=d6f}v@Pgg@p z6EB9V-~ZXk%SpLnw1pTs^*KBCOmqe;XJ=EP!@u^1pI;niYYBz9%^caRvs+kxkGFd9 zkKY458j4zF71+#p2b+`C{@s_=Dl-n`ah9r4mCc5g$lf7=aq>JcCLtDjlglYj-BF?H zEIJp&xo_W2Ij+8y!q)m@uDPm`!>B@{)pQl&1rDO=Gic~sICLGT0?=!s9)@!913zpm znE6iY0RfV~HWLuZ3q8G3Vj(W5lIAvL(ls1HIlLy)oi>u$FP$&}%Iv0lqy$sO znruOegX3nXzGSv3JJLQC9$pN062uTB7@EdI z4g-O2KNMP{piIoMnz-6UVXkJQ_C0tPk%RO&A<^p!jZMF!pM|1C;i23+*$ z?Oi82HNfar%|x~REhnqrfOQ~&sVmXGld~L?hX<196BG7!W8$fZ=tx`d({f232!$u_ zD>ZvI@{ML+_H|mce_o^z*4*?qXV^!Bf;*}|T6K@)vdrNQbqXN z{@RGHAI$#}+ATuBkC;*Kv4Qs7`R6dgYpx66+AHw1s}g37bv_$UTQ&2}3O>f)qDZp^ zMggb`nw;jJx!D*6%bS~HI7~vn9EuXFK&BaAF3N|wgU1HhOYdF|KVt4rjvoeDhs zyw5<=5QkbQfuxGM=5yfkv+I6^O2|o&)4+;#EOHf)8BLUAr8ogBs<`HiH5^@cwY@>dwR> zmp|cNy3U46QC6FPx>YSG0zax#*`v# z6q8}%PN+{kUan6qs}nrnlY}H!P>##81d}Zw9USXYJlpZE%ePwEL8XbF-ph%1h?Uv_ zM{)Lf=_bV(mvm8WbSvO)5v9Z}7ah7GXHeUN!(Jl^8=$vU2rylAGJYbT$p7JH|8;dX z;eNN@)RUnLS@!`9{byi4m*QOMQm*{Bx10eVe20u+Xtk7bYHBJLTAz3Ufs+}#n}LA~ z<_#qxp2zfGio{tvinPGL2?ei%Je2>=S_T~lC5=6*r)q>CgCg+@?V*|Af1-np?at^! zzIF&QV@_ggx27F6VN}VLXuJf_X>nBkYQ=tx9WI!VOr7+aXrUMn+z0D}+`E3IL;?!6 z>(jgKNfCWk*ZohDmK@YdRn(6+IW}}NpAP)hVy#5+9GY>dt&AvojXwoJPst?p^g&(L zvQ$G?Kt@k)Pl*^0x@7So&1CkoYQfm+MkXhUJsujrORX6W8s1lrhHI))Fei3Rhb!L2Xc))(&VcSN)RX|m-m4sCL8V-MgW0WDEQ|Wo8KtLxTSyB)*6g(r#16Dxy^LH zv?iFSKUS<~@&VA42&94>pGVY4m$SNhDD_0}w@pa22ACScIDD@%vPz}&pH|9DEjgL{#d#VO` zm?YH~fUslT1mOlU~M zosZsRfG;H6Q$TU7r*pA*SqOPvmoQo8UtKIi{BGRq!R@=4)T{XE=(w{5RHH$1yoHAP&kw4#kQ{S0-e-q0`MbHG!hh%C?U!8! z*eWyYp||qS$lZ3oeutrKOX;Z|Qez|PfB0eMnn=W!;I~dTRMXrlw_1O5gzGGnVHB=D z{BV&GS?C>Xg|=;c$T~saUgNj}VnBhk6P$S{jh^T~@KnF&s4QXk{44yZHbQN9y;^3# zJwxzkD?Lgkc;CK#D{4_s&n)fCb8X}DK=JNFRl_f@$*?wniS?@w|L51M|MYuKvMBHM z3$w?-WyW_NXSRtynJvCo4fl>4R1&Rq{ie znlocRWR|3ieqL&-D@rNR5?Yx3{3?V@h%V)MnL&=Vd1CoslJz2)!qsmcPna1nq?`{S zm ztBhto9gnh7W>3LHO-}?ISyOr&UIEvJWL8Ljubcc(l65;!{BpyK-EyZmIg?)ucD9R0 zJ!<1;PT-C!*7`ZQbZ_C(M>X4N^`cHkPXh9R+6ihUN?PhypiJ5O!B^&n?2WjUf#;beh2S7|I&|8={m?TH3+o(qnBJoKYALUITR zB9@&L+MLv29G)=D#F>%mhB90od|)PgL@@s8j(;|a+RR7tdsf11PwnkX0P|Y5jQ{v; zn{ZR4iNbub9P;z#^Nz2j4vs|nrr%Hb{q3x~ba?8$_FUb!=h}lUQ(NyHQyXckY$V;6 zZ=!aNoELo@?l6%2i@d$Rsph8NUd*dz>4EpvNnE&qxR2d-?w{qg8S1tVB|3edSMHni zO>(&|hC5sDl6Lc+dSq*UBX};#68=Z$GtQ(YT&zQ%%EFcF-MRQ0X_$Sk)v+){=vapDpSyoTbplG zA|TE8Xf>m?dYACcn76mG+Gnl3jWe3|EX}(q_gx&!sSqW64y|%;cf}>3I3*)XVMvu9FL=qg&#noTK9!dVMmi1k!%!3oRgKsvQ8xh$EYbc`Y@dNcZ1B z>|^Qo_0Z|0lU{}zhSN)i6RJQjlunu87>G3kB8+s&E6K7Rx-j*9A55#v(a+WAB^G=K zjwWX=mSnqF*UOhafnnTyJNq_d0#~ox7ftMDa*1r6%@lGmO-C+%tVDA|=&d-cq(~us zJbTJ6Mc#9D4jmY!6g=vnFZwY4#o6=8Z4s!FlT%P|0SQ+1md}VkVDjA*m%vk3>Dyq?z4y3$TlSvK%iAdkz6MujI$TP)KqRGut=Mb#!tSPLf$Tw^l zp73AEq~^szqO1<;-{t`NIKBNKFu9;w7Z=n!bbG!?!Ko?3K?+f=KeRj3o2?aHv1V{ydIr~iIwxs1T zJueD3Q6!%I-Ty{n?OE5fDyuqXr%tv)z)r*A9LVNy!TgbE=y+4k3EB-9V0~C&!REDh z;b4WgiKdD01uj66*8r`|J%LYvHWiUoRz``S;ZMsK04GHQf~uv(`Fx|G5;c$wKa!&2 zbiM}~I{5!MKG03(Fq(be4H!`P%smNt`d^I9!1qO*^c7S^5GWK|HM8Wv;BVRODMbWR z`XUuAa4O>s*V+@TFK`R-w%t;!sIL7yXuH?gQ8>*T;n#rX;RW{MR}3bY7e8-*HrY*O zO&fAvC)~!HPk-~JUT9y%0%*%kM_&fI_sF<7t8`W9L512UKR{_vAhvd9_&g^$gMEdb4|9n!YYNblZOcE&pV$;6R=-4sxz>(?ZiShi=V8u+x{ z_A0KZs5}(+OpB>5L7I-bwy7x=8d`6O1BNzq`5t~G@tOa2WOhKa%YWVI1YGek&s;{NMd0Y1B*gIfu zE-VcHq;c-Ec?;@m{O8+N|9Mu^46>IsRc!^OKu7w2ocDVuMj}vg##_jjuADbL4hAOI z0u??F(9JvV`VM2@G64b;toT%lgA*~y_1%*3n#uoq4JIX~0%_@S+xC3sv}6ZJ%l;qF zl1EO@#MIE3AckfbIZ=d^i{Ax~w&(6rrvOW65TsW9 +#iU2jM5lo=?It(P~=}!X= z+jO7^ zSdw8E;OGS?+{t;ypd3^=J9xXO`@$SyZluX-A`JR>?mh%(iXV{Fk*Mo`K3+T?2-NP4 z_dM?4@H=#H9?0_|0By%9M9p!8Re=Oe0yyAQRhNh~|NrbsssMpe9)sNKE))i`@ugJ1t> zCbdFqLwKhJ*yjf1Ev|nK>ER=02xJV`U&43s^8X70w=}ah+_70 z<1tzBGF;BP(DGc>nS-2-(l3V+xU|=}Ap5?*QKp7pzPJIW0oSNa_w3F*AP@q7~ za4^x%qDvlHYsJgoSeAI4mz0+`-Mua7Lx+=-6Cz+!_!Y2cgEWz5fz$T6HS@w>=YW5V zN8`yhlby1I37a5ZD{=pEGzMYeA(~DtaWhnnwS2?7^_4& z?)vz{WGt}bsQmUeidhkgqt4@cXU?!~x4ki%7x;9|{IxTnG{jI?GNM#j-BajEV1Y^F zNH2PVTc~`6f)FSJWf}}8N^5IoU4Y!867~w|MVO=%RYN7&%?c!PO6V|ERT0T$JyH^2 z!9;3Opf9hxR?_3UIr3^0Z;1>~k)r}Wng@sAA+I*WtYke@u0g%A?lOv_Anh(hj8e)A z^l$#-lCTOP9$v1V$8K>jU#F(e9m<#7$gzX-fBDj#nHW>V3QmteBFWtPf~fg$KwDJ@ z^ue01Gcz)-ZDHy<|4?*$R7#5QwxH)1ZYL)0W|nn#$bO_Mv$_Sa8CNy^W_!YqwftQO zrVhtL{pT81B&FpDUy&)87lJ(^Z7gd-&c zn^92XRtHy5Kt@ISpG={S51eeP#LJ!Tlg2xJQet8l-VlKUsO-Df7V^$>RVIeQgWvqu4{CC;t1k_kvA|03Ya9(ZSQhT2)xyQf z`$5u zmGpmYDm)D9A>!oA-_?-bMw7*@|J$LR2L!ursjWej3Q)Y=AcQaIn65p+!;sejV7GJ{ zFYQpN7W?_%hX>z-|Beu$qAX!|E;T3TqH#+eKP+L~Qo_Ki`c3~l-#pz8n34o!GhGiH zm&35vmOt)C=$;%H8VY&-+2MmE3^Rc^>i@qqn0~;Ck_gSRKSKX=X*%pWX^JG0H-M$* z>c*#F^~Y2S-()=y^dHxp)}$B6ppmn3(4p7w2$^?nPq9Tq#>G!h$b?=_(M)MWmS8FI z{d%H`^#FCwGDHT+33OzD zJ|$P~`s*eTW0>M$n3FYYn%z-=)QXpfFFzyjDG<{eFHpAl5>xZgWb0;LPn7rl$mulE zeemtti4i{OS}52E_P)kr8&|w~az2c2ny&^??b-*d-_z>pL;*YXvvJ6&ac45~iOXBh zAmi&XKo1@bMYY?3;m{ns)5N-@vtHuC{QPA{sonM$%&n|6R8&+dx-6~GVpa^ZA{&@m z9(tSg&q2Q-HNozU&OrVoFn9c?Kbd+M%d|a7)}w{f3DO}>!hHTKQ<9cHd!ES*7qxW! z{JQZ67Z0K{nk*NdW?j&I$wFFL z@5F7Zct!a6`8jE!fQ>R8w*2d9EY4S{G#eJ?4_=X^;lUY{NL&3ZBI0`Psa8GhV5BB} z4y2_V(^=Lx8&h;#7^u@ajlJI=RSTC%*)(hY-Ecicq3n7+RP4xq_KO1ZMz0@ge*bw< z+n~zRrSA3X7|7({QO56CRahDPO|KqSy!Fh7(uce8)b|^-F zZAth?7({W6GBD{;&T*$)6I`|`Hq)q5gY(8!8*CZ>zuFiZN}ed=rUl*p1cB^&4u#-d zKbla|TAKV(0N4^Zzc862>l|P_DeLiWps1Kwk3Fftr-;KVDUMc6n1(X!IRF;!`+?@g z4PQts2$K26c?@-UuX_;D8ofX*%?L(7(EI3Q@ZIIz*_ek^Czd6lXMw4*x5RDyQKi|> zF9pMYqJRJhflzOu`^sx-(rP@6uHyFn{d{jfs_!F`Qb^J~_tgno7u0`Vnc1w%En;zn z*a2}A3CL+lujk6qdpIAhp6$Lspn`)Vx&4|^H+l6US}tEi)tk!aHY{v?iOa93|6q1% zWy2NB{CqXjG-M&-jnc9lcSBaLKNqx7ISqt#&GJj#;w+~~o%?P`*1X=qOp1IKA)-^E zoj@<>F zpNaD>0!3s%%Gn8$1UENlL;7PJ5FrXc(m%%h3d%j)$8=dyZzCi-Yqy(X=x zSNhm+gr?i*m5{38($V0Dx5PawVY@IAVI(0`Sk?!8}Y& zJOz#ob&3%V8?J5xcnRt=0BOpG@!A5t58o33Ocm8qYUo}Go0Rvqk6qb*$huqH7~C=) zmdJ-2F53D%MX$bS_JT=8yk&^fp(En!6(=;p3ED`)O5TdCqH~wu!}^2-F}lKlg9B8e ztD$Uw#nht%MjhfY1_X~TXS`oHe*-(PrE&kQ1o^zB+s_h2aWe^(N?FenCvAnG=> zS57Idnv_WlP^{mcpKbPa{m=`Tv1lMkzy@JkiBEe^8+>ici%XRAYCpGk1X3Po*4?m# z=2{&2KfPS13GK-8t!TN!SNW(PDSgo4==wu-}20p zB(k)y2yh`@QPR>XaK)h+S{;&nhBuG){m=KT3mvr#hTs|?TMGGx|HGDA!_fG#uF?ih zG^1#J;YY%DM*uJHX&{+6*EI}NJ|f|Am;lV2WH06z-ETD42IN;)N2j-FJ92a(DNzrZ z52Nl~r6n(L{~MqEKgO;*9_zM!XNzQp$W}x~Wt7!zCWMw`ONy)pvPZHKG$T+da5{pSs1Q@yMTt+SWc>`_uc760pof?aBxk@6!D% z+oD1Ui3+Ta5Ra_JxnO(Rb&ZLj;?>14TphmsxuF>#KNv>fcOg|c*8?f2F%Pc;iv6qo zEp|8LBa3L#3q z`kG}Gb^=+f{^ms?$$&^o;AvAKvX?;%dz`op-&2?zkcrM5dWehFy?eZYC(5F2K%P>X zZXlvg3ZL}R-V%JC6w3eC*Cb8wfJUlyd+w7ImWH(x{EK|(yYSzQ=a}~To4hY`4GeTP zpPJzIG`CO-yuWO1zmO6jjn6~fY! z?`i+*n}YPAe||7h+#jBb(#AJEhDTxV`s4ob4l!U2!jXxdl&jg8VU49X#*7GgE5YUb z5VBnJMO`2VsT%GgZc$OucO-GZ8BVAIP3m?q9X!7Nwvqnr9|<{xjY_sbWhOfIitc9pFjSGF-312G|uB*jvDqT*h%bs8mu7>7-Anq%7NEw1L ze6WpG0N(k9AS9{p%c;NapUs!@=NqN+yS0TD$$$R6M-z7#w(jrm?|&BZ#})io=K7Xs z*YiCsyw~sHHrU$k+ ze8?K#JOQTF_rrh?w1IQojBDQ(k=O{YmG{pSQ8-gBvW`-2thB#;sm*Qn`)~b(Jn;yK zmBGK)Tyqmt;Pd)iTg%{E-fM5k`j+)^W2x+aKQ6z-5|~ipM-3_R+5E3BW?V-24A%9D zODGCy9T@W5j-?I9Regzj1A?b1s7O`fR3777+)Jh=%_w2EDKI9a9nO4X{Cpi~`pc^M zXQA`^)W5q`C(D|y0eo6#NnTrw*>*m#fXvBiaLUoHp=&-|Ui#l(i8QsO!134GVFR1! zKek{AiZ=udOVl659IS6a_G_Lfl#E=cz^FZ4&YP@Kt{O@Q!Y+2OV)~Cy{I7pOb*IDw zkAPcTy!G9c4X}VbrlM|aV}q5#HmHFCF1cImI{(tmZ@hWWr!-F?LkOKF!QdbLAEm$q zo#x!SWJq)Ehmp$lz?}{woM+}2MpAamPMi z|Al&j`QWEdYE{+MWCf+dqxT~stfiJ(GhsXr$r^yZ-s8j7!2LfCAO&3`v@cLg4aC)d zbZG#`gSVO)&2FIBfp4c;(+haA6CwB5Tb*{H?`*ma+-CE;tALc+eMxon4_B3se)K#W zT7dt@>%#QlBhw5h;TuCX_s#@ydD`)H`t?bukfETw&yMZo)aHLH_WsgP#|T6@?n65W zIw6|>s8pm1uh)VC2oBaqYj3xjV*)a4m^e15nH5r0f>>n$=;Lm0%KYyq+TS)mv0Vahbklg)H86t#`=!SQ*ayBJyJe6(SHqb**z1A;{#43mf;19%W#%kZa)- z42LkgPZz7MelmmT6A`mI3=BtENU@JW49#Qk(4KcSXan=O^@qWJkO(|J*>iJq<4XaR zU>yHyC8nzu4WpX~=NoC9>aRhu6#w5x${>Uq^<Xq zYUR5qSqy6w7hRzo?5-OnWCH{{RL*N>sGE;+zX<9Y1<~*`BNiXw-b=%;&n-2C$FH=&xGr`q9fS zLj=@055ml0?>BC1P1e2g>F60#a_z6Rje}%b&v*v&*2)J`b#xw0fgNlYshb0&WqVzX z1>m6a%6lNo?&(4LqHN}Ma~_Szj4P(5CoW&UoZF7e%*e)(Fy+}palg&>9hJu*D_)AKB>zc{ddi?QGYnB#Oxl)BA`q}=so2H@gh0MKMXrh!E6YB7O; z)d-kCyaf>yif~3}6`p7`-d!fKmll#hyD`)ir7-(m{j3Q+U2xP1{neM_&bVUTcV-*_ zh;S<(#AcL-Y@C>wdBRQ9R5Z4jVR*4mJ86Bt*$Ie>Hair*_!vEq?vR2-uE%Sa29*Zd zZ3!7wYn~SytE@x?U-iiWYP2)}J^GjS){v9xbwy?Ay#?AFh8jF?iGi*{=fI-LWPquK z2@PibFJYp64qQ#UXJK9vRm7@Bt;ra~wD|is!e~n2^`9_5W>*!Q1)jBqpjA-T>?}SQaKwEI6W2f<&_}`K)v(n+ zJ6Pn0H3;4g!7(0{x_k{XGLBp?BPHs1YZ`d)4iZiS(}wSq80Tg<2L9$i6$^kz!HwJk z+bheC2Z444Vlxfwbp?86$_g(ld(mauEYy7h9*4N&nElqVNNRxT&cEtcWD&PPkM=O{ z?2A~COoXi~S+>4)jinBq+~SG3-)^|ZfL;8TKwnd1WmN4wcH-SX)=lBZK(aI0e!6a=pV(r zzKA?9q(n)3(ir4?qQc(3HRSZBA#%O_6=9%hIf${h?8rF`^UqTVB zSo|NL?k08_^F17OZM>^+Dy(}+38ECQ+Gc`j64EQZx{wiX*v*FKU?ANV@m@771KJB7 zXp$FA3V{-CX}BgXm^1ToVu5S`9z{U#R>>BGG#?1fj*Tw6$@lF$rHNeyJ*}~K3 z&-oCF0etAwpOWEQ&~;ioyr*QxF0AOr&O+Nu+Yr+lZw>|0Q29x_PO87F_V(i6*RdS+ zmomU71FDA)ITCb+;1Z@6sKEV5m-T8K05U39>8G4g!i=Ib>yB0u`0lHV-HP3- zSc;s8Vb}~0ARE1)fD=`vj-On1V*?vA z%dc-M1}kDsTJKfX2?$o8ipQWk(+uv==) zFUM_SzqcrR0MJ27)RFSOTI>yh!TQc@5XU1C4<}m<68SxuG%Dx(0x ziBW7(s;75*7n;&v*qu_m%M#NI@IMNbjV}kCRqBF!cHrPFK4@TR^+(bngz>I0lQIhS zRK3e89g8Bejh%P#ofWpy)zh;iqyvkdZ!wYLC?l5j8`-{CVLd$Wh^{beP?WeWj~Ff% zV9-eT@&fK5fSb-NgXNUR;QX<@k0LNZjW(1OP8{Y2!LaB~H2}%TUKE)7Aq$^ke^BLf z4hq92qJiv=8-W^<>kl5SQsA@JG&O7VER$4farcGj4FaMWJiz*9VM$%$-{C3qp9nb6 z2$TpLSW_DM`VQyO{9RV6N?0Hu*22tgTk7zxrZJcnHkL5HO-j!906*K>Z}>9}B&|I4 zFa}a*b=_4sJP0-+q+9;Tn#hNG;2+1MMi0!J+(RC*utKEmi!N3Kfbob!{p?LprM~Uj zHgxbWYfw`ikDp}p@l7np*UoCU$vrA`4<4#=!72rBRD_3qaun3f`qW2iT{M4rH#G6o zU$g+u^-yvgZrXpL&?yu7_L5rj>o3eF`*4a~ZTgsM??u5oJ$+OZ&)e;Om{>sTEYJ~0 zKxFv#%fW`pn^ii=I`ipzb;(^uMI3?ddZIV-HU z$F>UlB|2<(aq*-PtC7^&>a`!A%*oHB>^Q6ZmX^Xu_t(67YP1*J$C1c1J zxcV`F=m?|fm>R~|%&c#+(8G=L({s_9IkhNTbLDQh#?}I@DTT=5;e0-T?*9)K#ch;)A}Ci&&Tyf3#cab#y!`gke@952xFDNouy^rczyWv2jQo z^ZZ0PWpKhr20A*z}9B?>qxh>ebg~4h}bNynXB=_jgtC*4u}+&Z`8f5{1^D zoEA_L2jjT^uKW4p4eAbq;{r-rgE;lx{N6)Zeo$q0{W!hwY8s?F^nbr0+t+5yA^8K5 z#L_zzBHtH**c{rpyO|^^<6E~qd>qehgs6Ne5iZYq^?3RF!AHE7JkNd5zY<7_kWM&! zhr~#9fG>UqijPU|L1@doFNrW^O<-T%twvzd)l@hLP|BD&4BIM)^u3~nnLv5wyW?v{ z%3u=lpfN!s?4HOAVHjya8Gund3JRWFeaXDfz}5xzv}!IdP4`!{Zaf2+%0Rmi(B4WBHT{g2 zYl7d?<+ZR3@ea5Bktb+B5p9Hk@^TY(ZsPPv9CD#d(*zSC=CvZAiU6b`ecWw1m;Bc8iQi zJb`KEDhPs3IwG|YglSuo{Mzp*^nbjCR;8t^H4d`qtYuW8BX1>iMYnv+-U-Nq2@rH~ z0r^cwp0GvBf!&h!mLJPA3%oijRTWxVR2Sjg3HCh>HTCC_^fDIBL=r(hKAL40WiL|IP^Ux-;7{bg%c7fM z?P+5;$zl8;g~(nad>`?$^dWx!ng=55yxp)f>@(`RaG1@gI#_SMS@n6gA(VJDoYv`g z^^jD1wk6e22qYl0 zojIWbF)W(e0C|8^+vfK0F+6YC^mOPYxzjuZV@6>mgVgd0s`-;;6c+Acv%KG2hV$Pb{x!B^FBhe z8*I`It<@B8kxt76@&v|BrtR;{tz9W92!vF{hXpXFz35Qe1oDEZtMvXJa?*1^VqbzD zG$xYcaSF2cd2#e+?2Z2F=y~GUT^p|ibm?dk7gU)CgJm{I=L@Iz{?6j%sJTUlZT7bFq4luI?=FcP7pY)dk-7fH@*Uh zjG^dJWYJK7ThS(3A^nU>p;-Xv&m zOc}MN_QK*t&^#&48G$Rv2RG~$uDr{!)3eqP1Ej#9MUo#9oAl;a^LfL^fDq+d#Vo&o z2*k;w!3hCpG107xK)ybLX^{Zd#?0x#ApoQL0jH+?EY6<1c>r$b{S9r39Qc+A4J*)b zH4U7IT&3J*FW{;?3=kzu=zMK3dHHK&A8*4?=O|g|Y&$|Z4Osb}-Th&HuSkg4hP(|BEFS1z8T1Ja7kucf?0U<*V>Fy*v+DAbxQ-u+ z(uDIv$fK2~aTTP8Mc1V)WiiH@mZNY2Z0DOme!4(AbSNEzgo^sGFkZr>15x99MLs%K zJ=l8)5RAUd(oiiIkf)XSZzjm9_;ba+-K z`U*o0wb?MO#?s_OYFHhS;@UX~K&ZIPO|!Zv&(?i%ESe6zWkk;aexMF=c!kmkQ1{a+ zgDpScyJPqF8Qe9WKqs;XAbErV(S{0azD#Vx8AW&scT>qflI+~WSU*zLimUwNj-#pz z!?h$`Fkyn3J2a^F`EtfI)w;el*cf_8*6v%nPHDE_1HJxs*!d7PMkYzJc{r{8MNqBS z^x>VY!*JaF3n8@^n#hGB#%eO&(fXIZleV{(bt5Z>bP)avjn)Y`-CfyYo7VQ=L==eS z^Kj`oIY)ZjMs`N;B%%_#a zSjQ-ZD}<=hn8CgP{uJT%(+twBkZHH8kYfl+`FlWjG8>{W?Q|-Y$hHM{nD0Cd41h<- zxK*DjF$za2fD~&)SIqCUp^okgoUYC^K>s_xm|QG(6-1K;UPBY?9; zE{6G9z@l9O$OVEke%)hxNKEbbt^|tNAa@3WqD(C{dUN1wiIeJfXqNLU3 z+ez_GZi&J8n^qF7v#TrJtK@tRd)qt;8-A5b+=3xl;+GnsDues)vPkY*V^j;Gzl04h z#XtG7Ygh(Nf?${8e_pBRuDXr;C0fhmpL^L|-XD3%Ixgc{O#$VD`|9TdN1H-o+@9h2 z#pYDEz2LiRr(C4ys=xS2)c4La^i4ttQS)*KPtc&CP2@Fzdes}-d(o^FZaJhj3!3GI zxsrTjoQfOIlMx;Ob<-i75I?`Fh<1IZl&j!aZIp_;kCS<%vTyi&W2@>ng{hl^+O9%W zexcSQ`(J1nqMm<}Rq0sd&hzHN2?mP`^KxKX+MhR)@+&7LdU{eGE`19ER>vRICi)&v z5@rWdT#qCX#pVFql`0$1EBtbEIFsFg(AG5>MiyN)usREikt?i>lySwhgMeW9#1ylQ zEZP~4JN6kb1#Rgd*b88-Q=|$dZ$XdfwCjQ&bPwt}Eht(#S*!1?pX^$6Fsc)9C0NE8 z{bAO-mp@9=hOjy8G=L=iE!v>K*~`Pj)0371CEnEp`FUfNM0~PZ=yDIVw8p{kAL8)xKfXmAPNOwuXZ76s;o~JO^ z0hpPogk#H9<6!6Jz83y;wct3+jYEalAf@e03N0q`Y^7}$0m&ge7e(bg^iAeP*1Dv;k(d*c+ zN9_#Z{LLPm6nPn^HI38U;!+3AK8Y@p?QQVwLTK{aj0{y)kG`=*%|U0;G{stDFJg+@ z3-+cdM|s8U(e)h^MbK*WR+Ss25rh9>aalu5g)*ksz28xYYU!L=g>bGW$!6ov&wU;|Iy7PzR8T2hcxq1j{h^LDkLJgoZe*RMS=;140MCP#sytr9r)-L*` zS$^krfCTfM4C2qa$CL^|;n-K&16Y}$(KMd)!o);F+(@8ZxZodyD1Uo05d2Jws#e9; z?>SmPrYZb$TtNs063$liz-!Yza{c=qY%niO2-#X(gKO!~#rJ+5a^E?jR*X~GRnJTZ z_5DgyD?#!UEQg*xxOGt!%oIQfBu)<5W1@)~HdL<-i;HTr;MiP(AgXpjYgV5);U3KiImkk8;oiZAh=6{)F z_f4;7jBXs~sGGxnehS6<69xkZ4lFArvzS_h+k$y9n=%c&?QD-Si_}7`EX%JK@9e#5 zbUF(6T3fH%1A4yNl?fA-*?>7cHSl}nfV{IATka7797nKl%U~c^Yv}qxYzQPKxGoT;WMW- zBBacRBYgyke@!<{5z^7`+O@k_*o^)BP}rWO%3o>Gg4VTFRrdi}c=R<0UI55Nkn`W| zyrJ`yiM<|74-$*n&%HidHK+;O^m0d28i1FP-xe}FyN*a-`Ld^`!(p`cX&bSp18p7i z3+wzbvH2b^N;Ua6n$fpW;|^>qgN^)UslR_!1+^XkEq4s}az#iu0Et%(Oq(K`+V?lN zDcXL~Yv$Y&-W` z00!(Lp$Q3!CGBtBLY5&f{>}h9hxJ}q3VA+q<+jLxy~FbTWY zlOHl&#uzT%_IMtsgy{u_D>zdW+1TLD5!mXLvlB3pGH;&b+xhB18H=og%%`EbRk~&@ zJmPNh?BIIy!4L}l*{9Y2+20FzB6>mOUR@?iGtRs0|GOjEoS@if9wAnO+t5nZAB>HloVSfoTd}8Bc580_+;5O-PQ*bX6e)uW$!Z80eZsUH_#1p z*)J^+c>~Jf`NqfWa%Fh3pr~0#a)eViu z)Q1Wc&B=AS&g#!EkGqejQ?`ErhzWt=rVoZcAIiA=c`9e32ric!-LA7$s46L5>KE#N zTO)Y5eE}Y`DO`0^z`gs2l%5$%#|`+utz^UIUUmkII=KXS`Gbu__~gycnbYxca7>+m zWJx(^jLsGnw9Y2r5w6UAI93g?R^gYhOo*aIa?o68oP$YRkfBqI=WcX`A8Z|bWy@AL zlmXbNDH$TAcKOdI9 zQnqN2(2wO_)`;YH2(xGzIWg$^?VY*Fr}<%*RD*0Zwh6VMvy{dH2IiG2AANf0-0qh< z@U-~-5ZvbPb3C%$ePM{!8o|ClK$9CVL_No>nb;=!`Q=J}pAJ&x z0+1W9GNw~cD*4Nn(?N*pc}-)Z9o+~=c=Qh511zx##p2j9ENGV-xYDvCne)ELcJ~?H z{45%M^dN`jUZ(C0cXOY8yzS-v<;NR4anD1a(Nb0Sf z)ZRG7o~PVwnV*1$)?v>flg0^@O&r49WWn65ZixVze-WC^mFwIJzA(U9`pZ3^C{!DFlDOZe%aX>Q~YeKZb$ z?ZKjWanjIpOs{j$ls`|*lbw7`VcsGF`d&o^j}wYQyR_uDL)Urr4xtm-;^I_|kZJX! z{j3ka;*`!Tdn?;JLi3VgoDSL(e_3Bm1?s*G-8>rA<5Hrezeo1VnnuA_J7#E)2O%8k9sH?ssOk z8LvRvmpit@i}$sYG>Pe+thhY|xEwp%66d@B32Sk-$;jX;36?MO0rA@p2vEl%{@0o~|BAl6l3xbbBxM@SInDUp=7 z;fS;3A2WPM@WNjC|$2~p`uJLBNd3N zoTl8K)%nQP{lDn2F0(KdtT-5dm+hE!yVjsbD7XXSqQyRmewb=L*$qqs8Ge_HcoWIv zAvT-Ul@HZzG)JTE&EiMrk`;P?RCFp-ih8;I9HtkP2|=}3oOE;C6wYxGo3VwDf;zAGX5l-RyL#)TBbyFSA`o8cnLO6ZuhalHE>nZ=uh

MP}m zWBVR`(;g%#xDjLa(8`w_*B3Ak8Yt=OeWS52OrWun+9}=UA#DRsASLl;B4A>(VNL)6 zAXColYl;+wFhdsBJ*Hq%ZnSjKG$l95L(X-Zx0|{q0N2IZxWgoc`=E+3Z8u2ug;39r z`nNj!TXx?3>{kC43Dh;?OTc#MN3<{N1K=s1W;I6nHbkygaGJ&t(@hz$+n#@!J$rZ| zx2Q3RX(Y^L4YcTd&k@9N{D2=ZNgJimu#83>>YeCn38ZLcj;3(iU+uRv` z8lG;;3sq2?p7c^Zae|sc@ZDF-$)niSAeB}zNifzq2u!dVxOp+Pnq0ZIbsY6l1E}wy zXTEC_phCp?#NMb81yq=!w8?*Z1+3$TKHw&oSpl4ivXYVywZi#4Fv;NMQVOEdU^CKd z7lUSUV^%3|0i<;SMXY8-w3<2Yt8RV!zQPF;x+f)x{g|QaR92KL1TG%}g=}iRbG~s& zWEh2%=t8agN@I?>EtoLI3)O~+*J6(kS(G$p0^D}k5vBnkV{u=RkJZA$H=AMs4XMdH zBGw(+?*uBt-+yEiS=(ya76b<3;j&s69!^ z3nt3Q8^9SU`=!>a(~1LM!uf#!)xP8Wz89tiL3&?i^Jyc$h5b4qD1srx_MFJm51G(j zTp}zdqFouc`f>|O%tJ;c+wSI+5{{b7cj1%S8C+nCISuBT#To;%-R?G@P8C6w7Vml) zt~YpM)A`|MmW)A$NoyBhG!)}X*lHk=SgD3yok_SfH_;nYER_Ew+u}B~ehzD`-vn>Z z*iBnQN`=5(Ulb^Ke?W#>3dUyqiY?3gbrEH>q&BH8**Q$~%8ncm`KaD5!b#(hq>G7W zEV&?A+^^rL(TtGpb!0;1snV1h(%ydg&HCJ9FUb5fTW=3bY8%1*?^!Y~kWVn47Twf0vu1qn^gA7CvU2b+d z1rFDrkuTK@>k5l^I>74DjfxTq15t6`Kv25Lhv#C=BXTx+DWKgN!U11e^YX3?9~AR3 zLpZ2AKSGOLvmogv*EPon9t<@E5F$Q6!&-`nvE? z2jr1eKJ0-hx@v`li%ZwJft@%a@odLN+CYq8)XledrKbE`CQ3=-i;#ns+v2xw;?83l zzkrpWc^s!2ssd+OM?1wnBMs(BXbgd?pU0)TvuLj3_MIy{Xa@ra@yi#Fa`&G;?ll?s zIQOzmBtIeTA;+q|b$TJ}iwVO1;zA7$4K(Tp!V)Pu*@obO30|rY4>_CFETWraE<}5Y ze_eQ$JT+##$K@z1qr#=e?qvzITIV z(bS0oJ#FRl-K{Dc$H{iQ_>o{1aOTm5<_@7LCrJs2w?@4o=pH7$zC3ucQ7Nq*DV`WY z129fO`WYu|wC{g|K2(+AM zCu@1+m{;yl<(Y0|=9F5zl4quQS~wFr?fK6(Zd}LjvI=}H1o^0vvMDHrPo8wjzo2a+ z7%t00&&9#3jqh_Jzd;^*6No=?R+XEui63;@c4@kc&sPY! z^|qW9#)Bp)VZL{2`q==rd66-^iK_8w=S9UZ7}DC0_|k&>UAgL6M9qzo_ld# z=7Gz-gc}2p-q9pFO~#O#wt#UWx!!vpK~hm30pq5Ed2UE9Bk=Hvhumt4l)ma=mrq$B zJ6iFB-p^_RIwP2fX4aI96pkWs&f*H>na3K(@7+bEwORVC$E5(Vp2y=HE@tC4@VC(J& zP?0^OKAqT2u+R;p9>r@7L}S5s@i<3_3R1K;0mgG0eOq*wNzy(EthM9?^G6T8*%9dW zr#uX*Qp5|IR0bVnL0asoj+?dqK7oX~T>}BPz~Bhs{JI&X#G{VS*;>XX@&rGN z5@uXJKPGm$Cp=1(WayYr69Cl^nge32>dynUVYgUsZV zK~#ZQUW;tE{K(+XlyecYas6Wtk1aYo*Rp~1Li0XWLxBJq^mf#-+vCuWOR_0eU& z@T)v?y09H&8y638qsF?+jecQdwAQXfY-0gn3dq1uP@^S9--5|tgZ2t+HFn-}etn80 z6)GT9YdqJa-vNG|e>o5S_(y&+vweP-ujD*QIXU&{^TmqE`ZbFR7&8P{=k!{4z2FF_ zN)s}q;G)PQ9vEUacI8X30O4XcX@dnIg1S5xIiiq%j~IO|iB9iM-lmFS=!}j5bBRMIVn2}J^ukwBhCNid^7m~yygX6~KM_WgS zZh8a%S?j>44wU-RSj;#3h_d(sqAuaqJtBuC(F*kONT{X2*%?NPKdCs5=}kUpXIHW4 z>^XJOIp2V58B>M%Kpg-z<9OsU1>>~6rE6l`iW^NJC3{euN11kU7Jec9*G(RhG}9v` za?RRT;^ay2m0~J>v+rVDLIPxGM3`g23Zt_9`;-g)J-;VGe^{aX@$%4Hg;p3VUHZKI zyABwciXGBkx5wP{8e50+HM=~@;6A=k;E*1~Bw9UY^c)-h@L`|5{KZ5Y3{F&qg0lmx z+RZaiB0At?u4BV9IOx-dDIURb;E9w-q#Or$c_((uX>0kqb_)M^HC{9`Ni@CsHJ@RE z_x0jxpW!{?{ecG@$lJ)yQgD*d{0O-ngFi`3%E?JcM#RC(z#qWq=}^kgOH4>uQxWOG z&ag|X0B_f0XR1ImD>s^#QOyAX?=Bu(iX2~B@t6Giwewl$=gU`ST_b!y*dM+*@S^q8 zmoHx;=J#~2o{3jGxH2CKa}$d+ zUjyD9kJ$yhzaY86`mlFZg(MO(fUBL^RbAAPMse4Y&#O2&sjE>HfzO!R&r|i zP3k_qeDl9p&b`;bj8|MutAMVoFRR{Ymc?YZCgabp%ZXos4- z)1C9tf9z3~ra&z~brNH2+HIi@zwf8$aof3c+6x7|2nGiFV0mlWh7 z7apW?_sx`voGpzi#s1i>PeVwC+>)UrFotP}DRONdz&_{OAupuwXIN@o=?oDp0Q_Udea4yxYQ z1604fP#rviWvU7!M^N*4{^qYd~yF6HiGv&5pV!8pIk@Y2Cw&v;>cAviq?wk*|VO%E!@(;k!gd)WV2qEMmt zlVXoZQE*QSEd-^>@T>jDshLj3+av%Z3m-{)b&FgaOMD_7zHiSR%la0ChnocL&`3%? z8jBRmGzXz$Osb^7eL_0cqc;=)%NLR`d3DYllvTDrJH9e1xOX`Y`md})!*&AN+uLG7 zrvL@fe7ckHjK(U>5J3r$p2(dXd6xvG$+<#Iu}fQvbpurb+Qv|un_D>2|0Z78>xrfh zr-PAO1A=c=upu;<8uKbEEWvL)4%$C*5RaR z3$%+eN*c-dx}3-m_+IGLPto%r!#nphaAhD$RwC}L5BYRC-U1o&(gPnm*Mo! zPF+Mh)0Tr(e^6C}`jOr{WP==0b-NF?;(sY96G$$WN8Z$6jdL<^_)|X&kqL!)9MqG( zzohS_V4XL#&k=M4HCnCP$#Lz2Pjs!fJB`u8xiG&n{FIR5D2k>W6NG!YWUeb6;&vM) zBX_0}&tngw58CZ`5erMGLvC$ z^^^*$z)mO`^%|}&oL?JU1t+5&OxlT*nn=bDn!N9}*>Azn1s#6d`J+6^puykkA#OZN zMUlZ@vW+MI^nuMGtvmFj^bV6i+z0qJy5aqMj2n01izXZtv9aQ!}g0#p7|r0tCh)g59t0T>SeVer{r5O7Oy4d|3S3k{DG zC3OUcq55j^kyZW{^z7z0(1 zgLT`pO+Q!RxqViAmFDg*pL0($vpCLbI~Nd;ffo$F>%#PXXhfg6a8h(;6`E*o&S+nI z1!EDzw=}|ezZGL+ze=z^nR??9a=69g`YO0hS_d_&tEzf!qQRKKYle}g?%O45-}+Z4$D|ydnn{JN0FnA%l$OaWXWSIT-0~VEZ;kxq7{D z&9V7uh=6Lq;e%qU?~PnbJvtD37VPE(ccm@tX-<&>6Pp{~tg?wHP6VF2wVn5!P*tXf zD?g7a6cJ}^d&ZHJ_>DPxfVz`I*>;{IufDXrmDpcDH`y0_eb-9Gmpd-?gmh0LA4@lM zwaQwQsDqiU-Y!J*=!F%n zELh|Y#fSX>b7^4#rV|j-vlr13;48DoI^&6Z>#Q~`enmD`0fBlMzpDuxC`jOXP%_Mp zyqi0BUf)!zLy{jP#LSCtTbeUdK5%W`Ykt}uYEh%{vjKS1H*2QujRSs)(t4G zGAL9zyXqjOgb&rfad1N+>jbBtcEV5p{KLox1Cw!*rc-gdm_ghWf zRJeAz@?yTX5IkWBzEF!=>Q8p;T4MY>j*V_dbd<@CQm9nb0&!p!JWw0SHkJKTnSjiF zzMrAKt^)A?Csx0JusFPr%U0)hxVUZQ?3D;dC3VR$kp!qYSKyGQ4R@(`*Snn(EBhKj z&1X{$I^Tvk5YrJ$Mpk^!*U@ID-`wna5C^Yq*5NF;fB(TugH&C+0|)kMvV*ofhh)SM zs);z5Bx`7BVE;pEQnFS~Fm5cqwfY#!36u+fx-c_(pZ`8C6bb2uwQh~J8SkKK^@N(9 z?Gi9(fyinyzLg*5<3MPMclgfm%(PuXg*m1d`05|97EJ4kTuagg0P$lEj?*XS5>ZNng{F0e) zN7cy)wBpYszYqjOS|xX7VT3>{AE2P%?sCx*Nv(dEQ8;w{yF&d){FEu2L6ta%#1lKY zP|wHb@a5>>Mn0gXRSJMSX@>~=N$}%g zt9xXYaz9)ref=th(4c*5e%nw}pFBC^AO*9D2MkhMPwM;Y>XQHN)Qz0chh^kq$yQ3| z*gRo2Hypw*)}CG(op!c$IN0~N*H`($JkVx$MapZt$QrwB3SGO;F-AVVC{2>MT7XzV zL_k|a&3XDhp7**VWmxWRN>2(tNnp^Lz^yh$PrgUh74)jA%k_WW7 z$VwU9uDO6t<+FI>R@C7%lDxg!p6Rc)qwv}kN#SKFKsC1On)@#hQHz#!d^ys$<3rdo zO!HiVftj};GjGfTH4q&|NJic*tcX$SyYFi!iOdHF>Xe?flZ0eZANb#$F|)qlMS@k{ z+cuf-u+PlnuDdej>~og-x5B7lRPBK&5fQoiBw`sEsEL$FFB~9fUt(6oL?`QCI`AId zLJ6u@w?z=Urs`x?zk5yGGZgD9(QA-chspoeIPw(Ex{t^JLAW%!b0iT?6x`epj1hme zw|jenf+tx&KdW;f?8zc@QWT-dy4lYSTDS9yUWx~~Gj+4BTO4rXyNyF&6#P8K)xPrR z`vq5%=2s6M&^RK^uDm`J?3OwTJO;YpsQ^^#k$tRZf4^KfAGiZCkiZRoE`A28^9(G8 zultPlml92vDn`~`(P5XC?tH2yBOpK_@jn(0xX%_wrq1G)14FuzPtxxy&l<%yj5*(% zfh=52#ucN)M7Vcuq&*u=);R|&25>h~;tz|VaGC}b{d{+Xf)}P)6ZAkBhGcDx@kw$^ zWtn@M2*~rSV*zbQY4i<579aK3WLQ=N85+U;h4^c( zJ>LOIckLJVqu=V#VHJHML{lP!WKSqd>K08TIFx}Bu(IJli}m$+Vx}E(a; zh)nb&t#Wu7Y==XYXP%}4u`bUb zI)|E$$(s2-F`YWtUPBvyKI_68b~Bzb347UM{vFI>2aGboZIaPl5{miov%AwQ6DO&i ztaZ{aDwf>w>F0liolP>R87^wn9Gm(Ol2!53Eto9e@g_FGKn9T@A4#)jgjnw$4+spb z7{TSG9iOzg0Y(sznnP@P4IU(tg3pQG5%{A8-q3q&Tv{0AwM=vnGON|tj>d)r2xMX1IHIYsE-Th{KZAK6To#=G+ZcJS)KbUZluH{QA4Y1iuidu>S} zo9FRvLvTYiYi~kz$&TvQXR2d#;rnOM1qFB#S7JZd+670I8f8T3WER?flkhMk;qA0- zJP8F$^SzS|zTN<52s#27fd7PCw8TK33FeA;fz(p+sDLybMYf-5y0@hZqLpC0_pL5P z4TpyZIbjkjebeP^@L>fRInB(A{@a2L3WR(LJ>9D-*?MrLA!-aIDFplWSm*TmkbR|p z{1?V*iO6lPmn0YX4O2+k!((oBz6C6HHdea$nqv8OoUCSHwziCBA1DdMhf@JrG!<1R zm{H;P7h_CxF}bL3cqBu6x$~7FXQ#!^U7Cq9XhM$KgI4gP3WbQ~&8Z(%cu7t>EUp>D zH^g75EF92g@oqve=@K6mUz&DkL-*Wt0U-S4iC;zPMo_ShFPoco7D#k27((eQO7{~! z{v!}&Wg9PQ)f-=lW-7_Vv~|)p<2&hH{rE!N=X?=%yv@n=Y-ZLb;Il1b=B z6x=g}3~djTgS_Ym;B*w{A1lykCbrIl>tcKriRisa7-1sKFVrNz^E?fF?gxDOO+)qR z#cb=ZGCQd^jJOoWH%)HuN8`^yBOlS^=cv45;T5|jAN92OK}G4v3%e3ZJ5P=6(Ao@X z(c?zx&M<8e(|UFVf&^Qi#)i=tKsBZ6A`@vY%MzH>_s%PrZKol>>Yv+xt%BP6AIt$F zTbB@owjn_)<8g)msK0l?NRQVT2qKMlWKo{`&Z5a^o|n_;Q3e)~7i@bT?F zfKfxey>%!zDC6=;Oql2+9Efgh&l#E>z@Mb4Bk^GnGO%pdv$xNpR<<0J{pOJQaO}^g zf%$>|$Mwyic>)wZ!Omvj8AQeu6T@MHGPFLhIv+4{Ej*tC07JbjRcQdKxt!K(u5PX` zEx!-Qaw59d3W@A*8Wy{pf&?$T<=!UPL3pgg!rne9T?AdJ=X=2WN(mF@gS+q;>N2K> zPlsG9mEG<(3TK2GI8~7fECNCxC-x==u&PCg`{C~O!UK?Off^$g`_r)jWRe*fcXQ~| zUea|QLQM(Q=BHNiiN^-rSmz#iHkmAWvrt(ue<7ChuIVT3F|`)`rqnv8JZ+tsMGY8$=0I9mfyS#wQ&>;zk+jc0F`Ch^@8Vn1cgyh(nGjTvdh9=_S!&G^bl?Y63aI+ z(9(J>r1|TZrRk;7eBon?1(EwXAegOR%dvgx1T&GotKo3$5+jd8(2MRQZE7qQ1)DlF zB*^ttgH0w8rj!mIPTHB*ooNvYVjKR-;weQoCeRwV`sKVsMY)3!uQvAHxo;rmxrj!` z)hG{+lq)W5GlN4v7Q}FHoE0-tZa0_ga`|;q^{}hvQJ77Dg89Y0_}&mAT-{fFC}s9v z3AfX_pf6$Z6AcgnmnX|&SNHtqd8j|QBRwJ{QHL%uXf9+|IS2zY%!3;67fXCm(UlAL z($3Y_o-Y(^s?{OGpQJ;;bIE|n**lv>5j$0`hk*u=xhn8Xt~l1JS+qEpNu(c#8X^`h z^UA^^2rbb>>a;$C$aBg8DG3kpu?qW~iVtb8l>-`U<$&Q2=l5Tq-=EuCU3mFT_pa%P zOjeB*&ofN6UqP7IXK-_9oYpB)XZ$OP_{Re2hJ6rLVB zu_0fz{#U-wJPvL!l>7(EtGA|U-M|9<0Gdd=tN;QRcTcsywAIzgUU8FwD>mw3%+On{ z;tUw^+fDnyn{UCn4{Qo)C!D=f8i9Fyd!j=`?Ih3h#u1)Xn%YScEskJ4@;2!QT!w;Y zdkoBzot8#^xFVaWWvjxaLJf+COQ6}Z)_YSMdF&WAGjByKrB&J~`I6mg(`yDmm7h=! zz@UH9^}^KRjEWdgf>q6(jDc~xw-T;~Fqhp(dZ=mk0$g$gPZ}*u3PYND6e!r1;BXTd zxe7F$88)D^`^fkC2m!0K&UD)Jw1nTO_fT<`Sm8_T6yf43XXF|&oX&sYmhiHTq3(K? zOl0l5+v-nJTKm)UTC%&Do~$|dt?dKlOL&#z`=+|0tv2cjbb3RNkJKk?&5ar;EZ0ex z9(y1lJBvun-JfAhcZkglQZnR)^5J=3r5QHfzU+MDjoD5M3eE!9)F;njOK`w`97z#_ zuGUpko1_Zn7CL3w<}$xgxt7S1T(m<_`j1q~`bYH3axnxmknef6W;m%`272D3P>}Tl zurB2djA&Crz7gmB4>q(f9c^m?ZmwS{BWT~27k~RxPEhZ*U;4Z!Zg}b_#xH!DX3U>FsajiskT01Y%bkl zv6H8|8-AAOM?x@C;{yrS;oJCL-gh3S=7Ki-M#Bl7$Aw9rq@_PKh%Fxb6b;#gG8xee zCJ8hE+qGl`u5vM6$K)Ry(Qd1}x#W2c!_kU=pQTZ~wV^v4MZe(|Rc z!k7T}Ce4rVp;ZqP`%Lzo=18Fbdl76&$)tK+PfG4IwA@Wcn5Fip5$`_}17{)_-` zx$8c{;Cw>PwK%`qqQ3D6RfrgiYtsK(nw|~uP#;K{XIoL^aibKsPrjj3qxJi7U)u+x zBZq~as2h1YP>Km^Pz%}$Jw_bL0@%V|0y)x?<7#Lz9j4$!(#Th2ECog2r}3d#3926E zqxPdusbd&UDo3x%A4TyVa73PkbhcA1zVPCzkoh~TymzCa=e~))Fasllw=fEdNa!r6bnE~2y2Jm)t5V*{0x^iSCOyTq7Iw-)pD1?CdLV9In;8$U6ULrr8S0$F znWW%MPgPKho^40*KmdHFi&A5_K_Zv41;a5y(Fv=FUULq44M4LWy!|@o_Bf~M`s4j@ z{n~L?6<$8BcL3Q|x6#*i+l!83QYm6`i_$Vm;p6ZAR$uzG7yp6kNFc0&MU;`M`!L%I z6q$hM84b%xePAObzu%`*^5xX7 zTqp{~`ko$Q|`>_zv+aV41$-eb9tJQk~WT%96X~vvAs9) zbcB0B@9;o9uBt>L(7o3D5)CC(P`4-trS;C9hla_IWhs&&P}7UBwz`@oLglrcu(@>v z^u5D#OcOKj_SL@uIt%T^9}=m!GS@%_vh7-Lo@4Vl_PThr^`m*ESh;q%uaMF6SU8EI zz)IhNTm;2kInme?YKqtH^8NwI`)UI!!WefmfhCu`;>H|X+tXi$@R)d_DG{K|2u?9OA4_3Xkwr5}c-Fe7Z zby`kkzJ`d;Ez3b_*gnQRdAnAUiLA>vVUpsJ%@K=S;kr^IT3$=QLPo&+go92b?yv-W zO7W{1n}&?FTdflZH)k2v1EJtZa$XX_)ucBb!H?QqyOsvR=@{`&|XZR3m1ax(W|J4`B23>meu;t&*ZB8zc^OGe-m z4%J35AQ%`U0gs>E+?7?6&t%zEk|V>{Vwsv;VeO;>QxuC_d=}Ly zD3erpFdo4vfU3k~TaR2;CA5BsmyU5^2vDWQcuY(n9u|ldk=izbRU-lpU4($RMEGrLRr%H{7Dq0ZCMemoHvQS_sW5IYY)&7d6zKl>Ws zBks_>2HTywhx@9?X7&oqbw30g>Z@_NqJTD+;R2-!3WeET!lKms0*UOc2w{nMDA3V= zbHz8&TTDg1_gJ1%k9*F@TBcn!sThtsM^8V==-_9t&LmLL!W18l0EZOeZuL^fp-Vz) zpbirB9`Fu)Do2k>R$5gJm)Lwxw_Mkv*yMeH8dh7ozY%x*kzJE{vS7Dv`NL!>)^bMt zpVMauGuzrB5`f&vo5@F8*xK4wz)54Y$jc36@wuY=ycT~(eF1Y=XH!2b5dsJ522x!B zmGaFkHu~L6zvU}ngS#nj_OC`@C_<+ZO)kdzst;aQV!E0}8)QA#Agj| ziz3*S$H8r=T*}l*+>%6=uh$EU!$ZOGyXIv?o{$(J<+9Tui}zj9pgwBKGFP^S-tqg< zifKL$##emQM%SKX0#qb_ln`&L6wsnhm^u~i01H*irdLg$6mCB8)gMuaXb{n(-BX#K zeSX8Cmo2FbB-BoUh(bcC`~F>)7B-n}w~grt+-xJDaM7xO!4tyL9BX-(vQg~(<5$!H zWgu<>CIfhNcp(VLzw%qwfn7jd+k;`5KpV1Vn>k_s63yjHVIPH)f7b#F@_vl)Qf&5o zG31%17-iBK=Udx3sJSs7J%GLF6ixb7PAMd|E9rAgsnqCZi4E=cKfdsP{#2Y#aU>tl z!r(b@=7$gnn1elB*ekgp(>5?ve+36f;sI>OkL=OfVLtSIMB3=TFnb+{7w6haZ=tb_ zx?sV9f7xB2(=!YBAJn}iv_ZHQn3GwKkYy1BGOkmAT?mWvoJ&q4ggJ77NT&-_<+vKKWO+;JO0a9d@bvv-{O60JMymwso{r(gWp}_ z^7Hjym|PIOBxmQbcLni*8?6nO);Scob4Q*_7W?WS8~JdX(Ha)u_djlJWizYW3oD~# zBmnU7p8_5AKf^u^lHKpq1y35`Vm%Nn)JdX0wfrH*Xd4vo!Fu&>h^CAx9F8+Y8Q^AE zR@h$%bRph^ZQb@womE~U%!IM`Yt;Wx;Jm% zZi9_Niin)t$y>K>aS8|s_!`CX{g>C5#etC8Kt5SQ;uin1R{(|>4vX;MCbFIjQrgQ; zCCGsI!ART;zTnAj+DAdK{RI6NQ`lDSK|GKzVz~6*2do>Ty?_3SGXe{fFn(~$Z4nBp z;&}b~^`|Mfkc`s%A7X$_S^e(aW~-~3oA!fC-`n#{o$Hgv|J+Jq*|>-SV8ychC~$fH z@+f6Dxg&W16(e4}7`3!;7)(CaY9{o`kEd<&?xP=3AN|i;^!s>j?GZR{t?qPGR8?;# ztFGQHp4uZRE~iePzF9x8Z;E&0J0#Dko!$7EzflT%ww2JU|M`-h(jg^$@aV>?1DNSw zkf9JjFa$E4!-z2Fh6l97yYjbBH|8lg>`o%aUOLiK5`X%j|JzRwhlqd-yf15DP;o>6 zKohXje3#!v(V?&knb4YFje7Jsx+xoU7hqdJ=RdbA*5mhg6r4tYWED{7Zw;;2zg`hG zEcPr04=~^(nXF(#_|I2Q7|z!k*0I`J|8wrP@%E@yh`a$Q2X;hYCbmt-5&UPlgoK1> z9zDphUA+RsQGp?#@OU>TCns)Hl4WCgPbLWXuE6!#$MRnT_%2u+>;};SQ@||l{U_kV zljttC7eH5GXuAQzjHW}yJLIqAgF5g@kVQQ(S$cbF7#K>KCpIi-i-f;}=pic~~ zkRr&Vg-&|JUu#5_n~Xy1#+AEajB~HO)A@AI?|0_+$2bt3m8Auax1baLD#s8Uh(Nww zI{DUVjbbw$vk3>G{`uz>{x_ARZNgWRvK>*cK>^N#@~?jB&L_vl$#Q~l+Iz#pi} zmt|SC1x2NcjRzTS{u3C&>di?F{wcx!tO_RbFu{5-`4yP&Awd6qJPau`q6NfR&f^~) zkq3x_G(fK^1Z&p+HY^|6p!0jM@Zmgk z47#}GU5!#>{N83jNlI0y2jGPU)tAld-jb|3mZD%s^TV z-KK-%T{i!g{MoS4;MesGw!qCJ0AHZ~{Xxu3&499lz}?ayzw<>6&f-1b)e?Q&|Gbp= zw~6ZdH~1~gZTvg?HT=MGuBl$hH3Ok`oVv2vD|Ou5y^RlZ+#`P9-=7C$LEfKInlsM$ zm$Qf=A|Qe_&>k>FkSxw1ys#RivBPAb`DlnLI@k(X4?8`I+^vNn0Ck`H$XQZ;an`b? zBULwb50d!;dY1}P6pAK3{=XJ9!DQ>#O=sh}UEh;n%$&CC%JJ)95es|-Rq3rVe;Ba+ z@r>C0#4h9A0w{v@6BCTn6^iH9Ld^`2oea3hbcyvbc_ql6dp!rVxq!gkHtY zO2&3$PQLL4|M4qtw%9mGqCZ9i;vf}sA=Cg_ShFhjHS#% z*13On01t?lW#CaI2Sk^@l|KM5B#Y^Gc?9|-e&u!Y?0<7^fR2-p3X*!942(Lz@TxIRWIedn(_g<2_ugibI8mv`J zu<%)S0RH~zbpplucMf=A(dus)y}E!KuCA{S3l9%Z8c8HruUoxeF+ex_X`I9GkC;}? zi@<`*6(0cM|Mtjhon6}!Xm{UO?YUI!FcIeE!@jj}+L20Cb=PG#Kj=f2Jr?F?!r+<` zb$yCjoa9F0W&up1>Reuoh4tN^~Ohzmb5_-ovJ{Vea@3P&6*(qq*jHEUI;S|ePs;G`9+vc zy+u>I3D3Q@(94O4Z8b2R_w2N$;Q#UeUm^6vGL{>k!#YpurKDe%rx2Z5IV@u`tI zA-dUh3QJf1@||%cefxiX4oPSuf76FWeYN)CUL+?1;fTm-7KyU}{3~t?k=#MHEz2VH zfQeEAP?og~8XzH+SO{AEAOv*B3dZqjIdV$w{~C(_<09WM##Z?tV3(%Vkm+h6`s0?t zzOMuZ1_qyg{yH+1uzrtUUvWn`>eaIoECnTxM!1Kf`tN@a+jF96z`3J`h1DwG-#+mg z?W9;9nVYZOVRZAbjE4&2Op^M=;Y=b({ zCmxs`2)%(AbsD4*W3!+BAD-;Di#m*)GofxRC~{QoaAV2qhi_QieDl!lm3~^)T_f-B zZ!Bpi#>4Jpl=X}d`0xD71{7#=L}dEJbkM0r{Af_#8ndh*8LUJAY#GV&rng~8iyNLx zTjgrTZfb>PLmC)iEJ(chQ#4||ZvN*7<2zyoi$SDOq=R4iOglKV&4(LxjaRSU{ne}I zbU#~S?JWKoxR$@(10uxbUM^gLI&|wV#e&!kdtl-wv>_!4(3l}ITt3{qdm7S>B*9^Cl=>`d?bRwd>{ndv>H>xmfikT>QGcYZt3i6ZBVNV|S~ntEb+RczSF- zTm0kY+&$I{d2M-sgFGw#xe;3aELPNg08P`#1RSR^?27}oqnCrdMScaej*)R@BlLeT z#;JaAITi{8-aShHm*?@GAOJqaJWCppLEsri3- z7>!=oA{H$#*~z2-bqYt#7Oi+4rc1)bkpL71)G&6L7Q#kMj7$X};!_U(0;Ja-Al{v! zxYckP{<3Hb;*{gb+p@d?F@ECPp=6eSyu^Py^cH*2#hIHhMiY>_m3HCw2bZLzPeg0u z#o+a_RL_Aq;mrDTd8^StN{`RV{`0$G5yh-ul78tT08##hXvvByR5)}Ky)Pls^L$>3 z^hRa?S^ojCfrM?LerX}-Bj5>>1C){9h&Ll8w6T@Z$JdEZe~(Q#Z1}QE{cQ#z3ETJm z-&GtOp1KxyOXd029^Ac_xl+HbggH*#XIs{<50(JsYwt^2H2%ofaoZbIqw3`6&+D+( z!v^P9mBQkk7JP!^@+C=T2b%A>Z)e?ZV%5mO%IP?4e$Ea%Lbq_xG;#Tdj7unk2J#*yqM>d_zZOfq>B(LR6xxl_*VI8x&54kORK5H8_|(bRmczba)g zL%sl-X2`AzX^{?A*n%&Vg8_G>`G^{l5MXIdm}D& z-vQy+P(1MXDnV(N7C_cT#$Na-M2}I1?{Eyd*09B~U!Xl7_8tk@G*-L1dinT0|6$Yp zqJSTWFOydelGDwCz!e9S+V{b7^1&i9S$YV=O26KI13G0WM zLdKiyx}W5%99-|ubPc3KE0YM$-d%CstWWX}ufhTG!a00m&KmTXxNpxKIj!d zB5re)DnuxgibqgmXq?>a7Kpt{j#Q5X3qEg?_FTwEo=~oA`3qDZh-^e_$=QLZvt`wb z{q6~DW*fS*NKD0N+uY0pEuYU_OJCd*LNJ3fBjDx6Z&q1#O0U6e3Owa%00wcSD-H0e z4qG9Iw9A7_qhZg|;+k6Dw zc!W+yr)X#jWbbdS)$q3703|pnSUjn{3#U0+f$QdePzD%p4z$0%f;L40&5EOd5V{AL zKc1I{>W<&Ygv+V}x7DnC_FheIRQAF2894jIqX2py`P#uXv!_l_Je1o{?o!efx3y}j2GcVB5`q@`*V0zRvsW_ok^28D7RY) z8}qY*4Oq3UdLYG%tg=BBCR3?rZ=F#c6Is48V5zsdm}j+zX!!x6hy62=fR138zOiRxHmFZ7>>1YohwTM*(oS!HQ7#kN!K?0XNgd(0 zuOIyt25X#~tM7U_EvxW*15Cyk!3Ap$Aps>MmzxX-1q<;Q1@?O-0U9+gSq`&gcMuB&<CKuTzvcWS)9MGl8}0Ih=qK)N9qiIg2=IQjVsVVXC96MzNLg@G}r zqXJluimQj3m7W^%`}suLp%pZ99Hxl{R`<{t8w_3mJ3ckf5MiY~zSX_h1YYS{j2CEA zy?~ByJIAB{GI01rHh3M23U#Nnw7--`XsnE6go?WpG?>@Q^yTP(lWW-q2|VW@c(*(= zFI~cqBjc}NJvlKg13eue0gnyp#5#Q~@w7DoE;Ht}lacSD(fuHlrS;iKE`hnoLh`z0BBqwMzJMQ3R^;QlM+TvvB8>)aN! zgDQi{I36~832jq~2EPy3f?b#AP|(cWT5Le&aZBylT?RW~HbbeL1`1!_ir-?K-l;QOigXC#bvHK-yWRxfY z2lO=2b{A;Uw1c)v1Y&u-!S6vEGGIsIAy?&vRu7y6pm9G z@Fb#JJQvFU(zCj0>%d{hPibJb^XVI<;o8{v%x_zvW>%-G_aIQ_-^H%weeRXKkMvwr z8 zIiYh}`AMf_Kr+1n>Jf7@;qV#-%lF}I7>M+|1=odmh|?lnyY917tE2M01|)^q=7fv9 zKD@Y`jj(N@k7EUi?wX){<0A4ufUMyb@09GH0+TQ6uN=;2s-FNDxmH@+R$P66;5*#DD@))PwQVJk}7>`SODaAhnVT_ zUn-FuPr&Ii-j$!os1k?e|2If8Ry}XesS;2`%+qMirW!mWn&(ni2xqOmnN5Q6xtT3w zMZQ|)Eb#p{T(D>)=boI|r1pbsbw%vVv#}7nETeXGt;_PJql5N{V$7-Vj|4={9^L;! z95}`;u!Q{E4F`!oRUnHT$%M6q(eF1LCrc#OUu z@7>XR&q6kQYoYBJeQA+^55=90KIGUv<$Y%!6PTd5c5YHLX zx8R_O{JJFHx54b$V3h!QrZZ+Y5uX}jODq$4j>|=H(Z_2PSM#Gf(>?5exY4B)ppSu_ zGzmbo{*|VgA%%0>+Q?~_96%dIVFk-M%zJVzu@h+@g*r1iul`RjSWe$E2Y!S zZSJIp@c~9Gf~k-TtR;_4m@smr9C(QAu(`RpK~0g<&~B#lX5zt>r@PeOeibd_UB{rR zIKZXVS=cnY)Wsd$FelY8a~Oz^U%*l-f!Jcl3l~6uazuLor8mJA@o&*NywSasz!p46 za3z!zZPo3-;YGMm4mhpWr=w%3(5$}kfPnAYzZ`{^Rm40l{pGe=QJX`=BQQ|_D9FmBXE~Y)(n+C+4`@~( z>kGMAP9rNUDC1uH$f*YG*;mFZKE1Dac`2eIfKiIqw(%kz5y1Ggiu!F~xy!EX`v%(C zBg+B~;3^#t>Tkzbv1qp>?=klV^7`9h)b2jjpt4V7>m|XY*53uRU^UEYx*D!@+`yKD z-7I5Q2Jm1DK%%wu5tn+F>9fg-Uyb2XHq=@Vq~@=#jU&sJ$kB0fDyLuiv<43nI- z_Q|#bt-LKMh~2khab z@lW2L@*uL{Ku(E`)LRIoEcy+OJ+~JyIrgk&MT`Rbg&a{LE znx(Za!Lo`MF)fxA&bC$!xfy~|1V8f1waF+rBv`s|QF=6|S;=k&UQ*>;#~8FZ)lfg7 zb6ENOGgO&85FhRWin28+8`K_h=cw)2sggTOJc_`-rDFC1teqN3mSQ_?K)eV!lOZ_x z{)MyRjcWO}_m}3urSSYD^!5QifGN9!-6h_o5H|C+0hp~F<6zIMFPPs;08=^2q8+K& z@~pl1CF1a%tc4(xd-Ho%>evzhMQgy~nG>;#I>S4L92%f(s($(XrBKl8F&%rJl?=Q>v(zpFW|`P^$qy<4f#qLDB9^KqUkv)f$Gq zpKnX)a$7{&v}s0pb*|(0BZbuKS==IiT#CJcZ1^>L|gtQVp{>+eq<;RIJ*<@qCPGQyXEpmHg`Z_|+L+vKA}luKbS@GQl73CMuUnmyBoV2v~U+zU1#-=%YH+64Oz^?Q!SR|PDcO*4ra znpab3+g%WuL;Edp^q5X@D9}`uKZvI<%@=~}od{m=)8xI-L43o^J(G~Z84TX@If$1x zUm_gMG#QETnEp`d9AdI>=ofp34Sjl^Xr@SwmtWKP9t2iEj5VYQ$W-M#xTc~9U3v?$^Q6fna+zTF1hpGB4i>*K@;8iPQyA2>&=CmfBkquBPeyu~cY zeXQWHUBQ=7oc&HJ`vz^ZISvgj3jG@2w$L?Gb1=AUX zXBzc15SYc!^f34N?bS;Y#{UAZFv&f~vmC$ext&cg=;1|W?S^3gEc=+mlG#n&K8o42 zz6*V9Cj?nD%47PWLu9ihf6MnTr6JF2nabm7t*qF>B0NqOdgkMloDt3uNyE#-3Nx!J zDbjuFg)E4@->5rL2syJtG#g7nZq**@IXzU+RX26bH@ORFlNUdn4g;w4mEEwa6u+9H zcH65xgSHZMtPLYUhOM!FZ_&V>qBa`6Q8EUtBth8LApSzvjmZ~uHMnxu@Xo0@z05oC za$G`g`nsg*K;kbqBsMDJ+hjnf;=~$mUrv5HmFpZf#o+wz(5?rCMbSe$Xt3}8YVr=^qW4%CxF-7K7; z3#iq>c3zqc5qznotTgMnvr{rBN~;dcb+w8x?p0qlqS)(&OK=_w49ZmRT@}+c%=Y>mw&1IRx0VQ87I0 zB*6!!*dbi~36F--5tnfoQ*+S0=KGup6j)1hC>&Hch$`*MEVIB`ByX8g4Rbg1peRz- zD_Y19hhnU;|EOtmmfBKm-$jVPv{VZIIgoBNprK+1fqVYcSh!P%+`-+2DYxU)#nzXy zAkh(q5DMn=Eax#)_-1zn!=S}+d#(;J4*n`OtKMVdQc?OguiE;tGhPehGn}M&0tQc8 z+VHqiNq~$YcRGY(?01Gq(~(LFRUZ3q?IMjMd{pWIpTnaE1o0nRN209=D4w&-NyjSI zGPN_PHja={)r`V~a&-RdOOTRzbPnSCp{xhZ)7Oh4d3*BoiRdclKb8Pofg?+=f>-^v zr^Kpc+qUYl5TFGzn$t45uw>L7pycs?{e2`Tp)F+Yvgus$ZaS+hGX*N9mU?&Dw!2?O z+M-`U?rb6B2t1*h<2m?i0@;?7hmT&*^}X1Hm$K~;LsR|Z;B&p*I@Z|o98a*PP{5?4 zQa$C|$%D%Aqtjj6sVP^n8dz;gy6xN5z?@=`hL>eXNTUq&q|`uJf8z-ApIJ-ShNn#_gmUy%{G4OlXAc zV>sz_C;k1Uv5&lJMt&i)nX zS6l(SYHY{MB7O{n2j4|0n2JU77?Xp;9FWg<0L_+{s39do>m?3a_yh#crb|I>ssT{2 zp!AS2ZsuPCu^@-bAX|KZm26swNi&&U5ITpg1{Xx7NAQcFrB(wlqutN=OYi#9B%1@@ zUAdJ33QB{I+{BK7G?nUPoOp_{~i}1G~Kf z6LPE?mB8{uz4>gY@6M5_4v2<3bI(yFG;i9AXRw81hxc!)*OE2Yhf^m6`cO$3wmB?r zo-HF!>_=}%L}!WCjp~_SEgWw-Nm#@|?%y!-0ZPP5r=3Fs{w6x_lXa?`tT?r?=4suB z@0c)vpK4Q2!2VrFU-cZ!5dd=%5v69R(sqn?Hnq;(Y}ty}eA1JSbj?#oUA9w9u_P|c z-E;~__KsNs{8T{MJC$op&&TJa_kqxhPIMuQWaw&7=G{VgT`kt^k0q9Su_g%+^6D#uH;RS9kwp zThr7o8Bw$y4+6Ikef7hFG;i83TR2vF z!sIg7pp4hA8q>Gam$D?2bjt$AByCfDy{#ITyr$ltU|s_2Wg*aF2?n8p_Y=*eF4u?& zhl!-u=l7SX>RUF&01i${{sK|z&s9M(pERL|;Zr67B*OQ%o;!|%D*7$x!f?5bNx^{a zi)87qfWFvyje1rgSmHET+9LU`dES+@0vv>#D=&Y7&R}c7QaS-eRRA-$0)8?C3b2}-j9g?maVR*!*VvjZFju)k>l(dW z>lFJJw85Wt(7?vn@@+q&gpmvKq0ng^2?YXK0hosQk8Hw!YsPKDe{=`JSjDY&DxQ|; zqMNkbZ$pmC4-I~dvu*rokY#^L?l4#&j?(xL4xcSCv79AU07_hD-ed<4Y-v(}Dt+B@ z5dMWMs&#PFom8B+_rOi8AKiN*;~oGeyIU?4pD|pWo_rJeR0_~#o9HKF`<6r@e)m6$ zzoL2%l$mG1?b&}sB{jCK478g?u8ONE7&%MJm52q4w48msO967$c6>OMZdwULgiD8Y z?4d>Pi}6uE-L8yG#YN2Ij2*SD05OMtE3ZS(>|-bUVbUX<5w=~x@YDyYV$I?9r%%2= zj_|Ti@LcKy1&Qy)ob8t=@Fvqh8}`}Bde^G$0m9}&XF+nEcFyatUXp!}EeBOd;Aedc zmes+5eICx_#L%UTruowN;zoD-%a<>YjqOao#2G!qiS%ArA~u_h6CT)hYuu`TnS=b7 zyS&7q{QH<2eTfS77NXB2XFXocC)!Nu+%c$%#-g`ezV6pIRerKy|*a zA22`nprQDXljJL5#dFn{9<-UuP7=&{wrYg}PwCZ3s>J7OWfT^Y5wX4%*1yYUZz|h^ zA6-pZsYv7+(}AA1#CAwnxV(;!sU)U>4}xAqTQA7eQ^AXl^%fd0;?x5Fqckm*s6s5(t zq&mZeOiFRSO!pZ1g*DsSTnv34w3EAT-kzn?;WAneW6^-D+#j}D3RIE2td;tOc3D}h zR0)k)4}WA^bbNP%$NBz;Ap=K$|K+u^5a<264HlCoMG!TxPqPFGAFtzi#n#soN8Sd*o^cQBjs6etak z6nd{!A(c*WP@GMtA|4Zc}b zX|6_(IzkVC!D#spxpa0KXd4FZftrqh)unGlbR{d`Z(-6;B+pTk6zn}k)^YnHxC|12skb(bC}sh6H{8=H5Q+qJ9tO6h>{Kw)GX{M)gg zaswV>`3Z35*<&PNJVa0Boy7;zOCm~>SCx-}bQBwt)F7wIfePPAOha#>*k%nviFaR* zb03Yo2i*E|N@90j1`BvC;d3jMuJKRKXZW)JVxao`7%9Pdn(Ko3Kn}%pqX(9- zQ_M>6qkOMT7|9)!quN=oUb_h@3E~xR_}*~B>|pxj2+|-FP|x-elRA8D5+;68Q#O){ zc7@N}VAK6(c2~ct6Kh+E(Ub^FL>1_ZyM_N^kkcdCy6gN1*EYA0nMi+>Ok8T`dGL2Y zxSJZ0OR(c*wB#u;*~(4~mOUku#hhE)D!});rSC`AS5%!b#TXv>VvFM3W@SU2WnEa90Kb zNk*-MZR3J9J#IgX{F(Mu(S?Ab_G*5PoJBa@3y=_ce(gzZH z7QRY`Hr)XMkCNo2a^kFWU;4f}x{V&Kzo6$xk%#fAmd`VN;o3;n+Wa%a%fWHi`}zY^ zxSvbm6eo`I5z!e=nO>tuUZ|+q8|5$_P&=303?$HHrgbz)d$xSI<;K%Yt7aBiyk*M&IgTT5!lC)cVh&X-X zf+X++*+6Ja6v8IUgjKNvMq>#Cz%(T*AfInOsz8sIUS*@o63hiZk(XKoHajtY#@0}z z<1q%J(<`dLakw&2!@Fb+n%sKolbBMZ`wJUbooMHwHX=KFwNjDz!^=_Qp+Iy`fLNLG z(yv>-pVIZ8mb<*s%NJ&%ifJr#{Q7POO$Yyx*LipAW?;r8I9FyI7i;bZMM5Z;ZjFJgBI{vb9O~xPt_m9`#J&-=f^MoYQ`oYbvAD~yR0tK&fMpTArsmWOJj7iNo zxF8<^Sq9}SKPQ`Jt@x2Qb#O_-<)vgDKD`QVkfAbYDyzK9rT%D|11?F3M^>ie(|OPZZxyQSmTusqHwMHGLfWaYBqdn9RLy}4orUDKI zF?n|N8m3*2+ybGo^@;;AgK}w*R>{uY3=3r-Z-7)c3M@-c|nTpLG zQ{eD~#q+~4VmqjRzI@E+-nxGRSi^Bqdzmht0HH`D_u@K5XOnF^>k`}4SYa%4_$Rky z-;G=*>u13v7HDD*3X^mbohp4TdY#!6KwvAkqyIJlC_0Gl0cHigSx4n^_Z*EpKd*0Z z`G^9)ygG$}Ii|J^e;J%61HZbKP>3aI%i-1Y9WD9lM4pe@DK*zt>ddH8>3vyblsrr} zvQounA=%uO zxiQ;5VzDEMKsP%?c0UuLz7VX5WB*IdrxZ^bwZf4h7Jx;*9p^aHr&mH>;gj+}S02q- zU|L+3S?-heKzi^k)2%@lP3!G%8=sw4e^S@WOy^|8q^1LxA17f$=2vF}gDaaFEruqu zN6@8K1NJHo7T6cTs)n)01Nb=1E=Qh#k~+mH00tHe>eC4rwz$lDP#XFW`!Lg{!eneh z|M|K5R%PZnU!VyMg(9Bl_>;EyDeoR20@S%oonha5hrp((@x%GjX1q&ZxsNt@Rdhxc z_CozBgb;A|_MWI~pP4F(jr5u<1pyUv!?5LRp)2QiPAaO4O?0W!D&Iend7+|qcZ3(% zn23MA2*W|`bVKpOE@xg!LbE8YbbWHCMdE{q!(BU>U2O`~62gFCH|dhq&EabFEW)@)#Zm} zp(-^a$YrAv`eF^tnL;2GKO!mPj47~wstS?)ws{=wN zwt9U$nuN5^9nY|H_mzW5l@$<#VlfI#-=2ZzMxz!bRa>fY!LwAkN`8A`V`eaCIemTG zXiuqvjXTrlCogI3I(y^#Ku5*U=Zq9p=w`c2gM77oeDgWhTXgUGUsdy|?9=WISdsuf z5(nf7iIUXWFcp)b!SnQ6Qeq65_&@KNsIPXFA?Ml5K%MLz;zL1y@!3$?>GWwo`}sn! zYW2NYJU5&gD=8<+ldQ)j$O=4Q;O zdt|%}b~AuDy}ekgeXRqp9*37UnP-?<6$!u&Qv#ajrR&36 zUe!?wr8{MuR5D8DhFiur3HU$8EmD0BlpP~W_JYE%a_ZcMtO5LI_DgodK{Nut(-OTW z>1M$)N@=Y2eQ7CCY50CQ_oJE1okkPbP?bBen$P)ReJQLO{N*QxOefhT*UOAVC^IHu zmcW?xXc6ND2v2PgIZb?zw9+~Cu@^#jN!dp)02U;{sHD%A8EL9$!yO^Y$hb-DR8=WBK6T9+ zMDD+QzU_$u5w+wT&vW)JcyC# zhA7Ma#GL-n6U9rgw73UD6&Xgwp9j;g`Xik`7(Ctm3`FHm65w%p&bUS%780$`T$JdV z8x7>9cE-);do4RN{2GV~1EH!9q5(JTp5j6vB=2a-$^d*dNz3-z!R*=CTd(Zfi}M3lc4a67{V7lk5{cOzd*pRw zY*$ZOLS+;YW$M~TjI>a!8Q#@#HC`_oI+QkS{M5o{@Um#Wlw4GNQ^Cpk*uE=s9}*Qk zN-WwM_$ONH3X=f@F>-BxD8@&VV0Z1D`F6Hp39a^w5Cd!s!+c+l>B=#CvV)M@5ybP! zK{t81H^jP8SYe$Y(tiN~Bn(T-$OWcNnu^h22^%YPZAJ+ADw0aC9lyLK-tcV$kS!dj zTU)7X|KK|0&^NeeufRv1Zm`xyK+fLHg9!P9J)n3%Ojid$UH~9nV_d0$1Bu0mlrA*9 zzkL9l5PaK62`=M0M0oeI1>1XrYH2yyER5r$hjJldv7;>}sAnIUEL~p}U=t_@32!m| zXa##}K+?Nz_w&sug12&t}L_pOW>8g1qdB4_`@Nc zm>n@Pi(JNK!MfNd7x2pf|D~KjL}|VVrEcmO=BWd;T8x>!^r_UjQzLCPK+I=u@=6tc z1ikw0#eGEvJyfv{M6jpmKRfrG3wdruQHC{cFzZ zBx`eVB&p80<1cV4#=BIuR!diXGd>ZG#q@i3TbbH_hmEi*RG6m$Fodbil?gIrVV<){ z1UN(ckXxB(PxMnKR`VD1S8)3W{~UOO!Tg<#_sBU{9_9jc``|_ zhE3iOr>kN6LEx5irj{ug7XQbK#VDmI8Rl$UA`wO4U4-n3TiXSOQv_u4nrsD4UPzgF zq_Uk)WIvPaWY@MOQudN28e)Tuvl`Y5GQwWKjc=6=6QPvMp}i3*2(ob{i!hdHcKv>j zWa*rh-30U7DR)f>jRG?Vvpq}(DBMnd7~TH^cvqp|?niol-*(0BzAZ^kLw;kA0ox;9 zsa@p+g%75y1iZ9Py}U}Sonb7k6L|cw<*-Pa5Scyg+^17@!^5X))K+I1!4d>WD>Y*4 z9D|2GENzr8!c;m@Lt*HKyEia6->_WzNTcmt!k7ayt+BmlHl(or+-w3)u}Qxd1opOE zuG@d1`vrS_T%ri(Yx@X%ojw2z$5>3|(8!h(Vp^qu0Y!RP97&nbY~Gb~ci}$3 zUQJ-{ zaB~28K~Cf}tmmk9kH>uSDPV*`HIAG;o2pf0wps45HFfl^!%VL6LXYifCq9{GhGa2h z|5O9|Y(Q3>e^eZ#q^XNKJx!f6s8N55ZawNCx1kMJ|l1c~j!ZSlN){S`d5hFcA$by~RW_kFB@cDqoiDfa(-T?&e02li~-7E=z?LkWg5askG+D0-X-eg?M-(JtRxq0m6>D;5kA1}PV zMIZa~hAW-Wl=8Ko&USc&kidj6O_#uWypdts&`hd5Dtb?Rec&>Uf$%Cj^%QJ)miwc) zL_n94`j4EJsrn%_$D&)Bs!csXj?~p$hi-W_mWmAaaK#pP-x6IL32f~5*M2p`6FsB9 zyyp+g*ifIyM2dq`G;tt>V#WtYb#BvhuSQZ`_sK!;)zF$jyl|lmCS`Gh73b!9WnoD0 zf=k%4a&v#}80ZFy+q%M?`vRcikk)h~_f*TAwW^o;hISc$Qu&E^5-;ndWvghQUpeJ) zB`ON{@kvse+@RyM&@)9H0^Q*XP56(w5xSP9g_ifau<_DvVmgx-uE+LhhVuXc@djho zr_zXvU*7JBv1Qui0svj#7cq@>xaD*C{AspEUX8xbHiPG{Yvt*ZZRd)g0H_Fad&qeN zDqX)RQ^=trnoJjm_9BKh(#M{Z%HbOtORGvFsgsp1pHp@3WUy#*MDjeSg!lN zL$$pIrj;Ckd81;CRjG1{!@rqvPwabirpgB91E*jVqg`WSkE`2NczKLUfnqK6@`7yPhF%P| z#eH)RQ}7MZm#FTy{F;E!?tfV+j=J0)pOYPw*tB!sqXs8#$5yK#xz;to%W(=M=i?+e zXgbM7gP@i$_UkBOdGbYsq2Y3V$pOy%Bag(Y^V@v?+tk>bU@kmmRf=DGQhv>M#02?{ zjBu#T!{+rk_8M8D#^dc1vL3iOxZi79J8c9=W_Mvauk=2QBi=w~zVqVCH_orqfCEp? z(BQ``E>+I=QAzpI1EB&Fnx@%6gMl|gs64>8aB89-!>~LeSia;_sVl-)=Kb} zV#>+`AE7E+=c22+?ZKG*E?WuThlX9R?bt66IL@ zZC@?xB(40rU#54GfV$ox<78EqwPlCsE3(#FpozY*A2~Y! z(0^)bTv}A}T3P#T%zSFcy);}oIKfmyOLVZ}V>e7V9*lL|39NS|nt$j~c`otAb}dE? z1&EiH??@+oLYTyMq*`!CYvtYNb9|>VZz{zA2TT8#_SV%P<*JStAli^a8h_<@HICD} zvG`uaX5p69uXvR1HF=T$eI^(>Va!G+ycWw=Q#t&jCjpom&?0j^H`CC+w82aVq+kMw z>!HzlQ@4CXsVOm3UOdM6_WUWapx~wQ3@2~g3AG=r)DEer9}3!KOLT4B`_cQzHet$- z4I}3v4h6#~wJ<@L^6FFCS7&oyo+70?ClyWdE&#NDM8C;wyXPAxhx~*Xb4g}?F>nhq zjm2*bLM0K~`8`e3crQ~Z-7K#>7Pr|0)(2aQQaW*>sae~&N1Y1Kqe-+66(nC>v4*O& z2R=;0i=seoM*ZeQ&MmSNv;h~dFAy*yPZUHrXPt4cvZ2ieZ~&krY&5y z1n9cz$dJ&b6h-Pd^DoU-DUfU?gfc$pQ0UQvfOammB1p=K(u6ZWkko$~^ty!&w~qRK zD3FPS8M#c}e9#97!U4ZJ;S4dkqV1TaE+mr3X4#VG^b10-FQ#$7tB*z_OTKFQ2{d zI(rRFku;$e;L=SwE;8-7gi|g8tz&DxcOo34UQZuo7hXLB8pg|r3K(LSg69i^>)mm$(pi$oJ^IC{fX_gPS4l~^M- zL6@vg-2M?{8AQ*#V?^$0ufAo=Yu7h%fireaWgyE4&l^KR3o*tg08d9#u|_PG>d>*O zqw>EFfJha6*#Ov-<$VIAz-Of1MNGN<4V1knedvd0w*XTX+6imva3Z=x9nH#Knf;B> zgyKZ3KRk;E3Arw@$>wj2(9S1q2IT?JIi$(OJlsx{EcwGqD#SC&9kQme4?RTC;}G=s zKvc@k2>>5tOPDQ`vF68%DEB7bz+bk%)yOZmx19OeWY2!)OjIp8PVP`Eb&z#ZZD}_G zgaS>;faw-id46OR3Ay$(J|A4>4!tqGxs7YLXrdan4+3Ev62Ah`)*H6d0$Ly?&7q|{ z@CnvEMfU_x8ctT4rph0bk%u#ta0$3|ZMVg*7s19Yx3qL{h6it#(x0-#cOruyb($Id zvU0iUZg<~FpNYc@eGD8wRd;TFlZE2ynNnefT55~RTC?iWG$QIo<$D!(9}VG7LHUU( zZI#Y1kvddrv`W}QM-kW{^Otlk9I>KVKN(QQpYkn(w83<7bOAhYsEZj^H>bEZpg&H| zku`Y|Q47;E0`v^@!9;s6%~2<=&EZzs<}5@eiHCdj1K2G!U?TPfkhMhB@tY*23a(p< zul&T?*fOwjd#ndN9ul|S4|ET6EB4TTWb4NGv9qv684VDy8z+ffZvZKfWfPsg-l zSdoZXiP5>Nn+AyN;2uzv^*FnxGOHql zq2iAMiGFONlbthQXDuTSDwe5Xmr7ur_wqE%*J9hAt?=8064Qf(F|AefIf^&qj)GVcJ{Xjf^=8P zBNYtBL%jzIVlGtrSm6S_-h}za7f*NCAX?U80S!Os9CHw`k><)*)0P7jC&e~{cXEcq z=esw`qE|;C)h&#H3TC4DSKz*m->1=CHX!03q`b%oh1lVs2Y^!7;RdlVTTiB30tmvl3`- zKeo4B17i&~T|$mj&?b?<@$jiYrQ}Tj{z>;>)$|r7e}uVAwro+Jxi&mqXdORH#o)_S z?--(^nJpc&D0ia%x%9=)_J$EpU%VfPA`!BQK56g3WU>9ILgK)5ZwcrmN*>D3Sz5?Y z@}WRtkIDK^W1r5#ZV;^nkp04`bUXUc^WvMT!Rhtaz1$_JZZ})UlFSZ;YiACCNKDtX z{AY>w5B%*%m1!S~SzEYwzN8$!lnM8%2KYAFp3dNtTMdWQ->k&--l-X7yX6B>VRV#Kb%8ylCvx7B5S2q*%HMd{wiXrPew{@(7K#q(ormb zH3!ryju7uT^1y6A<2O^N54qRuhiF^7LTtG=p_2vAX0{cwbA*#3o8JBgi* zDO?K;+iF$Ok-OO}9NjDG`1QyTfXkj>=}cM9ykvt>#rbPS{7HR+5cQFi2cytMG^~4b zw~s(CmYioF<>h9rZB*kma4vIBW*2(`F8sMUGQfgyYc-^oXB{74uwl9L79Fp}!aKe< z_5htlq9L95no|SzDrf7$??7g%229cys8c8njxU8qS6%-=_*@e}2zAa(xTLKU!v*^u zS!_2;p0Wj7<(x6Az!TXnl_@2F`A|h12;t)ZF4sn^SFlGsT=A-qEH1nZP(g9v+<6CTNT2JI zsC5v#@dM`PdGEI_`Mi^Fo1D2r@af&xaR8Q576`pmy@q7|z*SzcjE`w&M$c7B~N?o%@;=8SHQo?+k3mdHB~f$gJ5L zN<7>x?S@Q$fLZ%$hbSX3r^5YdFH$WnKpR|%Sj8W15kVV0Vz42>$I{1!{faiL$fV^1 z$mU2i`QdhN*yJ$fi49@-KhkM~9}T36o4B4K!p#5AF*C&Wv?s=#1Q;w;drotMSSBeQ zjDGY;zzPsZGvxLST2xem{Ku1m`(qlhGF0l#UZ8P;@mcHy&8cFPXJgq9FCJ7b2h5s9 zN0b%8TO_u5@?K|I%w{hybAk#tY#fJCpVib_ExKoW-={(X1iq)AHCK zr<6UxMwM;^c-xkAqc*F4sPO#Rl<*HTk0)sI@{R}C=jJ4Zj^5qr@Ay@cAm596EncxK-746)GxJR7)0CqX*LJz~ zdgHMFkG1y>#Ik?i$Bo-gWQIi9QAS4gO^9qtWLL69RJI%Ktn5OzB4tZ5%O+dMPO>*y z;d@?2AgV*XwnT<2=seNB~>6214#UhEOx}bUl3U3x^P27bJo5 zZ~i(h9`NxqliCXj%ey?h(453E!m_iFY)8Y};ja0`dy^C97ZI9CrVk+b^+2@XK}s;o zvdA`jwD>f#p@y&%6OP?3p*R)VV9B60-fQ>6lxFD%6M7ZKC(2;-ilcK&EJFP@dI-$P z{jo|1`92_ysb|R~ubGPw>HUBjK6o#(;d;_?F*i;vo_XGED;a>8zb<%i8#rgbK1lE; zbjlN11x2jaB402F{n9NRSdDN7&6#}a*Sm#Xm-w@ssw+%L54YPa+}}mXlGf4DUM-WG z^aoXC%HHmbRwFW3X^Uy%yo~wW#-H@L>VftA-l=2$3CEDZP21}4u@dpV;G6FnGUg-*JEYAu3-?R*WC&q>f&9XnMEG<1c? zd$_4NGt%q%Dmj^{5CQ9yd5f>n&s`H59RHCnGI>PW(*QIAtx<&IB^leVc{!{AyBOXy zy2MBForrd7gmp@O;AUEUVqw6Jk2-=j-<;0tEVNpL$#4?DsF<79B;u5Xj!%4kO`|H%7cdjK7gG}y77i= z0L(gB5Ku+d#G_Ib?Uap6jA+EcwgJ(YXY%>5osl8X7eqc}jQ6T=Kc*r#7Y?+)I$@pL z;Xswj_*QKCotD6cDfQM{N7qfe5Rn^3)qST)P@U%ilhnQi1ziCgFERYAX-9Sxz) z&)T$HzVzLDmvOZ;w1r}ENx>&&GX&Yi-Y`1pYAC!~N$@oSShZEZxAb{Ac8=F5wN*#J1Amq3EL$ESLm1zg~I}krVAI($lfkzOBlY6BDxPm7VB)3#-Bk ziW#2xXSj5*y@p_K%Ja2j8*DaQKN6wVr0VMVo=_I&%lMvI0^(3^=(R%v1h!E(*M)l|;$~x7Wf*v_-UWV+?HXl}REx~A)!7&-O1cfoo z258f{U;39+e(q*W_n1b#=^1W>O0;tn`l-)=$8G3IeH};|-}}^bbKN1Sqzs(XexJC$ zsb_2wY$u;#JtiS>>8-)|7oqikC3<(_(B3~VSG|E`rfkm~zxAf7R#HAdq>gy^w6UUL z0FPqMAp(S}s0<>5-vg{oWv~SL_arfY!5M9e6_GuK=!Vc|%kDF7QLXamZAD~^Jsg`6 z%B5XJ7?}qAjW>3#l5x#E7nH@{ma~IONQ8vTLf6f?lesqrq>w(Td%b?+UuL%nyXt5r3SP}ra{%rAU`x0|hO__@u83kD4_*W8EDjynejqWlJJe@Bgj2e;{u)YsHK+|^AAZgv zh2t2Ekzz)eune?*51_J=kV~Tt`yDOOVuVQE7v%g@Y6DgF?K6>`2yTrn4T)iz@m%B-yl2k5UPV>~~X)t*DGWPFMNmT@ov|bWa zL~Ks03f8v`J}u;W+4V<0vuo5beGV9bu+MZu0QKt_&6SRGM_noi>lS&zC+g{gqpmeh zLL#QTuI|^y2WE5<*O08wts$U;OvHPexxNUXYDh8lpP;iz>v4t*o&@8ua1RHdBsB6q zh4UFBCyB@h0aVm*`8xr zy(#WL!vu5+l^XM0vTHyHt~%0?ri-^RzEH?J#%~YxufkFv)Qb*BY+)c`(oIZ#;;{G7 zZQnr_yP7NB(8c1K!LrDqRxwh?FU*YMqsI-`fMzICLNM-nUA{B};S4*?ggtgt0oTu& zmh}DDS&oBjk3l@UFM$dv6? z(w58%e$_d2rYLgEy(1C9H%F}#a$xvH-pu@w;HWcm92cUD20dZ2?4kuO61dU#2_e{4 zJ4dEnseGJ3<__>6vm_oss;q#n1lLFKn%~NVB6b1l;3rZjrQbhC(J2!ellXEvfF?5E zymgU`(-2QRCIJ{6DbeXHhKa;@2YSLqP{^tWg4xNw+!BC&72n?+kqsxJm3V2(0FZ7d zud$R(Ts7=C_+b)De~s`STehwV#CO;2LpdybS7MnnhngLG5~Ow$6=ERf`WHxBl&Ju3 z64AXoR{sSSGzqO*Cku4S<>*-x%K5KPcbc%+>O)B5>3Q0)+nr>!J-D}t8!i}m9n21@ z@=)*CVxpJb!tZY)D8sQMu0@CzmtdrTK|usQMQZAdarw|)kSBhMh$p^dZms_ z3kUKfj4m6~)>>iZ-n$l8N@4Kc|aZm=nx&p6#Ws#Rbj{pQ^09MITKSADdx`?ldH5*0GGu7JV4X8nPFIt8|JO?82m&1pe%}QeKEtx5VRDhGTCW%hrVUts4UoO zkL{sow5?x{bQJ(qG;&`>g103$Dc#!i4KHqFtxZvU+0=q`hKM#OxHZPHy8xMoq>nT8 zk7Zxh*`sxNvjSmD_Y9G`;seY_=w}{E$-O#eUM_#uV{7h#^uzn#F2GofJ8~4bfaIj# zU16WvZ`sF}NC>0myZY;_Cv1YUlF*(K^uhmJ2XvcL^j5S-ehlgBWO!TY>O*yq^U-C= zEfxQZ<(X78Z!SuzC z$;uJ*1D9_XTos|uw^ zueaHIZBuX&o@gxrlx{A#3;>}KWLaO5Y1|+I*s2I!XLvP#qkD9+GzKGUsN?&1IY;Yk zbHn2dMlg6PbtqOo`(*jZFQ94G0ioe4{qwZtv0uZ`*;A2rlU{=LxQX?W_hHY+L|aF* zAf?u0-dlVnKgImDR2o)i9^`Z|E0d=8gTTZ1l@=7U{^!_l4!`uK>mk}jfI9E<#;dos z(y@7v?Ypc}i_Dn*v5i2lU!NigES!gdpN{kV^+sePgO9P@tD%VXrm6Lm4g)pmCZUGj z(lLi$AYD!u+XEOpW%2#=Sl_QSIdi6lEAW#FZ;db9KA(*d{ya2 z-)y#QlF%!yQpzy{C0u>qQsq}JpfK!Dt_>!qMtvd;=hHs($GBG?oC)j^eI4WB4;yAX z^-Gx>jw`II6}H8vLtY^~vcl`i*fV9up4w|{n^D4ASvpp9sWc+#?E9I*NeL15@j*4F z-`V3d@UP8E|82a2If5YDq>t8mylLK;^;qfT$S||nHEQx6L*yD81M?1{ndR{WA8Ig} zEc340*8)3KJGWABS3^4nwIriO8aKyFpN5!EaA_t0TPm!CIcOdS+111$1W{89%N8gX zSxn?UnG3|*`)afM33%+F6g7fSb8U-%qqj$T>w1kt92@d5sre2oJ7Gw20Clxc%*9Xl zEG*`F0X0s7S@ALN2Wt7VrRjZWCc|^SEwDgMH4!m| z`KUM~^Yslx+QZ($E#Xd%U-)7{Eth^sDvOg|MG$-fSQ=_i+*N!>soJHS#LV|{vw8Lf zqO%X*Rn8y`^YNh^4+i}aZ>gvVM5z!-OP;f?(}L%Hy99gdr3Jg~(D7fGCLKc>S&-Eq z*p#=f_z?vhjm+%(4OX1JP=>O6G0jKk+Ga9n943?I82xE~hW;BO@Ga;QaHp!%Ls=gi8x8dzh@ z=c4cey{amYzfCYbr6`53K>cGiSc9sq^ZDQS2)hDzlj8^y@}b; z+b)I*lb=I40!MDTlHM6>j$Z(O#;;%VyJaU*y%hl2%BCiKv3qprTLl4ik1XkBj)@kk zQ3xa@jeV3d=6M=~Z(7h9_Ct3I{Xz5OmPg;HAfcvjPymzkOjvo$Gm#D zP*h(vuh5}_Su%m+KHl>#<8|3ZNm_};hSOE%vG{bWk3%pYbz`D(kCJY-F7}X$^qP>g z@x*ELNSQYtaoOHn@pp`Ab44a96}n&t z8#SOjX|^HMG5V=D4ZOwN`-?YrBl0Jqn zXo)ryyVGt`Z!hBdvhE1bzb}2j=HBN}KZhbT@&~TU%J0a7x^fB*7C%R_>_5#|S$ zyND!a9YA6NKHh?u%b(JwL(hkupew(R%=n?`J7G;tI*be$(A4zwXMi!t1yFZa8dP2E z$hffx#*7MOk3)91A20~m^u|PbyHH3`?kx2uJd&@Z=Ub!ra$g{#36Mu@?#O&ngm%I7 zt{|Z0?LS?SQQCcoOFB+|pMS1@&Q88ZMdJPw$JcViPP;v_u_^QA-AbkZ(xo!h{}2C582_&Me*9Qs^gfOT0KRL*W3 zr;a&?^xUnmkF^FPdjF=!aCkSHRO!3YI$uYla3>^WaU&2hB4jf=>4*7dKaA=}pLZHK z`kx`{=y+eHtuDz1eMA79L0saHTXumck8c%3!>3Q3sS(i{GfHyR25Lx;%g{%>s|Vj6 zy9ZTpKs)Gg)8nT)^2XpIwUM<~%lpW!Hwr6I2b^kX0b^$1j#PcFEPRW9P(kc%o~oUwv zk~2QyTwp$I+9hM8rfA(m-uJ(H``5vPQ5l`-d)T==*cEN0vJN0XveS6$BMbZ<=)zDW zWA55aKE2R1j)cRLutQ}cI~C<`lpB6PgyV0t+1*j~mLulT%|yd>qRdp{7$SQ5(IR@O zl82{3N#DI^9r}eNnE7Ex>W@QR83c`&251aQ4p(vlN4GI;7CJPMkIjOD=Wq#u zJ#I~pat6N4czbFHGDX&#v@&^wpMfHvy(}6d?bT0c0jvEX^Xj8XTedChf`0O)4-oT4 z!3$$BU%Nwfo2JN?bPk!Yn1Sxd0wP)tg%J*o1O1ZYcq?1W;JI5}APNg(?=nKJg?p>1 z2wpq_S`neZk3PH|1+5*&hk&eI4j4fgr=~38e+o&!v6uG)cTz}B2Cpm6WGRde=r$6( zGX1w5oS{fQKT;RQNN}_2L|n6of@`s2U=wtzblZ~y*GXC1ufBwOv}%6#wsfcMAq|U_ zS0rMRNj?+j^<7Xj>cAkbauxYz(UW|WZ(tQi~ zSf9nhonkxQKRS9A(3o&&%jJcb0xzLW6E+SN6(bdR)g6ZISsbf7$d2TwtmM}62UQq( z%l^D58LUiz`O!!{f!iD!-~A_LYQn|{mD9UZkkVf$eic)WlP5L`(6Ga^#7 zhMG`yawz$qDS6O09LS$SGZ$BQkwwNY#a>+f?$39D3rsS^%c&!T%t7He176$3W4JL} z@;4SbV-blVZ)d`Wt*KWHYf}~yX(?*b-T`-LLe%ciEc!jdzX$_Ek)&eSoJ1TB3tcg- zr(<5m6JE;%5Ka6_1tFcJYwj4X|8@CK7lGTNrfZ2m%i*-=yTS{bdTa8OF9wrK+H`h+ zjxNGTk8nQ*5w-P|S*9pb4dA&Y6=7m9A46y59*cnQ)4YI5875ta{Wz9rrIN+b{UHU? zV>;_%FtTK1M^*Qk!T%(s-SO=w6ilNzc6b8!qW1Q8dI^J!zbSlP6Emvkb?E;z*2x^l z=X%x(J~q{^IR_w2#1?u$B;SLkza1+>Ngqv%zvb%Svt2={UIQ8$XT?qfL7Jt^4<^Xt z+=%_SWnW<);^34Ug6QGEflubelH6}Pa}oVp+xx)*NKvIkIhez0xny(LI~4itlC!`E zB|_31*rf`v9#vI6z5MrY{zgQ1{~+@g4iHjk z5f&;U9JJ?JYtMrAe8eC$I_b<`TH(T*s1M#3W50A6fJ$~@5MZQW7;|90j5NmRFO4Fz zALgStDs#i}^p{`Ka;&<_q{3NVK$N1KIN@F(nq;YfQCykp0$v5HJeujiOl$HJ7&h~m zYyf#M32Z;5xNNT^?iK;e7>qV{jz%g1P(v*nty8(M^FQcLAo2a6M4v*LI+O{kGY0`P zr6y&S@K|^HavzbKyh{VRH-CRVUXWrRtJF;VUz{zkrJ%iW@OG0y{a!LC^T%3avulse z7_R(9F6C2sGIDs-|Dl37dSk_fX zSn+9HfSSAxDxGJC(fRP&l_;Gd9wpxxC{ZxE4PMgMAan=AyHCn{K>FLSLJb8-1`p_5 z5E6>JfaBCPC|1?dO!an7{{fm+!~zZ$fC$N*0iaAmf@->K=b;)N=%|K8`holyBIAo+ z3#;G3c~AFpWfElJ`5r!@-``{BSvpX`Q3@!y;Q#lecmMJ4{T@Gc!9?0?oEPt!>(pb! z*#7H2e1`j=y#n&WWBma_&@NWu2~H_7Rn38r{Q}7`4K67=K{D(NV!m?qh8h&swyClQaG?E-D5L!@S$pr)A!A>DBO@6eQ#vY z@!}$1z&oCd(7y;TISAzqgCFG#qhiGC5nKNTXf=b+ zjp9tf@WJ6^=Ie_XR>26>T`Bj6W$MzKtjOL1>^|xt3ji1$A)Bt|RRbadm0a}s88%TGLkj)an77sV+?GX1xaK1+rXgZbzbS^4K zuXL@^qo~A05cG2HA-Xo0Z3KF5Qj2NC<7byZL~f1EzM4fNl)=l$z6xxiFhCmn31^(a zXlevepyPg9aGW2rQ++C8fSb$q`=!F;gVdo2LU_mR*51794+dQLoIwEIP7rO?9t6F& zrx3U0r=pEm7(g5MJAC|a**uVx#@D~z^4RABfMrtrhC?p#0g=~P@Ocno57K9DkY@jD zk{yHtM=GU^xf%86A*d7CZ@+zc|4G#z>u)Fapq~>NIDQto2?-rzw7D^`9S$V+mwM{s zFb8BkkNFi`q4@Hwr&3WeKvnPfmcbgO6$Qh)mFXUik@^Vr%TZl?P#I)kQU0p%vlUaB z<25K?M)U+AI4Z%^fC9IA=cb{E0hhwb>ZaPg`$Sa8qAfD46m&jea4e3`+xKG%awDmz zGAT6_opp#09#NHLM(#!7IVrcF>4^OkXarGYA!Bt#m{s^ku>M85MxG)sbj4#MEs4{A zVrwn=;8x$YS2?PG9s>MouoOrBG1Z}+Gz?6U{|quoXW=Rti6d9Z+=vIF_E8XCk@aY< zECsRhv*23yE#9d40vwUYJ0F?~KKYp(YB-9j-q0nVhYZIGi8!^pY}=MveI=k^BZ~3Cyv8MC!c|}Yd{+1sniv94B#tkda_3a-{6m5bfPokEr|5T8-uLp2 zCA&thg(gB5z6q>YmVzLJZdY)KxwOLn`Qoh_-bXeHXwz#f;qX`kiNUB4BqR?tyW$W_ zibV=CK-5JN4Kshay5DIet+Z1XxUXd+77@^daR3M(3LK*(BNoej3Vc#Arcp_1b4l9; zxhP26FwKj7&$5)ESq2^rb2_>J^AXrfwLk(&hWZtuFtb9I`?OpJz4=F=sbPf-gD#xG`BC;r!LciHDoo)xQNV}Dq#``XSu~y!^*z7G zE(A7Y5q8{nU{K4D0kiu8q|Gt>Em#u|hdQkm1f-auITb$9R_ZpnmIqNNVYCP4De{N~ z(BBmqN(+H_sOS$o|3jwyj}Z|3rzzfJYVIAgTi6cV_kaDL9>_#{Dhtru$t*SzLH+-T ziGf6(SE7;BkrZAAygkHN;U;V+WA01-?7E7un`@8~?J{YK&_Abk?@0dl@1d#S`YU)> zr~UW;`u%r;G`xX_y<;#2;EK^6dip<|WI>xQq`fc3eA#j1-YuuUKskN&q?HlV?~Ban z4clumtzzj9~X+I=|gg@VBGa--qv?Qh4vW!w8L!SVHFyMDkyM$onpF zD75j2iUCwia{sTN-ujCQbZ$qR*I^#@c$Y+2aT!D8nJ)i%@~ThlVRNMTO=Zip?GbhX zCfEp&Qc_6k!?+_rc|`&GxY*02FaJM2dkhI&Cd0U_f5_Q?%9EX6vC0n?PQKk)sso&6 z-{#Z~Rm1C$_`{uXLHT&pA&tY`583uFsrN&iX9DHCdaLJMvVY!4k}L0BSWjVDD3?}~ z%Y8J0yoOXILrCCk-T+A}0X>5S5Z7Fr+mJ`D0idk~6Pcvjz|yig)>G=fc2ldT;!pYd z@5}I3IfMupAqfU>W4o^-utKkiux9}+Az72`ymQ)&H$Ha%T){FH#Le}qe>Uf#_fp18 zen=HMnv4HWklA^K525M`wpg0VQ1(>1#*IVF!jOg9k2&O;%#`e_-8p6@|+y#;x=zI_8X&ojTbpseLfgu7Rz4aK&+%HCfbHYoD@S&t)z#)U|vc|%B`X^ zg#YqdZI0o;pX&Ed0vQa^jRSwN^N5Pbd1!7?e*ypl8961mL7HBJQFMLGVRql={-2BIEC(p7q=1Itzh&^w+six#KmuvfVV>aBH8!;|sIC+C zS~xi9n0x3#^*QVC6PzqZ3%KG|+JqUOR*DC*ImOmg5N!cDk{ww`078#m{*8fCur{Ic zN|fO&W2y?^L6_AIQvO4XgZMA+hUCcn{&{~N&z&U1oL&a|I*5f{ zw%dwb+X&=r7Wk-7p+tD_YinVoqBz~p^sh%$#(_p3+rat#hOuxOQ3YO|&uEqZZKroG zZ7L7iQ|XEV2PKs4brc$77yL$kH?ox8PO*dPmKPQ3s6K~uEXFn(h6?BWtbI=sK~X4u ztrcbbsS44XK-xP2PsD%+wxWoH%&+c8gTGJYpWo}JT?LRqZu^TM!Vzgi#)}FX@%aCs zAc`qauhq7j_x$;5|My=7sbDWCQzXVJ0rVjcqW|30uKRxw6N?s8pPMa^DlO{z{sS}r zVzFDZMT(;kesC!L))#;eh$5fVCj~b>PT*wRNf__`2YdT-RQ7&_rYGbvD$*?k6Dgqo z3QwydlxOH|w4j_896PzIe7tjh=F;HDH)0h1`oAF+J3nU&F$9MYsx?h)*?+eG_csfjE-t)|D;SH!$0~KaS>>jMgfPw>(S_P;H)PIHDs~ci zK1*=9cK)>h_SItNQT}K79;?}bF3!J>@qd4J%nF|8 z+6e9NtOk8GXrPYM}A8!8}Is{#w2|Mly^=c>?vlyvyY{nQg} z3(CE(;7Ta??~kLgyqUe7Vg|S0YbvE`E~KUR8x=U~i4`q}{n}{AQ0gWSaPS!bZiovE z3uKD`VLyq$;UNMCZK(Di!0+VnCxsx4HWa9)`w|OfHm5`EQVQqMJ8o8oDrx7DbXDj5 z^Cz_~LKS*}k?!k`_xyhspnsmvJPmUxYyZdXH`4#Zy^bD?;Bikb>QAvj-`Fl$84&R7 zD-F&kyY>yW4Qt*A|5w!En;gascxh&Ud?jov@54HOPQ^dJDtoi<^O+5Ys_g_Z6(=)d zbcJ{%PqY6y0q_9M!K)DacE$9szmGUd9U}$>CWXI>1n&Z(Uq~Ab{e3-@nh|;au$foC zIzP;&{zAf`=WXYSt$k?G;wO~)NSVylNzI!lq~|=fQX5RxHv_xLW`ITtfUUuB#Jj%R zQBZxBIkQWD1zt2L;gtek@8s(=kXKzvsIC#}G@!IyPUk&-MEU6*TqwF2Dz7|JY2bC3 zP(a6P^N0V&;8jqqfRd~DG9)*iykx$tvn|{=|0d)6*!ZGS4}rifAEczR{F1Ks@Pko< zF3_J_t9<{UrGH-1U%yIvPzEfFk+j!uiF?2*d%ebZYk{^FR%TtDo*VrML`mroSGdFl z1rPi_Pr!G98Dxuhyx#toL(`LxpEA2)Ure<=nwjp|3puB89 zYlN+Tfk#j>EF$SObx(SgB2s<4SN!tgAf1=OapS@JqC)G}X%? zW9w_szfo+KbWi&@$*;90uW(>F;PI@2#yg!-b*fRr*T$|MCZS(b`pF-IW8D`XNq_=- z0-2D-qY>0Vr*sZ)v5_cK(#OM<38c^54N`hgrMlh*0x!?H%zHiRKew^X!dtX$$a9;z z^VYQmR4L3Z1)$#}{{T-%83w9`QQ61;xxlb&+xZreVSx>a5JY%v6*oJ>zL;%!<=OVi z_ExL}DAHARtr6dtdNNxu&Tts^^|)L;cnZdz5Ih&Oq!_@j@w)M|`CTJ0$oNe3{}K=x z?!T|njp-&m^mXNeN1|<-pYq)DFpS6)fjX58B+Hw~KoEwH+R3T8Fvo{W>g`9(=Y|nF zoCx4k)vpBz#SOX~Vmr!HJ6Dz53qg5rG|>FH(5=dFp_k~@U4L&IILlz{x!e-JCpSy; z=5@UAoQkMh+T4OGiTGB72+reQ zzhP|)WE21RJ)%qVvF9_DM&7K~=g{$nsb@}}Lz^yTLN9n@tb<-al<;J0WQ?ez!V<|K zNF(vS>%FO*R5`rmmP2qAW*8>`!wwwJC*t(udilCeV68yq9nltM<81`N395r#WP3Gh z`fCps9d5G))HaNas&IQsNZ6#>+}9RrVNU5n$fF}pxBTY-L-ho*)rJTVx&DzTCwKxD zyKdX^(0!o7zL#~W)M-2zS-e5KyY=sr0xEnF+JBmbKEuG55k{_DGeg$EFyb@10s=j) zSQt55>7Ns+`Yho7T~#%;o|Tb;hzf#@9Ve(8Z{ zmca}NrcGzV#C}&js8&6AD^5oZ*MG~PB&A>tY5lCKRF3yDvU)AK*~J@{y0M9D8$RK~AL87Z&=LME^Zyr~M%XD(3{yLz{hC;A- zf4fb;C>#Ld$9)f~u86X?|C3h6*)n$*OmYAbsm#7wyLC3Z^Q}MIRWbk> z^C!T+DHO5Ffw7uDITE6y?8;6sgDK*~o{Gu^8wQ#SJwOmmh5;?IA&@>W_L+uWR~J4) zft`5U39#Ahd-#Bavz>lf7tv}<1{8KixNP5@k~|L+QYti_4g3+BO}{44NNMj$`I{2) z3j*IYufG!N9>}Ll|0g)QVt-7YqI2b1YErh%d&#tsEO4t-#7*khv!*bVxe%D>oO9YP z2L9m{fiZZ5clmADp1JafK4mMdcoe>2c3QHuBH##E^M!sZIDmbxWtc+aaB=)4>zeP( zf;s%+(3~M&RaIxRFC&0PC=q8bY@I;D(*phX8@X>FFhcM;f&ld@cfi#AYTbBRwu;oL zHcOv@oG-Upi*63icRRLtc6&|HUfPi7xpc*c7e>KYpg7@9I0Qe*y;7 z3XUissj+#iFVz;7TJBV;>c@=_|5#^GOPh~!+AZ#F0k zJRF~aP^cnmcrm=T+N@Azj^sxzm)E4O(aq6@7kOk;V!uKT82pre`}2`*Mg_|FJ8T6i z5KaTP%WMwxp|lZ!MMRwlnNocD-oC>(fGJN*JtAj=OpX!TOL^XOBtfXfO2yH8GL&) z7#Rov8iom&SP204tMdq@9w{AJx^uRT<2=$HCN1P;U894akHJNxvk;ne^CHDTz-x&t zc1EC3QEO#gsq7Lw^(q|py!9{VT0CvGtx9G&ni}fjRL|epEoAQ4U~gipY%V2ynkDTic3h1 zQSu+Rk1LLEwL1bB#Wer}C&QiNXRwF&u3)LBnQ~NF?OH9bL&Aus9+bsB2o}ltRvAh~ zNf9rAISF*gV8{x{H%4hY1>N=}}N956_HsAY)ZFLeNgCYBAyPQ?7y}xqn({`2x(K`!6u)W4T642Kw6 zBrafaoqlnv1z}P`Q&C98qsw<4R}F32zM1d|Gyo zg!US|I|1OKw>+7j=e*PJ@)ESuWguUlstrFGHLY549NK2uYFJ}M@G$Akado(w8a+Mc zGCKu%`yoQl+PnGbkcbsbOrIW?*LoSxeo|?~`f9BY%%+4F>@sd`0*Nr8QwExK#^xaB z-d}MHT{-4~2p$YI4Q*!eo{j<)!vQN9W;LAa)%D=M?gml^z&BNm&Oe{G_d?0vAZsGY zpk28DrF4|etzmzWIw+1135XWE$IE}p%bh9I0Y>M-{{b9&afsQVu3y9DpQ1%Q8S@ds z7+w5bWLE)ScXrJSB=?UBCVeNTzap2Fu3oH z`B;!CmL?bySTCnO$4ynwt={oL##5W`PT66}%^8t}?mI6T{i9ArZ*1qRu$xFQ zX_354s%*ol6;|AoK|SOpuy7wwL1#lzwGhj9QB`rD3*faSI)^kJvB2Vx2Qqc1@T&*d zRCQ%ffP5i{ON@K&@###QbS&mRjt3x}Whd|2bK|3ZHL zTY#aTxFKfE{G{*45}sH<|aF~y|;yLRYnZou}m)&Gc`w z(V)^?+R&~ext!P(c5&2044b%k5egXTWQcX=#JUb}`dv`7G}T)+63`4^V`I1K9yRk+ z%DjDm^S~F*cv1N9Mn?El_dEG=!c7>CJlETp?3{5T{kR3}ZBYF*T7p60qaV2Wh&e|Z zJR8~j-q`nuo_4%yPIotExAu+|F&CcDd3mN!H;*ueg)WAcb|!9(;oxC^27v*sH-yVJ z8pnT#l@W~IkkHmiTo`Q$IeA{We$#0f+ts7iZhdoAY1mbjcMz{6^-6Rs_0E%E4kHE` zGGnx5^m1nU$q0j+MBx4N2yqC5g?a&MP7UDO*6YPnUcjSM#1pXTNDIrf{Dz@c`}?iI$-unDIl$R|@$q8TKkqXW z$DE2UZ#LWR-#>0%iqlm+kad;716Tv#OM2YLYaUutx#~lU#(+;*HOFD+S+w|oDS_4S z*=gHBEhCyTpc-);#A}KY$*_oM`vLNqZE$-mAf?dpUjP#$=2co4m_6UxS}D~A8tLgu zW(B-WacDRmoal?R-N5L0H1R8)`yn>~N_H@0|X}Vd*fk<^$|7BeMi!%;B z2!j?BtFdAE_;{k{5k>#`a_mVEm1Tq(KTmGP5|H7>pr?-mzGoYNd{@^W7m}ZSuqxVM z+~#RTa=iA{>DiXsN35g)Ch`-VDjDT_;4tU`eB+OTY{M_QKitVU90)P|p_@CD*8Euy zn$w`oyy6!}_v0K))Nv=n*IEe-QB3h*Cdk4WwLDuuhA0Zp_o8Ku5h>&!XG4_;G@MuG zOy}J<#}WhY&Nx>cACdyG18yK0*ijgSL1%ETRj`5-`V6h!ZRng5V6MZTsCEHsfJcG_ zXoWWmLBZ`6EFQ+s%8y~(j*=@%+?Z35vL8I)_VL*zL_M&8Y~DDeWTIEO@if6oE3k%6 zzrY>ip71S!2T0}E^Iea&7Q-4({v(2~l$EGW9 zYDhH+0;A(Jm2bCvW7@X9@Xqo&KGpLRRcm@k+%>_|N-hHefg03AoW&qu)5>0kwO+## z*bLF&Mv*tc@G`7Ko<)|}@5^)=5((pB%U4v%Xb|IaeqX*5RQOAL=dwLh#>S_*{&t?& z3O=AORqKYN-y7w;ZC_IR^bU{{OXz%vF|cQU57xAnuslj9%3C;ge5f6#8ZHX@x<;8> z27esiX+QdRPj>^tpv}cHVGK0^^*3gkYV3~J>Oe`bgaWQeK~kUN;L4NTKZX4Cy51r zj74o0yx=GuTmhOAe$&cXI#6^@2Jt}__|asj2`x}%S1)`I9b2he6I3USiXuS|B)N=# z{xznL3O;iJJ5g4a^AE7Kk==Oj>#__hr;qr#pwBV6<+(yJ za~11+Ea*;Rn;kgs`~_IU4-p`iK7jthB>^vUPohQTV9|kJ6IaltkI(sl<|zRN{vp(m z&UTmR;OBG?ojh?v?0d-Ql-W(3h@=kj^PzbDL4^cADarG?`N)RAQxf+wY&StpnNFPx zu?m?Ra}KUsi_=S+GXwq{vajo#UY4kyuQVX&8f>`X^=M55a|l}z#i}qbh#kp z;E}bq0!A@fzBr9@Y>l6gGz^K~3eR4k9!OP{mJ-=ZP})BsMIAQ$#2USI_p@{~dRVo~ z#O-Id`5R$Qr=E(*8-~een0AlxS>zZ8IJDZb;S%2pTWF&F@)`b5;*f z6H=BH0!Iarkvj9#Fx-A(gM_z;IXX)8KhuH?F<%^5D{jU7`P(~%!^4ZrejX8d*Y@+z z&TpP^qrxgh@vDN8W6`0SI?Xs3mo9+>NGo))be!kG;kIlR7Q5u05 z5LXpC*-q!2j3dVqTRkofDgxf*xp7;}TL&Dj%giZV)Q6j@4D{~~{IGaQtekRnm~eq4 zEQ!@|>o(V3yaAB$y)pwTkrJ6wJqOZU$b#srhzYu*Sfhk-e~1D$Mh^zxN*5hm zu;1X`eG7Gc>&@0f8mk#zn@<2$37dDvC8t0ay$T`LAS_1et+V$J@TXBUohaLarS>Eb zMw7cHImjBEPLt!y;}w|!^g@q;pqzm>+f(Fn8kSS^>2uQBoR3@xTn8fhsDA8(kxxFd zIydijz=6JiX6`GHi^c?nrWg52zc-Ncm$EKvhhg7)%wP5m?+L4hBTP}a*8x-|d~*2# z`xDw}m~*RvhjRil2K1qMox{1HV@|>uibq=lf;2u$lWK9T-|#koO5Fm)*t0;Orj#Bo zzr2@`hvaWV&!`Q%*4ol6Cohu=#mxbU$|vrFRmX|>0fa(9{;e++D%LA92B7uz@f?j* zrp;0tkzK`+@*@?8J%PASXDhSm%4)%cTO~LluRN0Y_vIiDxl^Q*CVG!uR`;F}DgoN_7CnpU(%$kV%tW_5n zLC6eC&j@C(e)SZF?+!BKWkv!x(gt!^7LZoyn&f%XWvZ(s`=Isw=y7!UBW2UI1_FsE z^M2Un11_h;j}LhuK4$=8gzGwWrfNSRrs1(TUM!e#ngbNY&>-k?tjwnOR-#ew0O2ZV z(quI!*qaI+Q14)l*`R281~L+a^xII6+|8|%IP=y zh|qx`(f0U8z8qW3@|}c@8nrNEi8ynj zayHwM{8wd#cnL56pgKw&HwTuIDL?>irvFGFZH4s-#5n2G1|Ai5;cE~|H-elTQFHjG z0-_!%Oe6I5qxI@Rd3k`JervX@e%X=4I7f<&o`ID{vhPpJyl8H{cR9j-OVnlPhTj?% z{`up#9X57!CWxepj8_#wNod>SYjdB-;Kl$JN`p z3|r=_?i?q)4RIc_X&on%i^z%_lqMBEP!=y-t8RV6WiS{a%HXuq%KPxHL!%-;6=3|> z%5%J(<3oh~89U%gS48J3u{RxwS_ttVT~yxEie6)@ec_R{%pcdBC{1HUnC$|H0m@KM zjbk++wF<( z129Fwq#S=pMa0Ag17K>I&`0MzF;o%**sMo@wv6$_XG3YP%nlf%7M@FV7LOztm0`_i z`Iecq1>QhC_jM=xbRPN*pXZD#8?dA-hc%vQ`YCsPnhH}XazLQq9ca+ethUS=(4sg| zp@+&lDcMz;CrG|x+HkP^LT$sXsDqPKGA_)sic2yV=*dDfO?ykwQ47b8%KQZT@rh0%gm+mCAh)5Z_bPBO#DLFVZCR>Jxy}qVdOUu0(y7I%6nmJi z3c2@zudwgIx?2*6om1A`;=uZm8GZs3FJ3*s`4VkJaPZ8jvSX<**t=}@^3$qV({lh6 zNUG12wn>=2liLCULIw6w%FQVU5_;;m<}9Y+ySjaDeebL1`mi}(v?gD*b(6tmLUA5g zoXYBBfl96U)%@&!QH=hfjb$ALYvLzL&Fr07el}(CHa+@}sw0T23?uu3cK@&Z1xV~v zTfgCnG44Tv9G^gb^c^UKX&=1%)JaA_4l|XI>y6P|x*Uzis?_|&nBQ<>-5bDKJh<%Zn`PO?Ue1MV?+nFeG|$D1AaPUf;xeAAs6^@PeR|N$5ivtQCT*)2fYqD61TgV5zwuxRiFGwxCWuqWd3!D13Rv3 zuXh*Rh~-dklfE>Y$TcvPNcmD$IQ-#ZjaR2nL_gTQZ2*G3622mKXNHGa6_13*ugK-Z za0XX&Rj%m)PQi)8Cc~$CW`~bZg(1T zD^kO{INzz-SNXJIA2hnj!ANlEyZX!ddyd35UT4BO91d%a`-GetDr<+}9LZDb{Ih`D z4@cwhz0JcbhnGG;SC>1>PaT&DW73buNQDh33(^xwre;@jL<#+|@8zrGlmzU@6vZ2O znVvV=Qv4S*)Xt=SI!Dr&5xo~`fB!23(6WZFjyP^?Ks1X7D46o61L7rW`p$_!>66rB z6T#KXEN2c%Q4p>>;S6Wz`}Df)U>U!^z(4=xot}i{E<2>YKIltU<-{=GJ*ZaJ{~UY= zl36hkn*!OSMp=7(}BYPOuXgTdQdKH z*cxNDxQCC=$ut6z(f)}C?R%I-0@sY|;YmD;G0;fF(`cEDAhpAP@jmT#hH>c&td$-h zK`L}~kzg;PN_1ri>Jq1&!+l~$UT_yM!Q@UJ0>q3+L_y349%=d#r5H6M#w$6h@3XC2Wu$XSm`)*jtDdO4HI|LrS-RAV`Xoigbr4DWQTi zC@QIR4xxY|prCXsts>phjevAYsB}u_vqrb~zVF}v>ye*~Y*y*Y{fMT<3W#=k5vP zus~bq1R>P?Nf95V_LsN)2UbfPl1i4doiQ)UjSS;$v-JWHw<6!!;Dvn+?<($nV|*;Y zGa46ze3J5g=J`Ke2}LeKJ+|(?fk1pYrFt}$!aL}m2_DwMJo-A!zk>uN((*9?55zNy zmvoxZ__}4Pf26$(87g!gm@0`l5e#{+OJ=>D!!ZR34p&1W#C1*a2qG{+FLg0T`E~m| zl8J937cO#T#b5UOpnXIVN5UBISYycp#GY+w3M7|~C_(KWuKDup+K-G9RZbD&$#Vwr zbc<16Z9GlupODzQ5?srVV2IdSawxgLn=H`grBX<=ZP4+JWtQ~2E|T!mJzUMV?}=$G zv4w&?#vP3=CJR{o{PkaDh%Vxr2xf(=NTuTIye9v!Q|KrE&vQW;BhOTop-rj)Ug!%v z-0LvGDH*ZzdqH(PxHgVnwTqVjWa;dRuSd-lshH zmDIPaur5Yp5n2YO;nlKQc1HCRZ6oN&c$kTy3=2p}HDfgKG_BZ5Pg4#fJkh>l{0{c_ z)D6CcoVPC<_EpScQJnD31jHxUMea7al}>+XM(^l$3VjvON!(xT21#?d#K+F;h^}Zg zP%Ur>Fe4HUpa?bRBgmJ|z)mK!X*z?BsOdo*3X!*xYFgFxz1%Bf8-RW{O9!0prRnCj zlV=(wr__VQ5fzG21*Y}+b;p4od@)T8W)drvzrN5JJ4y#xQ0-7mvnIMPa3h5rIcwOF zdL2uF`huSW_Sl16tA`_7(RC*;-9LrbHf8ggV$<`#149a-**4TvsBv2UAt-aMV>>z0 z-V!EtD%9HEXsRdIxy>I!XjALQ$T00XJbAXtq!vpb7}k%zpyMT7*CsXNHiRj^{yc3U zGX-9Y#&#Rf9~}D4&OYrtc=(}AY3?VEnwWW*;t?#Dj0`1BCif3uRJavY!~_tmWUWgi z=0oL94?iK4Vs>i`${xM5uSRrezHyWi$`h$o#&TTautHD>{*-vRoy8d==%a zI&i2`I42a zQo>Q1(|%+T6S;2c@nH4U4kb~bA-Z2lX@RU#|3?0ngiY;B{JqQ`ka|<0Tz(d&qC4)b zbS}dy{~#YMW;kZ$E{SQxu1%O-PL5Iu9mfrC6Unz&WuAo5oT)~{3F*^69%BDu%6=0QVyFEhrVDs zxd6#Svf58Yd*ID^-e8=!Li_~P2 zP_HL01aFwy1(>VAPwf4fQ~5$p>ON}C>surCf`blMiL5i-HolWTCM-6{EvZ7RSV(z{ zVN>I328phe@XwDe&Pgu~Ud>~wmsQ-VR5&y4p^D2DkVdZFzln8M&8E~vMVOfXO+xhlV4iIpSa+q3iaXBiaE|8xR0wF<$ z_edkI);t+=Ixo_H>Poj6jh9D%MayA0knh482|I??>>E0;u`a~X!7 z(biyCO9y*J#yDLZ7U5JgF1GxOL^%hmRBCxtjUaM{AeVx3um9mWvu77CehvuQW9Lo`ILVP}$0l4y zWB;Icylsc2V-31Vb=+6*chDmJlLb9$uZxjo!FxBcJ!5(T1GJ!R4{1h3`T-b&=Kvi@ z#V>8Q!M2j#+;@~iEsU0Rae5R z%RV>WGXrDY&}=CYnkuAEiuUje)f82k+i{~4q^Be_)@2uP zv^f?;Q}^eb7V4{l_lV70!N)Tb>+5y|;T(LnQ!L37eHAWtrf;9sEcpX zW1^*{yfREC-a&VM2n&{ye?@8HS5Pe#O<-G_Xw(`h0)PH(vC>eX{T#|#MMRZ-N&L3+ zBc?V5@iX4LradGvHpFgzOAoWLZ;#o$5#@J1h|C3H2x}yd8GABur#p#7nWX=gJ86)^ z25Ol2CI5Ict+0~>Y2_DD*Dp{)#2_w8z@~eJDDAx^!Q09e%{_L;6kV!AmZOFD(+Xv0 z>=|pgKV4zRu9%)YJ@ok~u}pT+eQXgOUq6pRsC^`+-F_(Xagu9hoLSCz7372|Uh2Xt z(*uo*s)66g!b4V1|Cg$3zs-f-VjK|T$rK$p3z-yZkMaMfR)b3Ig(wD3X-cchgnvd4 z<#}(i#sSA{#wYG=9$IcEk${9I5fTz2OGcdR40O>;`;M0cS}FUzLsb&uqyBb_|5r1< zWC9m=b#slX^w;De@54rN(1ct}cc_Jw3Hcr5F0D8>SJU+Yy#(yOs?%-L zOD1Wss+!NYenvgt&0^vCGglnOc(=_tt-7f(z{ipYwt`tEAs^VZ^JF=mL24{<>i!lc ziuQDqHy3~0J_K-I9W9gKbTq9=Bfc`fpa`o#36Mu z#^Ptg;j4>j&4;i0x~6%FxpYu`rnmCQiA$ruC|zo+y?#p8%_y3ZDG+2{m{7 zv^5CGbT!vV)xXOh7{O$rbT}vskJsp{HJ66ek)oO4P(PT*j*=cm8d4ds({ug=W!jnBivsp)d*)H$FIb) z4zvCo=P{PvoO(9tb%9}Ht8AagRFsb;-WhVjMz(hp^rpEL zFnGAXI#ESWJ1w;#b+hBzkFkFm6d{yF2H9ET?vV@q4`R`}eli@{)i^dr@AR=h{NtvY z48g*G=66(=;3piDP?@hiGKuhA1kimpyI?#Sg1x>eOMKq?)YGCD@350KQ5Wi;&cQb- zJA@|5&p_xsMTig4TdK?^(YzdbX;0&U=qbSj~hc0%8S1&NuEoDO=$ z<``ah28Ei5yexp7;QC!Jbfi2~2YS@+9g>vPa=*w+SP+p-W{mfKCT~*daZ#+x=(CgL zg0eU?uhdq`-+&l7)Vnqk10^ww`m)ZlS}X3&0giE(@Bxb{)qmTuM3hc$OOp3#| zWGw<# z5=RV*>dU*nVjF`g`P_#-N-3s@Cq`?j^C`dn6i)ADFSby@Gf4Jz{OiBZpsUwW6gJ<~ z+SMPY{qJkx7-LEKATEh!`J5d4Ihhg`)NLWmrc7iR<>+*b;2{*Z-Da&1GwrjHuWeg# zH0dlyEqUWud>-94uqnGJ^d>k&mKB@ZZyqs0x=jef9j14@DebR1Jq(V0J81Pey;-d} zEp{}*zu7|ZgLa+(tR(%?bA?~l7CT`t!Y(xt2GX7Vf_;jNvv$@4o@P1#q0Ot$s+@4_ zu#cu9DRbe>3m}`-u5?{*8Rf2svcB}D@j>)TyTU|S5TS*)N^W=uf3TI=ZK{ZO$R|Q= zyq5ylc+Z5FqlNGIt8G9@gdZO1u^y^sk23|C_v>O3!3Zi`S@J4kWDpTQ$__#9h^V4f zSawv0Qii^gsLzD#DRkNQ`wS~{5pD|NV=8!ZDOhf%6A1g+cj^b1PoWziQ6b4kx6FL9 zi+9#UdmG7}W2~5Wv;RdIspP@dB5ef9{4>;>(4#TafOfGZw9#whs6#UU4m*vgs0zl z@h;*R_bdsjHVDO{`$ITbH2gi#BoN}ed_sgxuVq%Y}fTS*@~GD z6Q(1@m4)XopX|?*5yu`B=()9k578z|K?xl=o)IT)c9y)eY)z&Z44N+9(S%{n$!?1)kQ zijM+)_fu?Hd%sMN5H$_zfY59QLa}okS0C zBwL-jFXD>pTMT-1q|}w8rMXgL%Ws#n@dT`k>=hil9)53}x{dMQdL!GaGpw0L3eW!t z`S;|q=(5~K<|3%-_z4b1^L2_I=+?Dv*o*yW_@9;}{4%wP%+#>>iu#x$;H_qs;#Q1| zeU7%wR&hKO@IBihNfyr~!gA)g8qh$gFzM|9Pwnfvn5Lj1EJ6n^>h0FCa(4r|?l}Nmw_Ejs&sNRk;ah{Y4s@LWSrJ&9UWz%+$spQA zRT}eNcADS8K5^M?#B7mflu2t3zWSXpyz_uX+V=DIDJC(UHI?|tQ*Y$%;!pu}NS`q` z6z2u7v#)P04r&Z(wnsB%-%C+v13&@m3Z4t$uiSyGUMkHw*z4*viO9l$wh^q5BIFwX z*mFPZO4yPS;WIa_{+V%3f$^wM_kDyum;$h@t%F~ssUu>}Tdd9egLW_8qP}H!1}tvU zmszl8yn}pvTEGO2-Y<(_X5o7vb9N-Ga|_m2JXrMOMw`g2njK)sHu_Y2cWzbW>R4Pt zQL$bnlsU86nXsmX!K~)+wV*A;o)b~G5IJrG3aKyXT9|_Z^JMspdbVgvtcPrEwUDn& zopLgFyfo~Xx(3}iqanD~bYPYhK+&q5#q1cKfH0xdT(II{GoHD^ zjI3qA7*fH>z@1qh{f(Sz9~SVCOP$ul{dr}AfA?Fq_Qljne)3yHYy+^c(R}3fT-XJ+ zmv>^+Xm=m4+z(%Hrm-Q3+Tsm?IxRKHIR{r!a)A)%w=gH;^>CXT@U((weL=>y&C zbVBDp^Hi48sLD8Gs}a%Yb{$S`9a_%d^`6*oq4ujWGDfYwAx5TH*5)yqU_=lwmXPrN z7;uyVK{1Tv7^J2uv!TPCYs1@*mg|=#m&LQp+{BQ65KrAwEM?=0vaxmSlPUdhY}B=0 z7qPcI&BmfiH#=c#Lkr`aGb6x{juJ;6CI_1my|APhsu9&fAk zjbZcrP-n4oqfre7G@(xR@j`0ZUMb0Y$@+s34Lh1fT#1OdTXld`XI9lXD=g|Tti$=G z>)#V~m+;(0G_@=itQSmyGWB{jU+fQ)uscFnss0vF%oOwo~?hMYOg=-f2H0TG2%SV4NpcC0j7IgpsD z+Yn7CG4Em(N~me|t3zw~a*S9s@_-a*aDwugoofJKnw`$BReeNHDYb%!^{TgM?0i zrRhzm*yQYsT@2@wU3D_=K+oz{zOQfV2mS}^X%k(+@gU+!Smf;QVRo4vV?3SuWslgIfLOn?!Qw5?vnpy=iHg?j zlH9o?=M`-6Yj6|cQZgxYWPRXU%me{T0o!EaQVwulW3QbY-3SjW`6d;bd#94twrWey zf!WBZUpG?P=Q#O(?0Q9~xPq<-JR!O2p-mSP_^fas-1jBg68R)QnO!CqGmu3W4W+|f z*u&&9CJRMT8y8lx5Y86x95_D=fsaUtEJ58km87OG>tePI2TB zia2fBS}VG~arq`!I|cy`d;>(O5Tj!)Kr`rNZ}r+_s7Q_OF+REYTt)n7!+n-|ABQtZ z;EP^tiuPF1;LL0-DbEacfeak}#d#8HLpmionRr zKOgz=pX$0rQwGJkj!pjVxo5fwwM&KN;wlT9Q~74xXzib?YAp(DsH6EJ(>96AhQEQ^ zR>W}QOT4&{U7A{gC&7ipPl{eB+Av>1QMHkf5qe}Nol=^;SD-f>SvQoE;Ko`YzXQfU z9QvOy|5|a@`Fk80;(bh#>YJ(}R7q}W)pGi)k9VA!fpCrM!dO{B&y48nt~0$(ml$O* zb)v{*bAt73kv4APRG#V1;vj$e9qB-UVKF18@=ssT3a0gqj8If_wI@vWJ@pz?sFru! zZ*lwEc7l^ZCL8OP1KD@BFbUQ}Ba1AED^722LtS(4-PC0De@7s~-~FzuuN;cBpbkjP zn?iyY8Mh;qLK#&=$i$xoAPk2Rw3xA+#<`bj1!b3sD(s$YL=c}+b@q}y9eaFc%RBV` z(cgR)#PeuTH!Q!YMIAqe6z;$0vgH|JrYAjRO5-c`>zUv>7gQXrd6(+9^^W4r-E6xd zDSwp$VC%#Rn&Sej`lc_L+~;kSpe8JXpk&}QpU*UjvbyML21y_llQa`gH42vdkZh;$ zG2uD)byY`r)p94$_P}!ccEYa2ToKT4Vu6|UI}rsq5gGgxi!eT3-$Kw5W#2Xh$3Onr5p1x#$h(nhe>XT)+J1T- z_Exe?i4&kP--oi6rwM&N>1H0f0rE<(fO12n?GkO$S)+cqYPeBPD%}lmW_6g1hlz6! ztj;j}XrFLU$E-)7mhCPrS^o?rc24iPWeF{RdF`!`!Iix8Y4dW_Q8Zto{LehldA9K8 zLT2tGjDcaVtEBh%+i~H2y^hNWRP|sMMZ)7R#wV5T2Ol?gbCcwvmibsTzF7AyFHAdX z7qNcN=1C9z7Mk$3=ekR;ggk-`n{fFlAxYFtBR6)qA6x`c03Cm!mwStxu-j&kwfY&R zj`JB3Od(060V)F3Cxqr?*!; zR?3t^D#TH~tBy_A(pQTHjjjU|`z_3xo2btk7`%IM!uEinkWc{s7MtHW|A7}GjTFuv zA~YiNpxn}WP{GS+ktmmk@t+R8rv>e}Q@V~)B1ZEX?8!f1Y59_K{%Ob4<(tlZct;9b zhG;K}rF&%wch{sK1;Kk6=y_|$-Tlx1D*`C9&x4jczhr3#O615@kxz9!CGb<4A0@D* z|9c(&u4iQ|FmVte?%s5km#%YHDuM&g{YRJNZkrHp(6HMGyLO_dj@Oi6IE#FkTC~i1^s%K^LJe z%~!Q~V+1z4brh9%scbT%%Ne{izF2_k#f~yqk9003@`<-ELF2H znUlDcL+I(MxT$ClbQxe~n%zm-7;$t2F5}3XeKFUfh(uUD1c|LK`L66%ySGM>H-}AA zl{cge_W{b)=!xY?QtJ$B7EYae`RB|E&WG`Q+`0YADm;*X{b} zhaVH4q+blweVw?Bmdp62#uKmX^?_Su_Gc^K>*=|qjJbp#9&>4SJ}JFtmF9ev*ys0o zXd5Ee)|5|_7oCr3Qu~IkV@7C_Ukj;_PcyGQ_c`Q$arl7tXeW%aX-g=%`&NDk5hA1J z7k2HziVnm`)=#y;GBd9!Pxjv*#oKp~^a!pcF|OOMV*PZ-mY)$SyvnV9B>9ip@e|66RDE2NZhuP?Gtme+#c+bo2vorwQyi*n#6#01 z?(Q4u!Eic%rNAI*`n!S)mNoqhHAii+6u3p`IB}&9-gKOywIKcV&^Y=iOaZ5`m4vqT z2rX|6xx(r5m~|Bkh{Yq*1?uc|; z;MmSF!FCZJPLb)qpPOGC;G3$nWr)B_{X5R1lSHtVgHyh7j32OuRt(NupZ3iDz45M= z{?4gq40k1n-_)l~o(PjZE?p~WO*E`7iCt5A^f!C*&-s_0&vkrYb{VZpry2Q8t= zugh0{9Eg~F*6l0UdHxDWX{7gHH_JlgHJP*1G6G7FSs}KFEIoKZN3s@)S#D>62^cmmUTvD+Y?sAqNX6ATQt z)*ikxd7lq=a4`WrZi zIJlwBOdkOdD3FA8;QeRFsqi`7N8eJ0V2Wd#gRwz3|A$U~ z=4qLO-1XZy$j`!P!qCYjkV+dYv{5c?ZFS8P>1uIv@S&uAjNSDHQ;5VlCQ_GXfZ_e_ zCSyG-Gs{;DcGmL_&3Qdlm2)?XG`3Z}!DAFa-oT-_6;hHlx*01#;dX(6s_;Nv86vKgRALQ1% zQeZ1n^Ta!Ft7YU>_U|)3rK1t@esls~a#99oG@fUQstNrhb}{BY4De#Kd-Z+>tQmI1d%+tOh+;>pCmtGGe1e}k zITz@ge*9Y}%a~E4BbK{UBVa3$cPdN}s=cuE%-C#C|!>qmQn|%WUi}=SS zP@1H)^M8)VxSu?^#sm>U(pxOJ&r05#lFYo>D;WKvG34&DG;}uEX7chRf6zCi&oacs zUOd2B)?GsDHZr>eFF43zqol2wu~o(XnsZEX_bD(!lcykdoB zF^e||lkP6C+?-~qvi8=XJ?eJmP0uSu1rhtc<&Yhc^%~rx3$2S`&t#JQ%qB1igRDS& zD*GGVfmbzS(m%&}Coe|Yowi!wqm-?Oj8ZZLrTj6-iiCy24V>{_^|LU+CPq@+$6|51 zf;i_nHVCR)8pMA!b8NK4_bk|DQrPUr^HK9DO7Al5rON8Z*CR6Kt6qEDZ;SPE+%Fu+ z3sT+buL!cuIrC7Hako`(-+j^aNRCSMBd;#{jIFyM^@VWlSnTD)-2>yfWlN7as0rly zo6&We8^Jr&h_?tKk6u6(5Y*uP^>O?pgv3T>K5cYKXp5cUnY&dC>_H9b#-7A~YEN_m zR`w2!wI@XXPmMzgeIW4VP-4sMCJw_pMB&*vvTvN_;SG7kpLAdfGt`>kGNFR$TIjp; zoG)t!Qdm=z0Jq{s#og{xy0T5F6Cd%ljrxlDto-aABZl%ceyHcYud8K&bs;zA^#Hu9 zei1d!!Ip4->aTrStPGl`u@FYs6FIo-Xxxr*hAKy4*N>FIzZM5;Nbr-@EacFP=zvhWE8A)MCAMlH>cr!ZJr~1V&K|FR_sCig z6N8T8Ge=u~{NLpEzyEqTKFZ>_&eTgtZR9=(RnJglmtEW6eoJ>#+s_qqL^;(3rh<5sEOCclZ!twE`7%Is~+1+UO#f#V~g-w0)e(Ua(R+?7YS-!Yxjz zWgjBy(5l5T=gYU%3e9(?VE-nXST*=cY_zb!(Dpns%h4ZS*QP}kE%#9h5)9n%oB6A=5 zi|5g}OU*4J;@KhuaV|mE78UXW9h)xqxYYq^b{qD$`MixbrjUpzI0x2mmJ^fUuI~`W z{cz*wp7oUOEyubnWCkCumYeQ-tV$we1^cx~GJZFgHu%a44`4_T(94aCDnMnKz6?bX zqupCY-bs-^$6=^pfEH_Vy3B_Y9Gm`k>k|9r0X zPcY~wpG~sK0K-16GwO%h$|KPqU)BfbaSccr-<{ZPa0r<&HuXpF-*jFuT4jBpyXR@i z&|Phsw3-aFC7fbyPHg@)C!LKh>~L9lm*>`|Zi%p);KFVZjh3wLDT7Y-KlkheMqB;3 z-m~YevfA@~;P=POe)~L&hh1$`a4*tyHiXp%fU86JrVRO?qEd+MAj0J;dSL4o~z#~QlYN;fwVa5(z z=!9B$gaA?f=|kQ8ciF}1jvTC#_`gFMOpl+Ng&FxIIv9U4dor}@z+B@$fnpk?e2ukc z*OVd)Np#NLokiT$h5g7J>q0TG=wFJkHc7VeDnC7Ms@OElvDGaLHfG8ywlD4a(KBF zt%?@`Z=eiH1(ox-0_SasCdr*KwrD08A6@+54Ny8vP9=KLf~5_RYk`_!;=T`Y@@A=T z-Z9l##az+QYX}NTYVCb&Kb;RU+PaiG3dl}uqCzbchcRjF>L_RGYV6!QeG9_-3=6W25sdP5U9~;GRMW}Phn6q|wx%G0RZ%8q5G8-#VY4RL+a4)^K=l=b;w>XQkK+`+=0Irl(V1Pr> zt<>)uuJ642;CPgR?^Wx~>4*O`aGTVcD7spuCoddCE&(<~{$jsx$g^>RgaaeJHgGI!VwyqLMzS4EjWYxMc3VA2m%oO45)H5bE~_E&Cl z*2GU5llc#qAW|A&v=i#mE?w@4=W!-x=P>h_DcG+pu^5v&(MHh9ka$QM^X} zx6_HEMGtAm9h#Xi@UEUfg^-ME61OFuUSQGEd(-g-j;t2BI5ta`IO@fVY|{K4e?rY5 zH)4i;%wn#UdJN%N-W(QP*b2f>$=$! z;JW#7#43HdO`#d2NH|xs`#3}4m64j!wHAg=zr1lz-6FPyjY~g`EN-h#>B_DNh)7Ig z6Fh%dGsB~odlg#M4n#}qXNqa!CVeMvg<0I}>tM{YVSXW^{s*!(HL^6>=ia!VAV9mK=?% zru)h_P;Kdc8$T{$p1%`GB_We0rm9h#nFz zQY3$k# z^0`D9RQ(JXK{m8Ff#5|nY5;>a^JAvsJpB9*hHeoNVCO)$Ynqd82ELi|%|K>C7*?$vwF^ay9#jAH|h|3cG`>71Cb=eAg6K zX~c`X1V#wYa%k>*o|C)Mk|fMhszBi;_hwYT!Sp3m{yadffg8ujAcHHp{bQdWuYrW57k@klN>iO>a|uZ`wl%Q6#}K3tP8 zhko)!ECQFzGf~)jDBK}|mqun=6(UzWUa%(}vz$In%mJVGC&dcCi#zPx!S^v@sDn$n z;a6QJBfXTTh$O%~lq%^A>DWdr;CKgz<8Oz*oFr)LIDGXv;P>&4xr;g8_%;?q7iFT> z&>bMlrh85mWEoupq~PEHl~EA@tigK{fp}C#DHXK#r~zxwkEoA~>vp>ZGDCLLepsTR zj*>+NO>PrmW8k=e-DeFCO}|$wI1~p+A^?Kf0QE3Vy+_Zj(mcuf2;o$RS46vnJI6@# z0BV^~qH|k|R&=fpFg7iuz2P~JH&gyCkwHwwO!;9(20Wj8X(A>KyJy$6E&{Z%^h3>U zk{Tr(#prVp`)X}Yvyy-qM9@s{`Fb!QyLe2_CcXxZk}O>D_A@c7(M@{099gPC_6NSJ zh0AvAMBV0{eCesEN7v$rh9*bboqO>fZZ{uJR5v|w)EK{omvxh7^{wdQiTT*_19rl0 z;7V#wd5XRrJcdbf)zQ`&t?m0WU$^+6??_Y^D_iUElbr@LTN=1Z8|VX_+9oCb`E=c* z(v*E)k2%LJ1^=MrSY8s=d&!EHb*;J;v8-IV|ahK#JutA+_J+vMW3PcY1 zn#|-tUZ<~q)xd}@IXQPy;D@5k;-ivPfP_;_s_l$nOf&akS~6XDn=|WYQ1D~<@-RDA z$P$T?_ZKu%X>xh+)o}PHk3j<;Sl(;v$lZj*sBjt0ZqH6#8EN=(O$l$=K$Om%lO*jY={Ndw;>~ zDo4j3z^oUnF0aVe}-{<7~Bi$c3{f8}$Q7{a#|URPrD?G69uptGYwnZ!9rG6GK$ z#B1+vz)Na}GH$q<#3&6#1fB?bR*b7OhUEEPkEz)|Y!al-yEN)%`E@qaGfKC^pC!rg zwt*=#cI5}Cqem!OL>RN{hb>tY>EH5aK8lgPuq%l1WW24;;9)jvAZI0Eg9x3h8ujP) zrmKw(c|{3rr&G<|;{3j}A2X9NqpmAGG&zn^p&oUE8F9~CPa4J&qTe`0PuzrmOHa0| zKhHsMWdqZPV)oMnF+Aebz4M$`N|-BDnWF||?tpJsCK%L#OrD5HbGKO*UL|`y2j?_4 z)FCF?_>mID&nTR(BwnD3T?o11K*0<%EYACyB-*b1m_deANacNiIbjJ$K|PYpGvgiA z$;lvdmXL%NDxTk1YNmS65RplLwS~WO4XZOzQk?HR4eu=xDjprK zukHzT7UoI3N8Tq#E(=g0nog8bO0|(`;!_mezadHLNAoL_v#l}xe#J{>B5fQzETiDJ z`sYR>Z63W?GV%lg8ppFwI3}J1k@xLF$ruIRPCXrTCBRFVx!Z#E-CyeX#rgF+=)Eea zZ2&JQ@E+us4Q6R~EoCHt?zJFbik%>G|0x*`or7G)wMe$O%AY@^nbV_aR^DPO z9~ZvVI5=%I`SAL?*W|dld9rKz)D9fKKUQBJp>#O$6j6*luQl$@H5YjYvP8px$|jgl zA$3&xOY@(5imVC?EqmckX*iUOrwi7DUPz;tp`D=idiRQ=CluNz!iv*S%LS(H4j)17 zoeCpl>q74gevZ2I#iBu3XX&`22Wn)F(KlE*u11njSs6!fq!v4sZDF~RZC~k|Qa9?f zWRdUfJa%OMrZ6h5`|DCiL9-)M!d3B)Fc8kT-?zA%*%pyN@@%IE)Q&7@Sx?bjYru|b z{}0IT9HGTigdX1Xi&f>^c?*J!409XeA;JISob14~(HCb+-3$Qr!Ak0P8)TdKfrP#% ztKgfs#>n{OgteBFwEA$3+nM69jlA9-6IkA)uRem69xr-j-x-hzbn9u3?!&3IiCRt! zwU)-K&8~1+4b3_&JPQqtHaG*Gd^>meZi-V9sE5dlk?gxq%=tfp#jSjN((~_`m1(1D zIki0=8C*~OpIQNa&;HGM;C~R71LV_u=`13l3VtyyU^A@WbDn@jADsgXOo5-AyQ{U$ z6|jfJfoG)VovMkKcl{f}Td~WRgysu6J|2R9mexG2oxA3i-^aBEN{xogqAmAs4(L%~ zaWRnm3h%_WV+Sv4)nr*Isl!d*9s3JQu6eZlP|&1tX>+A6gj-YJ>2>CHNN5YB^J?(r zHnGJKe5hmo)=#8u(jm_m+Ih@X|9+flcu0g6Z=JyckAi(efYq+@ZoZo)&oz zt>w`xYl#ij$Qn=d%~Wai8;w|*W;%Z_`=Vwym>l;(jZ@3F!2xHVrx3C;{^*D(^Tcy_TLcZ$t4}cHtCR#z3X%F z;dn8+CvwnW&=ixcs@M!QG~yQXvICV!&Da_@4wqp{lQv%XS?~T>YnRd%y!%ZFT=WC! z0Wv}e(J_W$Z{df!6>3cS7Bl|IA0UhS6jt`g0(yqlIlrB+A|y}GkL~G|VcevwpMMU& z+bGv#{9m>;@}Hp|4=9)J_416UvVGG@-Fq*LJ6W?RgKWE-{WbAu*HZ>+&F&t-XB#?4 zXzdyB?3otLQZ$MOxBo|?%1_0L;YK?_Esyc=aeqH!ezV;1ek_R5FWH>+7$2|vCpeB# ztmm`gDbVX=(J}Il@U9w_RadUlahc7O2aKA!c?>`{JF!_T=;vC%+{&R9BsGh$)=Q=C zF*{s*4*hI&bjZZDVdbPsg4%ER!fIwBdDdeQ>>_@=+3&QCv~H42)soOF?vmSQN4B*^Wu!|&3QN$J2F z`<{1ijR!Ozs^%m6!c!Z=y2FkRlAhYe;07!8zZIW9MFg{;8%QMpAR2b8VSt`8G?sY9 znUj82=aYkgaPw=64TVFpU8Q`+?HmX0*%sz++X&Zwr#XQY(FXFGBt=wYfRFTwF8bRT z!OW>65K)=0Z0X)%9UBFAPQ?EA3L;;nwF2Zr<-6F56D;Y$x!o&3IxVcO zQ!{;OSY^A1VRLJ4wc@G}8UgT8s>O2S@{1e!q!xI1KLG0QTa+gzTU|hw?ZYpgG z#Gy^_oCQTltgF`etUCl#@>#!9)&4wd&?h^}(d8O zucEW@^Q|!9%KCM{FfaZ2L}j=jL4IbnGz0<`=hNaoGl{D(HQ6PbbAE~Md-#g4B}qFn z(EX)4We?}#iM-u~aW&=ROZ;7LZC!w5Kf=>I_*)-06^gO@>jkJGJg1NB$5p*G8KhD{ zuW6M^Gi&)LfMtK4rNDPCQ2;LxLma>t$2kUTk9=NC9jp^gxPN1~<4Cx&ex%6)@AUA9 zu7+tF}*L%EXm&jEf^Pp0LIe zI|`F+h|w*+vP)ymIQ4m1T_gaTF&w0!Zg`&1{bFc(1kMpG+nq1ss|_;ymHzrEa;R8g zo7k@dKbV;NP(F79ll+|#GPqx`(C*RKMXoZLyA0?Y@Vvx6qt>oZ5}>WM zSbJnrb4@m>Hput=N1*T2eZfnw!vr^wayUyi(04ifqCE)}(p`!Ki|5+vQ;m-ilO?1H zh?^LOwiQ1e8F*SUFfPUwZ+U6cW*kzvextF`?%H;tav-Lo!ct-NoteZK!Erkb(BC2S z0K7H2Q%i}1z)8Y&H#;t++<&%b55|(`KEN}{jbUA{T)#wTXNMI{k$iRamgt^ExXj_x@)`v&;@oGPqugQf%&;f*^Z1^? zwjyjof;fHtCgbG}zGNw8}?3+O}BO#U8& zan=)Ov)vleb$KphF^ju3&EuqCsd>7+M;X~KEbrVuL5ke?$s z)C-&atr6FbtTmqLY>~2vb$Mmp{Rcl1X zVKlYD9h;2~y4JYS^S=L?CMW||8&?kQZ*3bZVjJl2sD@pV{3W>hc1Lf8y|7ofpWh&8 zCDSPd&0L*tg=aJ5(NN5_xG2I>r!Q6={znC8sU>Ww4%V~`caUnk3-7|U%VoU3TaNrU zSb0(Pi2|5~5TSUY%p&x1=Vf+`RyyCnh(HcO*D7Tg_hDEBWkF(}bGskSw$N+}W(EFT%U3{huJKy@uP;=JP+e@oLWDw!*8k>qW!YuWn)Vfy~nnhk6}YNa7V$BmO_m-aDSl zz7HF>x2R-hhNwg~8Q+S8vXbnP9T`RT_$n&d8I>&}glySlD=XP6JF@qD-lyuS>%On+ zety5_`ol|C`Z~|gc+cZ~9F0EyZtTJl{1#uXY8p|XE;v*whn~c)VPDp2RCW2?ABQ+1 z2_wo9c(e>gnp5RA&eEK}Z#n#Aak`Vw%3lku`n}FHPkDHEBVF)ozuv)Xw`(Dj)$1Em zxrYR=&TV6L?9Z_=g|9HwQ<|LO_lF zIQOtr701J*B}S)!<5yPp-(b{qRQs_FpiDw^NNj_IB7HD!;d%@KKKB%N0b2fC+=5?} z`=bYltTSqpCng(5DHhukdq^Lk6e>(K-ONJ$HrT+uFYuZ=6cP3{?-^Vy0xaJfpd_i{ zt|oJS{St~&csJS`^~gshQ~vHa*f?{MZW;Q^^i8*$;w!I&mx%5lWn?L`Z5Gvvosj&(htduYn-nV6~yqBbPMNKkGLtxQ{ubBqj5 zV}~)X+VNT1c<#UyOIXW&$?iK!`z7TM@>YSN{D`n_Hc}qU11Xl*uSR2IH`&_0ISGb_ zLsUU6c5FlTQN=91t7Y7S)P6{23%$dJOjto_V(2nEFwKPZP%`YVS?`Ag?1HB~ATM{3ZNRbTFu50;Mdp3>lOxO_7(T38wh2moGwRcig28auue>$Te%kAGa%3MEYHO>jilpeOOP zsC~W_tNIjH4)iaTSU&wcWW%p84h^DDJUBm%v877)(Z;Mo_K~{+XZFOflt@%aK6r)a zK+qX;W;QmP;)|fqwH_!*)pnZS7Fx@p!VgR8%_I=Cv&Uc z=#G<%P=Z^?gRrn|bBg z#M6pvv)76)*o&^s!UWcoBsS42Oo~G^yiz!`FHyKR9$~X}?4Q(`!?eQxl@0vo{WMa* z1>s#LebZBqUnpdfa|b#)Z;)@Z(G^l4<4WJ~IUf4ohT$wM!6%FS*DzYqikQR4XQPBa z+d^G|re&N*w{uh@@ycN+Q)@-VX6f~vQ$t9qho5a!5=Qe5#||YcGLAg8ewG0vT+Soj zR0IyJZl6n#xaS)fNG5V+pALN+DU)A^ zDd~=}ceSpmA8|R@bBzkdT^M&56jGi7l>2N#>uj5azH)kzQU30u(5U&bE3>AyD zSY$TBXY5KSzQv)=<5A!>(mk)l(M=*!F9!K39Q6zUv7lE)XapglV4^s2o`o3scGYqXLG8`?eS)U z3pWhq%ed~OQhs-YXAzKhj!pI2I45Ll9qIZZ4NLo+DGYO}y$OKcU8LA3HojmbO*<#k z*Ozy_&4!1#pG+_2=53p=v=0!fF)!BKLrHxVn4taHGoL-C^j5~8wG<>6^12@6b3u9k z?cKjJRtnFf57vi|=#cj!GcVn!7184)wES1Pg_mH+g)RGAZb6)9EDTt7Rvzsp@VR$r z*c(ub%ik{rl?t1^(#g|93yOj8MHOZA`E@<~!}YWecJXE{P!1aHgd5eBg3s{>FP+!F z?!|Wzyf{`KYQV+^eXkve>A$}G>X332YWqZuTlBBRUfdztmfPsaTgAQR?ul(1TLSfb z8jZul1ZEtE@b2!rw3d>q-h3aBsenpOw}$!5ZVaLu7yPFppX^eOpV4`*+MP9ke#e2| zLed@ft}and83T8~SVlP}on*j4skLNs=qC**tO%0@C&nXZ*Mi~PyBbyNoMp=ZZO=$& zYu0G(v+E6%Bpy?}k6s^bnP?j?J4lIwHbG&`V1ka%`sqTd`1 zx|DiIV(04e zO4C~agFq%93yYYsDX@46B-cPV>gi_uQiBaq@oaXJ8NhO@JWkZ_>j;Wq%3M0bfbwPc zy!?T$V!jNdG&J1@m9dEs?3CI0*)TBv#1BQFW&Q$>jNvL!G_{q<1Rsu~Moq>zP0-wN z%P5+l#bm|tsKsPson(Q^;VmrY0?AftP0T; zA5m2~B+X$)>~IyD$^9@VIC_uq%V2tc5T5xYpB(u397YFrmU8ze`y+?$NR!~t;hyxt zcR2+uK;IXE`WYCnrz4d1AqL0&z&jP=5b;3JQwW<~&mws6N zLB$dRj1^6$Rw@)?UVmvGZz!so$U8aNP&oUcq2u%PuK!Bx&^|x3cbC9e^pX+^{R#w< zJc%g%?Yp5~Nl-B(#g0e8uA*}`5{VMfIeM5HJXDs?x{s5{WnKSDA^akmIA6WH4fxi_ zEF5Y~#Aek&k^Yvpy%|1+RVwzmge-r9WeNrI!H529khc0B9X1lohhu_pz|~-$_%y+r zQ5l2felH}z-x^X?B;uKV^ThoSLBQL?`Nz{mRAuYtzL{!WED}zc27-Xs(Djw8J1RH| z0#BEtx=)_(ubWq*PaepxG);AX#7TBYz$VV-Wcqg-C0Ph(^P+Bg%`xtr#r{5`TTz1Z z_>=4fo4UC7?e?zrYe$XTOBq)6F@3{G zEeHXHi&z2&*?b_R)gMUi1ug5ey8g|Y%$)Lca}fSe4r5+&hlk}cmEzATNzSCckPtUT z8QiV)S|5G(im$8cM-wFuA#PZ;lbh5g;fRVoC-(aOLJE_g!K6%3v|u!*H-TEso(_<- z`xz_ITlu(M-;hmPJL7-)EAjuBUdh5*pc{B+_-J9;`z=0xHa95Hy;8%-87}TFv^4~Z zd^R1|#Trfhi^&*2f{YX@BbR&pKGtgpR3^@bx){QFQyUTt)`#EU0hP~ZAls~DU(CM1 zgI_`ENtXSrg87A*6R!X$U|ZoqRubTWJ6i_T$FK#BeIT*sb0l~!Z$I&L0jV&Hn2eqBH>>|8E6^{t984p`S|FyxYt(id3~8W|1IUT?T|F3c(3P%&$Z?r9^FCt*0PAe)Gv$Y_na1q zqfe!2q)2yBt!F894pnM?!9&%Pa{xiEjrq}c_4eOtsw#S}A`&C&g|0h`bt;c(zu^ZT zudH)_`V~gq8@^aWr3-6;gAQ9vi2N!E`Eg2nYJ67=mU?Q;_e`BlW~&=17=^9zPKNpz z49Cyi!U3$QqDX{l0C{1>X5oka3spIUj1J1IE2S`eMsp$CY#)-Z8hqtbA;7zP7CY5pmsgN$_VFpId_bmcrE`a4B z4VmX=P?4M z3bKs^!xIFjc_7(4RgXY-D)w6jODlR*=l2=+pVLHC+O!rY&PWGBW>h7RTNiYsK=e#_%6WeA2U zD!|p7Ktu12HdPy4xCu9A`;5Bt0}V!Mn;Wx*f)jJjzPihwEo7Z{<%M{s)$RL>GRJR= zlZIa>b8EPCe{sd6G(VYHCnIC~8#dtV0@{=kmGY#n^2}H|i^l`mJTIobiQagI3LUQ65?D>*}N&%@TF1Z=Y?s zbfv48a=%&6=YM#zd?=zfmLBaDFq;2LJZGjNM#6QnsRg@^<%e=NN*6Q7RBYwFsW7Em zrD3LSsdibgZtP4O74^3q_Rv-;g0Styc<%8&f+EKGNJg0x$iM0a74Z1dm`bycox5~; zLMMBV4NlILJ?UJ4&#xQQ#di>p@z4><>y5o};^yS5P8ZSp<=Q%Rrfaw82ZXYKW1ADE z6?xnfr;dUcGYSK<04vzet?Rqdo4;#`Gm!^l74bdicU6hK@#&MZZ@nxZCyqA3!^UNm zu?QRABT5_P_bPO*#1|y{;xPaXdBc^0kD263Q*SK?x74cA_&w#Tv$b)9o%l|P^n zjgVmUx4uq#d3Q{p|2yODu(dLsuy5}5F6^thu)+O4m@*6p(e*|G-Q2XPKDw zv*^cj$)P6Fe|)aDJ?`qj<0u`m0kiKJI%z{feFSeJ6YNje&c!NPZ!NG!@f3>>hz;hL zDxUBk>PkTSWR`8EE~;VE1GYP^fs-zY6@Pow zh`-Y%s(sr2R9O9s@Hwh)WwTjP3bF+jsTb}%B0YRzJo(9)nn)9Xas$C++ zx9jp)TVEY=DV5{_|8zqAT(P6`z*YKH=kR<3N|mW;;gxaDEnd>PnX);-UXlFovX7&a z4cnY)3-fJ8E}DaUOrMar=78(OZLzZ4Wb59~tp%4J9U4EcV{Q47w%tA!O69gX12@`) zIKDZ>&@W8;d(6F$&;N0<72#xWz)aq#qMTd^GOJ~MDDRcs{NR8iO<3_ZL2m?dwhiHI zV-bB9CN{(Vai&~wSngn_xzBwAC6Pru+nj{;%2n%Qq%Yzg=A%;jfnk?zNTzPrafsCX zK&ZV!0u%mu4vC4pN!Olh5qtVQ=D4gU-{on|F}=46Vst&aBCct0nXqrM@VUL#n|D!0 z&Mgi(fi(I`aT%R&tsmi!Uwg3RKfe%!qEf`TrQK!Y)WqzzVaW~d1Tack;e4F#`;<;e zO4A{@wf6n=yLa!bBH=H&g8PWns?%IaldfL9iqM8qlkrkh1cL@YK8 zuU_@*+uJ>?L~T~?=SKogJ3x`yoAx5~0)^k`m6vIkIJOb+X@GYO(1SUTF=)n+yBV6f zOINeozsf1LkyjMDRIG&>*w}>97~Q18eP!Z1lIk^vRnMxW;flb@Stfj?U~P?z9i}yRh_JJwK}g#tA9z#=H}PNu+x`0 zxUDe`&55?2$0B%GRuRsnC3Oi6N|)38>TVdCnKWX%D;>ISWh+LtTMh%@CH)t6`i&u( zt{pNrsfo}(cHZlX*b6%QgH6ObF>HZ~4^YXZy3=2%jAUQ2dWT*aSUP{~>>!o6uT9sn zINzJsM4%yNGwgYDVQaob_jJdM$X3BK-DmHPC_NvThH-2*Z2Uv~f;~=JE8hIhT$Z!l z?-UFd1f>)+-M$IjF1ja&VbbbqCpUGui|gj`=u)388h3!e*Ot5Wm&+~Vz$i~JmXV-BM*&^+WeR7M@IWXMiT z)kV(WXS|Nyby5^+afj~S>rN~hrIeAut)3hp!!XEFAvuf~unOgh0MDw~HE0T+hCZTD zhV?gMiV{vJlJR8(=2`Z%zlw+x&cD-@caFZiEWNU0Ml^t%scpu=q-*zW3BOdP?QKt= zGP~+$o~Y9M;xK4DD%uV~?D9ia&C;`G_Tu(p(3a=XO&R^zBF=0lVF844B?A>BoOuYjOfV6sxsfZNfW zZQ>a17Qtfo*#_GH>WqujZlzQsME$ot)cm#ms{z5{fkV+NUf>x$YxfYjkxFs6ESpimdS@O9IuBvM z{WEDf)oD8|;s{JQ2q^+rw1>%7&*UDI7Ni(&br)F29`>Cm3|7a+*x#9yd}`&3h{^W@ zQ&IRJ%Y2LH#kxGw&{!X_Z`B0O4CbwG_6F+*h0`aiAb#S2N$$5(*a+Q05XjFx$2$b$ zX&U_f?Y22-R`t3d?+!Thn(t)(c%fiKFAW0XdvrZKBi?XvjhX5u{luY<79dD`t`^GO zjo+zvZ!nj`d6nSSEiv2C?TY>Au`|jjVv#tRx2HYb(gCAqqj%j(=w0r6&`#Jd3}5I0 zo|aF&qI+kio#H29EJk|CZshj8Y^$X%3~GnUu->?sSlY%^vcuCJMf*A(q5jDN21s-=?*5#gCyyZ>y3X?69GG`m70s|afdVTEN1f_6Hog@HcKRsAl93|;7 zNbPAg>Dg3nR7j9ZTa)F5;(mqY*G6U3~YJ z2w(ZvOgQ7^P`gpkv9Kxf5iwV~uBsZ^wfq$Wv9#!6!YKje(tv5lYjS!kaRz8Ld1vSM zi}RnMYUQ^NIEJrw-#Zsy_P~s@InKiyZ#6q#S9*I-K#^3FoIE2 zD7e*CzIS7VHgL4u@;@|m-b$PnFGAzCX+*@3qXDG%Z zqVJNO$Df|RRazIct(iTT{C>oTE)lfuYsK+yc7=#*IGo4ArQd#s1b*TgBHs|N1RjkV zePyU3H8y93uVAzZliqlBJ{_bJX)EVLTaOTU<6B*l{~-Bl#J$8r+x*yp$$JTx{!9PG z?;JT)b~DtgFXJSxk2EZ2-fw>5S+#SFkfV9#5-Ofv(kT~x@cCxwE9GT$W{O*vkO1(9 z!~6A}7bp4bWKumh;l#H`YC|{_K3|-9XyJ#LEOG8yi$Y5OaIr$k&d#p88I5MqPex@) z4hNQ{z3+FwW1h^psu#v8YkK{Alu2vftxHnF5&BSW<^`d&q>VT8k(iiKuKDIK3eTpl@kG&Dkk48 z`T&|048}kfT1;0T4a;XW0#Aj%5LV3TOdzEiiHSO)rN%j>kg-i)eWZGP}&!%e}~5`j2J*>yL^!Ub`g}n`|-ZDwQdhPzIIirY28* znq7BFf(iVcQ%O%76EdEt)Eflo?XRSfIL%AC$Jh@?n!D)2s3`PZL60K`C>%#|&x8&W<1D+oE|@YF9=4H`u=%ob;An<*Jwc-4 z@`%z2KECUqOddUnTSQ1mc-hPmc(Sm0Nn!C!4~2PF!7O?cMD`r`WDLzT3px%1Y*6zM z(%qO&-58}^hS~=gZQ_g2(9jO9t_UzySx=#^phza0X0UFo;#l$;s`-o|o8N zxen7PPx=;>4iY&gM=TSF@vMFKBJ{fNEL^_Ya_|DdEW?2xUj^T)7W?_673Ek!=nxFD zUQHn6d-Xgp*`}WX0jJ(Pi^(B$>w7J<{$QZP`9gx!irozY&8x4y;1Q)f!B!m3db}U$ zRfdCPuud_jp9At0x_BH+>+u8}&HJuIKsuIVt4_#~uKFefBv&k)H7=yQ9{?T6ZU!&;OvF(0zJg-eX@2u(B)wa`*yMZdpcLAZ zW$>WGU@PR3TX-B~8iV|%Z_Z+RZ{~Q*p+*o99T?`bjvlqe|2n zr|UrC>0qCj5^=m%F+ET@jqPQhZYWTW3NeI559twbG8W@i`w$efmRid&b{9k6c=>n= zneXlTaH~&07Q>M}F5VsH9>QT>zHWoNT)%ur(-In&Vha`6OCSA83r z)P);-kDUhQLarrHLmGQ=)Ov4ewm*&%ag0|nb3Bd$+4pHkm%`FA?(P-yJs(Z9MqUeD ze}tzmsvjB>8Za@2FsfyAHLu z81+8?^Gf_>a1Nfom5M2jXQk`$;e(>)7&m!3;)X|>o4HUZ=1H8NhLuVG0g6XrI3mD5 z_?Y-@`Kv38l{P2OCN>y0m4}_7o1pN$mc`6cn3iCj{iQ%{^6d53SA&@^`QSL%tOOwE z>y4++F_=3VlcNgTELLcIJ^u;cjv6Xo9753t7q##(tXZ}~FiH~fCRq@w<}f|uT| z=FY6!=PUpo`GX}ksn<`VKCFi+nB@y~I6BNmS}_B)gjcVOcQzJzZ+#$;$lO@Bv%4)$ zy*`R9EdDAj<)XJy+lemO@pftdeaTU|a68Qn?xN5bnyh$m@%o>CF zCG_Bm9#(l?%zyjy6-qA6u`bgV#PJxtqg6`jasoqk3drH@zUjjNQ9FQ*GC#EfuDKpY zLSrX;q|z|GX*p83O)Q4WN^g#~QuzaNObzCwhwZfc^6&VsWxW4(Dzo<$?~faUrWoF` zV#=E){_l`$Arr-OVC>4l*uDL4W4DOu(RSjA%Btf2KvdlLq^N#$FFI1Co z{`djM6Z-jt!t0Cg%~u8-hQiLz6;?;Sesd6GK`bgrn1x;~4{~O_ioGL{rH8%yQ4Ute z2sAuZfW9ko#hhIdtq&Js#kb#9hlXZw>vpnLtomZ~aoIg_{Y&6kDpIBikTJ@o+JS!mBX= zN;Y|PrA8NyPUwdZQW2y4#NU_4gzNC$;^Ar5*dAQmb3*T`hwV*7S%Z5@|2!5x*B2#|lHo{8CZpN7I$B2cH}bZUt=2p>AQ zFicq5L!<BC57(@ZuZ({8@DrjZwPDIQzBX+Jt^! z@ZQ0BCyGJLWy}2C=+7KlXYjZE6+J@M%Ot4UHsE&okJE#lz8WdW)uIpO22;z=zl;>v zN-%bgL`W9gy#ujps_RJ<%D^#$`_*M|hF)C5vM2+X88dBBM8e< z-(D9;9SXq0=!^kO;q~MLpQ9P-?=HR+vesp4i9jicB3DPG{31(sibaAd=WZP_5qF}= zl?b*+tS3CVImX;wnQlgx9hlR8LP#1EIK;|5rdpYup!R08J~!Y54%K68Rs-hBlg!#A zC8#u_r(qTrQAEf#YBHut5)&ir4zf++3W%ZYM(Ba&yV((a|*!OaVvnO|<~1 zKWaDn^9(v;M}Ii5EO}3eJ!IPt{kU+yHwqlKD_Z}ceX!6aP9Xye$dMsO5CBOjxi*E* zLEulWf>pWUIRpU(Z=8m4^~hDZ_baa3T18PWj;WlzPv%2<`2JH(3vO|7ot3wr0Ly;= zHWNGh<+7b6`B9g@xlsZ|^p2p9am!=Rss(MW%SbH@N2@{yWdOKCBZ#~aMHzjvuwT2B z1-taIc!f4R9-0X3w-ECty|2Ik>Fx8)W8KdhCZ6Drx|e*sUSCkeE+F4T{5|!2=ZoT* z#PmTA!JumLxjOvN$WK2u2#KGHlIy_=Np;=C#`xG+x;|)u^9A8>OK5rJbe)%%S8Cqg z+-wlAh1VVs=Jeg8ay1o*TL9QoJ7BY3dDOK-^9*nk*&piailalg(P%3=p*O?w9!PY* zJzuT|U4a+L#%|31Wh;dc1Ui*DEPj>N0FmFTAY44D{rKtAXWiZEFvoON!OdYN@z{Wl zOWAn1mK63;NCMfQrSjVFg^+d3Vu6mFoNvea=^Lr65MJ>GB>lKuz{jf zP>S&MoW!bhM6#rou4JZ8*0?ODxA|oE*y398#SR^$u^i;c24-em%i!ed3O&tEcZjX2 zM~_>kM1YRvqUn=zC=;8v#-XP!MfXTEk|WdnJFA%JBS_fu%PKEuqN?j39il%4 zUWrdU92nGOMGpS5;v>8AfL(gz73-44%jv)V1v=1LrouWy$}FA{2v>!drW$ zo}>sgNt&K~d2?L`3_82RkXwPa%lEv?Hqjbws0xq0ys2Aq3wxgtRnr0sE~eu7`#FHcF&yB_ceW9S&y* zNQ=IoeGda1?YZXH+a`%SO~F~$IrS=V47z4cwV9)x`qkixoaw{Ojp>E)ey#HF^+vv{x{1B2@6Y9q; zR!vj;=;)#kwGl6IiY=Lgy<(DKQfFKs?mYrAXs((zWBYNDyNTygAor!CYFVk2Ao|ln zJS_LLV($ekQVH0n1hjXo$DY%tL;?w&9|b6pm3X$nCO0W=@RRVY9&; zH4Ln>+|KS{9Lg+uMi7AXP9YfqK>zO-KhBsd+B`x%m4E#iQQ4ZqA68oJy-+|~*5!c{ zOT8AEB04hp&;jm>26t$+QvkV|ydg_e>k&HqgGly2{hX3M?h5T2yd$FF2s#RnN(Fh^ z(^$bfC85X2Jm{;JRpyKdIqa;ZNPpd;inkby3j;@bRM2D_`8>T}>fxk}A^9)V2k6MC z4?f`6&;E7oejkX(MrZ_*vtFxRI+h1z!ycUcBC`cVaa)wE5h% zra~5-@Z%wR9lY3c(0cHE*1>$>E+wE4M(Niv3hD^FK+caGTWvgaA9K9HJs49924I35 zzk2_(ukxso>5gRoy?*ae^Js)d(9$x!BgmDMWW~rmzYFW(fnX3f9KXwuY>+UBsl(NpZ12CZ5krqg51z@@*)_&j`1JhTCB#+VCn)H@`xLzV z1sE#~JBnil@cNb`_IbzN~<)E;PtVrRpVeB$37OhjTc9fTC52_0P z_UXtkW5fkM(mP^TVnRZ0^{QOF=mk)`%Mizm8Y&)Vc(XpLS5oZ5pP_up6wSw*lh~JC zy|kRvbV0)o?yz^X!ohB9g9ldUQS|3C{Z#KVUwRUJ+cW5hYF=y&Dnb+p5GxMtKg37M zf8PKD9sN2fsR8`;7?_iA=z72gXjApj%F+E7KE(00n#-8cgFY} z->B{A=gPLNfbl;sl=FJ+b-{1{c;jL5M6kf3P?ECRojGWsCtN~SFP%W@+p>zfSpy>nQsoNBPtP8K<<|+>EU3ocsSxfU{?p?A*8xUV z9_3I?QHqf@H8wsbM!;pC9bKKi;I`P>WNRyXf?!OW6k$1i3 zvL_Qp?k+AaUapA38xk;UMP-26fmpo5h@eC5uY89<{qXQs#xa3_zn`1g!$2N&QVN@p zz6sJ6=?BC}^5l68eZ^I`(f2`lAav^2t8ggZ3nkz|k)sF&WJ1PJK~unK6`%3a4uCi4TITR{m~*Byhz!+#lGG!|>c>Ht=5_tk^W%`Y=`QK4eCtQPVhssG!% zRq(Zlod6`g&C41nyZk@yI=q{;MVa&JZA9k;3OdgPVM2}`i6~iFSvS5YV~UBk&(+<0 ze40hRxHIIU_nvz5TiNpZhl&BX_Vz`LjH>RU2Ohtk4Qf-#f7Pbo2oDn4xOJW9zWuv0 zf}FbhL;%Q_L9A~xP?xT_8NKaDS%hl(1)CkSmD%qbBL z&Rx-ipO*I|+qf?~F?5J=0ekc+_kV|~zb!Ea7OtWvX?j_v6PQW6*>2@S3}R-z>e|{- z%~2xP;o3~QnBt~*UnypkEHu7hezQ2egGl1t*YhGFJ1$B$p||r3d4|>omv&d~kBc)L zjnU;$x}0!O4g2diLLUr{oHTMiqeSlgv4<{jJ})o@Am_93_xY>-`%*&M%1)4m&Yoas`M$<&3!Dv zZ*S^K>&;+zMLjG$#9lKEGa-A3{fD9W*FA(B5ZS{LAeu?2^hVOJ3SShfIJdF!9$M6A zGO1twsM6HnW75Yj7AKm0gxGAX@TQmJmCB-F(m zi)esO8Nhi}+NcKvGJez}{7->VO!Kv3EW-q!;Rd{_9F}VZS@Z1$Oi7+kE67LuadZw= z`)^;$h+&>UN%a0KhpepZYyX;>ng+-fl7PCb%z?6!OR8~qqy62v>=G9)PTHekIhw!P zea!Ei;pLtf>ysaR{yw~2(Dj4X(LcT#Jh1d|!^v0*#Irye<+FH8{+A<Dr zWZtiMlHeXDDa&zwv!ISMfG<4(G~Ff~^Io@)d}=CgInAamXT9x)N3P3ChGN_0|*{ed3o%F<4W z7o1KG9-eUz;touDh$FH-dg&Go^?{YC*vBE@82i_71$Go|1N$g}aMCA_e1gF^JQ8a1 zv)A>bU~_I^1uvDTK7Kbra|k@C9`oO}6Q&<0`JaNqsw&Wx9RG*y-1}w>xhcf9R8Jt^ zM$$l)boFx{_R_`w>!o2y9)?ylzu+^TYK;$xiLnyRfMQD{VAvBODq|LyQ=-1gGhY{R zmhwbr6J?mS!Y=!Zo7rdXeV_~o2@}tx+8vs`%ev{{bgRapcAxG>N>IPjyTaq!Y}d{v znyAm!MPLJ@`6Aapl$3gQP6av%MVeb_rfEo=kB1M!A!lT!S@jyb^uL>RSn8OSl; z4m8YREnsD=UQ}~HJT*%L*qglU}WlId)@`7>ATmR zo&=m?#aGxlb!linaA*F0au-P;KL>Z9KQ%%I8L;vizMTc^h zv2oOhI}(fOUHE;1^lN;NEiF`&o&WeX{t?5GfAU$D4v4}{Fr;Oo0$M3b%soZ-lKIWs zKg`XUk^TL5|Hmz^@bVliZ_vrslQ51KIb_Qm6cu&mS6lH*t3Bc^OE$hfJwi|K|LQT$ zmb>eBqMGrdFE{1G+NGm+WHPodlnIA_U42R6|0HC5z(RJq`+1b1qV|<%b>SYTx10-1 zy28WHIoxo#y$%t~RcJrVN-m~#?P`d7ZZE2h|0u{-FoGxiqiX?=$f5ytzu=Ph&7^-m z{Y9N&5G6c}m>S?tr6N6>h?oR59IV}v(6Dots}NuB4v>@|sVl)fH1Gu|f>7Tu|5K=$ zK6Q^qtY$Y`1-@%B>$3HupXUrFYh{77VhnJz3fF+EKnLm3a4IJ}9MEY88kzrLbvz@W z(zkk~`O!Dp9bt`D)w0>*cg#`4&0AoOh{&uZ#h;`1t za^PAs*?;9(24_L*3(p*?T^(m&8ue^j=5^#87vn&h3Z$*y51h~5l<^Pf%?Gh-l8YaX zA_=*NoowX)S}F`IU4krhC-Irc)H#?g7Im0A0|jQjJId9B0*Ol1M8tOqKkRPc-K=W} z3ctZtW@K>vn_u%8r`hk;J{h5dVFDuO>4YbA5ETQGWE`$#jVz2WF%0{JmmCB@l2C?{H%L&8Xoh>n{vf zA}clyqH;%npRz?bWwz422d50wNd7uyh%5!2kC^aYBO)rQ zSsTd64Pa679D!^nVdkDF$@w}9Vg2&gVM(j@#@e5D$7<|elx!C6ERV5ey7hLRJ^esS z&0bWY8gFQOE2^W5pIRCFhyX|1jUKm^gp&N#hphYeduD7M5lbenoN!QXN5z3ZBnjo; z{e1(Zd||;(7_+_jg~d7etB0>Df|xHIWKhtN_$!0L^pF61CKl{`V9x-f^eezY3SJRV zJk-~urZiq^f+-91^{{%VVklUQ7!22>B4V7CB zq-9CNXX0mMV)F7(BHZ+Bn72S!Ko{_66JvJmCCiMfVvSHarxKXGP1u&@!qa;_)N=Qm zUTEmHlqoR>Ck@<|^)6%)snfmkF(^ZxOkT70SjU>C&dtj)mYO}|&)wx)LUChbL+tl= zG)fqi_pT4SmvqTDV;&qhcM6CM0}J_o$1X6jqdnwbZ)~jc=UpLZ#{%Y?bi{EYa4<6) z<{v4{a3%zZerzEJDQFsCdt6T3hT7$GVubtoTUX{hKQXCH`;Qw6CV?pn3-E}1Zlf|# z<3-T?XnK;;|C^({h}BnM4ZWoI^-wClDw8zw5H#r#AkL%KlwC=RV#Y!)t&%K~;#aBs zg`%Ivhsz}+PCXN3j9_%%8(6~8+Syd(g;X_pl>HXstdD^B-M9JS2ZbB@!NZ&42zv@VEl_dJl3R(2F=lt9|81 z?#>hiPL^b{({L8z_uvWu;U@vhwu1f9qep*cJV>+#TzAICvT15>ji7hyB&Y*V(=O+tg4IvLNP5;D#o;=JiAmb;;susN;&nlE`2igB{S{tX30i`z7#X1C8`m^c= zPITl9bkKw|84Mwf3B&=MEDMlO+NGwAaBbQDDy`$_4v#fFQ%O_fDso&*xIPKpIsvS% z6%Vj@3wme-^8j@zW9X}A-587 zi)~P2gs#AJx72!xtO4YU@3JGL=TBmU01!w#P3QsTPNZ*;AH zFJ9EH&I75J^a|T$lO*Jt1HmPht@TGh>m!iHdv7ztdyn}Ri}9_+Zrpfnxv8v>oVe|} z2E{))T_^%xKR-V!euCH`b}99Hca?H`nzFRH-ufxqV=_*&JM+9F&qkMai&QgvKj}av zA$CLf0C-Vhf*fGHB$0nUy9b5Ig~$pZkUmL!Wl9~G)L8%J0DG2cl!0Yaus^Mu!t}>_ z%ji$HC$$5UidZ!CM|PKhJO~d#1EL5Bjf{-yA<#DhhT()ti?VP)%K$X99BHqA9UXPM zbC#nHKnE0SS<|4FnWb?G4}lQ=HspVOr%RBoS3cG=~J5Y1ImxS6Y6E#s~Q@G+qsFx+V4K&V|s^o3;!?xibx1U7;f@EZd1j5 zG+ged(5s+y<4pS5s(@tC6qdf)X_Xr0n;cmeK;hsDSk9<#;b(O;S7v_oZV6&MeGUWg zSFiDTgkS|HsFSpWeV1C{>kFwm}KLFN)kfD`u?&09PQU6&i_B-UgT=k^uYNPE6ELAkGpHLJyC~XdYp;&;%mKRCM9xQa1pEs5ob@1>Gqv1#6H4= z-4&=(?|ykCNy{GB%9tA50dZt-PIxoUKRg})PrZr8NyVBP8;J%zr$l6!pzj{zw$|gS zXNAx$XcXGSf2gUEj*2RPc}sSSZry^&(~ld>?`%Zvu4Z2y*m^Z99&@X>D{(s`I5IW7 z*<1*`wVjT$x_g;jPk32s7$+|giOFeQ3Lef$bZIw@c%!-ne|!zcJ4m( z2CqP+#?a7k`SUolbKpkG0p`HdQx6vye>fl}3}cb@t%n+%e*qd$Qk!{-v@%SpxX`gj zpcH3^d|y?10rNptu4Ru3!VCn1g?G22(jbg0z{`89SFQ_Fd+aiS3obJkuMMOnMnf2b=$%0upp3U+{&GlS{uW#_1zU)TmjWSznM8*5>!|`v)UQeiutj>+oIqTcUA^iLBEguK-x# zx|Qv%BQ09Lw(iz^@R)?Bq1JU<^B5^h`bstiu-<0t>Wml7f4 zLV`%7IqFv${nHFqX+Tt9qbptuAWa5h3XmslZ;xVJxpwW^u^*L$pB*%`KJk=kr$Mz( z15}iveE>5F#kt@|jPB~q49Ay@`T?L#?q;Kh-GBUP{w{c;Mr5KzmhVC)3=*_PFeTzc z0C9zz?7=_GeUK9z1;C$A!^J08U>HXXIAVAqTaI|}#q%QRsm~QvHr#`F6rbjzml)$wATw$Y6?p zzVPb9iXL0fvmL8Xb_RZQi zANSHiSf?#h-|m_j3aOrAKB1|{b?mEep=F4T`EQpOiXfp7XP@tsbXrQBI!KRy-7*}lVZdERKyBtc z=_`fFVIv;X=S|jT|9=RT5_XuGM}D~LrqwY!CFrH!oc)^#`6@}4JZ%*9trTXK9HDvHrEE~`Un5Hi26|2;YEhv4OW14+obt9 zGqh|9j2(e1{0Rb|R@6drJWzKY2$$IDA%MmEOuC;Bi?=UegrH%5ZO0$q>Fc2MR6oNs zphk(~yQa(haW)VU9HFDLD1F65Z_XCSwMDk?XzNapuBcnK5+QnJ9{)qym5 z0T+;!Y_#el>WAn>S${{>$XixYI>L~zF#v!Rs;4@V1I=vWb?9&fvbKX|7=j^v5LwLu zj_qkkXR-+U*A!9lS_xP>XwNFF(w(aee_e~eMPYj<;{ZFXnu7K=NdiU*WY$?0hG|dp z>0uGsCnOmfLY1Mh{cDTIU6J`q$sW(1#2$b4jH;tFpYvuy)|nYK`CAFZ2Cx%TN=$7JkUS39Xa+p&a6 z|H2ff>zyG>mT757k+FkT^wavjlLDoyyPSKuYfbVV1Tjwn9~>M#ONc>QO_W{&40zY$ z2;4>wL+78q@;{CMx|M>bSR$3%`d{*CTx2E=W>oH{?gx78T>71%ARp(&rB7KF5fr3f zB3laMUKi+NG!`Pct(^*JStkJqeEG7^V$nX3vWJ3zQzH-~T6KqUX{lMk{H!urcY9=kJo{`&QhiBA^i zi!zGuA@&#G#7#h7%f`kgZMU4_BQFTjvf$t)nwHPaXm9Vpw?+`>XG8DAa8}f3Ho@Z( zcsES0wGq5*nH#dD2fBSG7@Bia7*cCFUCbCDWYIEv z{Qq$F-tk!X{rh-?q!dLmDosj8M#wtR7M%?tWn?x;l?)K#(?CXKXZGQHLcO_M57;X z?1&u{yHZ($KEUZFP6+iyr+C^zUF0Mh<`n@G~vTcf|Xv`9$d z^!em>dyVTpA*Cw=&j->ttIu(9pRw;-skdxzU)7#1W&8C%eVc#W>FU~H!Rnyi_djG5 zA~6sX*jh{jq?oTECAnV_kr~7>8GiD#pL^!8&y9uSkMj6n;mDxp(6pR@Fdf+P#4DN~ zHWGUO>MpcH!Oq(ldd8?QeH0@7Q>e96J}+T@D2IevMD6?CCW@Wyesh*@Ww1E=g^haS z`gIeAIjP7j1cyo7gL?lm_GV=h3lx0h30grv?d9miD!BxhM zNEE?VGC@9G9o`T=5C)j76NV_U7kQ=gm{$=C_3}PbPRRld=?4gMn&3V(9^)naClFck zn1#ABKSX<=ahiYB^TV;mdYzLS`WK=^NI3LyE5r$Pm|ls~v<#SnO)6#J8x$EdwN= zx1etj(8x0X<&g+9LKiGsiJkT`=xrCsO*mCQYd{y@e8C~*3jO1~>|!L1?2hE%>>T zj(mINsn2j`8PY%bJmh8j@Y$x3aXD0DkcSvQ6o?;BIC5{FL)O50r<}TEQha~M=s*Ui zLxq)H|+% zH|@V$J}(8k&JU%~%})i*xr9j`!|HYDCp=K{p4eSBZ?e(dklf#AEfV4K2be3CKXCxl zwQ^|Ia&8yOR?7ul5vlZFFi+@naG6HhitFL(4~MHH#Dvah46nGlc7Z>duOs?sqAdjO zD%0NLB2*1sbUv!;K$W|O&nZ*FhP}sL;s8TZYHsfzynwt1hV7K!uHRiKS-1NajM6z! zaL9n6Ha{;atCXFRZsbn5^`Bz)H}BSerT-`3GmE+d8OTAe8VE+BpW-&PHi%>)!gDL(pBw+NK(JM@R)hKVX}3Mv|rhv)e9ZRXOFd9 zZTEAsJ|Wv(ixL&M9e>ebW+1Mzty@W74b-QL3l;W~)i4Fs5~-I0YA34PTG&AhQ@9jX zN~qMwn?I>{nFO0|DA9{mZJia~9y1h` zRt_h&MHl^E^gv(Fp?Ys&)8V4T5Z~Epy`K+1dv;yzZKuV9EjPc`|8^6(Yxee(YoK^p zUdOW&`LmF~B?J!9;4OxYXoJ&bxWe_`wKh1~98Kld(FuyG%s0?pFm1%?i=RqmOiI~46 zH%qZjx-sn;WbEfhQU%JTLo^ZqBvghVP}l+&feh!p7ZsOD=iDB zbho7q>%nTPS#r_-HYnycr|&LFa4@LJ0g>=(4*;D$qeV7Xm+fvSu9#m^0WP^Yhi zo$pU`;RSNt#!KBRXJ<`Y7fLNzUmYW5lsR)(TT95a{XU0dQ$F4A#8`$~X)dC;wf#a8 zqQs4}*;H66gWpU<(pjR0R{8Ri;AFF_*RLx~EE->!i`xUa^@Bbama*IT6LnFv?!j$MXo^?AzPi*@Xo%^!U%P7dJEL*dtkU67> zO?oi-{@y1K9)=wV2BH0M$=g`pS@qlRja8!uQ@hNF~2PW37p4y+fDK1+ZPcq9XnH&3y8J)=~`a)*LTr@H2$WU zg4DHRC=p1h(=h!;(W6(h6?-U%VZLp$;SJhQoTeqt6v{&i6AZh7fH_(lxFKw*?P zwaA8x)d?E1I91O5tm{keV0vWTQMV;x)ib|QvyPig!lu7tUpf(2mR$Rd4wNv<6~VGL z_Xz*oex_u_4prwxu(I|yybc4ZOnXWA1{B)J{m#GYWlJpAYO6Qwo@fNm>`DNuVIBu@ z4*&+6f%Sg_l6&u?R3KHAMZuokTVU|>4gof_Ahj>Tc24L1^x(m6k^PeS1^SiN`xF(O zs(SjIG3eV~srM!_uVTM|N%v5^-uB*KO2b<=Cg$`XSj)OB@JX#eFEFhamPK#r7&!Ls zsGUDjQV^=X=;u@AAiodkJEHkGx^4DI*;^-&-n~C>_GsHRa-@K(UtOV2gHQ<#axxT# zXMGY8_+HnK@{-!M_s@>@{V(Shq(6r3kT4mOsJ+S*WL|%Tf*?(TI_$tL4({dI z_6geegK(z!nZ~(lw;1cq&V-*<%z`k4h<*nioaBv){pL+zVjF{M5(hI50eaRqx+CK4 z%;oAX0Q6rBX?#$hv*AL0Wx|ch#=C0%7l+`r&=$9dbygr8A`TWD_@&IFK^6qfcP#>y zPv(h~Ssvfg#t)i1rv5MjInI72{6bdvDE8gowAjC_?ez4y+m2q72m9o<1(Y)x$LHhY zq%&_gF6bKgnD()2K*~`&@9~;JokCvenAJ-aLdlTm8fw;W(<6_dZz5b_?C@jqad`)+ z`N>3qF7(Ap9<%&PLL{>`>c)T5BmN_Elg!N$k4&muS?sOOe=J5-(ktQ0+W@+F`M(c zT-9^0iKxxm0%Aezmbcdhk0eA49?F?>*(bGEr~b#fm1+Bbr7FZuYfqaBTG^_sW3-bn zgfRvNE{xCBaXiw7fR|KH)@|@hGLkG$q6<-CP7+?+yll-WFx7l?5!>=I|2iC-w{Q2y zW!Fm`9&(IOe*OA&HjE$c!qxC4FQ39vtWrowkR%~;(AIKSVO+U0)yY0K zfTbHCFH>+X&!zW?2RGH6m_xv-ylN9IND zr5HosGa}q6$bbs&9R4&ExGi)lOMJu5D3xROp{<%bfi_0>e2;EX7^>!CdJ?I*(d+56 zvV(7F(lL!FG9dWSUEi^>=S!D`(%Z12G#!h#9mfzF?$wUCYbX&+a9S~a)iQ(zB{~$V zX=@b5bq0TW1UHH~3t3!{sVAC6oN^`uCM3^V;hSr~Zlddk&&Y_38H#c)eW%4(UGE1? zwv2ZKs7lr~>2yW>F~0LW;sgyItN;#*d76Qsae! zWsa#lJJ}|J{BUC3C(W8WQC12k=`P1G{enb<=deAhn21%E`KUc`o}dMDuu5cYKDlL~ zHrayA`a(}tf#O=yxNe=-#;2B*dxw4{rkxu)LY=sQ=ND&0?y%gaRqWq?gVQ-Ij}#a9 zB;~2hSe5ws^o(=a#6#)s@xs8P5ny=WdgsI*tXK9?_UMc=!aSGZ&N^DLpilY@p`-3c z0~(Hy8<=cmOI)Y)+`M$F|ugIH~u$^|4pQ$7AQNUAw#$CHECP zuE5r?j$h?R)ld>t#;DnPBD~VPDR(QS87L~8B$P}|u{#1D_Amn`FrkJf1h&GYUB{M& zmrcz)%X)|+6SMok)R?f#uy%fhp~AvKaa0AQw&z$C&hG3k9L7N{ zZ7KU8UN6$n+%qbuW19D7b_zRg-|kzqdf^fHGGQj`W*uLQ{~q2B6RAIG`rkJa=+ra) zU4-sSXmTSW9OT~9@c@m!yl}XGJV(|*n}EDKHEq;(Xf^nVnsL7V1zh2z zhh!}q(7x}qx5s*D5rnt9ob?wxd5nc)$_ue{j2Lw9DmPtg8g{;~-w|7DcP3k#_%yU? z{~R6fIJWriFKj(i`@T#U72)w8Wgox2c(MQ0wQC&q9XSFffQ&UP>bYW?rXMmjy=Tt$ zD0u0m4X#>^_l(Az`77NvSw5-VR5sCgbjJn;hN)u#cmA!+h5*V~j-gPHCxx z+B0Ff)k^x$_^5MTk8h-eDeXQ;Gu2LifM*Z>ao%-G5(9^6+Uw(>&UJub{uVdr8~BO& zH}?Q|*`TlSK=fs?KPz#DvVw z7k-8&r#W3+E6U5xKyIG?jTm@)WS zOeKn*FlA%rt`HCiSi9rlUMV7}C%mRZTzZSRR?>?!It!`tMK2d4|ta53B~7(C(lS zW`{!&mb8jyRxOx>4sKq>liwxpR24$SgoV{5uUTQeB*9dDxWV8sI^yure-|wS)g~OU zyxM{~N5QEr<@ZIiwr(A6t-C0S;_QANNUpzi_~NQmd53tnb_27_!a^u#v+ zz8&@4NtS+lv4Xfq9TloUd^!f#-e<0(i!?qx?;hlNzd{!~VXizh+)_FHIOmC{Z9m@^ z@W=Tw1+)Cpq`YC^T(U}nd6hWxsm&j@8FFwjFOgspqi-_3veAp5je+6RoMp@jr8C86 z2+}cxTTq^Du#R2gFkLvA{T!vLtXnTB!utC%O@X3Fn^Z?(zZ8uJLr;c7Ts>D@f1sa( zvY<8IgEC8S8+^+V>H8jW6wyCM~E*v8Ms7~QcAs`l3Infv*F zX>1?u8&?pUFL1U`pLSz%Zsg@uP&<0QAb;g(a1F`kpKi*@QXI&lM zINx1`t$^1K92X%nVt~A4@503m?=xhjS7lQb7nC28m!mVskqe70}iEXJCK4fIWw&l8JOt14hu=)%q#R$^r0AJqA_I-u5B>U;jPbvmjFF&}@q zy!u?aP_4>xaDQtqP-BDk1=RdXgOYMRgXtL*t&6y(* zQs`!Y*Q@84&Vsh(jqoFqUANypcC&qu*KRUnqsYL*L->vF6;JMs$>@eRWVqmiK z<=(5_xp|GUZkp|ek6^d}4hv)re%0UNQqrp0W3y(?m=T{cn|H(uZCpEpaw%ySd4I{4 zo|Pv6PIR?ZS2zEj@j2@u#v0MOi5iH=yUZUls8GHX&yu=3q)^YF>3=2F?`YAxw5ZjR zrTkT(GSUl&QayURPX1K&nt2(WY_1Nn1eMqTqPO=4!kSC$ zrw9$NwG#-?nQw{?i?Sh!4~Kc~@K?f&-i&p@y4@)MN05p1_XKCO?`}j5d1S`2<;%IH_uB12BHf@AG1|PO zr_lHP@I|@IC7faf zYxva6ka%crg*dLbWtiS8T9#mm(l(bhiS;gKf;DTugcezZlS^ZG{Z-i ztm@x!!;jJrRbKAOLuYeHBY(6y)l-F9LFXy8s(MM=amRGY#Qe0B)sJ2KpHk~}_j$~r zYVYgdBL<0-bgqsb4%ZS3$i&KF7=^`P=!t1OC-godq(WbD4E@eq6kT<9{q?Nd!W-qo8M@q4!2+d9Cj7uE zvdn)kt^v9($`(|M{&;mwne4T`}jits0OO@i%ZCe!&exvmmb;zGB}4* z{vD@~qqg)cPy#Lk4dei&5BDcfP*zg51^_ zQ$+PskI3IY#3>?k@WV20Ios%muDkS895kVOT@50wFT^}Wl4XfUMR_{3o*dE)De5%2 z{rgH|t_Ii4l$)tz@XvXaTkX;05&N0sA?cG8o5-#^zLXI=F!=G4rKx##Q$ z`%b`>^*UjTrb=R9O2*~i-oIyDK(+f`yt21;(Xb#+V$x@iq4IsNexTI;YBTsSqYZR6 z0hn}j_XqYO9noqQ$KRGk^HVcVI8wrfoIhjZ0I`93*t^d2BX2{0SCdhhMYPY)u#tsn!K8#m_1I)i%OR^HAj-k7_-t@^BwkAJ!4^Y^xk zo`qF9iea#1RH1+S_hUQ1s;LRbn|8Ji+l1$3PJC3^nCbh(H?c13ihk)a?ETeezF$nZb}nM*?Za-eK?wMtBwyXTHQ(o?xiC}BlnPmfGRDo-(djuOsALdSD? z{jar-iPJcUg(kBxh)q#Bt3fvee)T=^PHUr3;C9!`x1}?xt#gGlds0=zYrlRaud*2g zp0$<_N~RgbN8O*w;_N9p?>Hsw4|Ty~ceOM}nK?{aXuF7nK$TSmLYd7o16O(zV~g#6kA* z-InHb^b6vbjdIIKta4C;^5IM3Wld2Bn_n2ei=rESUXo-vTd<2WG(!69cDNN`+0K_l z6S5J&F9K$hMf3N(^uWB7EDkEN)k?aysyD49KTW+~Q*UeEI{nV+#X%rv7GDn&#XSao4@%?v6Qb{QrlIE` zqcKeP$P}#Tc*#Ru0W!X?W+3j_@Z!2q_1t&AgXl~2nBM?qJmo8S8xHg~Gj}m2D9uU! zFIr!=b86iGa&e4J`*Y3b5wglZG2)-Lnwi0!W)C*U!B0^s0+t*j6{;m+#l4T()CPvF zE(8!TQ$13qxMYb6JdaErXRaM-PiUVK8cK6U21G}gqda@7_XWcPXuJH+_3jHlXi+Lr zv6%qz^2>^2QH=tlnYe+^S-7w@DGkK>Tr@)J{hy~_iAN17ti2{S)9Lf!nlIol+{L(2 zPc=y)lqw(z<7~n`K4^LQ@@3ljg|t)eh+>+h$sOKi`-&%rwTfI&sa?ld`-WoClwP8T zz$|j`yRzyKIzqE&g;ja>CMRsjp7ssHGOR}uShf`BaNthH8Wf>{=XMX$=+9#+X`4Pc zwC}m?!`%<}YQoEI2l2wD8KA*IYYU{7gL`>xCz%!1%qyU!)oNw!>q8pKul7hbzOG&s zJiot$>4OCSMN@cCo2q zWIvmX?7)pRML9bctyS01Abz;F6yXQ{C&4!GT)8iuEB6q{PcC$d8;%}7>7b{>Xxhi? zbkHPfkoHoo)SWkz{hPO&o3{7%1>1oN^$jxt5Na3g{xzPaHF_WRtuW~Bn31w@!(w0m zbPPBNYsVUOZLT_z>JfU9%cd{eIpI0~#`^B^$deW;_dj_3-Y>1c_d%CqTLMG#Nw`}K z1qQXyiW#al!Ib=tG+sK)8En?za{bi2`0uZ(`#Izti$W^9s-_Rt>Lhzxe7sQAzh*QN zE!bYEMF~rNy)!MMJC!&8gJXZUODMrI6z9o}zD>78h_Ypc{)#~EU*~Frx!u^Uo&TZv zcAt~rNImKnfM9aG^Lrt5bEWfS;IC5heukl;;q8!J!H!_m*{MsvXTZZpOx7B4py{l&-03V3tv5T%APz^4MF zct!1Yvy|+A1J&&}PmxxrLI1BG-Y1Zs4(UZ)X=>ine4Dq&QIG6gN}?MAqbaU33zypj zOL2%DL98+UBqUylSRl9K&BL_Ubg4=r#joV)7Q`a zl6LMpo;7S*QvWcsh%EzU=o_IxF~>NEXdp6MfQ@%5xH64`oW)=$@qRa((m7sM;Qp1P zz-+CJV$}Wo=B9YPi2`*E*#9(o#Z#!ko;5a%!42dH0W|<7eeU8#4re=2vlDGq`@1&Q z@kkx&sl0bd4s(QaK0d#Bmd3g%rEJmmm?I^V7Y|W|`RN5!$IkSAk=Iw(gC2%i5`p|q z$%-MSoF+gMb74md*vuZY=ma(Ev4a0sW>z1sK@y{p7Yv>ssd3N=qZdLrW!?FIl%npUGs})*5A$((=c%~oN4(&teaa3@0`Kvfpkf)N}KP% zR&Og`?0aO9_vlRvU8jR@dWf6Kf^w}#2iLDZO*4m`&8=N&bz`nt|EIQdq+`zAkru0m zMb+|Yv87{YVti=jmtnATlal$ghRyUc;+;c~jFi;JxCMhLXY}MOF#CUKOTt5tI1UA4^2%uV}P_jXWqPeDHlMKhj!Vv>A#g`n>rHu+3JY7atEWS!?@_p&sIQ> zEG4B!t<~w<=BF62VWLwpglz(jj7GbowRik|Bq(ecO`HPpflBDd@e5dwHe-3g&j>7* zx;?wS5|dqN)ojTw^5~}cp`PE zxV^6oTkgEupTdcwqD8fViUk_IKeHAcwG64o+t_?GoH$;R)av)rmd7+A#k?m-(>Ksd z;JbQFGUGEwIA1t4+24biw)le4yl@7_aYp z*bVDV;=a(x+ObEWXI(7TbJXF;X6}vAODStu(-No!rGQk1M8o@l1}P28hHE@9XCC$? z;6ARv(N@Q-1t-r29}bT3}))&`-Yub8kskI8Ks~} zSGSSFy)NhBgaKQHsQW$VzsaChm?1Zfh61)@%)B^aqw)*}YswdNd>t>cGA?ZjoyPIq zc@;vSMC+Cu`?T{hK0{6h4W74bSC@`RecY*JLxEt-I-9?v20IL~ZjzF(yRBM(5-@Q9 zOVu|rpEo0FlYbo%_8y`M$@X2G?8Px}eJl_EZ?bO^Bsm+_x?Dv+uOxM}TEy0}vmLmE zFaC6n>5hr1>05X_T&zhBl;*(FoBcx#u0xxKuph6QFIU4ikg2N4<6`jLqtszI(yE6X zyk7w)LxYN>{h$%$WM_-@^}qtl*-HvLV)eRwEJJh|cTvK;irxn+l-soztqP|u2!^pQ znZX{j>1lKME%&MeERT&n-+&efM@mX|5<^y8tg>~9fE|`X2mE-Zcd^YDN3wB=okGx_ zr5se%Zrhg9FY#?HnK&lTejqLwrhRJ!aq=5RV{< zQ@jdOhgpO|sgAv9yNn61pDtj}b&DQiLx-uSxqKZ>z zj6Z+RG8(Pq{#Bt<8mBkTFzebN2(IHAmy-sXef^%0Ad?<_dnl4nvFWWU-5sqDtuGvr zy}3eZF#o7>nj5N)!8sDj$QkLH1RFk-ysz*GKMqRTnQp}k1AE9HXUyvkUYru5uOBW0 zWV7ga_qPiUuAWuZl+kg)zrM#5dds;54Ugbo7*A5@ggwF~2D`b}mWr(XbIt@;5M~$w z(4Y$A*gB1!oqeO*K5!L}FlL)bA43CMc;Rd6siqc5IcOK{0n2(@y_NhXLxikyPE z-CZVvKYc2+zy2fiO8`{3wzEuXBb3pjeU4X@PZfTUQIOcUS*hP%|9fR=S0$dI!X7BO0v8w z88L+*TTrGl&GZG}HrG&3R577B1%*qj>#jtIVL*>_PDaC&;`LY@j(-xZx*ZYGnMKFC zf_)Jq=Zh+Q>^L#|O6vXHZCcsFFDgP(OQ-#}L8q03f=ApDTY2;K9QF{G&Sh zW`e1WX`)BHL+ix6<{KmF|NItPWGq>mVb` zRbeYdSf;EPES!-0lakYdI#)Rw4eA`jR-H^4jaNJhs!AAUH7}VhSS;I^|CDEKZ-dhC z=bm9{*C=4-ne)+0B&Ml8S~Tf<4J{GrHjw~|^K`%=a_8NP&A-4p?sRxLht6|@%}#cz zwqzb3v0|d62LtK;6$C5FNin6H;k*rSG+LEomV(Th{c`4 zSx_M9{5&~zr5Ok>mpqD&>!EA%2Y>u*)r;8S=j+eS>Ahu%F$sI!T6CbY_)oCW$5{R9 zq|1Gg5%AGouEtZ&WlS+7n=ko0P{47|7CCg*!16BLIY2NSnY>3gL!{EtQf=d5Kr~k& zF;PPqsvZkF#k(X64Kff<)j(b9aJES*T1ZF~r}e%&9O^le7tj-g2OHSM)C0R49y(_< z@%fzz`=^!r)zA#&R^IBl!POD= z^pEH!dEYfuO6)6*fVJ*zdZg$-7KiKq0Xl;%1ZN+pw3 zj!(V|B~hZCpo{J!_Ll5lwNSpKm24h%UI0{Uz_C2un)kUNJxjOV!XH9rO$tjKLb6b4 z8};o3Xu7^FzM!8=kW}y!T;OzJ`aPn)WW){H_J2EcnK=c#{-XPm49Xf%Qr+XdwSYBy z3>&XmDB-l1PTO;?1 z2={|zT@{U`^wJGWayVFz31N|!JMTfIvRnvDj1fhwTT0g~rL1{AjWxO%>*29}E?+1q zhEQ1rPWE<~5U+Bqw$o9Blrvy0(1VSOFa@6DEHMBsXOkAyNNgI1Dew2{%VeO!elgiB ze<7i6Ht`(>-S+gEGrV77z+met7f+lk9&4laYG7}orA1)%XX%D!#a>pycdc6%`3}Yo z_lfqFR}ZbgU}&w%jQF(txcs|BWGEBTnp8WhX!m)!P_gISDVK*yk{<@_w00=9ec<^L z#|0mEHXMW1SM^Lb;r6uE^VueN@Z@D=FAgmUA-lwgr2ejVRqfSl*Nk8AZMxu=aa-0C zZ=T2O63u1TU^@m3jv7Zy^!eeqQWC9WG@x4=e+xUUA)HD5`E`eDbJ}o!+FcZtnKK*} z)bv*oDs!$O0C_6!hyGo~DsAdNSEnSJYtKofQ?vCbsKAlMLiC--`FpRLQ}--GR5={ zR5w9(`Im|Jn3w;DxO`hz-12f+318%CK!FEt2rZkU`e!iG0`T z`&vjS<<=D#DHexFOP!^rRoi^RtkVPw+x0{@bn~8FFw%!J)6vDWd8IFr*f9ROFl*CX zJW278_q6Ra)t#{bEqQiF(x(!3vxv-MuEJB&RF4f;>8loF8qKa zov)hOphH*_ilmqRcH_+g>V0)2f-Ow5_yoa%mFm_GQ(@c!gcC@W+%JPsKCp@=TuZ{9 zb-d#pf1K%+iGjv%hw17NF-$y}zU;=t9<1nGmXHq$@HhAy9Fv^IJF0JUb7XWG__--^ z|MREeckkX@aSZAqqD^$Fy4Y03T_ih6Af+89+=^-1KV%Y@Rl>D5TvX;MRog4&QWhwl7dnslyZwrgPXjKmH`?8lceskZfZX zyM^=swyhOSonG5c=HF@^Il2EnMnQ+#Eh8eaVP-L^S+r&iuLMKL<7N+fwU(|L{_7SfNV3;-rFKFt9#zR31+F!kPo zghMJUJ;O5?GhfH)+JcnpbZM?2ohPxp1pLVj+g`0$lmPxYR|V7vgxF;;b>!r$>?a4w zg-OhH{7n$la7SlBI1V6?227TX_%q1zhF_nb9QHS#&pt+R2wNU{bjjmqk0OqGFO;=5 zHa8U09skk)emIoZ9m_R%IFuJtcaKsJ%z-dUF96Hrwho347cy_&q1~%eVyqrKSNAg) zb2wIh_qBg{H3v*Fw3(f^)}u5fD>pl^mS`*DYD#L1Qbdq~<0t0Ucox}cKuGNS?$1uE z-$Ra@0^wW{#WSI4MVbVufj^XBSy?u8Ab$DC5nsFtXv|p=`JzAV3krHSHf`uyN~Auc zpE&>Tc{6RsycA~U74c?12Nz)-H+$S@=uuu8dNeEsyrcniviD@KuiUi}O{>V4FJF`; zv{uk^$^`Lvv#r!E!?Crngv^>z%k zpHV8+w|Y8ml=oNla-IrP2}L$CiB}r>>63ZF;J-6nt7W0m=0_@@eN4zlZ3cSbSPjIi zKsR_YJw9KtS?VXT+sF9oas%tFFoDdA|LZd0h6wVwqgB`pU=_5Q=do4=rMr6HDKPP6XW+U#N#AfhuE3}t^xIqE)- zYWJf|>Za6TKhWjv)LqFcN|ZAJF~uJ+M8d8sl2(U0oz?8F(yy#n@dMm!JQ}1l}z5y?JvbYGXg2wB!}P73J>R z-C_>AgZ5M9!u#Fi$#D^J(5Vg9Iv3pMQ*Wr-p@<{RH$p`3!@Xddl44oXvO*gpaKpoa zlo^7>_gn!D7drNl1vEu`l1_-aJMc=34_h7?@v{354#3QDmnZ{CDBZAe<0N;&9on!Q zNP4#Q69xJo=tyN3|I($ZWZz?~G}V8#&d$-@)LYCm#18+jh=IdLznA8Geymfi`I5W(1Rw8=7Crw-^6VwNx;uvj$VL0CCz8zSvKCoh8l24;fvT6&826j zJV`Dk&Rwu3+tv>u9Ch3Q&@~_Zi1cj$3cr>metfnbU*6#Efek4LEA|qn z__#Qgw{AI1_eil7F9K}TTVfM!V*3c&Gs6@Fo{sy@{JKbTfiwPku#}(EVRfoUULSEE zpXBv{J1qhlpVSWt$6rUr71tK-`mTeT=vbG6zi=9`Z|=jyDxNa_cazvb(ZYs`25KZ# z%bfiZhRAECkrOl(<1RksDVgJP{S|O9$HgP_AQw(yc%YNGg^XmZaWssY&17vQY`+Z4 z%!tMNpIL4?ILP5JmZkh1Oa6K+Q|K431W>&=xh3X?Bzd&k{+}K#jDL2}9xb|$NggdR zzy*tZ?GFi@xId5f-}S*?sRsO)Yp9~6u4ySfYS19baP|braNHxyMg=I+K>t_eN_CESs+ zkOS+%;BF`u$UeT27c@$2`=`+0L#j9!LJS(gAm#IZHCdecZ`9^zlOevhb2vGdWA&h) zx@OEi9e^s!A@iFNc&};9uGI-$O?J-TRwsX-98306XcuUeGy>`*w;z=>lqTEy$qWg+ zn>us8aj5YB@wS1Y&e^P{cD1q59HBej#Ax}}Yrdcn_7;Tk5thPj@%2&0DryCX-Zk z^(QTwqdw(hA`oVI)ZSB%s*m{(PWUCG89|s_0xh`?YD!L+WR5(9zngJ~UB`&2LqC!E zC4IgzE-X*@z5WDz_Zs%mcON1>;J-?9D<JuNW3s;X1u?#oU1wx!?2P0p; z^Wr4mx)d@|`QEy#_nf=#9T@k#1DOXf3XCA;l^|PuBWC=-nb&;|viM&?*xikUUN_x2 zJgW@G)Vv7~knOe*Ln2;jjp)=xEJ+KzM*V2r_u<&ob&ZQZ={J#YgX|wmjsGS5R9~_Y zfs0f)2$7RSV|Pq<_QBNeNLd8p@wklZw!l3)dNrXc0BunNj{Z0o4xDho%FJs$G6|u5 z`B0!u$1)Clx}DY^{{VD}9J193G80BSW3RD;Du4jEuJFM4Zu@nICvR$u z>z8q51-Tg1NA$$Lm;5NQRBV#K%_nEO4e|-IG6B#z|CDWPkCuvILPrd`4;JBw)?V|JZ6Xt5RNm!)HoKghy^_w=S?cICp8+&VV!$KX9DIsbO^<8H$vNj2T ztU3{VjCVH)Bn$s;a_Nr3Rijs&apfauFv$&ZfY09~K{B0KY~i`xbI!=f_%rjGLMKP# z`O8CMXpN??s2*Lp^+3-t!t4q%937kg2}%F?(|H|!_-3KygVyFv+}y#+Y82exFtQS^ z*N~MTIZ0t;oqOX$S&8vz!rz~i{*WF49Op&WyX9%-@A>#u;^#GmN4K?)XtdMTxeNV3nJJu9Y?Nz^C+ z;I9!nP;^y0PsfcZ|0{{=k3(sGC%Zz0L&Dpt-S=xs)|8ILu0X=(uDGZ$LA)7DB%yW; zwry3yBzg47hfiGPxD!P=PP%E?rl}g^PoR)5Je|OVxr&XdH*5dttYOY&9j~H4EaK1wQIZ zt4VXnVt%p;3vu`THiQ7ernD=pvd(DB&;rH&nC8}v|Zti=Pk~4cjnYIQxH;M`V`TN5ZmXVZC6&A;yx_e4x(;0I$9G5^BFCn zV_Ql9PHa};=qa5!e;qv|JGBS7BL~LV7pLvYf*}jGRfbK?-Zn`Vap#|dJ@1k(vFt<$ zx(B;^OFX0=jSB0gxMx7Q`^ah^_g}kI|8>4u^lI>Le<8;i+1O(K;a$T;VP-&e&@Hn#MxKDqKB*>yi=1$Tk>;L&Muo{7}Tg8gT zk2iV;`JpuNXb9;Hus3Gg#PMuKd+xj}c!m>X7d<>ngu$KV0H(eKmT(X^3Zh2NrRYH6JgcNtJ+`Ks=Up63j1ScA?`iXXpGY5jyVb z>y+R&Wog^|>3#7GI#0%@k~1g5vZ$}=D|YR8UBf3*!wB!ur5M0mhG1U%*8|b5`@uei zMV53;gNnyUct|3dDe>X_!9T)44nn%V;K(SWIKFx~9hVLxU0U4<)!4*!r*;i-N5jv% z_3Jsfi+^B2{u&=rwcbT(t@r%t!J>_*wZG>3ws^QjF-pRBtQ@ZL2e<`^92{5X*-uJ{ zVv<>+B=d2&5@dR~>;cnGkdQfG$MdPx_sAKy#l9hK8Ds^Xqi(SGjgNWeGX3VCmV?3$5yr{nv4~ktU`TKUp}jtM1wwH2rcw6+G6;E5ZAdBo;EQ0`=2LNx?He-z9FYzL#4 z0`PPNFn}xYs#9NqEa^=61UNuy@GK;}14TQ#(5#h?pQ8WKP&BTZc6F@-1~mvPX^L}G;RzR>3-+at{B zeLMg568Q5{;3)-Hft(v_jFw4A6wRS5lGH|34h%{mZ2Ifyk*t{=fyT9$!5A{VKmXvr z{sf|u>X}*Rssw6uAetv@IY<8Pcvv8dp72h{txc##Yc%fVk{6NgO2^8`2X#-$6rvl2 zTlMC1bCwS*syL8O2A*V*^`a?V(a81L$g_75TNxeXnN&w4;xi`sYW&9;yOd9j;vMVn z!BxDcJ^}ko|;=wZ(hejdA;fBlJKFawEi3zFl{j%86FxMbeInlEIiOJF5z)(p0LwT}EIW`5Gc zo3Ama5!wD*s?q+IHKz>7`81RnjnO0rHWd5b;(!>vib7%$Z+4b>{GUsT+s z3Cg5c-zV7?xbWI}TvP0cynDC^D+8B4+Uc<-_qvdOtbJo1P{^woG~LR4%(5)OhCizN zN&KSniC--e3qvXe-d2QOE=qm-?p?wW?bs#(*gK?Ug^r$8{-b!Yoof$FcKm4}ZKk_u zYL5y5=80;tut8)3?}Eo}O!{^(51P`)Wb>c5iZKo`_0*F)N}T-*W^}y;6+5GokTjru ze^hA+3GxU9dho5E@L@7vO9Ugx_ZaGbaR6hnA^Op?ZhvuE7wk2!esxeexC`I%vEcf> zn3BFX92<0quW;csg}45qAq`F)EP^jk6Rp-y-G|LDjuLEzC80deWPmoAH1xZdri5@&jV6cNCVuR{V`NPD={m*EI)DAWKY9M2|4wV=2 z#@HseY5jWI_W9MT-}Q!WNI0XY{Tw?NU_aJtOxZ5UnKiW<9s?;efFM@i309ti0EcW4vefy; zX@x1`V0NjaH(!S9eUgKr@vu10YZ*AtBE`Ia@0MP%!jhAk1Q#y?=>byeGXXGf0Yj)O zfCJuwTys}H&4rLG(=tC4gm2nX(?$0|N8U)e4Yo%9VHl=$ z9QHmw4D~sBzFjd)dpZjiAPF@W8;+KS<9`Ll`UI}Mz~%F4>Q;)rFA+TdgEgl-F)z$W zx~C7GsoVD;(~*CUnS;c8ljV(5M=~7AuEGSf&D~lkFupovxRVaT6X{ii0QXJOv6)jd zX_NdE7?XcUJgT2%^myv{4>=pC=)9Mhb~>6>u2NF#hKO#s^W1Q1I4V+ffbGPiV!p*s(5sUJ!vomtRktpfr4JdwU7csrPH@0-D^ zkcf)ggou#s%{9M6X10~6=pw=8uI7lFobLjJGU} z-ZiW?(LLd3yH78l&U0T#Ro{}c;BdP`><5^?7|1fx+$iPJw5+1&X!0-whghWlp|_9! zu-7T~v4u-|_rLAw`I*W3JA$=nCR*-~H4~W{rZv{7oWo~mB$NWU*(VyRe41zeR~@)9 zTtiWs>|(iXTruGOm8}%&Np=VS_$r5HVNitDA4|B_utq8=7K{qNFQ-!+fBPZsZUqMS z=DX=bkXi_HXEAXF!-U0tK@`Ek7dJu-miNRbkj_(9`V%FM6zYU3kyvRP(3D%|fvqCk z5}D2Z96YZhW=on*n}4Vv)Qf2r@7i}l;i4F!<-uo+w6@@lltt<9S7O~(Y_L9xm`Ek> zN4_4w~P8|IDw*g*Y@4-gn%u%AIVL zymVDUaF=v(cPp68`Q7bOvw=DVdb~he44BKJPrG3*FKQ!j3c6>7c^5}i8Dy^?DSls*(?rV>TSwrg2d@3%ZK<|>*05?Eoj|G=Js42qO@MK2jo=eJS8ORZnRr5 z$S4ipBf^|`E)kd4+#kqhB0LYQh4uRKw*->1aAE;^p@P!k>OvuKBC*;bt9#5$@%Yi37*&?_q5@` zHX8bf!Pdm6oDrCN#i6tFmxLCqSvsbqr_fF3leKTxd}at;2p&KTodd)SS6VBdH}KT?+cYAskvauFtLa_K7l_Kreilz! zqyHJ{zS2p~xk>m1>Hn;HbaE9h$otG?tZbX8>Q(GLF?TNkwl%G<}WZ*0{0 zlj3gQv2PhM=DXEw_%LrcDJf~+RIbij)AT20-7ssoqx8GpCy6gqRD9HIj>0x@hKv6n#Qm*6VGWLQy@47e*G$;8gsI7 za~k*Ca|(PD5Y=;%j@5=6=UnL^o3qdgq~2a9pQRj1VRT~TD4Tv#s9@ufnYK8#g*7iw z-UmE&f#7DnM=q>ljv8@vuBdkY9n|&xqZ&p*CVeUpH<%0!^eSd`!M4~{8jc1Td+vuW z#9dRyudDl*=*0>*-QC1I^{@t3E~l`YCK2zZU&#;e#6q&ZwgP0FAJYV-IF3R6c-#HF zY;I&01~^|iXMVn?&Rf`-09~iwywrNb20pCB{nYekXp8qm zKgR6WrRnM~c(!;$ruW1jru>^1V#z{z#7m%`#P?LMJPj^78wYgVIjcMq=E-d zjQt=Dz9lO`;OA~TTa@wJPuO#xUpS1JgS5{AcJ{@GKSf`D^hj(|CrZs<9goNSn*P%z zxlMJ)Didx6hXiy;{S|7lCqzeQQU=CrM{M)>?FdaE_=)j~N#6i7!}D~MaHIrM3lS}z zP+_%N4CV~ngn2{DW`8|Cx+vcJ-AO!o-B8MMZJ5C~)t#QxY8T}TPO>pLr1yIJ=ic+5 z+m0B%vAq$%s=EHx5v$X^4!VpTr0m8J<1QxFPfHrd`<3>WPA}=K_<|N^W2hm#Sq}2K z(z5{D`rd7$r8oB$T*xl+$$m)C30P~fK0g+RweCs(`&g*Y%EX{b?s=aNaPNJV*QCFD zgCH4gVUl)@7#`mFgk^4|=m*%jG9yN2lwjr##j&A5^i-@;97~4(`4gpz9$$+##f0{P zc+8L7{Bhn+R;$Ix9*5(v;>q@bakvPFCt;^8!+gV~uSuZj3yi6SY34B>ZnIfkO-I!k zj!B)KF#66N@SB+b|MB(R@m%lk`*}ybQK5`VGD_JbGO|)yC_BkY8Bw;3%uX6A8g_QF zGmcRO!eklpyqRONOAwdvRKLg7U}V<%ZWNd<%Tnj)sS7 z*O{uj&hG=}m~a04GNr$z@%^vzId`yykNJed*eOzspFefA^v7%DbN&i{Jz+cIhn$xX z-m(y6pI;lv-HV-2EPo4o7g*o3_GRd<&qgejX5^T<MNjT~*zvMKsnVR=M$27MthwHT;Z z3EfvQ+d9iLUT44J)Izz>yEeiZQ~2IQ9on%3SLv^+H+Vsbd1v^v^=P&s**WSJ`maZvokWA@>!5V1Gssa|BkblQg!hj24kil{!rICAn(m zVlpgZG*dW=vvtM09A~S!lkPEEU^FKQuU7Q7TpsxHv0i`^QX=)g+LTff8loj5q^%FY zw*qX08&MhgmuUv!wwFHH=QV@CoCU~GZftb4^RL;PS{>>%K@0V#^E+!4lwWAaAmE$g z+`=rRwJ%Kh&xU(5!i9OKRDppSoP>SEb-ss~AvUj{q83OZTwi6t^Z5a|tje(&&q~aa z6vDMH{QR^eGs%;daDROT`4(a3e&Px-FmT3e%QL{>+IC2Y^nSKZR0Ob>N}Zf+j}h-bh|u;Bui{BYZ1YC1Y+F*<(v`3i~uxtd0p!Q^pYB?|L% zINT{h%c^uBLh`7T4syRMAu&T}#{ay-mS^Am-DK&5a&9lG_0K444O!NsS5;aC9koV-pq&im zI@)=N?tL4A6agVQpH5o0OLckkL%wIisHurz>mXi_$Q|E7$(XK}^PGIfQ3Gm&?hIa( zWjg;sZ{k@8169FwhjuHKC70nEI}S(Qd$9G5F0w=$pUKjAMk%TwfkKoGM}uyz zeS1EO=0_?NI#7_SLdp2~XQtu1RcgbNd&xYEK#K-PJ)ixie)=DNr3glxn`1C9hM2Ug zbEot&;o;ekqRs#LWT*(S`U(R?+B9ZWz3ji!CNi@R=2rO;G)vr-|1O%(DnnY|QMox< z>}wN-AB~iLdB4;pP^b~Sk+M&kGz;Kv@WABzgdQx(~(~_gqVb{Vc1G~aTqWYeT zb4J*=Mh|X0w{@`PSjmC8txe)oQ&Vf>@drC-SOU#r3DK(R(2_#=g`vxrA23 znT|hSfFf#OrIf2ZFl?tAzO)+p`ufTD34I+#faX4K!e2^-nRLEVTiQSzZ@D$iCj9;S zj)Ll%tHd-W@XkNltCWuYxV+&5F#U)%JAD*s7z^EBzGRpw1O9H$nV(|xeV|lGfiK@% z_=nY(bh=(g&X^3EQpXFWnL78&Mg_6mJ@F{Ntp>l33{hwvvXr`x|_a}WQ zu>ilR5ETQwy*{k0r&}$e(0Qi!%crPi>c~hP6O{Su*weBo9qnTfKtLB~EnXTJ8r^;jU0SX$%C0!*q zm`FP|WCA*@*zQdS-|o&QyJ5VZqGp{y|B>mCzPgFOA2ZJwObpqmREfbz6~&$Jp97UN zjFnt7yZW)bb;+8WJw|&H&2N+fhGnB_1=W1#s&i?lxHI99PgpXEJ{f&s4cGnqgac|$ zTzg`d5D!lsQn}-u@_Fcm?S&QUndA(4*fFk^b#uIw@f-P1#)DtsKpHx;^0MPx%G?V)8RS6AR3K@9}1*zCQbZAw2#yA=Qm7P#w zcbrC_6n~s90NFk6KtbarHja38T z3c9+w$pwCwFF)8Ozlzh_+nEwxR-nytR1DI;2p=(o-ZsA40SFMohr@w~r_b*|w)aS$ zHG(v^&V$c4l#fTX=V|`=c!7Og#!~5`u;OnIej9GTvm@>Aa%`h-b8lL5YuClU{|er& zygs+A3-^IQ@e&atg?iPdB*2a9EAjw`gq=NSo(Hmf6{Aqv-giJmZYNZNp~A7MAKg8E zQMO~#Ph)!oJHYzp763zIf|&&Dt|Y7SaQ$HUZCo13Xi!=EW*@6qA&xQTk3*q7t8{<= z+KeWHu$i=ZFckdb$B+HX+Ld;u^Sz>*i6qYnZHOiiPeyijx|O8dRW6u4(Ew;#!;Uao z4#$KTVw77@1TNREVflyfozt}}Aq-O0n_J${-mSho(O*1x0DgnTk0l4oC(%(z0;k%# z!ki}LcVE*%qA$JWG*AvV?6suyRC#?a*0$U8>l*5j)z{y73H#{_Gzg z0zSg#yX4WMM+P5m4L&hhFikg5L2f`LfWR6}5zQiyP zMoh@6?n?wMFf#q9->Psf-vnLGDGwL$y{SVb1jTIDuD^0sVkg~G1Mayl8^-`CqJN1e z-NgmS<(JL2^{NslpeZ*eNlfO6);_$Y=!+Y~K`NGT=qpUj0_jZDm-hV$0$O|;z@C8t z9?x57T?@k`S%eM0ywLhNjRTbNlNfn^(rmbiDk{m9un{3xf4JL$ie@mxYDEYIOQ^F5#cH=iyI>TdpfjFct95M8Dl>d8~sLZ34*`!=?gm=X)SI zENEg_&}p4}eVI3~Bzh+#E>cl6QB@Ca25ney(Ci$zY85rBI-OKI9cB|W`h55&{{+_g zmQyS5m{`VUk&&14&elM}qOPJ34abG%qx@thf}X{_dGmJFrWV>0QC5>RHlCV76&NOI zO0zQRJ&X(Z5r>0v;dO*#04m+~ud~M>T}6p~`m>7p5H~XHMc0tX!y3jYt%##uTeJ&) zp)NKNE(6v-a>7@je!M8MMuW+8qTbjqyl&pN zCYw?Wp1ChYE`=+xso!wqJC%=i4vY0+!er_haQY4t6VnO&J;dMp1bpgvab&v#xqrXi zLv#S^)Z^<8yAxyXZV$V{BJJ~+CwiNh9b#-6M+t+2CxjtIn8_KWjPf>?NFXbZHIaNL zVV&oMk~DDdQyJHvXeo3fEeqyNI{2?CUzrf8rOYxUlIsBFe%jD3c(Qb^sbI}yW@eV= z?nQ45Jnt9~B^9P~DDMs`9yN;*L9QA98R9W7Q`?qUWi97iH-A+!ua;ev_>crBPx@+8 zF*QN^W~+S?ouM{mfaY-U&6^q-2^-665gDgo2B1#)op9kRuz7iS>vs>>4di~`s78F8 zPut2E_+ftC{DywnjwAHP*T!&E$@JLKs`7m_jGHaCVMFbJ*uX-`I@I@Pi&1Cn_ zN-l@5s93A6C!weWWhxOxaK7W!SF>6QJ|Ww<7~MSw7<+83WBns|8m`|=loz%i8yUEy z8Q?EhDi*M}Dra29G|_jgIXOe=Jiid1 z%J-mhZNW{7ulama-AtreBmk8n$xSjSASl}Tv!;za$Spx zO8@-%^I(D%4HKb}R8Pu8_v6m!H;h7TxJ89?S5)2gE$`I3gv2Pf{fI`qgR10%!Z(70 zF$pbR?ahiG2#**@5Q-8=FqiY(KZ{6REFz_uw-nc>=Yp|;0Iv^IKU+qoErQToV*;SS z7A0%z^!7gZl{qu2ABL@FsxwD%gjES)}yXt+OWy3Ays&D1&N2 zd3hu3bXy$hjIe(^G?#sM2gR!qI&UMa(>6{U%Obk2^KPhyMFEu8}=N!0NgeCp=vca|)~J3w+(K6T^1l z$554>rq+Pg(TAE!OAj(Ji$&imt&%(Jm_Uvlafo&9rG9HdSA8`z!T4hj*LnYnW=*AK zHOtczuEpMt`Ir&C`SI?g1Mcy?PxtU`^ZRr@xB2+lsTVdEn_K8bo=~e^SJX_aJG@om zfC_v-ackQ5NG+ELP9S`-Q6ZXBO13(EH9^uTzS-@+n!zAIDEmOXWQCB}A;hp|O)`Mg z?KDi=PBssyOhT4Z#kf(X$N*C_5sPcB0R-4GZi51)s=5R4Rn1XBGy$1_og%QNo{`x8c*-bJ?yU+)5*jjrR_r>hI=J}DcyE?j%H)3 z$zTeP#M=kIKcvDxqj1aF^m)7Q+<9+al7(jlI!?~81bQ70ij_E+kHmx~NKe++obvoF zad2HIR|m@DA&V7Ti3_?BtvDz2C<|qlqR!HIlcNw@a2|x;3}CyZEs7zaGwFaTXi&1d zd-^I?;2}rw@HE!Gp?d3ZU!lnV^L82rYx%$~7cutjbX`?HV@D2f$Vs=TrwuqhR2gT?4@y#i~ zg)$Ej*r(O#h4bRlrMqU!`gzYjee&qnkuWfH|A7OirNYpVCYS+8Pgx8cudi4yg8}oF zL94wUQn7S{+v%SH16WH~_P9YR8yFqk|3exR+0hWQFOZ+f@CI^m>>da{cgNy8_z?5s zVK?;4A`-;5?Vd*^d{@+3CukJ#Mt!oTrK4*?BqoM#I$wA-FDLT3#vs!FWh?yG9so`- ztQ<*6O}B4RYeEI>>+7rSa$s6g%~*QRTDed1P7#@EBHZ-av}qWwFq z`d^a9-P07#WYezmrKXGKx##2`yVAWQNklxI;qE5tQf9%ip~1_m;+*Uh15~TCDw<$6m;HNR|IbfF zG%YUeS26Z6`N+pTd-n7Jwt5L{HVM>>pw&k}JobR!sv9tcAx!cu&@l(hI= zcrpEeE=2YAf!S0Jsdj<4>xF(?f2UeY-gj9A>S^mbE0+MDLGq>cd9$ece?tQpIaKhvP4v@@z?D#Dmw;CjSc$~X_*c6Qg7%r zq{j!d=xChOdP3{>XA4vCEJtzrZJyOAi!e%zkLTI2;n4}t&hwYhEVe@5e@B@7w9~pI zOPhNL|0A+(NtM%oo)Pad-kHSp%;TfqoFLIUekrTdsx#_&aj{H5rwZH-3Zk#i^!z!H zcCFs)(Fo;e03id&Kz4gZ=EIT2sxL2LSkJ2?^;`ZDi~xmm8n1XwgfldzEI=?FMV)^i z12Vt16b!0NKyqTwxT*A$AAdva&ZVHBq$^TU>?8$moVyU%sfnv4FM<3q;|W>ft9)|* zugHd9J4g6_y$n~e@}kfT&$PSBlapq!Pf$okJF|{a=%jYh4HGV2eTzx9O*4*S^yJ+> znVGLj6T?{c*Mn_1LFz0BLx~T4|)c?ly*v-zd8DLnt{&3k|rm_HvY}jecy~w`YsuU{+5Pc;c0} z>5uvzU*n#u;1cRcJb%inb{-uIITeOSW-MA7T9i6}FG3&2wk3@Uz1iDH6AXJ*RbOK$ zc3@CYhvSaHPIYjDG{AZsUqzofbzQosqQK8k7k%l92-xvsE@-Cl<5WBSKL2c9`l?VS zH*>YFa4DOlfMBF|Mi$2fTOi(X(;p zB(J)Cdb!E#bkDT*tT$9Ifxf;vw{L0+=2WH>LzH~Bnq+DUb~4()d_V+&?H6F9?=UDRFbVj37Z_|Vt*7Wi@q?{+w-4)k&gqF%5nzHs*P$j zX*QN&GnH26S;UCDnY54bCf3t}+OLEe^*>#T6BmOwdIxO-itdD1Z{-CYr#nfw_nPjn)^>^ZzWEB?fNV~E2 zY06inEq?xF+Tfqh=6J>AB&_|C!00Co;nv}M@%Mjjh}j^;XPRQ zNy+T}#@P1DuWV19iFpbZxCU6){!s~@zZz}qK7ARbhTw1gt~D{gYyW7ugayDDPoog3 z>1&HenK<^WIQC~KG{4#O_0}pdmtl z)Zoc1ny!$`%t^QtweeN8@9bxazWea;)ED_i{Y6?M=6-d%UiQ|e@54h;tDXzn+pKWg zV0_3Y$+pMOZOeY^)rnSXpGdD~)w+D&O8W6a=K8RKF;0CiM2gj{Po%Ao35G)ovt-%o zw%^UVM64oHQM_>8c{HRlO8`Fu*Ns?JWotw3%7 z8R^SWtUM?K+!+)anp9q{So+z-(Do06vcsl=%+r)fe9m)1=&Xh7DDdV@%{XPG)H$w$ zW@rG?$xL6hNC{JQ1ozkxsL5b_$iZ`A1h0?B$aru<#^cAMEqk_<3!m<^Ja~G?$Uoga z3S?@1Epd&?<;RAKp4t^oNN6{Vm3PxWkD5mQ=N{)b6#*fFnPv%2w&{v(R5Uex2`V!O z!n+ITo11mTvpT@f5@Nr?1m>dB{OcdDA(d9&YkWwr#J!-kT&z#fps>NFFN=Pb!3Y3*~fZc|0Yw|;Z^iSC8sc_MjQsxt(C^)%gPnD-k!jmKZJzd8p zT(g-EwclnZ>9B}M+&7%O^}J9n%g!nj>P zx-yH)&?9cRe?qh4^XFl)Mxxp!EU>T*Z_Y)*+CD!yPlhiX)X zG+li;bScQGo?E*iRy`sv>Co!uA(RUU&}C92L~1RhkU7Xy61O2S0NE=TvM(PtVo?>= zU}M;y;AmsTJMn0LKCe?Tvyii?)(fii(g`8M&}K)eh7-7q=L zOe-T66v^$VKnd(G!xS%eD&>mJZn82yLaM9=xD_6Ou`4+VZ!D01Fdp=3+DbmVsbEQY zsjL7sfgTP{JE2%SXwycg_6cP0z!7Ei&@%OdnSz8~}PB^umW69mP-rc`H1l#r^mr zWYHpul{g{_0|L`)GrtWt{YK7RoM{qxM4f`e%8eU0+)Gx_PRIGSbOg-zOtpvgM;BDO2#{CyLaBtpzke^w1RV-vv+GWU&73ts$v>Vum1w7E~FhqCG< zs@_V-&zJnOaaTO?B^OcI|M1H(IpQxjA$Mf5|Ie|vw+!gZEOggWP+n1}qUGnkm#^rs>cb z!v!25?c#cLsLJd~ol052UNf88{jOYTz=fclVJMqXTXSx9n|Vu6ek=K7jqEkGK?^#% zxPzYZKXzAFPn}NVgA|&@Uf_{EudQ_sS3pGxH4azKiTbBe)GG-~p|)c_NG0n_bJx{Z zw6fQyOm^~=KC7S=#h3{ffwG#k_4Qk3t;tXFp^vofy=3|BvI-K?r~Ui(sheTsau7;# zF<9`UOHfmBU4$4=LGOZ7F;$a$r{bIx@+r+y;mOSSlYdM%SI!0KsSvVhCWJ)$22F>M z=$hK;I7imng-FWu@))d~bPtp7mrvwn^R!2M+k_ z-M3KheHsHxHV>FJh(3v%>0r4i6Lwle&_fvRbbJm2H=?hLBs3_SMb3?XMJExBzHkk+ zrnw6a*D}&R|ez=ho%L%WV{*5+4Tp@1CH(Zfui;|EeXo5Zd zfH}bRY$u?aChidZPdQRybE(FFFTq(Q3N0oUmXOeitcvyZ0FT|XHabjw-nmR~UjOYF znls4!v%ewvCQ;mhm3bkPF?oYGq&;71gzAbWrBX)lkFaycdA`N28_-w#+FQ|GdnzTl z$y8f=vz#c8!^SzoHdP91gds|i zym-!IV!J>G?RrXX(@fN5A=-Std{||LGAe>yM4VQBJHj3KkfH0HL!Blo=VDD0!GQ5^ zHRx^1=;v7ih07@;;mVo(!~$CA-Z$Ba)!WZTzOOFb)47~d<)uE+F{7_0{Rv>QY%F{P zECZO|Qil}Ipj!n}TY&D{seeqAi<|siP~_WPT~kvqqV&9y|JC;~6Si96SKp1~6a7tX z^>wbM?&&Ex{o3hwkhtT~po?vL{R9>!C!z$gpaB9uLBrza69H)15hI*BYhG7(5%Hny zIqn)gS7(`ktAhr$Ulr{(b`{0u_kEt_sLbA&v!^zHv+=hu0v5EXb9GCc-auA^TW0oL z2|YHDuvXYAY#`3M5|1-?4`(MRX6c{#nlp+CNqk~U|C!4Ly=W1p_7X$He&0)*qRP^L zA_2+^m$Zv(PtY86b#ckBzG;e>L2I|>)t*H@#>{)Gs$VM`9q)Odx+lnpu{!K6zXTBP z^5@r6w51etQg-#0KW9i;#hyI8Kapm+j{mlRqor~a{k4gH^ygFW@$O&EmLB>{q;zA{ znL^{Ep5HU5meukd5B*(Qt7`WsVvLLJgEP_{!Ooq(Xk}Y{pjELzOEGG>oRt=K4`^-oXlfr(POrwW9&Yy% zW;0I|9HC{vxg8-#)v*|dwo;eSNAb^p%`=}&=B60*H%6bk0VctTfI?&fH8vl@2Qc^) zmzzBJ6Mft5=#cQJS$`#crF7se@YjVPFI;T8~9g&POivMy`2`NI%q*=#_Ke6toYM(p1N4ah^qGEjgb416$ zRsG8Pl1)2gg;P_y$&-x5KV3BhX7j!2=Ze^1{9!Bys>D&`N|A3v=bvnnAWrtAGj6n| zYN=MIn#$-0ND&gZSuHHC|8Cb`^di4i&{IAn**u+2YrCx9G?PL$5eGX*WDwW00u}r` zh_Iu+3|26&zzCLITg9gUc26vSgnpYkV(9q#t+exl@a(058qSH*Q!+HM^zMXGb`#snN=3gM*Lzz# zJ7qQ92fWx5{TRZ_&oQ$9+|}T9>=zrqBO{-f5^YU;58a@L-RI-iCrQ*df=sv`iM8du z79V>XUMR`QxAz_wO62JUojN~lf!?kjjj%is!@Ni)mhJL83GWn`4Wzc(D7{xKIiXYEK8pO>V8R%P46LBnW!1!+^{Cj;6#I&Adb+* zGT<)+GM=Av8c5B3co>3tQ7G;|1GrDc-*bfo<%{?1J!?f;C;lI^5pQ7H6$%(z8BLELmoyNTmezv|x)Js+V=L)!pcJ~XgZkbYI+XN< zYbFhSa&H=E>muIQ?x`ilTkanXf%2I+hb99f<`eOlNMePI3(9gwFmY>Wecl3S!Jj(lumQU0v<&d5R&2_R@8_H|2cA%lX?0{*MjRMKimB)+Eeqpt()q&4dkP z;cLKwG;W}Ps8ZH~J(8A|X5ZxY>C$ElJ;=BJ^YX8UEza(K+5yt$bQ*l0q%vgl8Bz|N z-QcmPfdI}Y&DM^C;bTv=qLa~b%x|&V*VISS>I$Zci-YM|zwszoSSMK>lGkYx^V7WA zJYX-_GpF(M*4wpyavPD z$GIr!C6x(BQ3y@=2Az(;>;o0nbxg#%%8JadEf>FbrxHi*kEjWn*b)7~%b$*<-(p$y ze&+0!5mlgD(9O#EmmKlsr{QCL>(Y9*SFc_L1_pk%_mZAIq{dXJZ1j$@T*NCG;}XG$ z?{OGiN%iXiszggLTI=@i<)x1wbIsdNl(!ZM+tTE+akEp7@n?bqiegvs&6_t6@cR(n zAx_gV%z{uO39aXUMu zIn=Glj7-Y6en)HRnSIM(uNWHlk@4+yHVciH3prHp@Lovk{qSC^lPhsAO@#=By#!vo z@}0^JGUYCgty?uwmcWh1wy?ytrV&~tapN*?@5dE!K!)W}`yKqcrmN^z(dmD-n7nXS z{>Il;^w1^f=8Qt<7r}X5|7Ta=yl3Q~*C!F(BXFXht3LmEB+EppV0@N9@S50SIc%Kk z3Fe`Kr)LS~e|_Rn(A6PC0fufp+|f_UX3A;-~bCJ zINg!r%VVEm0%nF2ZJFE+k5RbGF}VOBBbbGWR=alZwuFF$@W(lL%-DW5gqH0mf#Xnb z;QYRW=TkAvH^N#k%>W6Mifu&c3|>^1J0=vO7aNO$`{Tf z{+Wq5lo9=J2cL6W&OXrJbYSYIGI1a9n|t65DwvI)Lu3wk`c0c|&oFzLSE2rSvhAEL z3adO43_T0s+m{16s0JoAJ~8Z8*cU@A{iYoJpuc2RI%HMGp4CC8TV58H-ARm3Wh^6PX|Pj zJ#I_Bf6Haadp9*@hq&MML;68$(zuMRcTctzovhe1ny#OEu47Pg^nP**uSAnNcqDR0 zm#G$9F%(8L81JJEoZWeJtx&c#)VB!-qtl7%UUXR};I>;htyLrGszTc3j_Omd&Y)PU zd$v+v@ZNE}n-w`^WeqATD@~t;GwHb_ zd{4}+$1{?LCs*s_hZUVDtk=4jI?09x#w>Zw^*~m3kkgpeM{wy6u?_9lKIl5@UO343 zW|Q?nl1IG9*{GuA+&C_oH8nE?^_ksOCQX7Sf>+CehFRJQ28WGtN|yuSk`Wnm38<30 z5DL87ONSRxRN?BfDiGxSKULV=E@h#@%!jSGW1Q~HVh~Rb&ul>NA>uxNAj^pWJbO{% zr!rv@f%C#E?WKklfN~WicE6OD39NJ{LCAUMhUk;0#5LtzL;`ECj?K9x7MoC#Z7mbi z9q>`QadF+uj+XtFz6X5=*h!+sP5r%HzNgCIh0RI2^CxeY-y!bwiPF32NAw=^i^{CN z$F-EQc!ROu4WZFrNgwpQc2(tdJZq_2f90-f@9(+&Vd|YD}@-gK0u5+2%zxLWGY^bNu7l;dMRS2@w zUHt-ZO}5T(O(+;Z&Q)kWywL1}T}aQC-CuniSKgC!#X{pt;6`*{MDHH2p88>T=ra?`CXV^KIgB4wHMn`_{T|vcVUJzo()D=t`+uK` zd7;{VR!CyyY)^fX$VR;!bPzBmsgIkRTfrf9zpAR>O;dvK4Uq#A8{2X;n<$fUlkX_Z ziud)tK%`OR3Xz%ZK;B23OZ#{G(8lKH^-kT zYN7r@ok!>mrKF^|?JeOFSu#0s23C!fs_7d)u_HcKxc_Ktt#jXX$bj)S1Dmkg@8IuXW0Z_NBU2dBGBWP# zAM3gpHSsg1Z>f4k8{Mw3x#Ge0fhk^99H);`*)L@)s2bz3bO)# z{D_!ry$hz99!&m`JX=)!yogrSiw$KXLLo~@5J~NS$IV_@j)oG$ThdaCO^FA(Q$YEubXHABT^7r(g zl<9p#$-Ev^l`B!*8p`j(wXu1QcxdSPuh8}7Vm2_h=RC861WG4(==3bl3D7 zcA+~WN7YUVRO;?7Yk4;MA>rim@g}*iG7&am`Fv}iw5sXn>HFN4wWW%BBPnWf?6 zLA#A?EzP^Z_$V>UqT*KCorDDGtFOkY3F#)G3$Ae8f`sS`FRo(Q-&d0u$oH`wd_GV# z&cYS^G`O3e7;tWycXs}sqA!Bj3upS-UoLz_h78S^q=cIN2NE& zX||(aLq?6feZr%#FTJRE3mYH_@2gavFND;ZnzrVgnB2!|t}gmB$@awK92Sd{A+(Ha z49sG#*U2OuCP0sATdIEbJrTaArFHT(&-=@%$Q-?V-$6wA0GCI`i`dy|NesYoq4sUxFW8lE<7{}Wt<}D z@FDNZ2;2=Fwm8O)1^#_k^qGNW{yRr{B^@q^1~BUKrTbAjs6NuIDZZPo*O;z#{lseY zgx8bPS{)RBm*gGl+_GBlk>&N#FC|Yc^*8XXe#N=KHjHfN1BBbZ#6U8a5XXl#8M{BV*W*G)o= z(MTP!7of`(ET8@|T!&V8Ob@~8SRe>< z^?_Sj{p6+NG-qnMym2N~3|=03cVhB&@IS64M;;&Cdf~#pjQ56zoOAAeOJq6>N@ojG zXyGPhIr-6001GR{Ts}JWqNB*f&|R>+Lm)sjPkf|016PAS z21FQ(^}>*zniR`{i;3rpsMNn>$A4GOTNotTZe;_a2${EqPx9GfDmXv<;9-2KhPIJv zHpdqQ@;ToiAs2Oh{dE`Bbcrp`qiGDfSs@g~3|Mwn8pr57qJGRh`k8yD|D|R1XFPgS zk7V=Z*7_pFJMH7k8jw=EU7ok}*@v(XA4Cl=k2d5vuiQ0zn@(?}2yWvURbp&5Tp(fz z?s7wty8W*)rckVz7uIat=7k^mTp^Y*)|2WQ$QndW5zAfH=e*OZv~p(h#=NH?j;0qu zeqw)~_P=Z)g^T0?QX>5ui&wkKo|52n(TF7Y&Jmjj+F-e80Q)}~u{?GIy&g%}ed6+Y z!4Ecn;%{$=H(kuy^LCTn^n)?^c%70;kbjV)?7J=F!9;n#Ri7~1N=4Im&lX$@iV88A znQBnyzeKBBtC7_V6|*WqvQs2YveWn|pJfyjK$P&6xKKxr8U_3W_kZ@ys(D|K2SyEq zW?QfSbHU8h0xaCa=Q0T->SN7jC(4r?nP3nZz=l)Wb1Z)Y%q04HaT2wWLY<^XY7c`5 z0*f1azJlpZv7|22p`)cs3PHw+eGq#*zsd{?USqhmWAN>rKPeyervlF zQ`qLeYMvv;-#*vzWW z3!v-i9f7#zMeI@|8=ZOQYHGi7BvHBeXsX!=S8^I#`G65a=y*rre7FBI!}|5<=#*Q+ zTnvfkRjdsfDKS>-kN!S%a#wW^zBuT1=8^ilM5iN{T^=;$m3$rKAo^_JTooSG zNeH&7$`aq%zfD`b-~aN-$i)vA#^4u})vT|Vp^5`JhksQP{6z?&8O#%QK(r)Jfb>g` z2jeS+VA-55U7E$ujgL_nmRhyfY;c^v3Fh{v0HGkgY`yI0zdkiI`cwoY183?J2YD^Oh*flM>=^tZLmAHTb#E=yaGSo% zkJn>1?;P)CHa}XEw&lyqWrF`gDkX1ix?wo?Gw<7-*yXWuwHuu@CNVTi&;hK5WaF>I?#`+J70+@C=MEvL`N+AFL-)ExC0NVPG zvrXk3IcsirPCeC&4Vmz+A%@+<=FRRczo@7NAvuJ{!kqzIn#*=uINNM|`iyEDew>n; zFl_AWyK~>Kspvu984trvsF}-XT7K?M2tJwfyEe&R_0!Lu2Ukh7mAwnTls7vivGp+G z6J3AUqRua5m z)`GE!f#Y6*QkI}Spmx2&-eNX-93{b`j1H0>Cq!} z(QNBENY|U8Oy}b8+`Br*$wG5H!OT&i(QM&}&$4I|lyFYPM{7`GMGCR9vZi7dtW}ve z#l*79IrQZHMEtTpvX90mhTvl+<=#BL;29RgjOhg_+e2^&7zFAU<}9%r3Erno>9`I6 z&)C3i1=0*4AM+2r{`AS1NAU4!Fb*Eb?h6_F>Mlz(GPGaxXpk{ z=Qf2= zKM0{=0hoAQ!%0w`MMN@Ct)c6oEKpEazm5oh2Y6ul_bkxmD}L|P(b2ioR|=;Fe~E7e z-wONIwJwDj#ttFP({6xw{G%D3R*h9R7dRxA!0ct~Vz4(G;0lWzTAz?0tTP)cCcmlj zeat}4_nv;=T@sNw5TsbWj8oc=XLU)V^!8>WZn*YzhOI>UH)3t^RpQO`?m^04>?xsj zI$UP{%`$hU2BL8(jGNc&UvN&r8FQz*i zz7B+{-oM;E@kr)&h>d!YH@s>oa4`MhoES!OhyD#iH~w)1a;=l3W`4M7@*MRlF|+E` z&gFcs^YZg`Ve25Ur4}-}PZ!_4KaD|B6%M4WX4ST1BA*6!&2j;%C5yb1`5nR(eF>)@ z>w*quFv8yiaUS>+8h4RR+Ht^Ttj7nOb$`X1XqWn%_;n6~@tOjJ-0#Zg-*;#hZ>1nH zuWE)ZeW7!IIsk0xtJPyFBBiT_x?esrxxQ)zsgW6n>g}JMM1bCpqn1uNbDGGzk$A9P zDcV1qi7Y&_lsW_n;D6+Cga{1vj4h+Bbl&)QzgH8Ew8gt(aZnUZCp-sEf0{WiAnV%gVSe`%Hu8 zWUCIXpZ;FpVKOX|wr$?G%bMXCLg?E@c9~xld-9eTDzfKgHiW(fvWa;#0259Url!OZ zTfR=R?v5mnnu{NAAPpnj*LMmR51jqrKB$^^`Ag&(^{}%#omX0l9|ml0DhaC%p`w95 zRy>-0NBT=AOE&z3+7v;!7Y<7fJ%1iKiuzKkZmEY{R0oP3Cs+N$Y#D{Ue6r&|>w8-Y zvIz&LsM;e`G*x=}IGu$s7jXoGIT@yT))je(0~F=H zO^V0Kx_hw*w5OKDV(*3#U^xK3sk;jfivy$Yja#(s|21>q$RtW=NV*ngow-cUDl65Y zSIj{nv{LHvf1OgGlQ|6bV8)|9N1vS` zCCU0<2-}pa>t*#4p55MF(}e#-g$YfD+{AFZzlkphVy8Fn0t4Cm2^Hp2_6{3x3Jces zhzDKMVeCc2tN|OPA-WZ1{S*vXff1}gu+6U7F|e7@i!xesTB8`Y{A*IA!-916we!V= zS{n*l+CZmzBj6W7KylFsq}_9HroBuotqvnOmsR&jQ+oWQjC&SS@+FAkWEgpbf>#<1 ztG~PVLpI`}-XpY!?v8V;r5xkM=+O?IW^=#a1+P>dX2OhDpE&s6(6>d!X_YJ?Vz(`X zx7U;=hq#@DSS(Zb`Y4+F64rhw6b@}0O_O_Q7*iM7@8gg$IX32g3gu%<-1#W|KW4P< zhhuEvv{MLmX6={zyw66ON+)t!`fI=x6@;HCF)~Y;O7)hwRRVIi$io$k%f_K%t$IMC zsi8rP0)ZEIQA}L9t5}Dy_`m#w`ZzZI)pItx~_!rA?Q}D6TN4JW;L_f<| zqZT7#k$Ynoy{&Q?BMmF^Ji=wPQ&Lkm4RK=>)8FGUq$LTy#0UO#c6M5VaX#85KiR|c z7X9@DiR0Dogc}TSv2KktCSJ8+47y1IMU=Y{7E;PzxTUEae9B=*bONo0QOpF0EDt?< zPNLO4o5(oej~o`Kh5jD*X!u!=gl8Wi0}ulYyXU){dCg5O-x5AGixMOF@vDb%`6j-@ z1Z1c2p{D5Hq%8$6qUBrFn?<&7e|Mr4aeO;Wm0%M~XanN9ySp{U;bHa@tiLMvfRZ22 z`AwN!9P{?ZmeMkYKPHSih{}l|Nl)Io%z(8zOfl&2e(cXR&`ia4586B=2K)LI?cTLZ zcN-mPC&x7$j3pY;IgqX}Arm!FkDIRq|NFM*|HJ{IC=S*91te>!v^~DG$`T_15w~Ee@=RF} zjF(t9riH=M!49?2`@7L=64X*$Z{NP>$-JftggRn)ct?1Uc8o1}b(UbN7PKF97NJ@f zz%Gw-cDe^gzX5s8=V6}Iu;>%WPtK!T@$JW2N5BavA~9j(`=4vPX}xJ-XRBtK1z)by zt8>jmP};7eB43}iWllD-uyFfZPbjK!q%{}&1)f(R(}vnm3?4NU@GPmQA6E?ZYhWPr zfu`Nr`H1m=^q;J>3@Ys(8>a;`-gK1kxJkv1uIPVlo(BeAFA;k%V}VY`bpLdkk;XfK zlXJLp$!iebTEi(;GLe{B$WK{ymye7YvJgTO!SsW`ebl1Ls;@j+`}5(4ABAsv`;oAw ze&y1oeJHY6lfKtS{?*E{?9yRM~#HD?gN zl+bFdY(FuJ-~?P7?p#y3UV>_SJI&XyuIsWVPY4Y$rR1FjDDE6h2u=yb1(3l020S!CCxDVE~5fxO0)t<#TW`x7mz3gn| zYIe-^<*{%hEVBAK?vi}FgpM2X-}m@)8osQCgvDUJq>8(l5i$w`>j+1z#2I+SnQWCW z+6p7NCDv1rZKpseE~`n@K_RK2%cT);=6}N@bIG_unI)2g`)~c}16rw6D44K*Ki!G- z8(Yr-@-w;>)yug%H;9VfdwlG$(cnIMmC?yJMXQGSde2NwvfrRzsmOEd-d>6fjr;lv zca$bSoqc^af5Q&mqPDXfdXK3-lQ3vthn^OnUmy*coRqKM@-SMa*e{OBGE0e{!{&;v^5UCDxWuM*-?wewS#pU4)F7AfB zTh|r&w@2fV!kPV)<1Izm4r?(n!}x%WE{mW=7!L3@GBO@l|3~bNTa(wmqD@0(qAAe0?6f^TL%O z80(~GRU+@P#v_-?_iB_=B^sW7W4c=;X5s_+&?n5Zku*DeI7|i-4VYbjUfbnwz15*p zWy7$zPN{s~r%E`#&>ta8#oFJVK&=tXl!&2@SJrI(4F6V^@h~)zxmsE~1h9|tXl%Wj z?rzvw^!Ggf`%f4Hk5RHt$1M((Q>LV(>`Fwy$Q&#?O>MP$Df+tkG~GKNN}~n6q~>ez zlbkJD{!=$OcF)i7(X{LrD?LU;@1JbW+~GFV+i^4M)m2-Jxa;q|oWY2-0#)B63ANXSL1-4lkld%g=>gu<}6jUX+;d zn5pPMj35S}CTP7wj*{_dXW)+`3bF57DV8_a$CMb2_a1Uuv3c3EcT}9a*XFYXc$Wir zs{#W_0HZ&)geU(NFg){BoEY%?mHOC_^FE1^UvI5U;S&4`K{+(ypSGI4i`S z7S+ENw?sdsuh#QdcBqRQH3`$p738~!@fgHa>{3#fq7Of}dvM<+=HC{rJ!nP0_w1tI z&NsbZa55;w=umv?Z`}WQcJ5Q#Vv4BNp@$r=sHV@w|16mPCIy&LE;-k^(J&@1PSKH1 zNNB}&x|1Qp%=Va#eer?h|)6X$-`horqIWHfr1^FXDCo6hP^sI#RW;39kE3VCZ_|DWjPtpvJQ0cJcd`Rqi zX7$KRAgt#cgRq(O^7r=sV$JogpBadBO~oJyC}+!tn1@xPXZ{~$?;Xf>+lCL9Q6eJB zh*HQXl+2JlN+BZ2sH7yx$Vg_BN|K^NMubQyo8oS0$ey7ndlSm`9v2$-^E~hG_r8DJ z^{qR6zn|;6&g(pn<2a8~NI{|T>240~_`Ed|N!QQf!P$99-O`A7!PBIT<;-?8v05>o zoW3^mZg;Ubxx@cYMnj{@6KU@KK;Oy9srK}N9II>pYy-HoZQ+zxmi9Qqozu1%A3fwF z)$j%0X_#&l#x5wTYi1_0l}pBfw@2=Y>4$R*N#usjs9GHIacUgOv6%W(bA&#Q2QIc( zuAS1U4e+A<{&7nQZwx&r?`aCTrkiqSuAx=#{R(OK(I1@7M6wVeLUT4dwQn-&5J6`#>r68 zddo<&oLCZH<&fB61_~^)b&!^0%NkXcGVzZ`A;MC6dyO|nxb7fU*Ep+bYLZ3QiZz|J zLGHfoHMm7Up@gGo2 zJoAr}%+QAqAFdAM!6IU@K~QMu21ph{AT+HrjEKhq=A(g{g!$;V;;d61*_cSxiLW(f ztA#MeL?rU-c{kJh2QL_^dBt@djUAVjtj^;%)m9l|lwO-ZWvUGp&@KpP^RqZd>aRhy z--Pprat2pEn3oXE9>{gf@edy^4;PQ%ZNP#YPJ4>w&NNkwU@hG~wBw^=j-_q?vR=Zd zQ6R87h{WV79y^xMF^r)X8~$2B(0HnGP>E9g=L&XYOoVy-_!KR=dhrJ&@$1k=ejPqx z>;H2hSxcAsj6 z9TVYNau^)9U(k6g01QDE3o!OH{(~z6F*F!Br5n?l$e!U2}8aXx<$5&<3` zxXzSqUqdBX(i<%EFwB1*9#w?ruE^1Fr{F=oVwGj`yu`uQNPd*!)(n+v{(CQr9RXma zvhtB6lL@!qQK!}Sxx;RTP4wCE18cDh&r<8PQXBR<#jU*av}^B)*!|s$!f;(QiTUWf z5Diq?FtgT6Vb9m6!Eav3;HLX>lY_}FR1p~j(;{hT_aYyJpKiNDMzfKF&=88jwmZfe zXQs@vV93=HeMRI3%-FUY8$%VG<1IO*uop(L=jv=$XtHgOLs`m`eG=l_bCMAl`HK5Y z!s^cF^gvGj;Cd_W7t>z)l%J-D;}qVL8H{8I0?Lzc>78(*wDl9_)S<*-rW zX)9TtB?6Ua^4)q+D!riznSi70)ppU;Fzg$LVwrZfX3wt|Sa+bJw%E>OZ)*6}J=+o3 zI~nR5gb<}+5>i1gaa+H{qjv@km!b+&ojU#{amP2LFbGs`Eo#K$@9QBwUrtY# zCIrSQ5cBDbu{T&#-N00uIAC!8w( z`I-ce4uXG}F(JTi;8BxpULV)$+Dy9Y6R2|@Rm!nM)p9hvp{>3PX( z=jK3@CT1Un$*;@G@fI^*G;}fxWzWhonpAv9hU3e`bJcrMPC4uW_N5%Uz*MWK1Z^r! zOgUXrUH4V-z|N3x-k&D5CSn!c_Cm-AZcy*o5b*xw7X^W53eo~qZ*Mf*rsBHi{gO(_ zk&Wtx03*lFl~x~MBE24a0 z{Cp89{ab3iUh3-n%!ulw7aRK;)kU_Zt&Ibz$h*Di5tl}5aLA7jKQC^0K=2LDl;W_| z81K#WewjDbd(r{M8imCD^0<5(Jv9Hkd1FN>s;EbrMalsik6s&V7@L~9XZ_CG+{mnGj6XlxFSQS@oE>c0 zk9fC6>Q2nS5bpy{`u=l=z^FRFej(f6_Xjp0C-sNI!dBQu2J+u?efdKGM>G28Wk*NH z=Gx^C)$_TqUaix~vc;;#ftShJz1baC@>izy2Xo5QiHwO+JtZU{K!bd_{l)51NbY^r zxq+FDKDC-!M^-aiAR=CK%gWD84i%&*7b0@D+&!LbuV?Tw-QQC2>(^J8T453^ zIbD4G7%25zR6pg<&$tv$b4uGOq78}{)P`-T8+{Gw5}fSNP}p%>C1&vs_1T16Z5PsU zrpk}#F{Zkpq+Yi;osjW#9sT?0y{cIKlb}O^#@i5`+ux>&%PFZ|;CmA%6{8_(0uWD&nSXr-VL;tZ9E4diPDx)fqfMBBak@ug)noO1*cSF!i z;Kt-Yp{rsZOE*L=JJg+uIX{06;&AMeaFDI6;u-tf0?aD@V|cyK;UzaiLJDD#YYC*< z0rP&Di`W1LTj^3DnoRRt?-G6TQ1w}l_*k#Oqr)Aa`sk*cOw{sqD8_ZZ_bbToGB-Ae zsmcHO=If>F1__liH$K$y2@Mf%11V{GABCMfs6V-U}~?fh9ksh#;3UZ~UYu#(ax z{d-w(M?5#4wALR|PM6ZBIBLz$l*2;{F`Lp7N&}@rawo%;E`-P&dgNSjNc|V2!v34% ztxe;L=VZnxEUeZ-AbewZxOrg>)J75%-vO+sl$A;*dN}1YPXEYoKoiXl=-6#0EHHrd z>)G)~#S=gKm(}&d`t(*}a`p!r)ADpHqZw=*eliHza#iX*qWpxl9-E6!;^3(>nnyQM z(yE*r7Rj4**av&p!A(g9DI6}JZ2^%+2m3e*_ffVtEqIR!kaP}}c4G8Rm3jO?12p9q zdMvVsl;J6u?84&i{)^C$zQe7gx9rmDxU0B3v+_d4_+`0vW#e_gvV|y$Et`4_*pXynRA3GhUOY z8i%f>i{agp9D15ihIr9*Tv6Y3S3D5KS{hmju)?UCQHqF>YZQV1wdM}&mhMzt|&xcv) z*`$03K6q(-V*$oTZG1HbWYUC3K<5=jvq6vYR!mrR=ZVojxB9cuA$DE1@t;xYkxDsF z@(|D)XylwMwyP^fO@|YM5POEuLKuJ_+jzV#HDOhP734v@ii&wjZNEdZ6^Aa;O!u;U zGg=By=BDXBFZG)fIi9jPodNr)GV@l+EpNck_dDBV|JM>SN{d96Fn6S4-V!;RnX`*H zJmeJ)UV{10+0>-QxMlr=H#;qi@Ipq3QF9mh3&(~|;&4THh1ceh5b8@XIP8pIh@1VJ zFh*(Dfk;d!)g$IvomGjfVo1-{<!OS|4JJ5;{a>mXzN74PYq!&T|Z zchbIc|8su5rrCiCWOq9-kSRpJL_{@NCt_RlKOU%y0}AcC0}8}CerW-Wk6}`#1n+UUR*PYh5c4kd zWzW`5>AT!ITy^hOsV!f)4?XZ|zFU^NYVAtP@IQJL3 zY^O}*wx@pGi?AlhUqgWtu`(QUj8^^sTQ={-Vc$-EWSaY7133#spVS4|wFj+wH^nN2 z`f(!gaLm}$&PXqs*V>Uu-*0c6`u61G7gybB&-iuDD<)#rn;jT=uUJk`WkUtA%keBA z^oMaZEA0meq5_+zZ`K)m7zE^icQ9$k|D)cv{KRb#Diep%hx{E{)QE_qbd~kHHJ(GR&A+E->HK+UfA9OX+ zj+^cU&UBHrW!l!XWYdp16>Yu(g1eCih)E?rQcr(VI}pg3K8PLp+h8$89zRBu}#yehUZ z(pKR{)X^EuV%s2t2?iS<#mltr-#z@~X2uTg8*Du&v&T3*nl{ZPl-20C)FC>m+>HlV z>kRg93STuI_F>@#a4ff=CN9&xub7~9J;L;Q9egB2FnBA2%QnaRrKWOUlF-y!h3U1# zvkkqERFCe#6uyih@yrz`dDhRoqDh=Xcd}9w8g|9oiqU zh{akrYX6MspIY+H1_R^XiJIL z^fPz3u0I62uo703)B#+wN6u4d-`=#g<2FY@ zCTilc5<3f1J^4u8=g0v2n3K{j=yhGBVo~>8xm=emYsG$4Ic&32F>CY;iNnfM=U(H^ z+7uoW8dqcQiJan#0r(}iwr&Qy*=bt=ez7fBJ@=VM4SxtJ^ zq7*4i+2An3^aW`6!jP%8UObJoVH z%s)>PJkR?)CB^allsOW?p?We7E~FeBSq;xYyGR&LXnXFSAU0rJsEm? z-?|Amk zHCeqc6!YoX%O$8rb^v$+5x?L9+Fx9K()wQ2t(Q_6cPhR$J@eT}q^nf7yrRpDUxK?y z(&w^J;LjP)J!aDnb*rbdG#OR~?O^L=8>Bd%>dWdY2Gipx~$)ql!TOQoA^jGLwx*VA|tuqWlIK; znT@2dy!<7H{w65&2AW)=cihwL)s(-GUEJz=E`}N^O+22*6ym#kQ%JB%)N^_e2I za}f}J@Q!Dtth(}f%)I{gor$Mt`rv62Vmu2)n<&rWXS+fr59TC&bpx-El(H+gKw#yx z^TDLR$rrvqMxFEMc$}{N$n1f2+!xl;1)tD9!7;O~{uXNe_Z9*2>oLUfOaCT$O&P)l zdA!TY+wY=cZI_3rVu} z<$pHtJkI@onHUI?*14zEWt>!9kc}ldNr~5#Zj{}XEXT7qS$@l2q`W-u-zTxwQ|hjw zSi&;8_gGreOgGbMr!#@M(@ue$f(pU;_a}bp=H_=bro|aL2@9^k4T)vl*XmQ3M)}f~tzQoGn>@u!`u4S2wq%Zj+*B zL005oUHAjZpn?xbghF868(LdigBN!K&r33UZcOUJJayeI6!wfbR5rV_@>cEFvDQk) zVl+3GOnn~B)SDk6^XHzOeN{$#-F*2Y&WW{6C$K8H@}8+^HteCs9QGc~(+K$b(<}?P z)u=P`b;^9=>Sw_zC0xp`@|nJB4!vK*`{#s_8D zBzPEke0nDeTfJtCc~4G`__}94GZIR)*t5ARjIoK@5Ud9VBf9jPHBZ+gjXAf~IOMtv z1#J+kl}ktI+A-j?WS;USWtx#`Ye3$W{PyAtFQoPA@3%m{vc4itM{2!e!tv+MZ>vP# z5Y}~&TBePl1^cobV#8qMnkFG5*xikJ><9Nk`g#*gPqy18>!U45gHo0l=d3v%+<`b0P&dCuNs$?D)on- zy5FML1h}%0zn_(`F(2#WzYQyORI6wZ<%sR14W)#mm(+9t=f9knen&xmTrtvRhxSuV z@*o;|72LQ7jOPjA-IxSLald?spjZ$Sk5DMMb>P%0BCgMOq8PvN1+ELb@0-G&`35E( zX8pBVv@PxJxcN+5fF~;zWs=#KP#+WG_^@Pv1rOtvg=Po**)1Qfn2J$*VDc#;(4;gf zDy>zj5o4%IsyUxEW9S^e@}{VWw9kc$e&z)~a5O!!>zA-#p&ke2nsuY@+;jV%H)D zTphLdF~xVk&yeC*-Pn3;>F?q~~+M7>bIs?4f%9o`eqzWb|T zmCYddyt-O`s}*j&S$LkAUg(rX!g0leR?^4dxqle;)a4j+Yn*eO)dn4LuIR15Hh5u) z?FPUBlR%XY!v+CQBbb{(N;d06gBA2+oUEl@^%~bW1wp^3@Ro_jm6h(B`ng43o=cL- zL=U*f?%4i`-gJiV6p8if=YJ4M$HRw0 z0BU8ntMz(4iKRu^!JBx9MT`3s$uNbkD0CC2lvW=8eC z@@F0@GI6_tCv#7vhuP~rFf#d`6<3z}I*r1hYMs|7^YsyZf`K|eZ+_r!40%^Qsh99A zk8b;#EoKLHjWd=mp!z2A1m}re?69VPGp|^fzqfMxt4XvHlEIqmw`vClV@TkBarf_M z=|l+6t`(;p&I`o3)?>aFiF~NX7g^djiFTB`9fzHCw&)GjrEd@v3|ze0HJ>Iln^1Hh zDS{B?s@y(iFJdW1Cj#({KIS6F<#*$LIFPoy1WEMmY2IeOg)c$lt_b@H*I!i?gLXm7g?C#C&; z$+H?KNo6ZRnoI-a?S1U*kTo3LI9a+Jzq_zx<#m5aiL#u#|9Fj&xHAhE5B{~_Pf&NB z-$LnA$%3?9;%&GY&)+zlSx$b( zhu_Pb*EdK+i{pVPTe$v?a^xsQ~F!k=l0p*qk z!F)>XK2BES+2*lP82z+fTxEM5y3j9A9nqwUz-a<{;_A#+od9=H#PBbxzO9ka-13sv z>x<<6V(TEjqZ!3FwD~P}7|ZvMTv#c~8lSZC(~G>FFKV$T`h_KwmpGZ3D6vI37Pa*J zgDb$kJ)VYFPw`b>UuHAIKFqQxJxCx|Lb8UrbtTxJWLS8i*q3Ms0c)(Fzq^H%KE2|A z!t;QmH)8V9O`u+}k}IFPgkw{fO7W&i z`cCAeEgy5E?CW>)az(h9+8ncZZmnMLAc`oy?ecSfoCRwucjX@+<8$g3K0{oNQOFcS z{x*<^J>kij zt&3#eXyE?%lcPH^)#iESg+T+Mr>o1jXBTWaCt)*5n(C@z-G1!44)q%!&D~ezeXMgB z9XLoBm{xqQ)R9agmhUW&EynY;sNPQ;EEtA0E>141XWPjx$>= zUw2Khg@Qv{5^nq)vXehD@+2tU_9&OHQ&1ii1{PpaE~2YPSvNf60;tw6_o>&(eR_K9 zI5uV?f=7DXUn20auyD&u>XD&ps}GpZ=Fj~S80@0nX;_}TQ)4w{*>#JlmvpOTjdvwl z0`*j?*8w?>Oz?=i%;6+06zUBinZ$@jz62o-8pKH>s)5gM`T zXWMl0Dh)o#6W>sSkyLIrgecGqOeU{U58O7pZDxNNRU&)GI(a6dR8UyB2)9Z5rOghG zYlgE}!hy-3YcnitXb9M2vucblLAq<0-KUZfG9HP7J7yP|2I{C^TwHeK$Po^GWbzx^ z+nknqx>FBFxzEZo{&%doO^wZNnR}So7?ZBuKVfe)nBP1ij?$P?+es>h7)j(O4OLf!1 ze!fS~+NwQS7r#6*N_jc@?Yiqq3R<3;DWuz1&%|)V9~Hq(D51RQ{*oX$bkLz!KfYj8 zgIPDe{py#uy_E_2E#YD(5|kW}C*A^?$gDLo-A^Z)%Z(8%0S}8cooQJojY6i+%l<%a z>7UX7v;5K{pg4jc;uPr#S)#g1*T}$tk0V?9%#R-Cw&kmdwExrDY2T63Y~sV^5rvB z??mlOj7l*r!S@jYP|8S*cg(+d7HK*+~<*HiB%k|2(m+%ZV zSmRo`6F1)Wv%tZp|0mM}ui3X^wz8CUwK-xmhv{vUK@DCrQ)Yb}C*}ZqZd-~*&xuE5 zM5YAA#$j)wx**a1a(zkyn~xE^fsZGtJvT59N)kWzNuaq=KYR1Z&(bPe#fxt0hgOHo zSO*INk3W;BFJkp*o7Yol2wuqUS?eV#5E6b#h&L}@&r{mLz0NCjho`{9o3AXZM685& zX{I8Itv3#9UVEK$p$D5iATS5mq*hybFS@7j{T2Fo50I3TIp8X@?DBiedha(!c2K2G0a;r85THZnlxEgmli0FdqpgK8$rZhVD=kYa{zB`p)TJor^ z&2ly8avh0%Yqr%S0IjsLP1`xctY{wq(4mi=&Umf}RgCvG+uuodjS%(5u~JVnY(6a? z3R(TjB4%4&PvVn|uNiU%-EXbZGf7t1yQ=W&ftP1#1rxvR7yG>Ba-a1Qgs9_k zR`e8uEbmH6q?F5&rUN1mO5slMJ*F6%B?{JH+tU;VV>;HGtS1z|VnpL%BCrQv{Z4lM zIzkg+BGm^VJLi5>Y>LHDD%pn{?)vvq{KPc3R)B-SM8$tlg_1}9!6FSrJ!SQP)uudF zPXTkNyY9Kf!1dA)-#6->0*9`_o;`;vANzQ5Xg`by(9rKu=6d}6hjBBf6`Fd)tEy|; z@yrg;S;_VM(ix3H)@C7tA@`%!LZXYFb>~sm(scNYlwHrTvxLKgxWLJDVmC639mka@>cG_wy0a3A*m)FBUKUw0(Tkn?37NsHPr3wIm_o{9v2lnZgcVC zM-288k1q@{aqvs&xv8)1mv}t#JM>)jG`)26w~vD`+h~?+lqx^cCG)(F&UPG=hPa`u z48girbp(BBtd11gfFwaiv z1+S8qS7j{mMj-!q-;)DM{?_Z{6~3V;Q;OK?Y|ZZ0XXPrVKl4iqaG!^(CR;j16v2p* zvG)0y3@f7nu%W`c(GJjx3E~pdpJTbNF9nUTUPWHw+WV=`m25}dI!y@qR#f{RrhjAu zp!j#CMMP#89+F!_g}2r*5G0WZ$I`n9NSjw6 zheFNWrnd00X;XVkizf1?s|h$7WZ#Ki^9!kSZ+XX5Ca9pGKsMf*hjE$6%iVuor52tW zYLu_t^dQvs1_b47i4ZzM^&(hsGdOq=nKVRVZRI*UpwB!sU(sOnl6GOq5-8c*FJ4{5 z0+Ee(g(A+;70JTZ{wW#)sUw&rL@>e?UED1n}tTuMZrJx<@F9U^R zJ84$gc+}7m>IdBA0lwQxRLIj_&KD_qS8lZFTtQy*cz=LFS>tDp7yE0tKdFxRHIHgw zZ%ngmxnWtqfbmF{D)2M>4^89jio{&=uKBJd?!IZmhQizMoj*jPQ+VJ`Z5=HwEj51m zfRB!emgAf(Z{z{)WggvVg9H@!Or>lPCdo02&gIL;|5Qw?9K5|7;V zYCLHN_`|E4vY=-ygm}0oa~H?$X@G|u3+J0w+pSaN`IQTWb?EEu<~# z;@c9bOL zO>q%p3;W{ZrT4apG8zw?{rqriLo7s_OjWGAP{q53;|CJWpzk8l>evn$;^fYb22;yM zqRb^0Axu4Nokm?*gXjV*q+BLjE_uThrZo*O(?pwY|YAW=l(BTq%vD5`=2P# zd1jbTh_>WScjsO0HoFW4*{rsT)kyHyhEa?G_REpLQctMV6edENN$b&3m@$_wNJbvW z9%NcJAVWX23X@(iP*DY4)wb+Cd-v@VL{YQ(WiF9to(34cQRU;uw`JK#0fcZdr|7Tc!u|lNaUs)9``@5|I}`m+IvI+5Kn~nwhYN%y8QOx3%J|4HXk}qa5+el zrcC!T(D_4kum%lwHE+*p)y(ZOI{~z0G5k}@`MbPZ;3K_+Tw)Qc%0*);x95GVwzf4} z$uIeED#LqQO&sZ-_`l{(%S>(}%~9QYs)L)rjzchJMM(g)ske~tz~ij2_m|NhU-BZiJhg85Z`#i;mhfEKhFfS zNGtDq7i(n%ImRVD#!r<+=Gz@S0oA+(cZ$HK?dipc5U)8ezp4e zvl{OVV3(SJVGg6yEBdRyPxRVLaJ6~qmtRnt4XL104^fpNJe;aL;i&Z_)EIITNgK_B z`Knwa?ij}YBBdkWhcepyiT?hw(igL$&)zHIVY=O?z!gPZ*MG1x5W;{6E5cb`^)547 zF;(qEKTMplc^;N?E;2@;VeUC*shW5EA_JH8`L*|OjWKa)NbNYK7?r+T81&Ez`&FMv z$CYoK_rmVi6RR7zH`&UpMhNF{mIkg%IQ6Tmq(q|gWgJ^Ug%B~oJBfd68@*jPv;f}>J*AksMW`$p6rMW1uE2IrcL{I6fOG|9FxKyuHQV2$`Oj=YTQao5~ z&5=Avx(>fQzvM=EcyD|cN@(Y<%J(!*y^VQZs(EiYoz9YuHXk;cj(*Rn3`28m6!HD9 z5TA+8YqK-}eI_ z3=T;xOR9)ezU&B{MR;fm%;M*Ybw)p&QMKsTlK8WC4)qQ#ElUDaVAv35(M08}(&}~> z#G1a~G~5cVKx8HK5Uk4>cBydtP(Z9pG@>8C=WRqyeQ;+$(%RFcQ<1d(?~dFHx+RU0 zBjyL<_Xkp=oTo2C*V&z=tL3EQOKfQbDi<9q{RX9I3joY{q5ERf z_=5=1?wO(4R;3#FtbZ6CY<|=A;-0BuRCTj>1G(zO&wlnCykf3tY;3%qwp(fT)bZvUI;OBOJEvx>P@SG1&sEIqJxBOyS~Qf&JK1n#8n{ALaPMp8csml_RLbDx$|*jE#mTf-S*pmmYJrKWx&|e@8Ytq zA-=7oZ;r5ix8Lq<8us6Bi3 z_3v#do2dko zxHSe%My8asl=L;1B=#no2!dI9L7J%~#$!+%WF8f#g5d;fOe+Em#MK4}x)(Y~6MXFZlS6 zgw1LL7EzcUwXnFgXy{mn33@e&`aB2_+K{Tzp*{Qt6wc)(W8j&NPDV)IjntN} z(eUTsLxC!_x;9zM9{a@Zt1@neVLz2F89CTX#83CB)!EV~Oya|-1w3lk70(lmrr$(= z!h&2RO9>PQOP*VR; hqa|-ACLjk7}F*$}Fy2545_GNyBOaL_EK=3P$>$aR7yE5`%f5KjB~)gqKC z+C4~2*6uo7KLeKVRk@GL^A(Krx(QwHzDKoKp?LsYnlv z^3Fr~Tj_;j{%cdrZOT#eCJ-Hx%BmExV3VQ`av^#4GEt$Q6xCW54#Vn1W?}GL3x7%7 zfagyNMgHSRfLhEoRhw;hMOU04XxObxSL3Ft8MEQ<#_r=vx=F8kJ5D)5crf)rIZsbf zaZP&F6M6Zc3Yh=GQ!A#RFrzwp$h(OU`sg-!hc?!eJ3;LPf|)-L0LN?9n)ZVzOkj(%mL{$YY;x)=m|>26gjWCMoKN)t984 z%0rk*E33BVu)-1q(gH8eq&6SR@koXLx?|@q`us+U@r7+VUgP>uaz899LyT1o7Bv^a zJ@`Y4;<@7mz}DG^*sQ34;aYK;Lq}wWiHq)2caT98^!0i|R{|X6{aN zwC^*`e)z!hk~UBbRa?m#fCX#c_ZVnNGA%GyTfZEF@NzoaZnEEwP*85|Z*z{s>EDSr<@`27HVUPHTh!{}rlS1)GA zM$hHTSoPsN7*+}i3B9&mZ4{?;fu$YwnzWP!grox(L5_T3<2Pp4z?IwV8%kji5)yJn z`>wR@y?S9sWd{h(o4Met+=5i2tMe$OPc;Fh4m1ancqG>-?vLJj_saE5Sj z4~vPAB$IB#EdpntQ1Dvw+mg{>Y$42a!g@Re9X@t3Y75S%g_x za^e#O@YvGsF$L+j^%!j?@hn;L9wc-uq$}isaqQ8w7lHWLam$anADQ1vf#frY+`_PR zkSBet^`YJMKOmldtloIa27W+Tqc6<0Pc5%tP~dws+z78~j8vjLjlp3AH2u=eDeRm^ zqJW#L6(^cLbs_2Zkd8kE*Tla*77b5iNw`0!O!<#322;5wNy`+>leX*VVC<&`$yQ#! zDIiZI93{v8qsl__?@o#&sG(6YJae0pyqDFihy~4*w_Dnd+xltWU3-XZ>+%Me!tFrz zQCmdWjk_;wPht8D(K_jqA(leut1;II_EaN{39t9qSgnmz+OXAN@7~$0MX3RBEwMl) z4+ybU`=RB2kpY>-bMJm2HXk>UD1k6R<8w@%=}L$@3>@!8Ph!378%k~4HEZk0;Bjf@ zQdWbJ#!8?zpZefdd~zR#*utpz<-S%Me{X+0AO~ym_Wj82dF|$isVaXhI%xKx_L2}Z zcGkG2y!n?i(f+$TW#zb$!7p37d>1?qNM~5h-dEd?&V7A+5VSniegWZsg&*HL*g2c; z?|gq5Awrd(o=%)ZOQkvpE(oGcKBt=vQgRB~xs=>h1-%6)uQETndLOaC{&j5E)+Id+ zS^C41siBLjkLj-ck0_ZzOZ3>b*`4c~+pHoX{eF+i>ZnX_YDGhxO=J3%#bNHUsi)w} zqi50t#l}sTuCh12TxmSqhz|1%f?w&I`1QTrft@lhI8i)IAfVqpJa|sC3+~B6G{sBV zHsy~lH%*M5bNIqR8c&%R7Gxkngi^?W1Q8tfyu!}^Q>6+#%Yrkv?(&BZJIWH!zB^lI zDhv$``MaDY52Wqqsqo5(uKFA1H@c24n9|74!>Jl~vFY4*o)9=CMMbv&N3S9c=EBe; zFp05+!WR)mK%HOKSbHf_BB!S(oSf3^qihJrc;cHuYU9`O)z&PJoqIk#(?HOAV{2>1 zYQ1vQG5&Lk#oKRHCM8vc)Gy|+bMko)Y#0Dh@ScE1CMtKe(6pjv9$(fiwc{Ilz69;7 zImwCAO!fBkQ#LcZhopzEqZ9~tQUltC{A?NC4y9m|jl0@)0;vRAn=?olsWU|R^wiWOCc zE4qcj;(txW#?PZg19nYdtT_`M;qb9TqSs#7ns%(B0EGza=JUQVaOPOXxTviyLZwC~ zq2_%$ib;}1wq;d`eO_;-Ho=wLAEiEM{T9(pP4r7|TbonV5g&z1buv>r>bc`>7u~Y* zU0w;4&JPed#J>p>y&-wl_NQIrKA?05(@uLBRClG(q9S>uYD0FMtFdApi_(loI9RN~ zsH1tFQ!NnWeLodpl{-P?A*8nn;kFT5wX1(H*5yx3!Yf5yit#rYZjCPJ1}}Ja#;_HT zXD|$Icfk2%UBZnMdV6rq&ui6htVbRmQ|5SJZf#8XQJy8QTF&vyM;%#3G=WxN*k#K9 z(9auOu!J=@KB<)+_ zab&j&EphbnzJ<$E25ue;kQl++YZmAEdxOt^8=pGD*uvV(qO5`X7gnA4Xr^ss+&1oF z!s0)>D)8deG42Domw(8bG^+T2tGcF1Nh=Y*Nt}B#K6i<0TGf@28|L5M?7m+FV$Tn$ zRiHqM|6_uLM}&t9qYqO20UD5u0Fs0)y|-u;kt{`B+WPwm3yX?MDUT5XCVN-zjuRfs zBrfljMP(#_8JdTrq#n~r&`ruzCPzoFGb zq(&YaikPvXvM0*m`D5KcM3Q}Lc#JVbW*RN;hF<>s&*zA|U;m^_2%;Shy?D55@nMGQ zk&n06qLo9;EG7!>HYDYS{DS+s5n(2)L+}63ZYJGOLL7kK2Yu#bU7!94Ok{HUbZE`d z!-v_vp7;4303R*tHZU~g@HyjyQ)+i z%lzj@+>eY@#LfTuca$}?GSbPd>$`wJ@bjfWnfly538Nz|O2n9uLl%89hGb%0`--Q( zfhljrmjprl+QJE-RFCv0>Q7QdPW+(sI{(gnMsb-y0!l*t_j6C%=tWBh-LG`DQ=AIR0D97Y^SU~**>p(-N*AdR-= z8C~b>Lk*`=>`EULa*jG@`1M@idA2Ue!O4K#xt!k&L|I1%Z^W9-J-!K$;j7)Yq!pWxQ`GczdO0FUiB-yur!5FU`5U0+*h4s!hU5Zw8mcuu)Nq3=7$ zm-Dwg~tT}PuyH_5Pb4{=FUC69tTCI5PYKl&R*A<|uu1L11?zNV5WXO175G9rqeOOC?D z2d%H(%6Zo|PF*(2Kh8SpW}ko`a;!WPqN0t5Xqb+bMPIPDUo*Q=r_CbYrSfC3_N&!`CQXhEa|K9~ix0GZ z@7a%rt>x&kA!T;(FHcApigA)?&i*@e?Xnul%e#xCYLvqm$fVVr;&0b4HiI>4)*C`Xe?g8aWuzpDY!aY980{Q1OAc)2};$WDem z1lf=l&L!`khb+8d!)U8}Z;l+?v z@sat1JuWO6W?ZR{Nv`E|xO4Aol56Sc=!C%L&7%B@M`G6LeXkkUl|MAtz%#t*&yT9w z^a5V#JU2x*;qL1GE7yWl;qv;6^|L>wr$;<3>?QTK_W9?M!2RS@ryjecjgfycd_>cT zpKJ_;Qu(+MI2(?)+t9aFUiZppHj!=+Br*r(bDw3zldV?#UoZ|u?i~v~QV5=W6C|AP z=c8Vva}GVg?~983zYFxVEk<-5KgiOFQ0uxK&)tkexbE`oyHa$gcJ-8c@uG6hyLImG zV#wuRW+zu9T$X?)TWslUO|DZprQ%AHNdzU!*R`P{~FIuU0 zjgC?G-5sPef5CE)(|Q^k-?h?=(jG5*W#~Bc)OW3F43y^uuYCRl?-OW%?)jXvcmB5e zeI+t@#6NrZa7WMY4C`1e&jmX7;`#H4yP80q=sK(F=!2r&5^i}3FRu@He)raY`Cf(_ zd$LK!2Zv9lJLy>q7|dIZGt)l{Sk-hHHv!w-@az5oFZY|6_!T8`H~xweEG#TW&i8@x z&vvet$6g7^*s*|27eY?8jmsz-+k5^{G|7;FYjwmA zSy3fY1BlhbgBBixWWln_$xrN*8*V8-Xo_-8j{IiM4iuWl!=~Z)QcjL8V z1{#>KXcZaxCpDrMB{x~*_5X2`O+8V>efi>%{5uQD($XBKXNJBaINn$SNLwp$nQK17`_Lxnpk9R;QXGHps_8io7 zRXCk5ICp(94us{yfcr2|YxNvi@TWw*32gsN@)~hzPZF0E_FEHAwIrmsw}$@1_AK8o zAOF_!mn+ccVd}f{#uucz-UjF{S!dA=TktnWQGIpaiHeSzdw;J@fh9LzP=dJud zEDOSB~)H&?#(Sm2gm5 zGMkR|fdjF%O5RXMyf-fTsLw@aMi-xqFK$}IS*ckXUPZyJg&|t#tNiBKuxA^{tk~}z z6)xC(ksm+l@7Bjnuf3cKv+jZ_q4V+U%Lm!UF953sv zF~`edw3TOvn1`<}A_tRl3Om{DJcuX-G&ij0ztD%+86IHl#HBU&ZoR!lNeX=9-aV0V z?MvDS-?JCvxk^M*!q`&%NrBPd8Hix|Uw0!ox!9*q_5M2d2iCS`0$B)K@~7Ca@7ue5 zyB}u0ycrWH+xu9ma>Vk;Y-DOqqnqq7uxwq<~v+ zW!GPb2}vi;QV~Z8Lf#@B*Sdq`@WVWd`YzTN!A1XYWaoO6*6LV>WOiDPdHruW3E-V7 zij;rt_D$s3FMoHiESHXcUFzM?5E=G1aH$-hxc&;ah$I9V_^)tF&s{0U^d}*4j$nS9 zxXd;=WOBJw0K*BD1wPp{jJigw&sn~>K#KVtG-#%wqJB19>E|jr)YA(0I;dDy*HoRi zpQ>&{0XAEoxaT~(cg{x;pw3qF@T()FHRX~VdFsRUiGa_^MQ&pjH{&zl_?4ouw*a21@r3P(U-ic zR679dr##Qg3ngQyr37ZfJw5Ob1^ebhs-_IDUaTe|MPt$BBXBD@NkYoMfxllndjZ8Z zrh!A?E?E|xr6nqD?TZPJc}Cx1`15dkQ6pOdL7CNi|Bc01dAnGKBNE2 z_-w)^MldS$J?OP>?l(IUYYz9Jc;<CT zuUT0a5*+Njt|?&h#>~>CV|;IgF?^E~EzOEg4rkiE&(BJzmlh0!JI{fGR!vc+G|@rL zXYBXGVL{qDm8d-6l)7m-UwXpY0f2z-K+bg<-}(4)Cy!C_EaHG3Z)(pDzocb~5H#z~ z>(TxzIP{@ipUiW&n3YKv78d#|s)Up9kQg6tS58%O;$Ilr@BKEasiGbbV8b|!bBtd{k^pNXESA^`(*&DT8>B77id@>%RM z{6of6`*iZjOTpJ*jHX2pvvQxPeSfdSulI3{3XCEz$EmjJ=(Q)=+1cmz<2%u@5`pEq zt_|y?q-tmcZRRR!ZLe#o9WrdUl}mIIha}3~oO0yP{LsYU8GjVh9{idrw3N8T+MLE$ z2S(71@p-N`9lxk(jdbsJUGo~J{+a%nX|z?e1jYXh_<0SQ>`fvcXJZRJ>!?Z7rF#Lm z0}3+4r7*6U^kTKthmHK&s$DJp+kp!X>K^Stv0^sdEk#4_hoWxr%+eC1dI|v|9{(=i z7zZxr6F(gUgqs*tSpD?{RU~ZT4^g|};BTj;bOQvirfu*6w6RRbl zk^TPRvHd>|j4|bVa;}C@22Rzxym__@u$>N#4Cl0pE?zzW^;zLw*9p`!D6l{kaXL8< z9Jl`tuQGbRkhbU{*dR-}YZ9*nG8a96x*fmmjUwqU_c53W1EOo5xZ%C2$!78I6IU0$e1C47v#$TWa1qprKaDRZSsh=^T<_s!Cke;< zw~vp6FR;>^;N8*ct3kV@^}^;{UPGy;lDv1*hYP96(<1vuXv3*>pXsVId=2Y=-s>ZE z(ROBCyTfFCIM^vc#QrYa4(cH1)rBA3JYYIX&GW+h1@nz!!PmYUkz7Um<0lD9)P4M_ zyX-doFH2j!V{`*94W*Ry2$j$|*yMBjUfQ`lJLWqMek~+k9^SQVjKNIjX*=o`JUN07 ze%O`8SMEKw@~la;>c$#~A~p9px@UeZ0%iHpk|MKJtJOSUl1X!%Xe=#A?6We8%By6P zA^7z!~&i8Et#pUBGxvM}g%H8_GB zEVSkXk&bvP|1f-!Jj-gpW(kB9N`I5Ac6^Be2*=BqD~82tR&;X|NB$6kwhA+ zVDAyCzV+@SJH8LW-{5#3Z)$4TgBFX2`}+8lTx^v;Ury}){e8kOzNqzqtUMN6ql61| zrX4jtzoMRxWwbp>O6qNX8ch1=7DE%rc1clI;IFrKCU$YPdr=9HW;0K*Ib4lea!ADP zsSP;2cRp63#QB^EWuq+tHTCedRZhcIp!zq1lT+gKvh0Jj=ZX^akHxCK6H1p$-{m^Z zT5mjc;rY!s@9kxn3yyKVEGg`*wYf9iU{KdRF);JOG3ha*b+}^m4$h_1c1G=?=wYA_ zHVWps+)?vxw&=%c^dgX6pO13-(RB_5yz19wAf;`ExzI!oR_1Q&$M*2V!X@cGIh1`d9GT4A35(RZyEpX4#v>?Qai#B~t=KHsaMU;OcwEcHa|vE_P{YlLl`QY8dLy|sbd;nVA;dDl-SqcGH6zJTNyTB#qb%@ zeqH?MrE#s9hraQ4ZK)@UU{Epz(R`Ku8dfF8G*n6A6>Sjfd~o~eG_v9IUwO^uX`Fz5 z#1vV)#lst5(wZ@eqp z*0b9S{E?c#+*Tyru*C6o4sGFX=e2j@gdXG1ta)T-g@-w z5ujHKn!3!>ec z{!5qwjvqBK(Kqw%a%lZY+V7vsTYtVz_wU!nomYw(+@h<$@A72jxKMbhO^fOXPy1wz zkjAuFRoGP6lI+gNYD#vMi{`6B9t#Zbw_{YVM?^M2-+P&*4B-Glb(6HBSb2N$!DK@& z*OAx0RBOM2;nu|uR|YmvwDL;XF%*;)f-8}l6xi@Du0LXsx1)e}Skl&$$wv|{GfXm# z-~OfuUsZ-c9IiG;hX5DXk?)GK55=CRCCbX4A2x{etN!)>*}|m=KtC7`wAysqnK3zJ z#RHbPT3}^7PjmaM3O77vb#9FdC(%>APw491K}A8e72RasVft3O6Rj`lM*q1@tjeaq z1|6$=bbb%`jz_^Zx}f9jlk)plRPVfCMHk7Z2uVy|-{pH2I@vJ&1qG8AOB?CqIbL%o zN|7-QV6VY&(6{o$E!;t8Y2n(nqMFiGn#n*icnqJE^yTk{0*iSoq0ns{Y&Jy&CtspehyelvfFhKA$w+Pd$xOJlXIV*SR)%2d^Wr1g0Kn&0LVj<^c zssp%3e3zQ~-rS?)_lf7uyh~0Noa0l5=ls=&9i3|dU$jGKi;L1pCaHAn5VorNkmo*$70JOJNe`bGPanc+WhfU0I|KaWu6n_HI2`<%o|^hX zIXgGk8xMwDLO8mkoVm7NkB!2HOr$PycgPGynv^~XO7aOo3vxK*Z|}b_Q--{gBI^TS z{DB3nX9Rz6{TpE z$V1Ef`xa)*!;b6PqAkmz5%rhXKCeb9TLXDOAg;_HZ~f|;P?3YxPwCXE;^r5SLtYfp zHLGqN^eU>jBi4~!*T=KQviOO?kRlct?snr{*v`MWXw8f-Re4XUy^In)C?Ck&meN?? zE0l2fORhbHi@;12F#IOPaVJqgyuC6h>EYg;y!dc&E)e(C6(f1oZU}o>L7xI(2-^tD z)>2{BSv)se&LuT?0ih8ygt#wq8)_krfH2so_3y94-yhHG@O2Qu%W2(9sq=|V2JQN5 zbF;cqPtlXI{inU4DzZGUOzNEH`Jm}}=E#lqeZA7o>unZ3RXR6%ucGjdyfgqXs>Q`! zEPse&as}J#tme`P89OTYS&F_&_jkS|Aythz^f=`0XO&p~$^8k`co!^h$vNeD_JRsV zo`uU_Qlp{L`hm&5v}c8GGgtHrzB}=0Os~I?GaCib8D}`PS&g4^5nV%Beb_63_~?tU z6D8k$(Js$y_4|^!-M4pR-byzdVr?Pf+guj98@m-v{n&k&1(5;uAS?WVDEu8e3Edrr zHU8u`HjF&ng?xE*L}jj{pg%u5+@@4100DA6vh^tnNB`?BkU+Vklnt8g!u#s9x$S!+ z`sh?%U4WG(1nFBHpM7&}S?2L}!A{rUWu0Cy6JN$TT@+UU4*E2K1s=txLk!E^DB zkFL#0#tg{8v1ImEf~T<&x%D)*eju|_Is!W?52ep4cX`u~DYbW*QDveEU+bumO>Ys1 z)HT(n;kFbwcg$2uRp2`p9ur`K_F_H(bay1>6#U<6K@uAQ3Y?5AST~z3)an|_>~12= z{RSL3EJ4g=R=3bH)6i9))V3ME^BezH0?wr`?Pk0JTPKfD)fA{?j`j3bjUb^n=O?tD z2UQyT(?my=Tq4sSTUlC$fSY|9fN^4fHndYsWzO=#C=29`k2UdUaSt*W-4v7mxG5%j zgaO0sv=2=MC@v|sK>xe+c)*5~R9iN}4PLE<1b!n43%7r!Chc_f2+(bsKu!2b-fDM0 zJLHOfTZX$KP{ZCsS>)d2YyF6Sy(u!;6j$bA`&?LWwdKZeovuE5tbZrHJ|G7W#>>G> zC7{~Gtm)eT{YbItgI=Ff{RCQaZEMDLb}s{#Jf{XG_e>eBTUp@J^5?0201H!#@9&cS z>#41?Z#^|16UdA!>~&5;gk2mvtN3l+(PRf2vB)?Q61I5e3ijPcT;|U$V(eCXi*G;^UC1ZdZ892mil8I z=1M}}w=fO`o6m4{jm)VsL0%CBYu|NHq=OhKCscLwKO&uv=sUJ6e)e+Bl38t>Yax3+ z9^rT#G$rEIaM!@Q=D+jGD9d}G#8lP9&721{DDZ5<=c!qnbNq^g>UMoBI%p|Iu{vdu+nv1{1B4Xsl`sq3XIbO61_)IijH?@=6}8Cy-Uchez3jEo5noXaxkArb z@5*&HJFyc0(Ba>eRJBb{*|~*@F9-h=Q{>iyKl1tBn?&03)z3n^yTmRd`_M#7jaI(W z*3YEG(ekKJmF{B|nvTzeJRDTeZ0JO-E5ZY7xLw|u#!-TXPYd0>Wy9yX-q%Qs?#&xdMZlfzHp^p zTR%heH(vbXg4Y_B2m$|2Q`zv z(-e9yuVp!neNqNf@C-i2_71c@2@uQ8X&R{p)!NmUVmEh; zRjxlb^0JuiTCrz%eb~2J6?3iqBAcghb=QAe8=lVFy< zV^ul&g&FqE`6Rj`s`=}m0jq_3S7h^X=81KZ=l<2Tolxiv*nXHfX?^RP8ssRBf;S)a zb+1cb^GcmD=PqiEi>`m$$BZiMFRKjy@@ANQ1ITD!gPqx#LBG)bM56u~eCWUPnTJ4d#C_^%ZRNxHy&s<;VZJNrDOqgwPRPjDO0f zn;AzfU~Fic`|f3(=0Q~5O>ZF zrl56)eX_DUtpE?G?UBXRgW=W$U`K{TWw$?)M;3E}zm7no&RL_F(UjShy?~_gyxfV4 zm$4{b3fLQmZl5_%akxRtr!_CW<2_`h3GeD|DzvY*m6Y&x{Szvo7e;fJeCVERWyjl| zaXzkih`Qo{Ay8f@BhlN2F;SB9Wg{+L zRz0Mf$pxj@X@kcqF^1+*tfpDJy}Vli!2McePaaS~=qGky2d<~ghMscAfLN4_cMu8R zT)~yQs0xt_ApKK?yahf0(X0ye^>?I)kNwEpxOwFNakD_@_pNP^$RJC<&=AsFoGaRQ zr>-(!zFH1yPBCgKkH&9KyAv0dEq!q%(4Px7aFYqLF|Kktxj$#dd`gw$F*g@ic+E|6 z)II-4W(8b%E30 zs|33YHfYde;ZjPpBjcsn&*ovu07@KGW0iPTzf14=bC3bTgPdT8qVjpsq~7cVOD>Ri zk&VJ2e1*HXO#&co({bfps_}dRPBzb$$HCkw+e==7SWdIps)cEJ{^KdMdil@>NEC+< zjKoX!AhbItPdizYvs5! z4gO+$#nJ%T(P1eNZX)^V{;#?+CO`!%9Xm{Gc*QsJ(E0u=rSf{q=NJVSDk*GVfXyf9 zW7N;Dp0gh7$PoZ)0ZoXkhrJJ9`x!QdX`=x5-E;{cZqu!x*Yn)v_N`R!@<*TFW_W}ok)x0{N8|Ne zXLLkBsM<1-?s6h1m_G$>^zZu?_X~}wPBsC6Rr8;9G_=24b@a5VkDpvcY8-!{&sW0U zdu+qt!VY_QYWa>;!MUl(I8hH@n>^Tl=BC6(r^ZlaiJhB4hr&J3IWO@$YDVpPR_5gz z7adFG(W{>D!hdG-O3BaBWYQrQ8@=G38;2Az%R7&ryurmYhd^lDr*XG0z)Wd3NoV>p zjwgazcdUO@O_J*#J!Kj0e!vy6;KW}`b6f0oX$JDdgdYBy(F36TQ&JuFzX^eD&nbgk zHh7XHvd|X~pOkohH=f0(_a2KbD7o$~xs@;yTEc~m1>YMz-5g^+aP$tP)I5jZ%6+T$ z(AjL8Gc3IA0Es1{4T}MkGC8}a4J^U-cn~y{QKk_&%F~q8eq`;8XrIer10_a#P|=i3 zzW5sQIzmO3#X>Z^TN2zk%9r~C0x`UM_a9Me+V_g^1|}>NxXNH+dxwq#;*M4#VB-Bv zueqzhtnV@GnG(9m60E=giJ|O@_Zgx9Q!ErfND?bbsl`2$1<#epKp{ee@A2 zkylxQ)!$2FBDx!NtC)8^UaOO>X{QgiL z6IRBX?L`~A*F&92G1RcMMs_;sO*lQOi0OZzS0eL+5{joTTleaJwyBR)s%+#oB2ce$kk}5XrV_Wxc`~-Csnwl4(tKe(*l7)!x zG}`)C#D`(gr^Chnm%Va!gFR zcU=bJ!3tmNsVkJ!a(91%8lUHUoVA(x2fm^Gi3Qr&GdLC{BkH>*so)Jg%sJp;Gi@ka7_2Juoa<_JV2n_F7jqvZp z-bzy;Y;|+tg$UoMWK2q@!sh*CSl+oK@@$3ksp4wM z2wX|2hDR_B)JpMPh!0$dDW`=r;c#T znOfi2|Hzjnj{Y~sY2lAo+YH8B_jygq%cfT_%E)C{AB->}glAHIpR!@X>2C;tv4p8% zXB1p0UPv}S*~Nt_#9IVWb)X(3bR3lxY>E&KIU2&OqGTlTm3px4`+>Ekb>$scto;nM zTa|m+%>Pm?C<7wkM7#*>_0~SGdaTc-37O`Asg0*{b|N7t+gu+SdawT^sR-FSL+yqPu^I>(jZ6O%tJ+deQXF2)kj3gT8 z{`Kz6iyZqRp%;YR=H4Q5p-ma^82{xXvlk`izH?Hp__=M_MqiH}lPxxH*n19IPlVQh z5e+xBUo~Ky7ss=|`FVQnz~MCj{f~+m#N6?dJ7`G4E;Sry{;89-k+j>4aqbslLnnE| z9i}Y*|IgbrWEpL8wKF-!MljNh;WyGh?oR~+2e-wZN8F})4L43fc*}&5BLJA3sBpl| ztBHASA;RSn95&yEG<-uSmcaFF2wXKNC9!KyrPq~@D^2_qJYP@RO!oVjic(gRQJ(}e z45Srb>HkPt`~a=oY2tpGD0iI&fu8cM7+z>Q(4cTfrr5_%nHTpH_le{|z^pxeE08GG z8}<(j!iH2GN71s+r?4dO)fj=!%xGYP?gD2WI>bvZG*HK>hB< zjGS;ZLT~O6$STcZCsh^petx3P@CEGHfIgR+tHz}W48q5VW(~+f#)(KhJ>n(?!t3H+ zLQFpB3H!+rzqWhzQ@2izHgQSz)&`s#@!6NinjFUSe!ad))v)J0LqTEzPq}A)^y3gL z(4L(*xIm~k6679#@vyrqkkex31*H_!HTAOekIE)Zn29`_e9t_SA|q{%S!2n_guun) zy_VerV&W{l9B{>OtfM(gY&PzFYAx)x*9zdnX;*=*pv$%iTQqRf55RR#+S=dKpamC&xEZUFVVa4Z1_NoRn8 zcMUOrK)S6%)(7Jpsi_|KBScKboghAroDr>S7m_T?gGEd~F^UL<3wU^*ST^*7;HOZ_ z-6yAhlz$y6(lfF|u(!;{1`s`!9|r_h-Sq&x9bnwIcnzn~psFuSKLi!h{}HsD&44auf@ zESo$BRCe*%P3YYSZ6;V14R^U+8+l)K5zn@}REGrH3zNR;xys!Gb%)=HK2kD1O-0?w zbE}+eA^hlo%hHmM(5)GFRB|J$fk9Ug*PeMP78IITf56{=M3wHPommU4j!RnuN2h+) zjzC`W%TBjx1?)a;a0EH~RXfer6jok=Wge|hPd#8gVGn+jj%jy8zGjfw)gr|XKP^Oo|)qo=)aQD9P?~> zX2UM~h2*$8pu60tuHc?6ntX>!{#~<#(an7Zl5x;wq zfb&RDt-%N_V;AFeGJ=t6EGo{VYmG7E18?nnw|m%=%9OXjK}oMm(16;MtViezI`K2) znD}VU7*so?^B$aI-R_~VzBX*h?lRQmvFPiek4zk~%%=|}SFM2MUFO4Lh-g*Coi`rC zH0qWVnr^HgyRhe@yeZ^v0ykIQ+QFks;z*C&z} z;pZT&qDZMPl=Q<4VL5bhv>nI?HO818frNViR`&G`+M7|ANa`=&*VLUtD|!936+MQ2@Hk`*YShd(GIKd zm@hk4+Cg4$fXJ;>jt{J}u-Be<)bTw?BPE@2Uxhh02ROTB=^q*2=e4nHg(D<6>{jju zK4mKO`kfzLCO)$O7O!iaiAUcJe%ON`@m^@NnobaB3kZ+zl!tJK1mjv-rOAE?$$|&g zGzD%Mxx?0Pw3S`$=m)#-?6KNN4as)c@{8((h|R@U^%V7=0dQf8;;UyZkL@OmYA0EG zS6wWBeBeYOm|@>Dbf}}bqdvmHgbpQ3hU;=SB>P5wUfOL1un;rCDq*fBozr}>$l)w@jz<4{_v8=_Oa4`0l?+6qqgW+T^{|5 zRlsrLKTCpXpjXH}NRH#ZiwCFnIBQxHko-yxS1B?Bxw-(Bvt2eXGH(|vJ<{`P@#1Yj zUrAOH4Q%f1B)9TsfjC~HDRS3$`&&kBr4L!6u=0Zr)c@d93bNoi;2FPwWnsJfS)pdA zX=CEu1E+Q#HAW*vLYL#12r$dePzavfd6UQ;`N?)pvB%`Alz_PnySV?GLsG6#N{YT5 z&wd&GrkM%b;l8o_{V@=lP6}?UPkHk+b%4Z*?rIMh`J}=s;5*KaRMb6Syr5D&%+6WB zf6Gl`?CMwBqPl4O{9}!M2*0vTqNJb}SLUD^DgsB*60m#^zExeu7S`7dIXkZ0|HX~9 z*^tBUrrQGbe8hm=REkng7nC;*+j1_iW@lG8 zPxTln4{7eKfI#e}*-Ja)SOUJ{$Twm{gyEZ$21Lsld0&{gIhQ~+V*dJ$m$Z5PZp49h zNa8qkKeO`#Q&+jX^Lhms+>W`|53XqR*63LUydAYi4OOo*+E;~)OGI`Uxu@{4sKF&p zpYn(cM-M+g0*~noGD-|NB3jM_B zA&0@ySr{cUx3B+wF0e%~e~kM&`QXZ=Sk>Z5+E0VPgXoIyGbacO2nQUrqkh30$d{*K zT%}jkf?6p2M@wi1b*_v1#hvqx6^Ps06c#qQ3OxpG6f5D~V`)PB^?c)n{seUk5_}*< zMh2P*ZBKb>Q5d|%aPtHVVQ;aaFxYg#@^PzLrLxix$C0Z%1HcnVMbimb;#SW#M@j94 z6#ePioW67|q~Th}=iVH4gua7Afha}cRy)=`PY&E7ETEI{6FD^Q7nM8>wR0p|I~z>N zvUdVJ>E6U2<{Wm!T;Bs5<9OCo6f}#Wkb`x>o@z!8Rlp@yfq>_!$}t(>kJ)W&{r%=Q zkos#mw-P;Fk-J9X_V__ERd=Dy7cDG*4MVOo3v}tz`=Jes=GLw!e^Gs;^qRc)=4$UI zKNJ+k5oOpI$<4Jn^9A`kBCsy-r$l)#Ufv*RO}2R$91q7WQRma zNI%xKD5sG$akO*xza4*a9snE1<)PSS_}!$ZY(Z*SHs7kMe^;-;$6*8T2HGcz3}tXg z9XqX`(i?4nxGSOpwg72WczL$n!SEpi)3N8;M$kG!?V>wyj%w%9SBGxrjwVntQ)}Bb zGUb#E?|)P(hgd+koc7e%V#PhL(cPdgHhhFP*1`ToLy;NQk)yTw! zC{hvJe`&=rq5T8@E(!l|q1~a_{6Mr_Y`QARSz(HJzb%-1@eb+kM^$D(f=*X{sDbsG z-s^}9P(1!KYC>#7ah(#eHre;onQc>$Z=t}35!o9I1U8H^nr}wFeXa61-Y04|Y2z42|Dp`>J z3*8uAf5LG{Zzr2}lq1qs-Aux=; zEw(E~{??uuiKk~>(TXB4^S+}zTqk|WPfp}vGOaYH@*r$RI|NI3c^y}vP>H_f_;ai+ zpaJ{%1|}QY7US6FC5NPB!K5|84P7v9?Dd!n^?;p^vtO(paLbN^b9VT97cQTBUb|#i zuS5TyHbw33(?`>LymYlE-9|JiITxatFl@8=Cgoqq2n+KdFfItfu%G?B1$u_QhAc?@ z4ctA0H@#Nw-KY~>vIFIfZ8lcf;JBN&h;5o13wqX?C_5hboofCM+422NAawD8w^--r z$vEdb{Gqs@1((uK>ib<&p?BL{fPD#1kR_Nh1%WEVC%QeEm2cuuO!YuxTTU*HVD?Iq za+fgTOS8bF`=K_?f(3P5aWwBaEoj5rGS6vGV>N%L-5YqhfiIyk;r(n<;q?mP1W=yF zQM2S0Kxa}(`X*#6M4{8H3QO6v?;fEJ-extkaG`KxxH2m?6e6pap!_&*pqcuI1{f5o~EB~QkB#zl%@KViuy^EiLj-Mc*nd%<><>FU}+qU5X{g9t;Z@vr_aPZ1>{GK zVPux!=%wftDXU+{fz?OM5%NmRv<~g`%VA(awk-;_4+sGjWNPY3;K8dnnpFjyg$|gg zZ*gpVN2q|SjLHe6Km57V957gP8Q(c{5{4cwYwUV+{yzn14xM=q8OOEX09J>xNwrVT z{dlFQ-8b|WF~W0va!79D5DC$y5Lcc)#mE%M7>|6 zTmg#|=SugFGiQ@DG3K6N0yp;f?h{`>Ic+nwQxJxo3F5S2mZ$V8?JVuI2=m-)(3L12 zIH5n*u=>)v>P;}Nk75Bnf+g+@;vzdbjDLM@5#Y)qq3yDW8%R6)H?@F~1srTdyeT{; zxc%DuM7{#;`dP1ClG*rYQ1e>C-rp4H7XPh)Sz-eo&nZo{eX2fG9#c$coPjHk+!ym7 zaZlqBGTq7noJ%e9t5sx>+ z?M_FSv37G*3CgcNv``X_ow(JYT$$t(6=(BH$C2wlbN+)N6e>f|`0&4}QP(VnWvwOP zZ*K|INTCieap6RjH%aUc&TN2_?8=vi<0QLYr%+LI@YpyFNW#8ZwAwXdW%P9)2j?nG zf`?#pOIXuW*xIggMjJHwsY|1sl=K=2$J7h+w0%q`Q8p3_*+d9)#y&4`q9j48&6o3I zZOw&D08@xJ@vI+vl1<*0c3K{dDu`E3dguXAE<-r_(_Pf-t38_FK0wybXIRjKkdve}kQ$`rznjl{O?83Xm(R<{Bk1??W?wHv& zD3*@01SPMtrtc$tA}(DqS>uGRBjy5k>%iZgg`^Uz+zNr) zb#=-XhKr_E1oD{_h&%yKr^5f3Cit8}3{1j0i#$Tns>L4^vg%BtRV_)7s{|zO4FI19 zGhYHi`38^zxEA+=`0X^g7#2|}E@MWkUx#gID_;bja3YbZ@ws*P?bj2UPJj5FCBg90HjHad40(bh1# zi4D2KK!;x1s|?YRfHOX{nh(wJ*_4-nj5i~?sH?VH=?%y}c3!38=6N#}8$ShLm5^`W z34FQ^V@f%ZYhLvP<*Gt~SNJL(LbAG~W(-SC9KpAs*`eXU*+GdW9)a$~gbo*fgZnTN zqWJEB3K{mlMF_!oSCQ7`nVS_1aIVs5xBeUkYdJItgs>ur=!hqa<8WohzZ@5ADz46@ zgHDWoRBMEhuGG_5fL(oJtY4f5JlLM}+LfQ;tVI?y;W`v+;;r@dMIWGWfF0SX` zQXjNhsyX0o`xIy(6%cNydd2EQ1B-H3_rUq$;z~`{?AQg_#WfuyAjq_WqkZy52_viA zFOp7C#la*XAk^#XZHSRw3b`j}uKyo#bStL%P8@uXW;*~|o00B)SPOm&L(p?KmXikL z)X;ClbuKVDMu_E?5fLv<#-O* z?w$TQR@YM!MtG9^B*X$7r{~_Ni9iS2k1!`Z*y|Iidt$>?R14f zObN2_|D1bXXn7C4aP8@PJ4*DS1TZIbL&H#5SEV_<)20X*iM&Z@LLb@>RlCHnPeL)d z-0}Ix$bAi7JF4WgqR903-LydHg(){|rJ#t89zJip=6}2yQ z{2UJglT>1ZvMhHG!x}4$igMtR_({=j50j?dkxxS%)Gbw0&ta|8&~gxJz*j~&|Ji{5 zKl9fei65feFyz#ywhnV%g<3po(ErzDKXo?aS0j7~D zZ{rJL8fuQ_9nK#}iu|al#fNNPA3ZJ0lKmXojgqm9XSEx5zr&tzT;b#DmU>(!_psxj zQe6`hGDt=?|5kb2T=OC?x(Nex_ZCa<#vcN}(aa*^eyFMHdl&?OJBI%yZ(h>9!u}^T zZ`IWyE)(oB(1YDsAN?~zXo(R&)obx(Z~BZWEh8FuRfn?;3=u9#OMi$J)Xg}fjP@MS z184U7qcQ^!qdXiQRz?{ooZ1&~Bkrn2z?ce`O%E^uib*3JhoGCo#%cg;%MdgnW`+gH ztjGU+(-`!oX`oxakgEFds_DoAd#My#o>ssnZ>D-P_(;NSuZ6@Q+ZMPHncOIhLn#Fh zLNP)w@MJ>(AKK%Wz&`B>5v@8E67eGw_{l0Ijzg&c1PSHYnE+)C`~Xhk*u9WSa$0xcb9kx7rWh&76BemDxil>&~G9v z%Q;;NewJum^JxLA=QrVQYrO5QfEuQ{+s;uqDLD%?sh8|b^33WiZfC`)v&s`s00uIA zZxP6q(S5sza&H2Nh8CikEo7Ng7?)m~JOtehZ&xpbI%pYgL#b5rd@nV1J*#76*a`); zzF7Y%e#$C}K;1ki-R}honb$WSaOrwzEJt^S_(n2jF&ri%`~Z?i*=u0%?z{geLF@c8E70j7LKolVR({322-ugj^ z<@8U*PrwZT593(2wx5Cx2pcLA$yY4o3Y3p@B{Q{h`;r8=i{!TPy z#88Z!Q1aiPv_Dx29$p;vlK^AJ!Z2G2XiR2i-BOvCtFIrx1@#MBpzl=MDi;WmP@?bi zNpUMUA_+kMvOk&F$62rBvXALDxAv0|Q~YvJgw~{PO*v|j=tfPYk))i2@5z>W10Xq8 zd}5MSSbqeNrrG(Qm)bMA0bkR)nqx=;a0(CK+v^BU0sfJw>vS?wlEE$?e22*C0X_$W zgAox>@4O1oN?Rg*3rZ-y7D%pXF*0LFiBVi;{6X<^G2 zVo5Ng2dY&nennQ!v076v3lHOtR+6&B*`qO0vXVQ4;*O`@?nU)FlrO^8t*LQq>kAQ} zsa6H9o%uUh>r#PM!i^Of^Nft)xqU6u8>Jz@&1Eaam1vPVn4t)s2sS9Zh+uK zzn_Ph_u|;>*b?xcc`zP8cc?onvGV!||7jpoYI|Yijo^LXA&~K?Fmh~SZ_PY+7`0f} z55N2xjIUO)W94Z~75loW^@WtAPgpgkg^ z8`YW6EGXu^?i6?M)qZ#6D31#IuEb9DtuOY*!tHzw_q2d_TK>@RF4!Yy@b0-V5wtY_ zO@r}aKZ1koP1$$`K@5B|vK0g~&jd|G&NC^T0|ziKHF_9F@gBbLCLBagPYNG8ndP#` z2NDw+>UqQ|U5WfI+tprV-^+UL-s>j6!6LLL=11xHAlMFta&vZqcs_fkb_V!~Tw>j@ zjgj=@v5W6zpq1dZN^}V9ECT9z$yOg6V4bT(Juna3<6GwTD`Aex_Fv9<#ew$B=9G?8 zqG1>~*IU7cBFp~sN+VEQSh6>&9y1N`2Aodi+OG2tUwM9;vun$U0ZQVD_fKRAaWEWs zr)Ad*tvGp%e0&2x9yPRY|q}9mV6us#3JoTX-cw_iBVj#sd9%1K^ z+d3S|2xHlW0>!)Kri%v2=5{1*0q zJTpO(OxFcW$~~azJqwLB&e#KllpO4XAR62EaSLdL;xGbvX0L55R)o2kwq<;WT~OR` z_Cw7IgFgk4B|4_WpHs%AN8e3KABBgo^h$-JpBfq>PUxs(0P2iPIE8`;AbhCW@TEo~ zdv1az8^?Q<+u-SWyplc;1Gh~%2Kh64uboz&lv){iRQ(ZZ0&x~*e?3gr5dcFXSlK-8 zWb?vwI~rUeNxT$Kv56{gY?Tq#5xnXU!eKP|*Sy zB4geSkZ>;6DK(Mq=zjeSL2k^oUcfOIU|qa1LNo9dx)=Iy?aGSU+;N|1E~0@AT4)2P zG1|U!A~IPz#WU~DK`CHlcGXJaz*Pd4TqyZQKcz?U8kL?&kS?!bzCOY-3R|tP9bqa@ zpvjH7YjGUHNPYlhoeQd}d5K#A1S5%`-Mi<$P|kKdLH`}Yh>(*nttJC+m16z;s%wjN zvJJ)Rl?R47X1?@%ga~@ zC!R89l<3eXu>eAHPcH7wAuEzKaCeRdW>W2^3V6N3uzgN!G&PEHfS_cbv1=am71eWa z9$?Q5Mu`HJn9^K*1r#WSw>r*?Hfz+o2MUm)9OgrhK>|HSbO02kw-W(MafG4!<+}4v z9A%`3^k5|cT0xi(ti0+$yL_RK!lF$LQ85J%bH$ep0I#(~t_`qlS-S1)=BZk;t_gx_ zz%_KTK}a80HbEdSO&fGCITzR$8B+sAP9J@|yn8(to4{hyOv9P#O}udp_c~<3K_GV1 zDyd9v>+{YSad0pjF{^V{0AOr_Y$~I@ZfZcVaVWT%Occ;wh)p1rR$`y5nk3puO}(?V zFrFLNKPuo=%-w;`TG>*F^F2}H(+BQ9#C$P{50RwDG6#hQ-+5G^;`GYDFc9n;G|qXG zRRe>{Kd6H%1w@bF@HE<*E%v#Y*;CIRv5mU~cZe?=z{-miMrMQ6+>>wF5?u#^?pqd) znIjksffonV;X<7#{6X4M(+N6nC|uHsrRrf5rR0Wu6R6`lh z?Y2&R_(wvlj4x&oNb~&F_|o@43Fk+ixiZ1>KVl1TRnekSxQEqrd!P7;jt>F@ya@yd zMAe7fuK?;r(gsyFJH}b|UXqdzCKqgwXJM^cePR#z^SQxNK~FML2gejiUS+ej<03DU z1q{rAxB&VR`F=QK#yo_g3o&);80t*MNk62#g3LNvJq#6UW%NYF*wy z+8Kmk9f24T*A&37Y4cpE)2P{Xw;1DwPm>afe%1bhb8P4q5=U<E5 zHFW2bhZ^hOz-WlUAk-3R$u1zG&)ckq{NL=we*jy02v7L|s#w>_! zsizlPpzi)CFak1@3;x9`5(Q9gXTz~pv8#Sl-DPlU4i6AY3!N-|j%DQqjaM{SB?07t zkpBFzUrq~Al#Za1{q@Ijr#(dtkNhy&csmPwBWTix!T(?o%7!4vpWw%Yt_OU})&$}t z1jbx>7EP4P-BVt%A8phQwLm$u71Y>Hq3)+8TrAutstbyrYbvkLzPj#wP)WRmN%%^X zLH`nD_*4jC`EZot#?io<;7f;41EcwwyCDhmh`ot*BhXT$QtyB zJNxxU!u0zynj`i0un|E;#p*S=8}Qdm#KC1bRb9<8R3q{v@!S=GSuhU{E@2jfeL3vK zwNs5=@-Y8NTLhj3p)i;+T^(E0aFj@rr0lrHaL~@yZz>8gilJ0vj^gb`Ob4=*UV!A( zLSI7O6bgKpkUS0Xg@@J0i7M}U=rhv_oND%X!IDkLC z?J*;!jz>vJtcJ~Sg#iiLXWnAX^d#o{7JvaMHS!?jARL@i$=b(OyB{1QL0)lS?1Z^j zHL&If;U;ONL@o8PbD`zL$lV?)Px`B4L7exww&@|KC`do{Nwov-P)}j^=Ra+$e8K~u zkpyYieM<@Er@XZTfq1=^S0ba%(TmR1xE1gFu^3Ot>4hj;TcRzC$fQh=^(uE@Z3?QP z-NCKS00XhIE6hE{sIn{>j8qMoC6}YNI^(m+5vb`Mgw7 z6XFT$GFVU%rWO~4D=AqpvO6BQkL#66WbnM7{V1E$a08nz#%E-*{ovFh6b7T7IxMNnm>J8S8EHv^n&n!xJT?kt>tfijr(2yG!FPzRBc z-Y{nvO{|fs0{6T<69694MD40IL^I(dm)q4|O~2t;131BPi_ag- zmIRJ7XsjbdCL+t?Dx+`>a1M7B2A0c&Ev`?Br(qrAK_Kv5%M8xmZ4AT&uW(X76DWIL zy-)v!771RuTA=7b2imRqsovhR5}#X$!XO1TkqMM(7O(n|@rT3m$#W!JS$IM{NOuKh zYvr#mvENQf#yHD=eb{mzaOV4xupKXZWgS{JvsToyVTobv6>%&Kth1w+h2*!n0K~-& zVG<#h#EQ+)+~3@6$K44DB#2ihSWc%u&I!KFMe;ynI*#89Bh~{8p-H4)H?UoOzsgEfDa$^eIt~u+ADlx*tQn@3evbT{U(T zy%y|MLck%}!8Vb1cCqKsX<+yg_WpdLHU6fJtC(kQ!|sYr{9*KtMu5u2zxqF{i^657 ziT%4x2n1xyZdNsYz%vO<(6dFpSfa<4=+_WsM)%xWW1rgV;Oj&~h11Quk#_K*^AX#v zLI`YE=%Hgne1=zVwwwI=Qx6pGEgco-=uonRb9V7ib0ZEwz*0b#vM%cD~_mLYI+d$*fBH^W5H7`^~PtQc6{G|P<_qJu_zn!2@N=VH~LAN*@PG> z_WG?TzO!YlW4@7yA-Dblg&aH^qCnAqP`qSxDT@KI9W3lH=Fz$)i4EDMNB8?c!!K?l z5ctCw4g2k&=tEv1(eHSk3}~@$yj)+rT6I36rF|+`_whLf%0^+t$7$XlQn%+QJ}*hbYYD7!MY=B>ord z2+D!Yy}CFR3vPd;Yz{MMw3HEwwQ|akX7k2;>;iIxpK$Fir3uXi2#Xw_VnM{BQvzOD zMlC1407N)qFm}OmpN=fc*jXTA(E|zH>?u`%{$$`nTh@tb%Ax+nX`}>$R!J+>7KK2x z9POiq#M?r3(8#6`J_ZQsN`xeKv^K7mz~pHk8+{@SQX#& zMgPN_Oj?t=z-(wZ&ag!x~;^Wg(5$53uU~}z>7C@Z+z*{a2c__V{ zb6^}vAsS)0!2>wJ$Mzdg%av2Q;Y_4blPY2E-q!mV67r>-J=|zxEH|Rtb~f*OLN>c$ z7pH%4IUm5w+1>FwNC?$Ie{h52&DtNn%wG{0-g&Dmc4C7PEHP;^LmvUD_Yn(YM_739e`-t#H9;jJ-)Q84t8;R5i45ik)ojx9Arg?`)zwe zDz_TD4wZLU;xb6cXn(}meG23DpKnEaxmfz3P?R)@`>v>Y>vq5KCxE6|!ZSwwbnYOX zEZTd{e!Rvr6N&*nV_>Fc>5(s^rS4F@x>>(Z_T`b5_LH;laa@D(`x!M2v7u-=CRm8q zahoKHZwH$>4At|^ruKp2B-P?7CUlfQAz(|!lje9Z6Yz7al>6LUkpm_#c5&}FHXLyF zEXtR)An8ZQSwYkLV_b`{Q007ALlR*?J^iw1RhGcGf~~7B2b;HsEazu=hPO!)BE!_V zQ?S8|@>4$(U%QVC7wOC+4X&Ul#N94rd1ZhI0ZtR2Kjf_od0~q$!{)<>hI7!-AQRU% zm&EcN*=4gT?1hq`(KXC@a0w3ja!HWvX={&D$fgts!HIHJ%&Fl^8-x5?;5j)`7_Qk#_2(?#y4zpVOncm3%#A<2ur zGZs*?vqq9(=s3JK{#!F|))VoktQ8&JE!WU*V zY*2mjR6+zuOCj>aA}qU9jx5Dcv3iGi5)0bH$PTSlONk8;YssOe=6tM=SOl2eDVzL; z#e&w?F#Dyzibfd0uCWEXZV`aYpxJr+r27~W*w~%|oYP?-k=f^5 znJ&KiJm^Z7e~{qVDBlTtT{xYT=spqlf<<8i9n+KQRNOFNP22W0dz#QC{k>0+OVF>L zcn^Uq_5eAH{zE8S(J%m!EojB?4Ev}{I4lFU5|sc>ik#et?m^@i)MLl4RCgnE*WLpW%r6c^dv7|*lz+W0qifg2sp_>7=J9gK zN7IPz&cdk^5;q@l@Dh>u2Umgx1qY1%PboP%14Qx~^ocQV&?d$Jn!^;-18i$vH{G>B z3udoXeWbB0yaCLs`pEJ%G;igf0%ietO&yS3S0l26N-i2n!ZSc9c!ge#Fd%*4W?fSn zvUDVR07pQhX8lCBeR_CbUmaJF|1S;;FX*1M%tv8TbXd;sDEp(6p^-3(QU#Kb#{)8D z7Gpi6Vj!uP6@CbhR~UNrs;N~kxeTyh>?^wd^U`QX&Vx6gLOT@`oGSaW8#a}IAd8uO z)IS+m+&Ll2B3Jrk(Eb5nt+vkW4`*jRKzOssAE2ma)X@KbqHMiDZ*aGO|a*49Uof%E(^X>vz3BsB_MJ?sMPw@B2^3IgcL4 z=kt2KuIqYU*Y&)f*Pw^3y_oKOFEP7zgY9z3O5LhH0a}%_5<^+hBO_Vgo9H7BDKL2I zp2>rOS_F?^d%U@Wu~;=0>mmyfmeE-7FE(7Wfq%$ zy8Qh?-@9o78J+|&iM{z*XktyUNGTpdxLg3LzW%`X=0eD?E_Q!A9CVJJm#Zc6wAoIO zDzk0Ol9!=o=L3xNma5#-_$QSRX=q-+Jt%XuV}9 zip~>WR6RtJ_+fK*6I0+khJ)^k4xVft@e!{q6W}M*z=o8h87Wa>^D{W*LtX?Xh{_g( zB^l^*yA~Hf-j7)blhaMW(xOE`Aq?Waxv1b%vAqykbq!R3L)q`A$G5f2Lfbq9{?5l0 zohDl8Nf4O`RYkb|vG$w_#6?&j;1daKZt{>9Fxw=ne{YZ+0=Y=gaB*mCgO zRop`r?`bIljW-hpyVF(blC`e4+rk$3Shr;@gzSTrFA#>h^=SUqM+r(PUlMxPxDyZJ z&y#M>o${W?Daja|J(uN)3P;wNgrDHHB;!PyCYB0iTp4?XcW(9p%=N>SN$-QGIbd6; z=d7cMShyMVYb|3y>A9x61lU-{(F@}YQS0LjvkzoL$UJApPBRL%1bk^K1X<)Tsp|U& zPP=-_y1;=2GSg-O+JB^nU7~~uqXl97|VxE%uxd8j{vZBS^HI=_rS~_wNT*bnE+7kTuM!Y_@|UH%I#CJ98R_TQPu5 zFY0{{)3iu*R#)66DZWMKfsAagd4YI!obSc@fXkdWf`HG5IUeQBk0ZFUIcVA-@k%Dmu3+j@p z;sVuJO@Eg~fK<(bx3Ca4KY;YG?}3k(@9RO|eakI^d(RUKV$_o&)RhMCaPi_NptX5* zlz=|*EFUZc@Qm~(%EJk~G>Qr7mhPuKuYPw?(>1pbKM5`{Gs)VyJkw`;PQ>G0Xi+7* zWB;N&Z&O37DzmyEO*Rh8^G?xil6S-DXM;Pj<^(x5K@$F*q&0ErYU) zEFQF?SD~=QN|q*i&1bWQ*v1*=^YAGc4w2L3l#i!AyPp53Ma`B&or75^ zOGxA-z&JaDn0dXWPYRbCV8AS`2-w>1{N08hZ{MF~_fh32pA$o7$wG8VoI&wXs1^zg zH^TjZ+mY&ZX0cvvUkIEp=YkM)6iuLup$wqN|4i-9!Kaxx$6@^DTB*b$uoQnTv=n8g zELKtjSj}-te)asKhKzpHe$)OROdx9O;3W#9A2&WAn#o`!v;4w+W|T$;Jxd9e^C zJ}^$I+}fD+_TE0>WC0CZ0gwwAloeIjMFW&R+3${|Cr3l$CY>RgZq3`xE2y~MSS;v~ z>F(1f>b(92>n+>+3&%pY9)cK0%n+37i-}TQykkrddiB><>I1M|9kzVKfNusX}Q05|`h+WMF7UGf&OUa#) zg}@N~G4*g!O4TRYJ1S>NnnN!=^Qt{Nl=8JhR`B!PRiAo+oiB6>?)h@y%LNV>4%2gf zY)OXdHn9yR(EdI$5W7yl2VNpF`SaBqw1Q~Se3oxiI0yy;S`0q^r%xGhWsffAlIZR4 zLBP`&Ng@c=Mp`nUI{NGaE?EK zjJumtEtkR^C<#;~s79MJIQ343OG4X-FHjbenYIN_?BFjex)FJJA%TvrS9Z$H%$(X$2+mB!AmmBnIB6gdvc|Jw>c9Kk$?3A$f|*<6=j`U~EYILw!MHGPQv z`cqii@vyo*MvxT(P4Nh-e}0r9YGYuAi?lwV$vfrw?fX1dQix8NSFK^6bBz}^CT+sj z&O-nZ*z6=kMe5DZ5pULyOs2-37TdkB64Ct?F1PNbWkJCf+D~N2{<2<_p&PaSjN9Ch z=o(~tFf4HDKtXsoBf-S)0@84=Mb9c7sG#pt<6f zRbg3;HAQl8>{m$LscVZsE*xxbt}I}X7!lDRS(n*d7JYFCvi*L@(_bQs6QGKUY!umA zXyW+m*$#!l)d`DU&|*cdPDvXVkCvqX*@koB+M$@%9rJ6*wjF@x!o=&5awmyiRK_b1E|kbMaS4q1j3?C_9=WbL^~ zP7`qA>Kfq>v*>et52ulkhx2K%wyUM4Bedif)98=63j8eo97$K+J*L#)@M2L)6gNVI z{?!!~X+9%P6Y>=(@4E6rv9VI=-Ps3m*sbPBih{I3UlPo*;x!t4;|cs0B#K)K?v5U( zaM#^ocU}L(fM7KvL;^Rj>-n0PQBQ{^hfSXhv2JS~>m>*~WPSHdwvc1*SaCBMnX=-K z;@nFq1eRWQ$~osZ=|Biw>hW(@%pYB*2tVFXT|2Ob8h?CD>U^wr=PNS83|!YH5cCOs zl2`$(+4dYg0f%hJ6A0W<92z;gG~J^Iv(n#zoaU`HmtxZ79$!=CXSe+9q1-A#bFh)Y zpNQwQ;91U@HwGqez1Z?shC)!5u{u2uUp~K`iLI$a;&U2ld0Bk|*}rXu+|+MtdaSPhfF= z<@mUEgf-;(*0HV+l(75)5uJB$1x==1qk-mQHTTsMFU+v-OrP4b$j~kK{ksFCCxh%- zfV~`ckWT%BJ<7_?fqVutOn{N{nQXUyx zENBlL5mi(?LN1OSekJe#p4XulH1RPUIKvc4OtaJ@xRU3I=L2YP+-TEIKE%C-8~i}z znj>!$gBH(JNQK$xPKWi4@u=yj^w>fn7Qkd?q-1M9xQG9HErdR|OPutV!*Fk}7hN~v?M8Z&vrlk5d^KMu2n+V@XdX!*v1*z$i4Of$PrY?j3|OviX+*O1Z$Q(?ki zQxM3ov)lf%QpxDC{weON?Rn<~6HpZOJ$ZM?bG(FE1KC(dc$7xO=mu>2^p3hU%D%=J zzpZ``skkCTK)MfZ6uZqoHV6klE~TfZ*NbxhnMBKT8NYei_oqI>gfYK}&9e@nWHbj|}<>4xla4vE43Q(CzoKwCJ$3}9z9AAa{f>P$%F4>2t8#p#@U-eN;cMSW z4Z&4>dfaR#vgCvQDKL=TK25v2xGV7JMQ zPd^=$JIuIPmVT>wCG)B9Y~7}<<-N4=>6qvj!z_D85>Hn>=Hw90bbs$Q;ZQPARP!=1 zI81l?e&5pu&%De->`zMq(bgL`h2iLevkqY3hmkjbS{yhw1iU&uXrP^Ov@nUq`=G3( zL~EIwoqbp)X=J=B3Pbpy>p&$*_Q`{;II9iIv@MeR+Z3djM_)tXa0EIE z;gyxbeN+hDucrF!c&{)NvyrWACmq+Q;oBoU^W@DZU_Y@xQO~7EdTu*DnIL&}pEQG! zUc_i&`gzMalcw7D?=tyE{Ofd*2Pv;HO19_===AcLQK1f9p*e&NXL&ct(ZQhsq{TRp zj$i-dDVTYyIlu!@)KBLL@_9sx2#Dj)Uu3(6s%^e>J93jku?9~qSd2WY&35r#1t)M? z5s8v_GqX7jKYH|LgRdM3L4*aOngaPF*9M09q6X!@1fL@$Flj2MUMy=^`fP16HXIxJ zYR`>`NTOP2CzzCQ5buFLj%rm5-fxj<9EWYJtqI+o%}wBGdp*N@U`qjtDfQT@Q7A56}>68lnm}Er{a^FBM+Ok>*&C= z{pK4vEN0nw6%!Q!#<{yOUI#GQap7=p-60R&l)Sti(hsDTTO6Do+pZ-)5+5s{e$6lw zz>Mr6eN7_*#~)7$7tzv>jS<(%2r@@Db4>=A7#kcqtI*_S2@+iJ@u$8lOm7JMf^dK` zJ^GVNF!m4sOJ4dFB}yZAUOwqjr?AEEQ#U=WqgRaGdFQ`8lbeoNRk4Sa$ml(kz#3T7 zJ4N64dnx5pmZ7VgBuf&BY;0`kqa0FKQ=_+x<204lJi(?=-13%#*4@|Ez*jx+fcG2D zw;WPMjJLolp0bFb#8hn*Ji>{zBrWP%n{c!X+vNjLs`s||;>@bS5*3;_FKu%8H zFyN0oWlz@K5aYKSVsIZ|!MXt!L?V58*-n$9W$I&!a0Wx{DYgH zl?msA=A*rMkg2aG{$w?LULlk)oSI60i*EUK7nrJ^Yl9r)l%m8lC-~G^c z<9qFU7j^5fSq_U%S1~_kPit#4?IRdi%@h#S?WY&pt`K359h&A@3K&Cpt(!k2FNfym z=MUSy?LpiZ1s>wQ0wmDxixMjfGaw+qzFms30cic!Mt+YUj{prL@-cfU6Z31$xHUCO z&_pga1>G*`iPH&T;Jy4q)&}18UpiML!qc*Gp=z>R!^~Jfz(cxa*In(q@Llg!?|?Y3 zpFe+I)6seMIVHrIVKp)`@^P<~rDZJ|QvEmAu{|_oc=M(m+H<|27|qZ-{3H915&hUu z-IMF6xLv1*{uI|9Rl`g=J?Qe4-f?gU(5=kNQ`C2v=8odFQs=jA;NEk#G>@?%$}>RL zC9^?_I2(Jo4#|pEkN_U`Jaqb+mXKz3^s$kVNAkgamDnM&#uuB=V9~DzacJ{KOfG5jVUitbBCa~y6Z>`z!2l55 znH+h4z{A}9+WPwXTlRqFi=GPDN0nSGEG%~YAmQBcp~+=(oYj{p$A%dD!Y)zo1+;cr zq!qT-Y$i;)=@I1c3>2KxjNiR`H~yNfTYUfVT*ueF`_+44(qM<*@_0}k9qeN+O1mJ# z!b6eAufe+PXT9Dqqpb4P!9Bmpj5xk+1jpz0hPB@3&%9*8ZnN4PRz*ji9=5(V4VQBG zYto6|U;8+5{fh(M8=V@B;TPx^rpo$~?b_ln1{7>XQMLZ|w=ZH{#e>NWu4BR4Y!6=b z!L0C6!ZQf?5a_ZpHxEutO?^6+&i4hzrJLpp=@bmzyZLAr%UV8Mx}rd%Gq&|~u+wT# zG2Pn6%uGSbVn2TGe#DTRD0wP- zdO0?B?o|+)^29#sIea3;v8TU93r{{}&$QrMpClNTLDk+qk`rb(t3&lu>s5&)yX!Zh zI`xJah#)3EFHw4S;BxWsG{TaDv!FAPS78YIyyu!s5CP_Cs*Ki{Kq_8#TW5zsU|kB@ zmfXdDIvBvnwSH;;$e-;Vxv?6{vp%gIW@g`gSNl6&(*#c<@!3BYO?3L2i*8VmD z3N*^VW+X4xEyrXv3}7U4?^mJ8-(DCn8G9+wBF~k~g@J$A!iTA|e%U)fW82|z|3Xku z^HrXB$DS`OIK;}>zh0at7s2oqRBh?Rb?3RCLT^Z=fLoN1gJ@G1_5IUs^yFl>G`BQx`pfiKopcrOc`Z zt<58?BB!UPIH`+wGZmT_SWgE(q>nbIKWcb%z?;(J7USCb3kLs!XX{Ok%mnH(F0F>| zdqWR+A5R*+iffLCkf4GA9q5#nmRdKp0*;Qo`wg!Lf`zgEEE0Cl<-8V~j0G**3_%!{ z`!CP?2k!Z*%p~#Szpfuc1-swJ;M?Mwm(>c|wfC3n=cZM>etl^d!u)nJo1sR>G07PI z#>r60hrXQHJ!>;#D0^J)5k}lU8fgX}_e8YK*+qteAOoxUEF$BVy( zzkAl~xJXD{fR1#1uKQBvP%+chJ{Zp?=lct!nZ3U#RANnx5b+OJMcOu->j0un>hCASU_)f2kghBZ6w~tA4Aj*b zEOVToC!v(<1X_I!kZHSQZ#WB{)&iAs^m88pwDZ(rSRAqh-eLTY*!D(WpzN_KBuLrI zx2>X#xfjvyz$5q@3_8ED#mU8W7M=OpPRw4iECjEoIdxl5lln#ApnNu zvqjm%7aO^uVD~| z4>)?9Dn}n7H*lneDG+UeC<06*a85zXtj5l@AK13TK#~cAtsS3=xDnBo=H~($Wls*B zyoo}F70Sx>L@~)G7Rg;Ciu8C@8XpVe8OD1Q9jAxOxGEr?DZ$QaJ$0P$+Cb}h=8qc)hY>N|3 zu49&*N2(N?MXlbBfji;h`(X1FqzQj1QXH6<>9>N|iC~s5lJLagTm8!uKQ>g>EwX#O z8~<{=@`eBjId6~3j!#Y!l#K(=#{}w@oVTv8UN3C!WL(!1utd|UO^mq2l$;L%@>{IIY{+4teS<4ebq_7-*$d#hd3w!ey6gSFC)F)qQn zM-(-+zC}owO*7Mb$A&n%B$eo}d)T|Z?+XEM!#MU3_Y#RB2EJOn760hJ+uN1rHvlBW>oEJQGqmZ1~abrwc zfU&EIk6`b$XJIzawo~tZbL0~8wNR_{Gli!SKOSm5OXuE@M+5brzj|@PxdAI0BzFp% zcH2GnN53E;=Q;Fv3lv>0PozqoatIfMnXIU6|MvrM&Nw6zyT9zFk|8MABvRz}+8C@g zY77IxNszON5RYb#Oj?}cP!upp!JBcRkrcmrmzb&ml0uUm<_ zXcj0qSA8(BRfQ3}bnE-goJw+fQquYeB%d`d_Q)x?eZ3;))!unlvs7=gZ(-~mHL(cI zGi-3=`jbZyFy62%{4J7@0Q}isq4>K4gB3W}(O&vX-GMj^_k1@W$Z65YlV%YUW@?jJ zL9nhPKy9v;dUNPdR4%d89@h5EBEJj6(<5brTRC^`-1#hgMNTe&Rrj@`YMxjj?C`N& z07xL<{smT@$|6kUM%7#<}A>TVIZP!H!gGg!)w<>%#wn5rCBfK?{CYCZO<)Y zqy23gV%I|Heb(tj=%~@767acc1l@UzLC;N^=W~5Kx>~;TI5iW4j#| zw<(S&zBOz32%JGjP?U%|0T(wn_WeWb29<&nw{`D)l(7FEz$@+G;2_q@4|CF5fVu7p zo~|2%XB^b5L&N#=mCzEtS$v0AH~(<|j!g)y2afG~Hcp0{UGByvE$+sJGh@ued^atl z!YL@sBUc+J|5W_JZ{sD3`&_iI^xkhjfa%N@oCz+v!Y(`J_Dwy_KK-gY zN##F1wFi@*Y?b(6f59&$wHQ1Eb?Cf%&aFzdjY$im5J?A4U4ubcw!ff?WP~I^&m#P*Q3e z`9;0gt~|K@Y)kcghy25uh@R6FM(8JHY~GWJo7$Nq^3MokjxfT=WM&f;`uznH@rJLX zYQ^k4S@~}(99L1lwD9>E|GMc+Z6V3c^mBk`>F?S;7I{zUji2W&?xds~QXX^Xj8 zi&w+(_+?+YzFo)K;9W|Mu^cD`oj7sg8~I^@md%{gl#6BeC7Xoss;wsy4BjMs>45eSq(eCufK1Wc3x;szvul))3TZ}7_f80sO^SGq(wP* zB#&l%YX7;%3Yn2_QX{EUWIRNY7pl6i%?9fv2>T}-Q#(qL7v0CxLAd{AL<}`)C=ZgI z-Y_5?uH~2_>v~%(+@4@ zrUDI8~iPCh?LcIjb`3-fiZ@lBV<@h3x&*iui2frfs5q%%k5a%dejdL(xd$ z@c#ixyk>0s_>opkO${ox{h3%!S9dSWGlo>rn6R)-6A;adHbmhk7FQM-RZjM`%&r$enn#$jIHNEP6A!yEf&^DZs=jSmM zY894ROm!7)uY14qUUAa;!UNv=3ZATj#;LMttHUyF>s>YSl~_hlp2Kq zbPc?6x@R`(^xRMB^sn3c{T!>sF}_8Nv7`X_|6HqCsNqggoa(O&%&bH@2+9(wX z^f_J+VUCYW>CNT9aQwB~w_k-nd19&Y06XiRYVj$(bCDiKUix3To|FzXsIPrku(2pV z7};{evs60Q`t|jP;bei^9$r+f++##+3qzBqZ2NnEKo76;$7q869==uupoP?f4uMcb z{M@;VbqynuvWH1uPsTkPZYUPEj}Ki>1fNyGtRYULRLHOuNq&Ah`s{t zg5Sd2+~-~o(!uYp+IHwEb+Ae-KJ9?8Cm5@s++C1c2Zl|KHf(zh)S8~tmcuOAdJfsh zu1l$RgJZs372quP%XLQX!U2;1e>iZ!Qxj?FZ11&nmhlM*3F~3ni4}OwNrZw1~B&YD-do&#W zNWCezb%4Y5jEK>Z?S;8(T5RW?W^^GpsYx??3YldZUw6HTw}Q}8xGu7l3dm03!cG9N ztv~09BEtU;dZSK-PamLzPYFl$X3mBUaV}2t?0eF0mv)n-H6%&gF5p)1+c)gtM6_M2 z{Rg|=t+Wj#YVKCrpbBZJF{o=L_rJ6*dl-in*U(56Brf!!hpn=GV1g(cI#Pt&HEL$Y z7@2{g^>PMLOd6-vlQb>`q{!sF!cbXjbnFOHf7SyCrsLJ#{}r z;nNT^#E)7cl4_RQJoYN02h|R|vC1jAiWJ66U?;rF>8EY?T9L_M$kq)k3W*oXv}M8I zFDNx0fR}jK{J|wPaGIMui4XV8L>kOQR_ZqO{`)-Oc?TEDJ!em~h6HqS~d>H|ilsd)QS!SED0G8285icEog$3Y&mZD6-PN0n}LHy8H}4 z1kV1G9IwT|ee5i4S^MvijE$X-NaGb19xL18=b<{~+$vlEShe~p?|zfv`*4VBZH+x^ z{>ULZy_jPE^gc3>OMEuopt54^FLV!BW4x=F--2kh?OUl(6wJ1g&>8Vw~-jM_i9wqRtzM^&?P`T`8}+oI@_$D#)jbMswEE7c>t)GHCt0VfKx3 zs5yqx5F>LxpG$!-OHH# z-OGRkstMrjUlS;#mtklqQd(B_Znu}=W}MY~?%cUQo$C4OAhvf<2t)Tlc47R!OQ8u4 zz(hnO(!7&%E7vXGXL@|~rffv2Uawb7F|2eG4KY+GVvfcu=2k3}kP&Epuya%SqRYQN5YO zo%HoS7XT8{N?QXX6t#{YWTxnD>u;cd{t3jAkisA`A2kAei`&_~5)u;m?pycLb8~~V zpcy*B9l}h|0(ob*{+g~IcGhTdCG#xfsEpE;eIq)6QH<4(uXri7KvBNWBT+V&IjnB` zm72cIl=Raf6$f(89i6g=&v)v0`-VOAt?@>uRScM4%xavYDqdb3Av~spb%gOM17A$oFWd- ze>!SlYk0ksLDyra@CgVcrdjlTcl$QK>#_g7>9exDEbZb_wrlhe5fQ$L4NYICf0;JL-+Ov?gbDTI-xXBa(k>1k(_zOj>Xhr#R9MM zB}(ldU+3$i@pDZs{$YzpqRvFne;G0+TF2xZ`&gKtVnsvy@L6T$sKe8ZWrm9fzv$stVAp&XIw-t0P^CRGUVJ%JM9fKM>MXdo(f3-o$yY?M8FYc# zpbJXT`5<_Hr~gcXRR*@5oSdApiVEqH>!{O`Ir_Xc(4TOz?C-%8=1DVnC^a>2#xcTKgjc$U>UdiE^u-m;3f_w-=z2VqqkULrB zy0X6muc|W`zCyZ~l195%?gdtZ4rUag;-#1Q*K#h|XH30P8A+?FaMKFgwE4hOM+l*+ zaaO)ZXsX;yy|}40&hE&lo9XDKl1-oVq@1#Qw}blEt;4J*emJ?dipv8@;EZL=eGyA+ zSK&A$m9HrofKD|{A*I5{PWOedI0OwgZ`y{&rZ(4sw>7ykS~HF~;ri6_xThQvhkv!M zejhlNEX7~@ntK0xU(?CSNyf@5bN7j(se6+X6T2*dJ>uoRdSJs+)cU~&WH<=Hh|u_X zNaLN#du-{-u3wQr*bN9L3#oRey~|>-Wqf`oR1lWcDP>+oiB+gyG_5&Kpt;n0E>7kp zs`GCg=Fk%n223&vGM|%GP607nJ^ajU3!jB2xE1VzHFzBPZ@gYkdp-i7>o^|=F>%z! zkKT~#`#anvV(#-F^lWkkeh}2t<=Tcwi=>fS zTyb(mPdr%FXjB3VKqcJPWQ(jpD6kM=<~GkVd^FrO2XVU5hIGUn+Wuq?f19g;7i#|l z>(0>N&#b%u=2A|bI#okt*n<#f=F)5K_fnlW@t-UrqZ+zyjKDB%Nt;ObW&_TZyi4I4 zN~PDg1Y_5s7-0gdKMZRAav-!xy}A6}x~FozGq(}K9cAAH37`~mIjx)TG1V)U8^@Gc z4Y{k9GF!0+4dmBiR+?~p7k~H<4h*81|5&3~Cb@{<6_43${2Gz;sfLRv$JlvRM z1}zkPW*6vE8#QhO5(upHOU(M=UpiKje!!PSF%kc%d2#xXkB4p#10cjF+q52HOTG>y z82Bpkjo3$h&c#>$n1&FAtiGjNO<_+cfO^wRi7iDM@VV6L0BZzh;6V1wX58pX2>+ap zXia%K`0qaJ;)A`qAWDQC-I9ixBv7lMKvM$pa?{d?3%k3z{(DM*D|g;Np3&W#lu_D? zJhuJj5A;iMh;|zrHOJAN>fi0Ur)+IszOaLQwb>le*{S^p`}sEgR%c29Z-iZZm&sjE)ohlr8eBm3W85S@ro zxgn}?B5u~HUT>%WEcMC}dU4)_#A_6>7cR1{e)S8`vtRl|EnX2T-}d%{-a-4i{2R{3 zDo$ccevZgpG&Z~=z9ucQ^+5xhwE%|=S+Hed&h&C6DVc?I#AJ!@8)UYzYXexfb^%ch zPIJ;H5H*4s1n0_l}n?fpzy2veq1U$H@j}ry(&%5?dCc4jKFle%+7&!?z@K28yUxZf zZ~OGbcgL;D%1V9Jo6(AvZl|1Oi>n0KC^_29nQ$%m4+f@AI(4LDkL-szNNEu<*Fe6} zr%I8+Q+aPpK*9a`3T?7#=Y61GN{3GFI=A2$RCKE@?0~&iU8{KDN<@a;9WEn*;ovL7 zu*LA08qmQf3IqQU#M&D`I^A#g-P)~I6^DtH`DcB9t9+=P+r30GaSV&u)#IwHY;B)3Ha6y|K;R+eG8KNfriZqD z#L=z)caE;7=lG`1BXlNF;fH5LNqOea%;bNcM$DcSNx*MZ*VScZG1oy)1T3z(CN6t= zdOl5ONupSqupK`kcphjUcHM^_`}x(byJWfNl9FFmy^36%BuebX_3&TJ@fZT6sZGJE zd4A%pz-+k+d&w}#7-feb+?9ox(q3UX600LJ5Be_wSnR3<+G=gJ&>`31=jZ3tKS!>) z_9H3iNErtbjB5VdV3dJLHuFj)Mb}ZhLN^w|;@MRn7?#+uL92dbpjOnv>a2-CtK8?ZPGQhuiHycmWmqsGt>h@W4WA{%x3V0ZIR zx#e&r)HX^6-wXbNlfg}xsdXF|YGPOEeG9$tZn2SMIxoinBlK&LG|W{j|HQI{Vp$1L zmTPrwdbln}jvw5F`Y#-$@zV{!;5NUQz@Zt8YV>sSApF?DYp#~sO4o1eSTXCNp(=) zKi(5Ke!$NSI80fr^NlN)d9?z2Z@YF#e(w0lQ7qhdHj{THdH6yr-)n#eb~c|2bKLLU z&-(m%e081l+~Z-%N8L@^Dw&C~8`>(7{mZ(q3RVdDE8Tx!fd`VU^TjpMH3UW{qDbpM z$h+`x;^N&8A3i8+Y4O=ZA*15Pg@3Z8KX=AtDb5SI`{pm+6->mu|44b#YVxHB4UOf> z#?}QDwf7BAaz5jt$>n;NRk!+bg8D|dxwx?IFM2CaPSzXCua}4AzvZ}H$@ZiD3<(Zio#J0T1CV*Y#kW$+eb$(7JYjh?y z#fz|a=~h{Jbab@gPz%~Uvg#?AiV3`!bmG#xq5G>@drjOB{GdIEaR%}`%vP*CfxUNn zsyCf@_Ok+-*lJMX=3+5Vz`veG5u18l2MFJY7BOAqb&Sl|jDK{6NCCVUD-=)h?vEVf z;Y5z9v&KzRI}Dt|1og89gJ*&&DFq=xmhBVoj&xYNmta#QjQdO{V ziEpQkIWfnsc!_X*q!N&bLd869ryIdNA{BELsJ`zvZ$nD02B%BMFFwQY*UrVIaQikI4v8YREX8f2?+^L zEtpUVwq?oZcrY>Wfk4xJs6yXP(<7*J7N*Hk00M}mFF6cf7}%aEI0-W~yIqtvN}zcH zKY$H0m1s^zTH0jl^GjuIZT?Wimomk&&Bob!9>FWi%e2@?L52q!B^UgNQN$MvJXFH6a@rKKgL z+MC7jD|k)PeqQU(#G6Hu12)j7TmWX;p&e8<4}`FWsQe*+XtN+-OS9V2ee&6Y`}ImP z?qAGZ;+rxwfT&q$zM4vQG?_W&1Zqz^75}WyZsnHwUQ`(_9IY2$MYYq#v~zd%d-CkX z)GWo8jZG82>npdEatnXus%<-2&q|L0kHq#gJ4)m#_Knt9Hb|G6e+W(6rV2k=fjz;} zT3W{!%Z_Nj312%l>U0XKRuraChFfh z&c0l)@Vk_=eT7&$JC`mfWinmXeC*CvU}}^9o(i^2SfR6#KqgpG;*f5~lft z?z@+GIA;o$WXS!jx-L4qOz(YK>rPj!vevg#d`7psQ8DxNCvMHT9l2#!w9~<|_VMcy z=ga*1qN!jGn#Ju>rR~Cmi!+5{*j+m#I}PhEb|lLLu>oy)23LbZ84JuTV8gYRV!4jrNlP<7i%b<#ojgfaxbpMoTUoW^ z%oLzJ;9=;+qZo?BF;&cecS7H&)O^rz`r`6if6Oh1N3er|v;fd(f3${>rocdPokx+nd`a?tL1{2t5S z7$heqvXkKCy&nlAKALM?ZEeJaCzBc6diEIwo_7e4?i>gfQ4y-IcIntPAVTT6dbdH7D4eS&x zyWuIO$w`P}5r3vtR8%D1q$*f1ziw=7JSX!c3aS>T|I+$6KeBaJUx;s)kodb|f&NK^ z730(Q$h5nJot>R9*~q!;=2li7_X-FIpv}g$Vg`wOSWEyB^{BHlGVmv76NGKMXOZIP zrhpNk#X!N-zQN~D=-ho(E;J$}u5}=8cN8ZkOH}{FsKn1v($JtJtfm0_%C3X@zQlBP zb~Xgm8<79?ZlN$LdDJvTNpakLJNpM-!NNzfu0i9a4WP#W1$AYqb|MgoP6e zu(GicKpY#0fSO@s-pGswUwXhh`tXywcZe_j4vdE1%{3U+sU)~`SaG$ZWQRUQB38+o z#c*&$At!RB?`KtVm#EtAr%HyvVfT7kISNbTVJ`qEgp)|l`hLF?fzgeDB&1o zNF6*sj6GXl6ib^u2}tR&uNaJQ(47a)3?iM*$QW}IbFnO12pXtzOnxQo&~%xUBQC}S z$p1E*348A$(oDl3FYol*{@-PLnt(l%14$Mt|5n6(W!J$di1;*1tXP)nDHTzChvGZ$ zuF{CmV&G4&$4}Tfx(RAmb*~L5nzk20i%>5gjU|X_MQAH%XfZw=T4g!*6_$33OPC%( z`(-FcB`!aC^5pMv3b*sZFr;#sgPFKn&^AN|(|>nU7)t@tXm%!OnhYyj$`-&NFCUZu z!zwoG*IcWaO~dyt%CnsO^>$D8!Y5N3=Z(!$B3p2l;0B5`x1T_#cFjfsCHA}H1ut!l zeTYls>+wUfp}-R~X&NQM8YZ$UXz(J>=KLXJ(^j49Vd!KVVhkx8;b_0Ls$fZe=;LXv0!-rCxGEpv);}R=wFXz=4Zo zMGuNVP^7-52sY*J;84iL#pQFtWEZ3c1|Cr=TfeCaw>~*F)j?s5%9SHmZ+qZraGl#} zB@0BjA1=$#;^VSHWN)|H&U6*`Nt@ef9%l#w# z*G_wE-cmAdP_>0yiipdVV93zqOvUa@FA4i^3PB@bRaXgr`mh zKikPwQn#Ct!pkjfee=q!F*)N~$fU!{#&Gx{4Vj(b%k(R_dM4LRLm-t-Ul@PRlBBO8 zG}!5}EfOs})BVRwavE@m)V*1QU84)#iPA&6G!Dq%^;Y_5^bgBAU-s2d2;7^z5?RMy z-Lzc~C^`76q7TEgvOxxkUiqC}UD6T~@2(->u?R$Ol{R2bKIphwP*chnD=>4*Ij^L>brF+_$P2>Ya#USyLoV_gxqE5IMck+BM{M$gM^AM1~iCtaJ6*x|SGt zV>7rXUFT}@fxzZuYg=Nar}x~t|Fx3e<3|SzkiPxde=NE06`wCC{A#BT=c52mvYbPf zWil(Psd1yv7dV{0y*k?3aEY=YN8tD>_17)pF)GCFA8uw9X@)~aLdQD)!c)gbPMX21 z^n1+xOT>@{9Ax!#N6<$c%n^H1JjYU1&89j&%&x5{84;KB0j#SuR&Fby4*1(EwY9b2 zCBK_w=H^CDOj!ELPA_0yxk56xA(4^@@WaPgeuCz75E&VTbWLE#`t9MDEiLjEwzd>j zmXR+SFZCexGBXPNbLHz zc>oRKtv+n=v~)4ba)~6|`y!^`8B^qu9aHLIGgmlJROq5;>yjh&<#Te?>YIC~96Arl z4_N{B<3SL^yA}_>3AaVk9*JZEckdhUj%|a%&1l*-e zrouJnRe#RRl!v1Mg3{QD7=%`ptPV4v=}XPeGNXj68Ib@ew`r<+(1LtgK>e+qmFi8# zR^sEgE~{hN?^L6(T!rx-z$Kk>&hTG7=`PYcNv?3~CE48yW#TC91=ZtaX*(Y)@v43A zw~mn(5)l%9PVF+bgw()W{tojm&-u$RaZRqG;8t2vSua7v(svoT%?ymnzm)KhvO_<~ zH3ku*v=(R`;vC$Z)>ffdy0Bf8syE|4f2BuwXzJp{xIt5Y-JQ^BbpA zZcT+@RQp_jGDxO+V7qi$tI$IpaGcTa(AgW>M_j0~`kQ?6cah{g`hox}wzsv>umnn> zONEm^eh?S(^6Kd#*}za- z$CvJIvuD~t%v6|U{2xh9bH;T zZy;C7U4%;4=H}Xso?fA+9Tgo&@i;n|fF}5;C)5x01228^@$WzP1?e4efVRyo%?qPW z-_s9M^ZqZTE*f{K%`PdpD;O?5WU6Y=7!mLcALrOPns{#W_^`3Zg!RXvvs67xiHCJI z{9(oN20byZ&lJ=5rZBYxGzp=iRn@4WWtCe14+l!2-$*=DL?Vdl`?m&%j8_;s^3XFyv7ED0!NCFG`#C|+ z@`DNw7So+X8KesL8!e-MqPYlDot?LN{)>(?l#?G$Tz0Vb)x9;W8pW3`I6=4dy&`BN z$D`<)q`?%;ePn_;yH1{{tVPAn?QGThSn7k44znqR)t}-~8_=T;B)O)9eYt!ig~+t0 ztdFEpVHhE{9H*xgqZz>jWDP`07TBJcf{d9%7wk#+;G1#m&Pe7=Z|#sc2Y{Ul?f;8i z0^@vM=Mnr7FeRO~$_nVBjtMWt(YfbX{CTpcirBQQtgPWkh2<|Hs%Gc`i<&3y8-2-> zx0N3m-h@DAs3;bp?GY&0)ziZamDKBU)8GUdpW$CigQ^;BU&lS!9^$IEzU-z)MQC)e zU}m0;%~Vt9_)wi4G0y6Iz=91bSJ+2GS(&82bBGg4Wqdt|;4Oe*lBnL1!w!yqRaFMA z@Ngy-^_d)$4=G6b-p$N>$6h!}uC5^iQw{~i#gE{VgMDVh--H(WE5k_b#qh%iT^UQm ze+^9kzFbZ^fI32E{{??0nI9tIk>@n1=WfC!8}BHb*{>2;_XRy;-3)^Khb4;3`nXR;E%!j9C7wTOh4~c)KovPiLLZ*d zePw$3TVIHF5MTQJ7g@4??}I2KC<3WDzMM*5@3|)%;Y%slcEYet>Xd5m8*|Sibl^&H zR{zhj5vK3kUdbL;Iiqn>i6bsr_vq5RzSTr%U#wN=a%@5#CjwIk7(d`PxhxOZ*iXKa z3rrWp#Fxf;GBzVTIeE$74 zk=oeU*p4q}4{N9pgg_seX+q{19WPv9_5bApRYj3TnU@Pl4nu?H)?SX`Ee?UFGWIky znWZ6iE~AakEAhup^|d#pOYlG5Bfe}J z)W$$n$QS);$mMk0K67vuHc?qy5geIO%fR{B)tykTcpM(i!Ii}5=%Jx6(HWbH`f1HT z0zSX~dhh928s;to(+X|s=c}Fm;7dgm;^Pf}CYFfM#5-}O{IbELFo>hid;XvN>K~Gg zr!5D(8^yOwzkjZ_Mq?<~*_Tc!PHmU%Hy;|OHi&-8kaSn~I2=`WGaI2! ziBB()0aJTWVmULnK&X`(x1RJ|-*652vlNdXVH*OazE_Pvg^WfC%aaQi8RQ3|3!}&8 zcj`|!mwxKnBjXgHDc+|a1MJq@|1&T}``5@_{|aM?V&oi2$;oG5YiMZH0qkMygT;ap z?<5i2|Bzqc-QXZc!?RgV7|5mv?qv2PcM~nVC{ttN}IYH_By#&{FDxziBx; zHB}&&T^D{Bx)48<0ruxh0J?F!QJ8kBAj>Fzkd;oA=f<9F}< zzA^3?-ybgne1UU#_TFpGHP>8ghn~#aTRN3U#<@6X=N$mGbE{I>9*@TD(DTaSdNL_F z`?|J1Ln%4m_+HiisPpXJ^UlN`TPuK%rFRD+m?ah^p18P(CSL_BL0S{#v*GeN!MzS4;!BVh7fItave0Vab!53dzOa$0C#%2l`UWgwMA-a{>-t4oussy72dI(=3~$TWj;qqZt7Eff)gn}JIZh|u2Whx1&OyM_c} zF#iw&;&YYKHjX52r%*Vzf>Il$25#vJN~XR3bu%V{*)suCB2zT zG?iQ3lr5P7y7g6=HDgroyRVblAD^|7tId0$t)gDt!#fn?-=F3xk)rw#`4JdhjAF`h zP&d&goR?Y3f4(Dyx&p*87-Iz8Yjj*Un-nQM562x@9=eYGzqrls+;#5K??22#=h_)v zrG-hl(o_Fqy3+efLuV7#>EX3y7;w2{Z^z zTdOV4`F>r{Bo!d9VAAV_T2MGtsa0?RC+qBW!L$NJ_s72A;KOf{K2J*$fjfMu0eYNM zFr)`Ck+F+Qk=|@H3(a^&i;GLhm{jv~czqvJQ0Ru#>Q>)9I&BGw_i5Gn`9IE5|H~V( zX93{s6mF@4kxL5DMFl*-^HPKb4(K%^uipps9dtk|nmOJNw&3c=r9qu5U{aF!7+LuP z$lU%IN;?0WY5$iBRWC?UPJm+Fn*6@M-GdL$y>(%^b9^h5ZqUz{m^(c~gCdS!85>)` zDTFJka(0Vukk75?iIZIkwN!cOG_Rf(432HEr6O% z>^L9mFatF9kKnfTFMAD=I@vinasX4W>-b-_0J8+}KK<|8gr-XwH>IaZhr?TDK~Zzz z3Gk)A1jYs=FS1*OWVr7lAPeBid+v8oVii$Op6-5R@6&-pZli>0CFXUtf54Z6B`2F$ zUaTqYVKIgpI!@7f`UeUSiF?3d3}y6fz=Vt>EyL8nbz%O3;pILV&C@d};fV}Ns-5f|BEPf>Om2(7FHe{}!Avt@3< z6X26u6UdQ+IuZ#I?go_cSicCpmWQx!YTl}*rJVCNU!nkwHtB^kQpj?oVI`>A4Ntr& z*LLRusmz1aK$hTFvtC>FW&F6>^p?b_>2bV=b8qht=`R;B+6)L&>K+ENXMgks8`#p>61U+=D|3!R- z#soE-XHwrS@eeWqH^eYrBxCdH7GNd!8=tj2i`hXagSXMvrOUj(~09<;0H%E$=VUl~{IEeKe;6=NBGxA9^bmP2#K#$JBK zh31T*(LL|y1OqP=QE>eH{0fg3(|*qy`E(Y>uSHdNUw1l~VSw6tm!$VC!saI&_GI6@ z$hs22sZjR?JuB!qRisN}%pf78QWY3HDiLGkzn{1eRn6;Y6l@x^-?qc+kM9;`kB%_S zv*?kWKDx~I=(X@yQtzeN>^k6Yx&^K$%USN$2gM6;b*b;sz5%2Y`Tjr7?(Z5na%*#9 zL4eByYE0*Vs{tl70@bL#8cYv8gF%%%eqs??z)TDM^a*{R8_25a5YcHH9ut~%gtQ#c z!fFM}t_*;M^%`hf8b~TERPLJ?8#6zbX_nfQz_7gnNr)u%X<_t-1CsRZ2}F`eFb+&V zEbKaaQdSg#Gj4h}9pmt9G9(Dqn|PNW*Ug6tiDqnx%^tbd-(M;YwaCksb>-(zRC1S> z-`G_U)l2gaU3P{_*eT)<`IL5Tp2`NK^+f@RcBD#g^D2q7#~_n|lWrajTUf?@0L1mx z$;nMnzQvQl5z}Y!E!MKIsYA>cJ!jLy0@|1Hs%!uK7T$Mxq07qYo>vZ#h;O+~7g@=^qQj z4!%-;s|FqIuYBGBow(m7F$^*kS4?7TngVtDWumD}auY*UbUzq9_>jhsAjiyAUesd0lloH!qN58hF2mb!WK zw#pr7h7OUIGLj2}K5bJgb8{pY2JWfBU$3<9Nqj+^@BJrv zP^i8+??IH(5_1ykrXaN5)+H6LTPhBJhuS5VXsdT=%vvS;_`r|I-*ImBB1(D%Ea3aI zuhAfGi`&XGBHMwX2aYdN7k0r5GAsVP!Jho(JG;`TEcwZOho2)c2ksas*oPdVbq`U9 zV-(OC{7Y0-RKREmtC#t*yrsZ0HkB9+CQX)=g2pJ&LI^Mb%q)O-IA)m}nI-XLMs}!>^X{_=sb~(MWa`- z%fq>L<4-UwqX(%u$!6i<0Ep406lXE|ZXFvVW61RM^pgZWNaDjd0A%nFDpL2rpj;8nMT&3OZx&`M^50;JQ`p#!PaAB4!PBW_8raMbt?mYGNQu8w z+Wz_++{9U)boM{I*+U0k0Y?TPFxzmAo6FEI=yD9mAHGCtKlJ-C0TVK4tFAHiDHR&Y z8P{d>6TIY&f11@7VY0s2`j&K3_Qb2)K<<*x*5Ri0{NSA%+cM3}CSl3z?@k4qKed&s zfVm14Xx$dS87&H05xUGJ1@8SqJL?fd@zdR!-SRt5_N$z$#`ns>^d4DD@}-YKsNkxF z2+-#`wD$vQ&xFPr&w`;Ja(7=&f!U_Fajr4r$9G3u%g{fbCfWK*iBMP`0gczj1~9G~ z0@@B}zCuiiw;B;-qNDjATM+T}p~N=eTzD!$r@xF&2b99Apw${Gi-o0vvBw;7rn#UA z5F9PW9B*v7v>u=mw#2hE$C%(1m0&zeglZ?i{+N?F?Q?aoAN^T?XbN<~NH5E2XmFEx zf8WxY6+?^xG11h@(y|2Po+{)kBfy%R#H)NAlFb!C9A}+2-p+<3M1Dv@w0`7 zXNTIw+al*@9-S|K9W#j3->iZtUF~DW+;nu0-@IVm97z#4?64Ae49zJi!HL;hG}Sy< zjrDi(AJm4=u-77lP`~xHocMxP=M&BZjK2Vxk1m6yprJMs+&s5J%ZO_cU5PQ1xH3kRlZuCnW`6&9eZay@MW%mk8uks!SL zyn_)d5v~*4_3hZwl@`Q`)YLHc!2lAdthv67gF);65h#E^DBuUbrZ~>!$H85C&-`alUB$*) zmzYQ_mh!Tai4%ESVaoXUc;X%y{|hCl;JmT?J#SoK!rDR#?Al~Bgxb%3qxO?r(iu72 z4b>^($|)~)X2rC?cxj#$U0EuwI?WM=9?J?K~=k77kloO(D%x+FTrXN zgPi3X>1u<^kI*}=aa#{sJMHoDk*c;XBdjvVHe90>?W_y$Ge5CYj(0)UY@d7tvDl~> zv-nW7?(GGy)Kz^Z8$nXUa}-cHxZ|{x9b}!74g_X)*;db)*LC1-)>&{sL z{nJY@BQFV+=pFWO=VUaY=pgk3N%2-obhVoF3$l0w<jgX5O!PPyv%GJ3d)=k67$Y4MMvEN(G8cLw$X>Q)BI;sz64@ z;D)tZ-tz6+x2Xv3Wy_9rV5=Bar;i{91TSh*4*KxlbbDH8MJU7KRDb^pC$ioH_6DRxYia1RR99Emga5`M9<6%DqpxJhC;}c` zrwS~f6>ag=T*jbSv3gdl@ItBaRm*;ZJJ8?n1&TzbuXCwCW>yy!JpgINWdKJ>ZzZI& z0W^_-d&(FeBi)H}GZl;*Q{S5@{XlQbJov)E>6NbT-HLJ`&~`^%!Nv6%Ia1Lzhhl}N zuC{2qjgs+M;qokIm*3fdZcYyrL>wpv+4U((w6b?UB>LEm8DpKj>qG(G=G1B7KI`{j zUE|nwbv)H@DaLf#_5x;U)7g6NORc+xk1Z*@B~ks~u-m9_yu@UZt-Jk@(1xUO51R{r z6F=y~BTf4|4pg@cG4SpZP&*jik8HXi;x_jk{8Cym%XTS;WtQ-zSAz~J zsV>VqIn9cLim}S@*y1ku-r-)3Tg}N)NruB}&NdsC(A3POjSHEvPFB3ElbkBnD6tG#t|_duOBvfI%cX7OwPoL zh8^S;K=LSI5lsLLk#8rRJP?nJi~C4<;6eF8tKqHlwwD)rWK>ke8s>Zy!H~=3kzP4S zHeKzS5UfX)HFqut&^&s5GX65v2Yi@+zi{@#7f|UW!9Rw6>8w8g8vpeLv?$pNCn0dr zA8hH}Ed$i1s9ubsX2zQ@d!Q zIox>R;x?(P+|aKR^}2xSrP!*{GHvLgFL;Z2W0~FbJ$el`!JIYE4GIbY0}BD&s^tju zU6t7_Ew$x6b+s>LUr`kNNWMJ#BD1k8y>PTD)c|!XSSk=FoM*w39cW{-dXrTHqFUd#U(|Z~vm^4gvr3X}UT+>ZEfrtIp4Rv}U$ptE zk&iDq36z2hztUCmp&10&p`qmLH&Lvt}H+zLimi9t;cZYoVvon-cqTV28{H zqQl(8SM%!BZwc#W1^N4v<#WcfZv5ITv$+%68l^V6!p0{3h$N%ET`5bWx=Z~yr5kUx z@B4?_AaN-+8rGgqotz%{IK!rQHF_6*yc6ArCqhFLvam?Nk}57vwhZs97)(}@++n_o zt!6gx;&O?O%J!j8WXbQHA(TLV$=u3{53gZCgnsUG^n^aVCt`-D+bQtZ9FE-TC%12C z$i?K=L{0sPGGKM1tEJXa&p|h@bS7l0J8qP=yP83@bXAh;VBg(N40cfa(5|O+7Dhn3 z@y&gVsrIP)>*qj3!|cUFzgb7GZj!NKwm^J(H;4e0uwZIY7V<-uviUC#E)YoQ~; zC+?+gHl(}3#YzbqUGw|x7jtj$yxI8bzFTzszSfafz9&a zP72|*qspIMct0M2oeGYLT*!r^N;=7Cm-DZoWAh;T0-Sc&CsAK1J~&&f4-LC;I1AiB z&^k3WMPRm_4wD3myUo(Fsb+S2ZjRI|7wsz<8sHFH^fURSDh0liWDd7(dll4e@hf#w zNnqV1B8*8bS6W*;abV{Ksh~t+rZg`GrdYP7y<3hf?v=LsdJmhml@*O_&D48C)(V~T zbVeh>&@rM#LBrOT6l~Zx0#U{3JgUGHoYXj7NLU~LYzxmA$Y#pPJ$e%>;Gz~{-1XSV zbu8a#c(;5&zB!;V!(uPqwMV`*WMS2UUM(Q<;-9D>M5Tf1zGv)yhlq$si8UfC;DGHvbGDt^-{X5)#iXExQig$KwwpGzKi;PdTdpSl55v#o3aIL!mzAO;51`ir{|L%b#zQ z_p}B}*OUjRSB47{shxlQ`W490p3_~tdx5n22anXVH1`G$7fXvu=NGbvvIgf<^@*iraB+Fw_=3fVEK#8M zj&r%`*AyiHBDCM12ra~PiArHe3Qkbtkd0mgE0-iGbq)*&=r4ldFBquSZWtD($h+TA zTVK`vpr)NQpv_$AXKXQVTtC*^`;5FYzz=?t{{hB+Rx{|@Ncv$JYtOuq`?E(s05nh= zfS;UKEsk-XukE^|cq_tMvn{#jX}JpTRflvP{oRQ6g4!x(uK?WUYy4^_Ir8nWz>c9$ zw}DYZH$U*g)X>mp{Dh~)0r5$BHLNaveu-~<^fK*^{QRaNP%223=t0Jr!W9r>VDpOu z8Zri(WzUjBM2Z7zrz^Ggh_EbfL12$OUu3B3j?H|A_V#;>0qV8z{w==RZN9{Kbe=y8 zB*c!jalwq89%Hn*P5hBKVpn}O&D<_;|I7XY(ZTw@f7`O4Ta)Z$bvfMzT))~^c zpk@=&yYAX_x9rRe5!9T{`k8HcY%^K3f_+sY!{lj7gW6=En39?rdz!h6NQmLwPl5AqODs zYcwgf=ie7U$Jn#?5d#RouI4QTjq`wEQy!DX+S=060>z5~AJfEzbZW=qtbySjX_d^| z`ad{$a$r8{L%AOpKo0>YV@lc^KiHLAm}J{`CPi5y<=QVQj6QLUq9$M^pXJRaM0Lw| z6nTM{{w{@zb+se}_I6#@%)=dY@%yqm?~qYY$Ni;2}g9y%BoDzRR}>vlzW zv&?Q&HzlU^JF`$`WlO#?ZqBC-QmtL?Er_S#f5_~kBd7HBYe>gz&d2`w?CoBwr#8x4 zOiW7PwNHHQa;xoNa9P8`o_lwxHqF&K3YL|Z;k3aYG^lTFsz?=OspUS)*$q2se5Cz* zdr)2Q5J5e3)zjMwiD&^=+1^@r>UNpQwsK=K`MW`s0UtF}eudF9oa<^Jn?e$I>5CPw zg+6L%_iBj5gsaLBjc<}g^FBSrlYnWN+eUwW_sa^bBB_K85#`gz-;UVTpOQ#FKdhNN z@pXN6l)yp+z*sva{88~Gl#`!pHuGJrhuibnG(GDNx;i?zQQvh19jFE7y3R6f9nT9+ zl6ZlnZ@w8oJ)c{3m&MrshdrX|tzg5?$!Xs>;+kQXc*>QQYWk(GK&!O0jNWV4ZaCM# zZ+TgL^x(4vHaxv99{YkC(aCmit}k6iS|US?36XLiCoIs=D%bGax7$BPnY84B0yMKr zeozMXDtlpB0I0cKLiQK)wInZiG^q6iZB(1FY*>}gUQ>J}!2kZ;J5wz|EEV(h=_WrA zHP*o$s8_s%o~o-y*sXA~E}89o&#y4%rvUwr0rty9A_*J#5im`8;s*9e|Nbbu3X|NA z%InALZH8ZT=(&wwSmmblb9ynU$JqC0Y0lIAP*kQ0GNVwb<_~=(S886EZ>Y4>XU}N{ zFX}+~6CS8uK12-?M3fm8YTh6x=hwrm!v2F(eN=T9vwp@=%oen4PaffI9cT%Rc@XN- zUvfRHF>c4f=>6=U{UhNVcsd1E|7@5GXj)Fl_M$+4$ggW9bp8Ue#wN)X}7(lrQDr6cXBcZfuYqz!ToK{k6+M? zaxjvx_Q%{{u~~C9(K=NUvqB4EEkBi$?J5C?3p}_(leoru-hbcvdGI@XM|G4QT5wvp z`z^*UBO;S+-?GEy==_2*bxYz zfW2LQFd>c?P|v@-IW#n6QlygC;Bp0 z82DNa7g>`2pnR`P(Be3juSD=hW%+=qCiA(V$9E{95E8|rQn(&0>c!Hbg>xKjSl~!VAENd$*^&?SXj005z)=w>Db>ib;)roKV8!^e<8EHX?Asio<)68~SWjXTk z{L6KbNOZnE=tN$Fob=uHtMx7YQ+wq#c+e`-x0gDsRXO)itxhJ7W-dFDm3*4NkXSFD+#Wee^G^I35fzpo!Jc^bn{27ghkmN`s6 zCwT55yyp?}#-+I)d)CW6%k>~z>rCxY>rtc~X z8=GHeR86k?$@1s#Y^}4_1Noz6+zocP6ufE>UjT!4>-F%WZz(vo)DzRm+zCw-eye8& zSE>D?C0D&II5_S`|2WO~^GglZt676u5w(s#esHB5b@B@Os67=_-jVk@trFM=nULN+ zvF(wo$|^s_1mBx}`10-@84DpG1v1N6giDb!6uv}vLM3uLd5KwOAf){M4h^5){Ogyv z#t)_}8@qmT@Y&n^M@L6yT7vl*Lleo;5sgN&@dzpN>sMPqc!A;%tfnLR47v99_Kv9l z3I3dn`gYNFM6+x(Yq1v{1~D9WUW$kwM2*eTn?WNxMV*|Yd=^9}9=b%E!2Q^j^$1Z= zb`Nl{r(keUakV6+9G80KppG5ED*3`e{R*R6Zhx*oY1TmZE5rIV%_@*VHw z!|{OCiJAx%snzhnjX&j^n5UNU{{*@R=%k4 zbb6SHX0~3l&N9RA!=ES&KrhXZ(eTxP*3`0r1p1iirK<7M6b&$16$om*216?n8(16Nos}&`Hxuq;)e*8S7Ox zz?LfI_(zj6EPS^QA8)Jv5Fp-Ez9*<$)V*7d>JEde){Ch>QOstjOH1@i>6WjRxH`(e zw5mt!K&;c(ORV((out~ppRuRqA1M6pit1XQekij@fP}!8BM>omWJhc^m(JMLR$raC z;KNLargv|E!F_LF^KqjoP67F3lH+TRg5Kb|bIvVG2iCVYxaVmoR*`VR#(eE^mdwM- z{UxjkcyMl;VAd+<3(=n|7#AljFfGKR7iUFN_|zn}F(I*qcQmA+EcPkMj05<{B| zI`3hvPp^fvegXGdc+Y(YF=8n~r9IsN;gF)FB*6Vrj+j^_liD-cm*Ygv$*i4#Staw$ zyA->(mze}4#=bWP-y{ojRF5d*d>YotyL-grJ31PouNxlHoH4l!v8l^%V^MThu`?y35w}=R-o&!5?cz89%(wNJG>Ief zdQ+INpW!>I@~xkw+mI}{!E_*NJJe*oL4%}A;#%vsVgPNF;vHsIvGK6>HVZ5HzNqJe z##*{V%ZU>ya%-x02TRC)haf;VI_c_2V!+@%it~Mt8gKzG?;Y2#+=Dvw!yP3Tzk6>Z zU@l^NpDQiHDPfC|kFR7P_fCj%aKib|cz{^Ig`Z_Rf`*!nPfym7JQJbE#oArNK$BhO zPLy}JhUy_a+2V+T7WFT{mFtx3@$>L7Y;SJ^qwwHHHKp5*;^Cgv>0ZU^?{KtSk~x?_ zzAsnxEHFdp)=}lI5!-G6B>E^S(e(xbVfJT-?m4n4Qi0ucwLsMWpFghuZ1*gtM3Z&C z*Mj5au)d{iU@R#uDY*k4XU%4S)m!1(FHsoc9Q^*`nhP4^g%m;Ky6%%S616jTar%pl zb@(Zib47QhmtMq_ZS#l9@Fu<~wiVD(6i(F@D@=;wD1LY|R

;1A`2u*08ClzR_eFq=fl9v23sgIZ|z(_I!jPJdSza-amUWBu-hY9jF1f!RcyF9DN_N0p@{=FQun=Q6PJ*i1=WMg zd-0uqpwLfTnlBfz$fE0ddO~9O9OSy<1+7+Tf*-eZbokReF*(|=7zhA2=`aJWmE&3O z`%l+MNMb1+YJMa^yFn(A9wO2KUFLdL5aH3;_5g%u*7JAnmwg-T1*SzCtA7X>DXoHZ zt!S~x{YZbCj1m!+WxBxXYW{NTSzi!GwDtAz05YQNmq{Anj($U!QBl@y-~q zB4hZ?)sN^kkEFuC0de3m9-cPP`}`25JiA?|TU~)yxwvB4YYV8oeEXMA!oxFR)dRfh z3}Y(jT>TB51@WSpc~6;xU-ngyn}w09u89iwWlVM}vR)&%vrE^gR-?6Rj(TqK>(|}z z&T7VaT4ENCu+Gr(nA_GolDK$$oVxkWtJ%F~SN?g5b3{`(a-GIfLFX~MR~)e7uMwMq zZUz!H+mnI}n23^?uUz=l*u)+Y6CZ;G-P+3xL-G}xRN2m{jI(Ac#W_wnW98MlI9rn! z2U9CJ-oF9zL_=;H5-i6{zz5RO*B6%dAz}48IeB<_IZx!LPu!eU(9}vbHMNWy#CdLY zI&>1vW)5;Iwohr7t`^jehNa8WQJ}7@zcZEs2otA+e+daD3H@k8lNNRuWrBafr7i#; z5aGm;D~uZ{XEOdW9R~5}=+F>8NHU_MqX7$6T*W5!G_5%g4tV`sn>JJmj*q3A(0AWA z>_{}359|P0H_1uvqmJQed?pdA8iAzsJv z6#8trG$LpfD)Hj8n6rr*@b?DJHhxoi_J z!}}v{-V70Sa8NZHIFu|p^r%02^s|DOlH7%4l7nPU0M24*A&Hn4Q#AXi8~DqXp#`&RGs56(*EJ$pLG(X*I}7?gRfnnhq*lcW`m> zMPzJjxas6>OiWDJ;h___$*uC%%UqZ7<(XfUr)9-KK$Owc(Xpj?XZr1ony@Mo0%}rv zqcBP!1F#S-G8<12P-Z;n|9Qjzd91V5VrXnE0d~ad z+S)r|5Nfo||54FYCL6mwV6C@UVoQO0^FBAXNwHDvQpAI(c}D@Zrd5mihZ?%sFNy<} zLK~GCn!iE222xlZIhi(rrJrMBB1Jz`<_-q}lhJQGZdXh2P1^j;?2-4u|86P3A*Yrh3ar{#xR=5?s2 zcNuuyZGQ>~2v~ym^pTVwTRq3yWlgtiDlBt&8eZ|GJ1!hVxsV%_rCNnKKdvVZbw z4I@J3r4ma^pBl9V{Zk|OCugQ|MFSl3Ay4E{!@J`RcfH{8H?@>sFLh&i-^NC(nUA)) z&t(_=g)pD~Ez{}&MbpKs;7`tIm;U5Uavb12%ilS{x*B)ccnuYWHm|7Y_Iy`t==OZK zw#NdVSNB;e1AjKm<$T;He-d`Ql#9qHjt1T53KZaOankCh{=w2;6FwwzDrnfllNKXI zDM>e>FmSR17{o3Q73$x>_UBc>$s(l#NO3z>&d+yyF|ySVNL|5cLlYAdaR4YrCnxc| zggzrqg99FM=qEATX02%ky+>S#h`)FeBBI48G&DZW0va@cKzbG!0#{dggk7BH*z~fX z#Wic(wMz5lSU#T7)CU0~wx&Po`5963dK0#{*JxBNAb4UL4{F5&%#{MY8K08k(m&q< z1wo|r1!`|V`VZ9P_qbPmG`^k#f1Z4{lxcNiLqnemzdK}irrLoKim$rBw6wmW5hFLZ z>b2^mvDfH`r+dd(S537UBE+PUzv|y(4Ubu-nf1*DQhieZE`zyw3u3E4nKOHF(Avmc zCZxJLB*#G29~84Q#wN`;uH>?%fg1wf`ZxdTBB8DxSl%Y`v>w&gxk_x&w}qHa>^F@z zln=V??0lR5{&ZM>#h|Hdd_nlRzE5}*Y@M8QLw{?B9a*13>fb9(B@@5B0DqSOVjY+o z+VLmZu9C2&Ahp>+LAUbVdi?rys*Rq}`&*d%{;f|OsCRxLWn0{nA{wDTKmBqEJRZmU z${=|=6i7)bsYS)iy)+=*rkzpVVOutJR&xDA@ z%LZ0JEG&Cd*vUB_1KNV|2))n$Wc+nq4-|9%*(B$m*7*>o(JG`hxMt`kq+*x$7r@@A z;bIWa6>9dTCc0)Y+!GLBWu%zbDl{KJPu8Da;&8k%0Pc!tkPIZWBSTOx}5k+4LjNYf>| zwHvd`ORly$YPjLTKNZ@O6+X}m#_>{)QxI5Z(XB1?vq?JH$^ct0^A;yD`TF&1mvCn>XZ!$qaUC8@%uNpf5pRDG;P3xPlK}f>nE*QnHlM(}e!4^Jv`;rM(__g{M*4#t zjTz0dlXkY&b<(@aHOmRF5fh~XnZ$TU3djGGFLd4p;y(KewRIfi7LsE_Iboed3UU;P z3k9>E{@{rjV;Y;=qV!fGN%ca70Hy23Bc~}3%qZtv`!T*vRP0PBTak@zvz-eCa*coo zM?Meu`mLn;Kd<)Brz|uI(g_M)hhxfKqdK1jqIcOZoSfc7?xWeeSXY;Z%%A1VK|(_} z5E@L1+{@_{4Pw9T~>z6|QHvsyXWl8t@c}ryrO4WB{pt68w z>hm-5xB3`t8~cu>Oa6;s_M&|wZG*F|gG2lG?;k!5%=L0&UDa!+#yJ+bR)dCJSxH{J z+A}c$6|kI3N_q=l&PoA)#4-Jc^%wD8Zyd8bN%|(U8FP&9-Ac{svWIc4 z-f@zu?@Bd#Q?b`F%5nsH)&A3ZZ~^r_nk~@*@SoKl&&VUbtgNkp$s}v*ZIIPx3&Z?i7=+=gS3fqnwoM>b8h`hUm39nE>vux=DLXxU+4Dr#y~+glzXubLF5%0e z7NcQ*#eby%KGrqJUk0g1&sLItBC1yaMTX{d9H`)P&zhE`p?Vp2^YW5KZoUE$<7U7u z-&Gm8;!mrBhhJ{1B;-9Z8VUR_X(oI@LXeU2XsB6kO0Kz5j5C_}WGn22g@A>p&`*wU z%o`_c8!iQ!4D;L5-gs(RdeP5IbBYRod0!uC#IZnq4~}O@ixDk2+T`6vO}o*vV3yc? zyQiMnHu-vP1|m}_J&Xq1v`|m~WFn`S9vK(t1q-tTs#$?mDYGm=_Q`kRTMv~YI;9?l z6H27z8SIWQSK2Mj|62_87s|#o+8Kn^Y=!5xfN78;8pcKERwJP)6~ZZ$fl%2atCN1> z!(zc&=6Dy=bwjI;aVmxPbq){dm;`r11P&u6V?u2U4)NwFxloXtqB$0~>IyR7_zpue zKdS7?4YmyIH3Uu%yY;J^fgPS6 zT!SgpyFLu*S&yHdk;X;kPgkNv0a)DeFi#-eb0B>!N`%CuI)+@2 zEu^M^LY7;#?zWDzy08;j27>-QfZG<|*~&kP12E7$AfR7aT_j)#zhWoJI8e^n9$qp1 z?Ol>hqA_B+2^f67NQmDa?)^3N7HCnsFUkScM_@$_j<`NL`T6bFE6F>d=Yf`#6sp&^ zbps|*oTI-hz5~1k(2+g{W%ne9v-o;w8Vf(;hoWymA(}u^WvJo!pjnVL=;7Amp@xc^ zL5M^%kOObG=j0S076qaU;JB`f?iJRpFRp|E^}O&vB@?G}3sKXrJ~qyOe0NIoSpDer zhrjx{ltfbnY`1(CR~K!4-4FR5Q+i*_ixhV{yTJ?;EWM;7z7$n58674}_wo+v+j*?9 zP6wb@7X&2tcD+w?N2K`unJGZJoS5(mmlbu5)zFKyI?5u^J-ZSBpxBK2uV47jT7M|J+gpP|a( z=f@WxX#m~M@qRDrISk-=806FU_V(WA<74VabEl)Ddk%x?fr*ExnBXdl{g(CPu66GH zAC&uG?5Azbv(c3^mBPQ_w!*@%8Co1JZ9p;QDD>by&4<>fj88 zVM63Qowg8E0N zHLxm;aKp~Pf#4PZO;JMPlDlUD-VB}Kjgq{aZ=tP*GaZraz!NDynpbD+u^!t3yLP}I z=?{qRmzT3`1lpbR^Feo<=KLGkZ$NdT*k%_Omo8V%Y~W1LCt8kG{G^D#I|P8Cp00CD>z03(Fc)g?0OKhJrZ9I*fLzl4F@v zYpOQ}#ax&pZRLpTGoKfC>BKmbWKMXkfXN4iW&2vtd(_b#TV`V#1tuvvjCIU-Q%YYl z_s>%?jE0cYEjqE0hj2jn$+%Do zXDl4>gB&~!S(7$|B@tB*`uWC?1sd7t9UsH%e>X-L;lXb(7v_VD?+}e~E=1@^)1Z32 zXFdjO->^{K3uAfFJk9$lzZ%whiWB z+p{FE^!KX{PfT3PEk1k$|C*h$l?wwI@bx8z%IKwa_^ozT`%;GLXaNbgF$G(P@bS#U z<3^dkwx5?#mxgMlK$BRq3L|+v#J(RR;JK$-A+0knzBTf?HagHtI2F!N6^-m9xe5BDVJs z=F;19xEMWWtK$Wte|F@}mGzQ$d=8K!3k0yY!QdaCc3|K8*Fq{a^+SR(TprxT6~HOD zX&)&g4jOQ1QULto0{=!2U~9K<1mUzGj;5tO0bWj;lBn)(N|CLO8$QPOl{%yBc!R)g zaWRMHz>6+_88)JJM5VceNZ0 z0EvVdQd7Jb&pGywYBhxcoomkvq^>-uUbt@(Z-DF(Od_5cE4KlYe4LmlPY_GU8U>L* zH$xhm>rb3nw$m-PIo)*bsBpZ=k};L|9H zsqWL`?pgNuLz!(}b8GoUTP-jcr13n~-*iR8#?w6b!Pc7G3smOthGc2T+dZ&7)ssw9 zQdP@F7$hyzZMc`bD*D zz|pA)1CG;lRd>bFFe&QfkT4wd)U1JO`>dbJu+1*H#f5c2gZ>DJ)&UNI6ck1Ov@Z1N zE9rvjiKfb6gHQ9X5Scq?5cSlf8+DQ|_=I_*^4BZlr{%R(E6l?E;HS4K;#paV#>cxN zZ{ExRS!#ci`~5}N$1eq`Oh5;iCMPFnP9dkhf_oXq^y6XCXYFM$CdnM=sI>QIb)Qa z9?+~lY_29_w*7*1M>>ZV1S*khupMb@a&o1yiI1NB{WJq}Oq67gnZrXI8D~u*OwO1f z@KsKelLrRa**;D*(5;1!ZGjRxsH8)*9Obx`N7w$$sh-g9ynNiKz{~xirz;Soa5}bb ze0=IBK>Go9t0djT=f!KqTD|jkSC>Kp>+7ND^Pd(|f&DA!DAx{{H>!z#bfea4ivlsZ zWx@*wYM9uUjN|zg6S=twvX}!N;8vS*)!5a_WqbToah4M(WyW1G;#;2|p~*LHkdwX?fh++DZq{{@l`MFOLQK7Z>*tfe0kJ>2vFttQhg zxs^Z#%I-!1t=E5k_dlPGaeL6kISR+QZncuG(w}RpQ8-KDvwBN^`HZ%}R4UJ z0sl^JrVj9U{+ympOgl&buavCRdMNzGtg}FKzRO?y(~D^FNQS|LKzi(}AEjx7tu=L+ z!jIGJj^VTYQp4>mALXPI3)$&ocK-UJqU5FqVXK2P&F;s|xy$qBfi#36fw&+PtE@Byxc4!hw(M?gbBbS-$-V8Nmg*z)#SE9-I0R-@yfP5@GX z|8d=froLTzxZ9_^wbLs<=_rA+n{c`xDwX^@UTYBoZNc!TL_!{4@CT5S0UHuor9SAe z$Yvt(BkVS!4epd`u6vEtO~E16pnlc=O_?G1tUAk_o0ZivHD<_7_K!jXUgZczXP7e$1l!Wy+qqh$IU>W*Nr=W>bBsuq~{X1$DIVtp%pHogUUbb zx&CdK;B2No^H}#K%pk1xT~sa9N=5YoBMR={zi&`%q+6nb4c2&TtH9jc+#4)1y6VnA z8NmB!J4XN1v71j*EN(te(edP^W>83LTVj;bpyw8T8`x9fWD!<9Mp#|l^U4>d%a`UP zQu~{(#xQ#fR?Ts2`N+FYS41OzP@V)=?G@+p4?ig*{T)d^--gm|89$;v!IMt9S!ELY z22!eEvB0`fy=05jn z7Bjf#$R00A)*zT?!U`6W(?wZB=>0_W3LiGZz@b@1)Fa+zGqJRa~ zwaJgby2E9vcQzZ-8UDMIyMzEZImyT!Kun#Lcb{p4V8Y9;5C8k)(>tO(X&I zWn;@5!H_zgwC$yZ{YB7~0Z91X#v|hP&!_V|g5Y+A)B_1)sIw<}DGfTvq~rVXcg4F9 zmW~At;Dhy}+sNJMp+*D>fBiS65WjaP6pXoVzlOCIN4|!bwLYpBH7NU+gFYYj2vF!! zu5U=V0%6nILak%=Ac@Ll#Xh!6zUU{Q(JD0pM442seg7(9P+(isn9AG$Y2SOp!eIb! z3kF5VgdJFGq$$bX8hC)|ZH(ZtPZx7ec69{;i)3b~%on+PRc84i&FOvL05;8v_8vU2>76}1rhe@~6-rAh{ z$-^!OUc7CSf4a7SX{T(y&Vj#*KFHOMZ|o7F?rp3z?w`^U_k+FrFP|F$t$RPb{%z(W zFfnxJ%8Ty3hh_>a1{;Pcm>`&bjjR+6{nx8Q{u+V50eJAXdt8aTd=k&nFR^h*6eR>E zzrI(%*HPuvnVAEb3LTBmL5EcA`ms(9zxlgoRE@6U-}R5v2Nf1E62!WgaNVHfK&Ob-roI1waUu@C2bY_4 z?(x9><@W+|+ON;c$50s)8k<|*&S_nFHCF5QGW9e?902-QaV(cMIOwmVqPnkauA`Z2;BidUxHu6Ai zDz?dhen%P@cMNT8l)+7Y|b05!vk5=jhog4xTbw#*xDA$gyns4J1>tA^Ts;Nk((D$< z@gvb~Y6suM)_s2m|LK>rpaTSq1yA;KEqT^@*w?_?T4`>6J~=mc{Xr7%{XM9Dc!vut z1MjsxheMlc0^nwvz^Z&F#^)~7n_x1hV_vfGME_dGP`Fx&p9_Mi$=bSC0tIDINcFZ47 z5Wal-ntu7QUv;E94-BM;&}2zO3+ntDGp{y-Ea=?$kW$~&MD^_1GteZ&;GO`vY}!A% zA~{+@fn1i~0zomtBo972WMT+7L-fdzsQa5pNEn{X7HgRgC*3(Wa#6@XUQl~{3>Mn) zf>xH697mgAe<$Jv52~HY%+JpUC+I#sfjGg;%t6|hc1hKs!5q3Wg$_VnT?JwOnR{9Q z6&a(~R~uMgU%!)#zA$JeV|Ta9%!^xA4d!9Y4p!Z^&0S5-|EpE4JZ(t*_{)fnA=3J- z(HXjMd7n{d?NUW=_8VrZh4AD~)D85MUeq&*NmbTUNc%i&-5 zghzD{_`0h5;OjojJfH`PEWVuRnoWzC*^V<@eyYq$BTbBy#Q_L)hIWLiJ_AZ zoKPG@D~p6_Dw!tt>YFhg=`&5!8L|p5yu>V$yI0_!XH9W=u>JPBv{939tAv)Kk#FGA znZ}c!F&!N(?t$jnRQhuegda8tFP(EPY#8Xj;Mc!oFHPkW!wPXZBERi9Y*BTHz|tw} zE;)7W*z_AEvr7LN~H3X|V` z3KALk@P5a0laBie4)PZrLPfP`J8|CZ`YLtj@MCeX1`-105=IRa+k+Bw+`s>bXkZtP z7|7woP)wYL(F{nngo5AsFLqGpW)tIV;-l*i5T4bd4VRXe1#?+~1DA*!PYQ10zs|iI zCjw^MOXLs2d|0(?cmPlqiFVVGrl6mrDwmHp!omwLW@9Z~6n<6xleM<@%$9KF8ESf??JX*YrxaNKd_nH zwcCu8Yp|{BvEKq)MBSCSnWtM(=+plQre*X?_p>}iBK-}f^51(z{(1=jm>|ZR_SC?@ z46as~%7tiqld@zs@lOqnkB+7)rZc4GpTpVoh9L+tH*W$#%%GA-3GSm0(a*%^M4IY9 z56sf_4KzJI_9Du$@)Pi2?Omr@h`3r1ztp3zM#t7=qrG!TFK7WdQUu*7H6qzbc~VNB z<$XMV=1e^3u+Z}oD1Ahrb}oAET%>$2F%IS>KT!mm0lt{OSpt(167T%vA8f{AGHjq_ z8a#{G#1?3z2p0~u!+)VMfBsbQ=)7tEq@l4-{rWWSPIUSmSE6YMCx3*Aj&3Em=Ec@o zPglIA^6YviL1%YR&!g>%+N7%G?P5AdwcG1=Bzvotxs_C}zCCflna+-C^>wYX|1xUL z`$`fqKe1Zf0pO|Yo{y65gTLL|FO`J3St2!|oHWC=;n^-9c)K~7nEJ6!e^F2Uh#MO- zn_3KELyU%u4c8YOky9VH5slf{8$24X%bYPkbQXfN1U~ ziVzDB&1XYHrAGpQZxG=LCx%OEZf-_|kIIltaGYYe`@8#YWMtoI)rq6OmuO=M24rNr zIX*a)KZWxjqxnaeQVuy0lEECc-^7o^nF59b#ud1#JEibHUrVS4(E&XJ!#8M!%t0rn z%5lFXrcpU1Jxa>HrE7T;a;Y2@ovf-x(bLwhA)BM&C;sh3`x` z+0A`(dR4pSAU1@>_L|yB&cou{w(Yp}$kXucK9`O1Z7Z3uHU<}lEFYW~&=vTSdD-c& znd4Rb-@#VFFR*phg*5MNYmX+1&D_WPNf1Av;r9=Yy(0j=_>*Yt-(Jv;72RM(Kvtx_ zwo_?xGK0|L$2vN3NNiUF!j;OW5{5v~C@Dea($>-8!yicoXQWbKU4QbrIf7KPva`XR z{T|sVQL|Bm_a3By6cO$OhK1%XN*MqBPVhd%G@4H=mk$rS`pUgX_K$$R$<2&Rx50Y^ zbmpx4;!eFbu%1dS#? zOEK$hrV)F-WTlDZRrVwCT3vY&eTxk#L(%@NFq+0jd|^S@_K|=$D8N|5eQ-DP@0bW~ zVj83?pG+gnmORwFssZG&StX~{LVE}=gg@vm;Z6U?woPEEaX;R#ZA{92XFpXDP7m&p z->W7VwL1OCaCqTmo-;Z2Nu0jT6jEl6-TG(xpRCuD zk8n|JJc zw32-FAs2}iVK{VvuU=%iex3Ys4agQJpjAI5JPQ^QrWF)iL8?%%!*5l{>T?afHS6kf z?R)P0ltMJFxq!9G<8-7Tcl*#C6V6k`OrK}&p0B<9B9`3kzy(8kX?el(KTd5j2~x6T zyG`^}d>vCxzr`F=|0)EKgsbN{SZAcJpreArC`_t``Sa4UO%BUv;BUd`!+p z`-fICi#4K6G%Yjf6xQG6B)3;JtD6FjFw@ed*pQ13wHB>?>)P?Hrp~mDSVlt^a-yeL zyiUb-z3g)mhdwzRC}(uSMLDVzZBx*x2awKmC}nia|Dm5TFSM|8$4**Eb5S7k=uSPU zRQylgH6AyAuYHoVj4SJY#TR6BR8rWpPTtc1Kn|B>o+*SLgkvuk_LKy;@Z~Hak~6#2 zT(pN>z!s1wrWEc&uDYG7u(0qtE9-s03#Vw!E!06ieyXMA7EFYYO?qXj0LhZl4r643 z81h}V+k1ArXz`Q-x?pnlyZ$Xaat#YQZ}-feU|(|++aX~C;_N~U$w@2q?N`G{RAqqo*xJmPex=_Ra zJb8DchWd#%LFGIX53gZ|LWK1ELjqTG!9S`wsx_3iU7%zMv#Y8Dhor`l?z3UVYG(=~ z1&tHAW?~)I!;(q41J(2N%bgV2MWYgqKcs$@ckyllIb)#KmsbdE~UXk}R%DOhL z)5gwf)8#<6_4KOPTnQ=9g&CERq6=8ZEU5-YM^S`9k@jPy9tA_Uq(o0z@YB#h0uU50 zLbiLa{s{9kc!}%}G|ahi;7|Sgzr?|P7*V>#N#l_SrefrAA_1WxX*Ea!0wAjO_w@T z^f|Z3>S>Myo*_+v;+M1#=XijCY(yQCRKfh0P_fH*N4RUYI%_Le7&rK(?>PSj&A(_r zsP_2?CkICeQ*gCnlEScSV{dN+Oc-(lDru+h$Y`fE^3Rtj9`nxrF&RQA-Ahy@wz525 ztiWJoEXE#gB`_9l8dh+^1f%FPrp(rRIMQFa}#BDtKt8<4?mbwy~BnPAR<_s@l%_+($K}=@X#@ z`?&+ly^%k0S8voF|EM)~WF7Ei`9pPgYSa_HDkRI^Bt$DTai`8C^<3>G3Rh_N3qbYq zmsmxvTarDlu;rjL)$b%Z^1aELwCaNIL^vuh2jttYAW1<_A(K(je>KVQ2* z7s$WQf+Ld-)D4T|xftBSNJN&9Kv;e$xOqXJJuW(Q zQ4A-@^Y!sIHB}<|1dEiWAfD_Jt%4|ULDizkDyV9X}ZySNU@DD}q5UJ{9IsEI#%A4)`y!mwms40td= zSV-secr5g^BrE5ci=eBHysjQG&DP(q#rB(Anw;i5dMh)J_R2Z%Tvk>|q=yYi@t_&L zoRgEPzU@-wmSb$EOscKnTITu2`kJHm)k4ri53TfW{!L?xH$MkyR>!By!w^Gyo@&=2 zDPHT(4WkK~4Y8!H88r$$)6=AZx2BZmYAzXSuahZE9*VJ&Tqs=1G;IjAI{er7ga_}* z)fq}V18k1{Yy(jFO{4fwv)3mLv%&y~ujNWm39xF1(ZmZRI`;peWUmoRd#HHB>Oi+s za))zCSr5|{-WB@7$kR16MOhRnt5#AjM_@{k!Dydm&zD%cxf1zr zgT+n>k$XMf;+4fbX1GmK`q2aeK(qGeEhC598Cb-~Fd#o%V`d=LIC%w*>_R&c_%mUY zhEuy^@OJWsF^s79YEga9)=-+ZAN-Myc-~x65)yw9Qv7;Vxw)5+=6Xb8xA&@YIfMOv z>Gj_sI$L5kwwMgGCJ>PgWUZXP-+^&F@LNe-T(!mV%w|lBjC4m4n99RU0|N#t zrsNW#XP!9#s>U3L4^tvHIIk1v47V!r0Bez~DjNcLkeGd9Dbg?CR(ssCGjnC;h{572hx9XNkp^basak zCM!c)C|UKIWLErkCliPT?<8wwGJq`*uLOCLnGFvm+@E4LUhI23>>s|W5sX`yV(Yh1 zIK*;O;?$p6y$Qw}qhxDgZ;adx_q1ICc^OCD&yc=a2pTD^8CA}{WBhtSsQo&5w zVpf;C<@=u~`c;v;jaIxnFTHKv!GpoGN})X%&O>+Z<$-5r7An`j9lWqf*BHZJ`lVJaum59=!@<_`w$ldgTmm6oImdi z2?C%6WVTp~RLA6`p@NFaDMUZ|r8ZI{o`uBhduY5blo-x?<;a4b0|)N|OBFPr34Q&T zlR1cW=7UA~$dw{_Ay-OJ`gJ!^#ee#gaNps=vuBc_`e++oqYA?126dok>&DmzUoMLv zp;M>C&8;{~pXotIy!(DkuoO7X$#MSSt;O-5p)@SR-r7?+W?w_^41qbj1y2_#8QGMb zdm3~ZsR;iCi-v}V)|9H&LU#@%=o@dwrlu_KRs&avOo>`-U=6RWYHs%bQ;3sTrDW~77;S21N}@oUSighg)7%D#Ed`juvG2U=P@8nHgMOhR#Px5%(j9V zNahfKxMp^+LVS zU~>MH_u}Fr#V0P9nLYQ6cjZ|U?wdWQfQR)Qk`GoFmSead_17R8?Dbooc)rsfNWz z76r1iS2}%I`8F6)StTu)I@~OVcXT^&FQr7;(EFM3Lddjx{J8hZlmw!3;T7U;vb36L zXGk9VdEkS5uwGMgRHoc##SRRMjCr7#`=8zQv^v$Na>^#ywqPhJ2tQBmj7oXk8{Q?@=E$tpJfQ>7_Bpg+pIXF!G@_Te_tPo6Jz6PULjci_F?30`G2qe)E zFPr;g{OERd%Rp7a`fE&yggasnHiwM^!psW>`x*TNWqIe{5>5tT(0iubI{@F1@YM|d zrGHKyv0naOLMs#m{dHjA8KIJ^MDCcUzQj)iY<7M50d-4_5S>#eAS$>};5J180PS48 z$nn?D+6fa4LI~!(%=1<VL z`=C5nT#HbzP8+)0XW~ZXgP(xJ7iQ9+V(14fM@RC2xJKP>bC0)R`eNPeF@^@;Zf(O6O)s> zA)_v}@vJBNP-}Ns9KSiVBg1!?Un7z;(yl?Kqf&6ix>r8>p{rD-rviwZaVGS$X(UuhW^Fo*Nv zi>yt4NNrL>An`m+qnH^6@g%ACW+7Hl8;n z15*v6xsr!>+Rz<^mB{$fS*r41lfC1TPFi2R0ZinD%8?`Oy zhN>b_X-fH<-H>k$RZ2uCCfX*1~g&1^9VW9c-Gi8>_NNl!D zvbcF+GEpsRm3DaE13~vtnNpaK&7+PfECk(JgT2lc0Lk;gSHF!hrGR~98%~XcTp#w# znym>{gdBw?Zz|`ODWjvwe@c6yIV9A~z~DO?INmL1BywjjeGbaD-FX|thwi;W0zOQp zYdq)4t|g>w5V7TuSUXtXQRb;$B9pzu>`k{q}gY!_( zCG%gxw$fX@f!bkS9md5fphU8#q}_CWj|_w~U7Bc{!4TUOqa48Myo!L$V-Mv?9ypY3 zv}kU*Z#iB|OFjAHg9=u`gR4|(Mbqq&XC#Mf9R!zfun2>&VV-iGxYWVFQOz3YDPQ?h zd15^4ZMBMaAmF~v#6$r_X4jsEeympr#EjHLLrgtxq=Fy*%=RRZ9G-ZKzw;M+E(>B7 zOmCKHU>qGAGsVDIJb(6Vnj*|8mT*{N3>1I4&ovO?U;^Dt@TaJfTF5Qb$^h&#LKtbq zJ~gdm(uP5}wI?aWsgs_%u*z`o_RlwDB|$2SnJ^MT$FK7emxJ7eqa|4xO&J9bF|sfZ zfb@G!IU%>MNWc1`m67hb>CSkTfC3xIaBjNLT3i3{1*F;J1}4(Ohbf}kQ zqFvqO7Q!WIZ{kXR&zRJBxjIFq{mkOADspa{vH}EQj~)B zZnTDrW5dY<%JsyY6`soAr(!lJ8GOcBL7AI1$i*p7Hg?;RDTI zZq$ER#89E0U?wOfhED9B7w@!(&L=XY>^o`YHBuLqw4T10<7TBGabUMJ ztD}c(Q8o%lErK&Lm$>y5BxaiJaSs)m?QV>PYc{n!P_Ad35GrLh+?u%=;+G z1st{B>FTAbY1H)VnIlms?lf}m4HvJ{EOwnpKCA@BLI*0!mLdsOrN&XDPIWKNrwA%veAD(DaeOno;M~ zdImcS)yAUgqFddoRY4Imk*;UMYK!il-sXNRfq^%|bpW5xvODBjpFUCVf$gB1`Mu`V zBsCM|7K^x!uSxz`yh)Fv#HLM#+h^Ct)iG}u7V%Nux4h)ZJ8Ek2j4IVoVvTs;yGJqO z32|h3xR^CL+ZPojrNo8pAN2BP)J|vWUO2b2KnaVi4*Ouz7G|szYOP^zOs=?nqlw(k zu2M`@U6oIi+9$N6{Pama^b)!Vr#~1!uC3d`N%PX!Iy253udV$?Q#>3wbA+5+JLbbe z;bOe-D=N`vy_n6k)rU21qQ8qw+39}Dw2PgUGJ4r%gc3$`ON*w7i41fkgk&>A1lHHr z2b|tQpWP|5{An$@cvSuWaLD+C-2o9FWxWI#XaYxdMTSg?zl|z}X6c5@a~aL~ji|PE z8=cPdw33{jw@fVlx5MV@oqwXX{X~tM)5jWBOj!YQpnFiN&3TQjZ`qgBulSsdzx(ck zUA%dU!RMoke&1Hv7dw}1#!_NhG;i%Ld&c0n8F8Vwci|TA!Y$bOXG&Vp(X(Y~)6vo0 zj4l4^O*sNbYZfK9aJ(gEqsPMb?YqR-v^C zM@?1N_U)(ZiZm_ZfPV3k{-9a-dZ%;8#@6(<<7#gBdahBC#njHqFsCp)#OxcsA5Gi} z897x{qM^o3M!KD>LrL4ooAmXo=cdgH?a6Zo!1fLJPoLO(_B%FO;&2dVn5DrC9LVM* zL+@VJ#3yE;r+1^fc<9|E!2@`REaUm;kNsmj|7Y#Z^pjxY;x8=0U+mrTuV1y(@ojl8 z*;&Bp-i!< z)+giN`T3m~(5L6~b?@|eZR;a8hR$h~lD>GcQEj5|1+~FQe$Aiu_)}u}Xy$XTF!%Pf z?K%b32M!J@Fn3VX*tpg$di#D3kYX_Bv{#Vt$)XC%r(`V|?JP>xj8@F*3@{R>SqY+k zt-by25S^BA-W0zp><)d*G8gcsc%TTw+4*UCx`N1SPcib~Lg{9X6bTKkP$CJPxO{3d z1H#N|PVF>Hqjmemma*sCj;AROVm)W%ds;d({N&{GD8`)F+M!UkPQ!T?^t?uCmM+&zuNN-{MNv7o6cGc7aI`I~xI? zU!F;}DikZ4ac2AqYP{O7AoYpU_}RC+FYvL$!Ge;pT5n?cP4;>^dneRJw#z`vR`w|3 z6HyNG{*~dBHy>_3nmMRXSroPtXny^hBP5Yg)*z-zOiUEcRJ2%wG1kb;R+yO9M||=? zv(=^q#{c~Mke3rGQc$est)bx!&54ZqDtZRr&)Z$|uY7U$vTRe~-8{LhKIb*j!Hp1G zqjj#umLKD37r`tXF@&W@gxq*k=;09Mq^A9hJdW6t%#4%HRJpq1!@$1o7W7jrh^xfP zOtdq7^zPxp8Vg5wmt_niGwJTT`u&w~h(CezkvP5Q;@lZ4D}{){i4hMcw(2duy+Hgg zFN_cKx3EkWfAiQ|Un}!@$(I;Whz=O z9)EcbLsKsxE01dWJS*38*G{LVahsYgDsquoZ$uSswenceY%G@nR3Nhl-~#vXitNz= zl9^j!Pq0+OkmoZkCY@8v7=_YRN_W%Gs0tFeN|YZUewD~)VCJKUY@9+F$i3ei2<59* z*-Ea@ciV)tyzE6W9>d;yNO8nhYsD;-G8Hj#_P#VjUjkk$4o(e?$ySEdILWtvq8yA? z4xRLhohBS~o+kgB@i_?tOgrbM`v3`@e6=_rQ&Lb>^#`?S?nDpq@bIvvg~h$wLPB=U zm&Vv?Aa0)dzrc~XfX;y8fteZps86>mk4WH`74f9g2;Dw=J4G#ezRmFA#&QYENxq1A zFu7J6vo9}F6e1c(5Vj9ym9U$4Q zULODQ`W$q!nd&aIKYb;Ia>|g6f2&5h7?mK|F=3<6>N-Sx$08+EDVju1zn&qObm08_ z#D|9I=hGO@6cp4VkyC+fZE))!5m$kJKV8%%j5jPgUYYXlJf{pb#M}et_ug@#8rx;w z?eEa65?k~?qo%)qzulRHS?&U zc-A{V6<6Cs#$YQ05xellc;`H(lcZe<>DjaR60&jYpao`XHxysyJ|H0+XLmgiEMIDH zrjGIw7Yv`2rMIo1wu!tK>o(2YZ*FTk$8X*|XsV8nujgI<=!Y*0hi9gmdloGvZDaW} zXdR`bS=hf7>XVVwG*|q@W%*w(rK&WO4ouiEG!AvJEDx5I9i4B~Il(fXLmijlb4Tla zY^d9nOx#}p-|*nZ(>vMKw9C)XH^#S)iI@!go;%(iL!I?o-e9a3mR`|DIZ%6UO%Rs} zxwT>e=2RyreY^v3uw!$kiDA?N8dFFEm#`CM6>jDzB0RkvW*c#^}{<=CK|({x|`YIbYQ$};D$e*)AF*jCPUu< zthD&_>1Mi=q$Edl*Am|im4$~A;&FDc7osfAK|MA$3VRf%qoYPATlZi=PMF!F0e=P;&CGQ>uYCk&)2m?(c@s_u*~+3j>d~fjtI(yX0^>X8=R0(=a;azwjFzA87v|_ z@>W|eG^{o(`?i@5KH*{O661PiGsV59M#g-=@zW({TZUIVhuwn4_wfUJnduQ#ZtCf+ z=HchOcqdmU(zWN(mc9Q8;LG>CE@!@q1s@xsjq&nx)D=d?s&C(3-c7hS>`=Q86P8|y z#rDtwM$mc#XbVYw;g)8GBigX!dkm=sICm_xkOE@j^mi7k{gujOc!o}rRcicCOPf*Q zdxkJIy}s2)<>D&AbFORMs8i?k&Leu<1u(wANT#O6& ziMw+Olf+1#FofVWz4q@LH(X(xxWWkz8nakF5|UL@LHWz z@sUMPFxJ1Vwe@j#)>hbCY6Okow`}t+df$ufqC>9Y)&Fu8-c^GF$gy&Aj>EBLEOxMQ zY@QKcw&v=-`ZtdcD=I~_Y!$sT<0_K#Abtv8(OQz{a=a*NsC-r;e@VZd$a#Zm?a1t9 zzn80J)~e=By20GW)kAd~k=$MS!_9FOO^O*+)F)#!!c;8QLa&+)SNo_W2aEZHNc#|; zz!lT7%zDV&gUt$WuQv$Xq;+*fNb)=e$K-+H;^H1?+;yIRH}or1op z%8A7s6`={mrqwr#!(HKM z39`S=&SRvmXW!YVo8g)H-DNin?cF=_Ti96+A;^JZ9~#sfpAWFw+DtiyA|s#cVUrs0 z<3%yyYqcODj&LAYN#mT0?7 z0rHD^!5fsvqq6RKdd7hG(77X9f-6Cgo8iKFWt{~7s+t1A8s$^BZsChjPE7_?PcO+78(b}43Y^FOVoHom8{2o0BprQC+c7T?2@6r^3_{`ZSB|A0_T!R)FJ zb`H0DmR{|W@#gzk1UnROe|IZbBNmaC=RH$Ua@H+{=GmW<@I2K&r&Hb2Fn%I2Ir-bj zh)#>0`S@|<;5X3Ax zpJ(WlfQlh%y|q;yzTx_8&fS`tcOkXG^52GI^aS&h?M=x6+qqWi(T}AjF!)yrLpF%N zx#@lW9Mj-k_!^)Zv?=nkvwLw`O})6FV$og^maPSMCIesk5y}~*`Px=FQ7}Idccr_a zeSY$T`{#bGq5_+_Z%gOHY6ri*c$-`Eh%&G$FzrGnk5^ToWmnrTOKPW)j=wwL6Tb1z zzJbl*&N{<4zuo_n>?3l|6#aWaF?Q?I^NkMUm6ympZ%?Lp46;n4sQ<-234eFP4=(#% zcRL#3?@vkOZb8HE*?9`QFm=$sc;VlD1z79ksgaBgs-WVF!PP;#zHLeG_Of2kvY?ej zcPp))5z+7Gq`fG(>dLu3czjOA`Fw}2CoUb$g z%@Zu_5vR}xnu0!1dP4EOoAmk_o|9=qjHx@mP2cgXUD(;`NNUAWb5m_CMG6+zx+r$6YXLCD-#pKG0D}Gv>8K9 zh$9X@vX-|RAI>x_Pda0f+@GhN{yq_R?!6@HYx>ylZn%!bNju!(J_%~WWOX5ymp**; zyY|4T6l*~{hVS?O!vj$9l=xb_wFaEsMpvxofD+GRI(y$2ufdB`uwFcNWG~}G+xy6M zCm0R^lj(h7HN|jf_4n^zVF(_~5wd6tT^^eJ2u9xh-x@jWR-r+RJT>*&o{?)_KU2>g zP{s19okk&EcyK^K)M`pNmuNA^de|dAyQ(Rj$;-Nv^3toOD3bg!uqynjN0iAeUkAn8 zJQTy>fAXggWK%paF(D33@H=iANw56Und%F7j8t&=t2^-+^UX+>l%}92vAz<1qyofUu7E)4@tgqT*?TI2QwkCW0*$RFh zA7R`zfh~CUK?e&e}}?*LBc!~ zQKk?Dkl=|o8>TwF@Xd1W(>&$#pkm5{ZnV+sY7`VOUi|)1 zNL`vrLwM&9NKNYgnKBvo+-wfy94{?Z252HA4mE!7&x5VmY_Hn2g?$cjkhB-NTIWkJ zspRAL<&G^}lu5s*|9@N-9NybDc<%GZBi9dX z2F5t%PdGs- zwQ3dZ^{6*HoWs|6y-w@zJp4r*0Ye8i0UUN>@lH01~5zww!Gi zhdQn;Q>VUTF@8NHjrey9Hf*dU+S!#twYbHx3zc??EYl&xdwy6afUnW<)9Av&T@qMF zWwSOKJ590D7|TPIV%wC^E?8!<>*h;hkvRXXZ!gYo9AQX zg#es)2kK7|?)~_DWbFq-{fj9R{Gpqq%HytKyS?pQlB%8fgq+dhA&o02#&IDCzL-h&0@R%4;OY|!k>^7CDM zl@?>8J}El)%0qImuBecdesu2D|AgZD0|}1SE4iTo<&OnO>tJM3i+5#{P<~P(_Uu%* zUqdh(qkvqf>_}PolmnX)^DF8~l_yEEV##u(ET7aJLVL=etlD=|>M!^-%B*9wEp)OnmYOWi~Kl zq5FI_FJ+x$-oU^ZmwVyOMqBu1pu$}=Jr0-eG=io*a`y}J0Yw_3-79m=n=)Z!Rx9B= zD6*beI!f9^wtmcJvL5o?nqOVlK^f(qNh6b~6L41}49G-j=mNYJ1(z=39={4g*F`gOKv?pYK(~aEqsG=E}vQQ;pW@d8@1X z#sW(JfmIedRgWp2-}=fyFEjBl1=&+mjuh8bH8G1ED&SV2AUa`T7ibZI89Etu&9ZVdEsd zntiD0iK{NIwsRMFd}JVD-g@doJ?}V3r#5PTX$;xy#I@9_@zBdeW%m!op>dfS_Fm-X zSqiQ7LCs}HdqvEYq0$&rwp%A>WXM?$0$tzw{Ob9gZ{sI?F}7y(f>QY`;#EsrOJLLh z(kB2LfYO)u36l`d^!k_vG-Lnlzh>;;6jQd(o2f*fBOxKG7BlVt@)|(x$dpwBrBz;d zcY)2tys?Flpd+`Ii_Wo~g(&_3A#WFpIeGi7VdJI1a7{3zves>AwR~fcw_Yi= zX9+61UlTfgpaCQpwu*2W+}xX;1voQLdd~GcGhn4Vbm$PWrSqyDsl$uL;?~4)6Ty52 zOLIcEBlZ$ga#x5XN_oMMEG;buK$}#c6EMlj9dLF9u`^bQcX8NwlV}pN07y(S5IRIt zxW&jK^9)tphgvVgy!eI+O4{?M-!zs#cS9mb%Qq0~WQOj8E>X;$)NVhxUhuhX=sCX;=|HnX|4@B8S#Jock9W+l}sM zHXr`8t9x*@U~#~eA?T{EsbPJkN`6b?GO1_9=Tnm$5~jq?7|wp8pEu4ub}(=1%CiuI zLeyt>%QmB`;rXFW30|#sny>GNyW89G_g&Og;Vpchh^s^d@zv?Kxmi)tC3G!OB3IbC zt^~Fz5KN}YX=h`mMlk**CF1I}>hx97MQ9P~w(gY`Yv4tgZ$9J*^@qv;WOjWO6<*dQ zDN;+r7xKNgpkFgcz(qBq%CZ9xeOxdp(M)>gXV)|2_T1Q*l}@S}p{LTrgO4fM>RxVD2X_>PFrn?MFK? zbm0w}BPQUPl-4|&I>aafH8oqk zZ{_dq)ijPxWRVdq2*Tq@Rssubq5rnZAqmBFkKi=A<2QUNh1Jx;ZLEz6pDl^#c^Bqe z4K55-9|flK5L;lP#W4iiATOpzLt;n{iFNBCp`mVht1L&acau3xvg@+)-Xxi!i;V^9 znQ8ukketdJo;902>j8A4bJV_{%`w`3&L_OU_zeiq=Gt3|Slgdzo9|xH6Z925+Pk9W z?Y6{?P2v;iqRleuvE0^YV@TlsBFoxORbTz)49&j7{)4FPDG)KVTw5;ZOOZlAi~qkk z!#|Undzys{%}ua2M?9@?C%4xr@_2MzcP=C+N`=PN)$6NTl#QrpjCbWj0CZiD%j?YD zWNg>Wp9B}xSnV0Ogq?<2nfdE}tZ7pp{TN_KjZUZmZ*<;wVc?ycWm`ge$5Z(_1m))# zK^0#$n|65o22}AmjlLx_Kd7cou3R$BRW2K$8W^9R4gjtM@r)LhL~chmfIj>RHq;1b z4Fhi^ct!;C4YGAv%`E0M-b2`$weH-Qd4yoiR3tAwY*Ui*OPmrX$lc7%!fJVO?2zLX zAFoFYG_&GE06(bo4BKn=*aIx2WQFFHYz9uwiyIiPF_rj8@mzxTp8BE#w_VYP&liB! zfnpEsB^(6Cw!*6OPYn=7!1DG_mDQdW;s3}VlQZtf=oDZG-#f)5jtWj_d{0P7xX!_G zc6I&XlcbY~APgz-AUd=E|AH{YxY%L)vZlR#0g}VPAP7sH>s*lEA?^sSPUJ94k1*I4 z_@i&Lv#Hkg3>}6+>jGUHZ*{}Tf_oml4EkD!(6Xl~Q6hsY^3XUg!g_kw6%8?hq}&@4 z(`yg?GHZC6(JT?(d*JiS8i(7xV6YM|enZ{dO`WKGP`8l~of9-{03x{{Nkl}sKDmm} z9sFTWTieO%)2d)eYkVoiSH_UL8@-jY3m&z2Z?y3$x6L;hoOs67E9WjK0yR86^*%sI z0A%BRZQiu#1S~r7hJn5cm9#@s?Zg_s1x%i$RL{zB)#beP!)vV?o|P56TeBlQe^3aZ zgGYorQi|>wnA_6|#G_1ZsV+*Rko&^js8_LRNcp?`anH^K6d%B-L}Z+;hDI5?;|)jtuccgt4r9iI)72>b!)Wn0J*%%cjfDAXuWV3 zQTfwfIbJq)j_QpM_Y=Gds*%~-Jab;2A)d$p5ZDm1{B>MydrjCQ;O343q>c`ez!|@{ z*^}W+Z_l~dcZoAQUh4~BT^h{aZum#|IPwnk1c5ndJ%QP8dV(6e@O|~tIQu!H6-Okd zInaUX<3APL>Nuf9yHulW7P}iG;rZ1Qk)cE~hKKWR$BJMwR(bxUas8s{;2m^yU`mC( z&}JT~{TJ$x9NVpQOTCdOt_U)F2j{r4R6pP{c0XKuAgzGn=9hEtjNhzcLr^od)tmEr zJMiqjc@ySnbhtL61S=&ZyZP|#nldN;L9SjQ^|OjRFRZzYyeDHCMiH!fXacssK}S1# zbXT()gHYdr?WM^M)5nj=7VGc9BuVidCoRGBG+_sORB5GOhY|1lHPMG}j@Z{@yt;ME zJ1pq>wL1`V^_j472(aD89jNh%zbVEVUSp)jzezi?UrPg;lm=#}ZefNCk=O`?IV>sI zAY<`iO;QBcFnjH29{W$19r!lFd;r25o5p@Ud^R|o5v6_kZ1L&YNZ#%Mwym?+IOD~q zpwza7qIre3S6HZvW&UH$=gp7B`7;obdlkc?200HXnZjkAz{QoA!bd`##P+7b zj>lBG9>|PM@f!?Z75lIwCDrxtMMMXQhKkzwgnCoKpKkiZmNV$9I4I#AMN<&J<=Y^B ztdMB)JCe9v7lpli7IB*!z{J7MT#MWJh7pRd%MWcqQ^F$@N^LAUL6QJ>jPaE%;<-sO z&^WRRW*kB@`p#Vz1yv(=8GoM&4Pe`j)+@xG`KfWuZPek5I&oFzv~Od(@^%Dqxyvsj zj6G`J&Uj<9dwMfWWMOlyrMReJ^bGF~kD)?=&a(G)r?vEjd?XH%)CjT0xLv$H=b}dS zPtrH@_BA8Qy3-iQ<;DDtBRLz1g_uo#!k+QfO$JsBF7)LQ|Ie2fTRFYZBhHfh0EEua z=M1zO?1>AhH$vjgSGBbCbPCx0gU}Q~8PYzu=wn0lPIwDww2&tx}I$Z_2?DTt{77rLHu;TUBMff;B z0p8fHt=H$+;BRgy=vb4sv7H}shV(4B@rdBQ;ZF`#2S@b`uF0##VjqqE7;jQItvLjC zKX9NY*mEuSakW(4D%N$a-(>0k55e0o@61R0MQaY^GK6@7188{GV*aTy0?xQ>ad-@T z7T?|9yCQA{?K?pCZ*R^B{l8_d|Ezf1%b(q0;|NkG>V=ZcdmCnuA;A3iZy?~5@H7$fB1=GBJuSUBPGysIHaE)3;Yx+Q2k+2)PKf9LEe+y9g7LL1c#} zSR0cRFT3evRK@&os2D7}RbTkAa1u0HK``ULQd~;xdVr5PeLJYVD}Kk3y;4uq9?uO6 zFz#m4Im&3U29}2(gp<$OAl4*t@?U`CKXcRrjBZOC$}J-c+E*pA0>r7I zR1uAh!&ns)xoD}BCF>^ew3KXB3}F8*+LzcquDIg@J7DA$jGBM>D~+YS89C$w3gXsW z(E?@aVT+)Mx|)mqUun$3jHyX*`EMeWQExlKI8VL{`PhJAT{4|}IFgI?zAK7Ve*+Y0c0PQh7 zf-pS2_9(?_(zVNhBqx@{$dk@4GH!hev!6CL$R1m`V5h43V6rt{qxTmKfM1nlVjCD4 zeS_IDu&?1pZ6L%Iq_K-?{s-2%g8|r3Qku?(zN7!TO(BzSRZM^!NV0wZkzHI&>UK%G z{~Vjq+edE@UP0;2fg_^QwB(guCr9&5`uPhI8NS{?N9*U8fZ4sem}^N1N9|e8ETD%6 zIjgJerAwq2M3C~kdDA_2K_7Ez9~|n#M|PTPSezbm`CW(6Vu9r@XA5of3x4@gnGzC^ zKf1YLLrN#Pe)pxI&m-y0i8ShS%oahub?!llAEn=bP(?&9uAZ}5r{zooP)`!#$}a+% z1V6pL_7@?w{-o<68{ni#@Gy6*+ zx`gXNXBh&y)7JZclga(*0ug}*fH}KD&YyZW=K_v>QAY;Ir$a*^R6Fh_0IUWD3JBub zeJ6EV^cDI{ykM)gh0J(0X?#QB{UVETf1SBcYa<`rOV7v6PD~`CUB`!}55h6zV^)!{ zYAtH%(%`&o&G4Xsew8naZN=G1jzuxF5N-*%F&v{;2OuY&q2PPU&nvnxss|}?zO8MF zxfya}plu{e$;qb+x+)b-(xI6m>C^w&TO_>#QIt-aDa`RFwP3iYKD|Xhjn3|79qR8{ zQTgg`NwWs~fnP=6SE~r-xX+31faf3Ydh5kgLU$B!RRxr9Kz!`8AQMgP1TB5R&FG52 zUrq)qLkRI+?E7kKHr};A8AKP@HQSW&CW=e3~uk4g$1pIs|hqw zC^}Xx1-drzF#Oj+#<%ojJSXQSJF*9Rd-(uOG2ob8-65mU^(h&)>O16vbIxhax=Kwi zgV?_KNqzB?yM3JCcVH}b;<%vG&QmTzI#}G@B)gC{Ahz16rYZWbha8hrYAMU;x(e+s zs@^AlBmgGq^K~W->YvLiP{>67)~}}(o3c|h&z|{KUIzVB_RA?<{%ULen}3h~dmqqF@e$^e z9X)z9Ej9IL!S70@Ol@i97&>%^%j^G|ErJf0qu#}jXajwB)M-!`RLQ)1ef9d;LCRTE zKkH5P%6FsFFD((O=sXFDDCDw&4^P1AOidWHkCruzy|)o#hD)pQfO+TrPRA`$t{|)-*VZ%IxHhQQA|fC@pDEG-#*2)82c}-}O4DalAj{@%jG#J95VTy7u+F z#vR_IcyRwGvV(NWAN(-JO@|K?PEUWyzL3dw0HnCk3jm|_3$?NF`@!*#4Cno>+;3l4 z{UEgpuWaSzEkQ1#PeNbx9h`3W_71!kQg(avnP^TUPrXsH_xaUt_s?WR#ZO3FXj*%3 z#b^A*s|{&Mox75snPapz2H3CpC+J_IrJD;(>sT}ETRKy|`YnC~FVTpudkb!b0J!O3 zk@g}z6+}b67pI9_0^8uj!-x8AHz9!5ipj${6BktR40Ib8MXsK z{0LZ$$(&!M!xUMhpzu9+mKz)1?n1X#NIL)^As4FDYZ1S?CxShCj93vYmv+FM2^)+33-Y;Cshg1?G!MMyaC^2G-gmRrzGlmapDP|Dp#K0)XmxB&rgS!wC*_6|<8VpmB@-NfWi z-k-$;7e>a@VIO(Fg)-Xrk^0=ArG>V7BzTXtLPy>n;S+jkUm9DCp|ucJ3ROx#`}=mJ zg1&J&Rt#~W7BJB2Bq_L4vVZ)T6=kxyKNh+X%2zuVg_d2zmk2bethR@LyV29DW30~I z_m<X`Rsg8DJ#u`n_9;LJCi1}L-7dofkw_q#Woo0~4f{j0Xz5PA{+r_vINvY*C>Qpm61CMk!WhMX1rpM-3mTW5%+v9(32l{N zqD!%B7yaZhcr~lBM03B__ly_YF}p}CzSS%%kJHps>UWUT@bd6nx_Pi*ay36mKIXYO zW|Y204h_*}JNH{x`%F3-RA~;9EvRfHY5Gxb7MTzx6@KDZ*1B}s=V^xcxhsDL)2sW= zPcCcITc$r8%PnlZtRn4>@u8&zRT?b>BcxAWUzJ1k+tCVY}T=M9!V&5_R zS4e85x-|g~V7y7@hI0~(RBAG;Y(dBCfZN(^p0a$oZA>@w^PiwY~81 z9XGMszNfw_9Hk1%q+a+$tGgz8TTocUAuxCqwy-1j=!8HyZ}c z>-;4CYbdz^gAtQ%?aQ$)D;{Ww+&{Si^klA5CDE2UXWy$OG+?q;ZmB7U(9 z;}`$`Soi1snNNP@bkh+f67OjufqA2InT}>!fY*Kh-ZPPi>08w`lz^EOK)1c`Lzk7J zX~uE5W5mB4!J@{$=8mbrUVrG&MJH@eg`3b`K>E5)l0(b2q$p1&%`ed!%so~GsjPQm7C0juWb zye4ad;r#c|O1Sx!dhHwa4Ylz2?Wu|GRwPWN?&lT|W(;2odx>WDnzC5fs*lT=(qgx2 z3Fchd*a;d`hRdvCSzErn0LeM$(#eUkHkU;t9sdW*x6^Tf0IZ7itSD5Zk=>;$rs@xo z(H}_0MgNOQ#sob(Rq0kpgTF8E*#5qYbN&LfxI$om>-?uiOaobEnjEZ~ak%G@1BFhF zBS%=fUYs7CwR$IU{uAsR0Itdf5IPmG2TBGl3giJ0n-2IDN32^{2y0Na=xoMP+2Hrp zk8(?`mmnye0)*shxUAKv2I}e4eYNXQIyoHGl;m(elU+tjU;ltQdPw=7imHAuIeXk2e_9ruZ{ z73fK_baTjC&PeZf3O6s9>g>5?xq?qf+j~}Zb0NN;_i61Cp_d!=vbW=$uv+T%RN^as~c zvyLto3Ok$Jf3fjp(NRt)$B8U(TGW6BQoraHEv8+zz^lRr?43~bH==LTp=%^X+9~ns z&t%SkG|a10-$-MD1U(~CZxtdM>g4&oQnDXc0hxknJC%hT--X5EwrZ1(%l$A`_aG+rJj_=Gd~{QpY%t)hL6To> zl6bKkM-6f>@qw;S4Lx&>%-~`?D!$6xCdJHe!rA~XW;9&XaRCIuB;@V{!<#~AEf~tr z$!UY1#R(0mI?eC~MtK(jg95PW>zFMg2AAa^gIzw#I_oJ-^qo!guq>a&%_g{uO~2r} z>ygp6Cm|Vkos6md)qh>C$8`%*W8)NZrb?^gPh`z7tk`W37m48CkE~fNL#`J8bccnm z6Y*;Ov*DY_ebCl}9+rw2LVs08;H#(N!_ldl>uMSri*H$PHf&A}w-n?22+Uk-*_=)m zVD)z|QV;Ws^LAL3zfJox#!@J9uHgLJrSItR=$*7o1U6`3CF}sKF6tY#fLTD%VFUCRq>vy6I!o2f)(u>8A^Ix%80)_^_zw z=D~!2xQuyM$~xay69S7TFe2+Cj7L2vbd07i+ec zhX>S?V;&T!F87IEOv{6LBnE%OTKoshz6WXBi1=A?FD?;h<>C{=7*iQ@xHuQJ6HCCK8ECT(t8?7=O=@Z?4?iq}DgDUUbrx>0DE7W6nPq>y<4c zDe62LUiC9W@bZbk_Zt}ne11*2n}I*w=?(Y&!A>ByIk<-08MISI>2TrF-dST+-*t2N zdEq_;YoUfo_f3VlhvDG2##8eX8B_8d)V)Lgo)O!!hN#h_JOTp8Ix;dcD6c1V&8zvQ ziYi05t*ljeNC*sqfbYnFRKgA%RvTir{2+j{)9i}Ic>CD!x{wQi;?EmjscMVM2lL3D zRu8e951*khIt8SJa!IpCYMJ1E5*~hj(hklac2PqR+gb40eh{JaIoiRQlL?*Kpwf4% zs*2h;Ez|u*qjZ}S8&~dv%T%}+DTf9FVoqt*;X2K`uH=4j($E%$4zarQ&+&XMEE+AI zVbOGV>p!?@JP~7^jwBzy?=E++i+66W;=_+nTsFJ2-Q4*7I3hP zzrr_$!#i!m;6`cEnIO1b8dX*Oa%#g^LH7nDV|lT?%P&kG;e@&sLW^c9a5gmz<_0sPGnK~7Zw&i9>Q!Q2=-ey0VHjD0j>sS z&?HNfA{{EOGa%K0IzS#DGhPc!@f683)WYmWMc7B*yrFpli%y04yKcx>hM{vYl( zed;EERZiXAY3R8xBCKmq>X6@yCU0w#YtY!^P+qta3by=pO}HVehc16G7;gb)HN@NZ$&ad@9$>NxB!R&Iw; zHV9-R8G_+rD8j{5 zbSW2j=3F^&N{I$0fhM5o3)u|hy@=Q8>*A@9od$o6d2ca`h6nGLfpoVOrP*Ey-; ze0Y6AaQgSXW!L4TcP(%4lqZqT;j9Q!I=0DMC?pa3L{q{?6AE(~vtK#N1|wr0v3g9zZse=7X;ir)b`6<)N!EKj`jSPK z#b0dk>iZf{`>WKK_UUJdoPA5&0hg0v!X+q2^L7zFcj#C7eC*)a{xACVkC@4AZKE9> zA8JRl80IO)_S2~DCYpUUHTKG*BbZ30;m2%Bd6=)>M>!G#*YhMKGO>_NQkCW^DVj6{ z@FLyi=o&&zIZoaTp)~YFXe^g0mH{`ZJGnckH>O_pyV8dZ^(XCJ#4G_V+(!U?0%rMM z&V#dk(*>b~8{de9>Fp8l&V|?r&9l7}ORLwiiY)`3zI(e9!@xPu^IeFr%rlF%635-e_ zv4vHJ!RV0FslMEV5u;U()H;FlL8-KCJo*-X`d__9%M(tr430r8}r(#H7^wpi}h2)yug9ml|M=R%e$k-j2s0tJlE8kz2*No?975{U9V&C>t zLuQ^gM<~5LbTN>m+|mYN>&z|9Bcor22W&8bp+1sc9ME%`{hw_H0OW~ksrBI8*|k> z=1X5Cim)b3UbiJXL4m}61z1_SkDjjXb>!Ai6O$uCxL1*lvrEUo;DHJP+%a7 zR%t6QEsas*6(UP$`dF%w$H4DR-g@)!_!lJXpDTJ+gm<7y=ljJrV-@P>l?&#|EiY2; zNLV%Dx}W51(at5SG*{n_A9og32!V)o2jNPacD@5MYN0&WTDH21N(`_cI&;(0{YIAu zB^^>+bVEr?>S2Z6k7T`ar$dfPH*_32a`BqVezzNXn%lzgWo=r`|hL|_=9r0PypGuGp(-Lk7S~>&t zCP=moA6C{KVTlX9Q$rdTjv?{-U^~URytx0#P)U8i>Jjv;+7q4M{qzeA^2TggrRC+Y zf`zjy=`@4JcQxmy9LK)s^-~zW6TPbK`mCtVKpqzPu(3A!ZbuK>9VJ{qrW%p%heo#~ zmUH!)nj)#l4d-ptiGMl#hm16rrj5+!P z{$sRZTzI2|!hX!?K$7zlVxgNr-I{%BUx2#mYd`(_qQcAZGJ8A6$_PK_@lLjLVm`U; zoUsG8pSz7WL!G$iMwJ0aN)nm}JJI1OlMSwZ+{5$$49K!ox1Y!UmMwQ6GKdfR#%k5O zIE{|wIfq*6xzEMntl$k=HT6A(eG~had#zSZd$*c+A2AWPUn}yPfC>eKtpEV<&8~?o`xhFLDf5{ zutwi8@ReQ+@h4Zx(PPOX#WG36Ll1Xr$l4kT7RFm$&Tzj>s8gzYz7fzdZ>9cAzJoQt z&@dS!F5LOBlXRg(z|tT4M7w8uT=?{0NN4tW^cF{2KbVCZCGAte2x24~tkJQ^Mx;k_ zX7K##toNQr1bd$z+Um|{CIw?xuFuN`4?CrW0|Utn)YNVz5=f(@`Wwau`cn@B9503X z6?IdplJD8>1&AmzVjJLDfPIgso&Ms#$fZUH*#yx-$5NGlU!Njb_@WlQL2v9$+2-qG zjB0T{pw@mw0_O@==cbQOWG@QA4v#$U!FJ>jJ5MDlgFTIrxxGsHCKH)k=iy6daSg$O z3N6MqVHYiPNk|{UR6qxI#H~c{X z+5dq)yiC#9{K6H=&0Y~QXZz8;6S)~eh-PwjwIhHI9Kr+Ap#D{)v+>zEtSzml3U|h2 z)p+*x#lfyWaPoT^&#NnUIhkFnGJ{F6j?N`I*f>o&TcM6A2X(_T19fOZLziBcHk)(E zFZ?Q-k!?2BG)$}zIc%Gp`HAqYa3sUt-hcp6nbFG^xk}H4R^W25bu$mUXAIp`!MQ2A z@@!ww@pIx)nIQy~lhL(LAL;L{to|r>yY}tJ3c30eV&VV>-4|I3woL_b0VNZGL1FoK z?lvVpGE7j;$zI4PfPQq_A5Uxz3#11n6ciyfu$ zr|Dg|f}cx7Mai9{_L^ZUE1jNx^;DRD%5v~`^jnp*i)Ez~cjF^R3(aQ+NhkG4pQgaC z!}q~45*WJ)r4xcx`Ol&M=|M8JXLK#P?iOFK@W$L{o^zo=oA<55t~~wDx3AiAg;uCA z+p^0}V0C4+7j-&nw%ut}ZDMcZ!mqSYAYi%grhJa{W$&SHh*-hi^nj z8nt}6K+R8dirzU4K%c<>K){#N)9b5@>OXJcdHT47tvPcMdQ5hx8c6e)hpcUMTlR0h zk~wXw)-OCkPfhP4H0{jAcH-9dd1|t8^1JJ^v(nhn=dHQ0mngaM`U*w-?YFCSsoMQL zRjrarvC6>2A1A9sf1N;|3C&Vm{I5wO=56UGx>D)sJfrZ|1(w?%zT?ZziHb^PFDnHq z@t-@gF;-X|>P9Z!ROTx;-kfLgz=}yjjaSimQVeZY5$*2QMb&YV zg&gi0>h)3#B9%TxUm(1smPNW8=_4Iy#VFiv-qZe2`;s3<%4tL*toJ*9`($ltq=$am z2syZ)Bq4Opty+ytb2+N+T*KWQUL!%D1JBdQ62={GIy|0_X=)r)2^(nM9N;c6UyN8t7vM8ImpxhM`hrSjT&>WG+g_5}SwtA8G524i%qX@XIirw8BXY1xqfAYUQ=zYG{ zse+BI!@Nf=Px}%p^1cdbk*D8V>?uHdQ7;JGC%dSlQ&KLRwqkOj>aQ*>(e7D)_~fF{ zf|C1q=js05rHKyT#V9-{F18ql;@3)6Wel}x+G0#XW&%rtu9m5;-;}mFglarKwLiA8 zG9mORTE?#vfM}%ub}{QLYc~7!DJ}Hti&O(yrE3*@lD;4ClnQ*iNLiPg{hIGGHC%~I zi=EqJWHi_`f!623hsbk9Jz#?K>LNp#I$ByZ(3}``CvIaiNl;Lbjf3OZu+^gl!ptWk zq#!AcTm&OIY4Z;=TIy}v&h?JujK9^(p+$=g`%&4hP*-m@G|RSR9t>9PX?|_TuKW}H z73MQpEf;*Ri$`$?QT6M*^XlzAisxd}Jy;ce>s*@Q-FIFc@d{#1sNTM6`p#;)13C!h zBb;$g9&4;_tblI~@E7S9&b<{)zqv1W?Rou-i#s)sf>8JzDB-w6H|oM>^|fWCjqsN< ztLtbot`0@%{4$6YYvL$%Wy2;Q7875R!c|D)lODpnn*o{Aob)g(Gd>tL>3;H9m11&! z6Mg^Q#!Wjj)7&MOb*8Z9B;G%26L7C{l~^Sw_G1QwiU@^!b#`|C?6b?r-;kGhw+lMXXBMwL^Y@XrU<_C*&s_4#vyKLvUIY5u|<{gUyYy)#;}~!6)j{9PK|y^PHihCJ!~cYQ>^SMOr$O zHRHgF$cz4wy@wA5pCgI{NfG&hyRb2)$F{Q6%LeAkc_u4mye<5pq+JOGBD*gIr9+IG z4={=T^E@;)zY+bDdBiGYE4EgCKDMN1VqoO<$1C@oX4K=AeSm_?RF8&B(~B0Ided5M z+A4eZHm2XoI!wByC?IMzTBx^g-wutAx?O!QYuztNNBZN(kGGY&l&1pw@#{525YqHh zG}w;zwvMF6O{PD35#An-rGVR(Vf$>CG*Q!e4pAI@C(({DIIV15qRev3ur$eu7lUPa zJ?u$3sJrF6WQBncqk2ZVKoySY!p`tbf$JHjUQT$P{nwxMS054bE4A{cJQilt>f><` zT*ZmHcfrSx?MMoW?pS88C%-nRM_Flqxj*;4XIIan8Aiz14Hr2tCkZ(jI4;k}7QZeI zomai@$8IFcb1V4(k?8`S(&W-~V&;(iaN)RWvJuuEE_@^OZkRJvEPoKkE22 zy(aHpZ~LC2D)GvnL+{D-mDq&~SB4#IizbQI&z8*5g)DZ!wR85QLED0We(IM{K3pCe zANNpWQM^mMaUrDbhkL zDSo{SSpJokpg<5?DTYhINBWSc!%doxAqIjoqq53_uXhAm#0S6KvRZH&Do1owj#J+l zj;@Dq&bstK6<*m#l;IHC>erk?G|+{r%qzE`fB$gizytasM9?(Nf{t@sP?Oy_$I|Ie zlsv)U*CUuAOhUa??p+6iwNcY3ma>LY}rl@WBg{P+VTaU<gDtjZFb@5l=l|%PX2oS z@}S-02K6f#nUVxImrV{NyCe)sc>(PQl&>EMsjXewvY8FbcKfc*P_o7;R|t>DsRrhbu3Xu4IFP?EZoPItt0-^3dv5XR@%=FrUL|@Cuip-pERP7MKsA=I|2tv6w z6nMsmCNg`zTjfqK5A*!_nE2uV1aQ}~^zxKa;SSN+QnzXUb6zDYlP2NwRBC4T;X_m{ zKk+fL$pW@%+}mK4RwRN7I=_S-IXIm2FTWcqw5^DW>#JWM72TJ{Av^;CyPfrXM@caK z1F`vz5BCk7%^{$#u5Q?(8H6LM48aQ+-&QLz%Ja1tedw{e)AUv6#YH~A zXZ4N2ysPpT*oZ^0bq%)+_6;tM$6HaeQqvcNFFovO&ayL)UbRcp$(pq*?J4%P>|fNt zPyms$G6>8$)}3UXFD0n3fA?}(>z#hVo%>B{%N2?~!&4%k;JRUbeXVIz?}6If*zxHc zJ!aDzsaFplBxmVjSGIpot+n0{?P?jef+xA1bBK$l14SQJs3H1C&e?=coluR(K%pvg zTaWL>nI}I%LE)O8pMT-l!Mo(;_b;;v2%Ktpn7;8%2~~&#lEtEiQ*TRNm9MO*&}H0M zQP0IP-_iOg$OCOQXq82rxPP^f^6zjOUU)MmZ@v}Re%O?Ffr`g-vh_Hg3zvDK(elg< zhzcZySor=t8&b;`p!4kCes(Y_Cy#N7Q;CA$LxB2@-_vWdOLO?DMr(mf+3M43YbKRD z!$O_*-AdySfgWyq{Cvlb1gR8~hs4NKryIBR1XerhzVq_YEca*iqF(F9GjBDB<+OI* z51fEwoje2X@%n}8haP(2$rqdlKle}P$Y@d(I1PMJO8ac2OJ1e@?!fcZLY2qw38(jr zcGli*x9K9CX6@>r(rnLVU+`isENOdqlPEb|`Ma?{`tc>fNR2Lln=2dx&|Uk`p+iO8 z-CA&sTpao0++0yjjqHcI;T9TQGR$qA3Uu1sA0#ciVw;`c}uLrIR* z$8d*@p7_IESpZp5NA>7<6(cd2kmqElVE=lN9;r^YAG2G%d8ydomC|3~GP$PeY)A$y z@&yuXNrik-$nsG3gzl3al+m@dTi(@kVq!?`3oT;vgKf3wwjDFEKEYm1Gd_!xrg`&? z;I#zrcN1zZeQ(Y**SW(kF!TBPmbe^+z{+yq%ek{v{PyN+D-5@KdFh!w2Sajc!)(jE zd30|M=HfI)m}xj#7i7v2C2tI+teZE<*c7WTPI|JMI-j+fpiWxtWSU|GZOx|KQYM1E z^XiK@z!+VYH`RlTE?qw5{c##Vyo(-$tE;Q)9n}@e9uN0IDm@?>xng2D5Zx&U?m#t@ zcL02+r@*TxXdZApMF@BQ%AvmqCyc5srB0e-3B*Jf7L(q9PkfrY#0v?Y+Um_#Hu&8j zdGL(`6>cBlK(gE@NT;JXxX7Yid;1pggc!YCQ&L_fCJw26y}*~99oZY9vphSqJd;(1 z31_!RsLPaWGv3;qeDBAZ-lV*RR=dTVF2P>=LzqM);R1-<{Ri{)z8$_Vo)3oR(q0yi z?J*FKja*Y+u67l-78hUs)nuRn!f%^DI=^Ssuhh-FX+5VWUbyA7?S`GAK&Iu_tTQSC zd?0mpPmI0LPmEzo5TU!fyC__AaqQspI7_|DfhVY_JRfqY&ZbG-h-d+eA1ruHzE{3W zN+DmDGGS%nVX-%_h7)(7Jt-$V`;PwXyigI-vMTjF(Urkk0_J>SKZ)ui9BWQF2hKCZZt5Fe>_gq! zNHOzj!NnrWu-TA4)89wXCn`L(9}JdwCSC659QK}f$2)vuo{KY&xIb{?(=o6WXOglm z`Cac*`aLXVY0$+bmmrG#wz8HGQL;%FKb3H6wy^VD^Tv|-vR(H&5p@fB+oH!lle36_ zk-Pj1%c6_O6CQIbK5^p2D|ECgaaU)2c-Zy*`}g3=rSizX9sTGJ!j*TQQaEYFq_@&2 z59BaGEZ9;AWD+Ac{|t2@zhJ@9$*~pxq~wkWQZHWU=)XWZ2IRuvq8^K+66(t~k5Q0N z?@$NxqQ)D?w!>O6x9>bF?|swiM~$i4bum(MCJIAnNCUT34no!O0BZ*m1(e0LU#xi> zUw^zWzoR7aD=B4^IvC{$&{>3KZHuyjbhCN4(mXhu5OnU(0Jinv zYYu#j$w4b1`aAT+QR+E1#aV-4l&a|u1HY`hvF7s#%?4JBLdehmrI{Uh*VnAacuH++ z2a=t@39*1hc;Tb^X7@0tXRt~DTL_~jc7%|i#rG0Hy&@!~`T4r^@W9`IFB1g+AmUb7 zr*s3P0ZA7;2+B(tCd(@imWNA)FbXp&n~2zTpE!NmSNFM(mrS1KPbuYKA2*qC3c>{_doNO2ne9c}*OFn3YEzh>B!Izc%4Au80n zM{=m#7$@zlCP*{Ak-zDlH*2`%<+ZpzVV;^@qJ=qb1Sb-PvM1SyJuYm=omqCATUfZx z;vdg<<)UvK2g}&xvf~h))E2b@%cU4W`o4ZTdICf3Owh(3znE`e#j)uB z0Cc8*KX7RISR2jOAGY429|D1AW#uDSKsfE2mU1=zfiV;REf+Iwr4LGnAfH%a=1jC#h7y35f(^DY_$oAY2FZH~&J5opb<=j0I z_(3ogpA#TpgP?aMadqn;0mQOh)~vgdvn$53Mi4L>F&hf~&DEL`Boxf(o9xKpF0*kX zXANt^O~fSn%(ThYi%O>!Gd44x+uUaaW3I1(@_g02+*JL#->qyi7h}{>Hh?4=FY*^| z5D;G=b7Q-7i5S{CCZ1fNXU4|(@?2X50M@VxN$7P{_+S6r8_sr3h zgNO*=PzBpOakp=au@C-i9gu(zQG@z z2>c4K0?5YlF4vlXSf3kgk4<^zItBtBhR=7L`8UoNzg`7m5f!%}ED!(plK|v6LGIAI zyt!0oU-?HHp&ZYb!u3hV>mg|ut@}`zP;Kio5&NO#3p*U;AKNi0%ont7;f^5LHML?Y z9IG45qxvH5-@mpiooIH?9|I63EPDVz7(~4>;)VTUfYz*PezDZaYa+ZZjRlcX)H%Ws zml5x!1=VVS8Ig0?AE|kQm78^_Z)?Aor>7^`vE=hO^Pv9;Nl7ihGYGG#{&AMSe?6iT zL1k5&*Z%(V?lB8L?-!c$Fs^lJW7TsCB}C4lrHe!TsNZ!umQ{^2_1kqcbiGZu=eV#4 zATlY5_2BcX4eC35ZM&M2ejLXGF-)H`8bIHKfv*eYS*Njp#eboV{#Ot_53&p@Y)WAh z!b}t;SbRIgX56bMr9(&jlo6V^71G_A57eh;3+!|u%&C~T8ig&qZ?v$Wfau$wMxP^4 zvvav${1sxqL_GEl*MgI zC+0^BWTSm>>oYDs?M=uWZ?=#y{wLxfGSqiaUpAn<-8JNIspAM0NyAH*h`wD{%s_(? zM;Zzohu6_C5-C1Cl$dfKmq`NtPAk&A)UTe>-%;%z&2X5T{C2d+P0z)1j7wyp4{Ujx65ytK1q#C-k(05f#w<$JbV12#|JoC0g^} z=h79&5VkNEEXSafJ`Q9pl7H1E-z#A7*=2rzy7Evn6>*3atSt=aakC00-L`uH@Ht+B zE#Inwft{y-ZwqE))W)3QFUG%lh@3xExb|RjR1y@aP;^@9e2;!RY&+8lFv6ymfNi9309XiMe=ftaJ8 zjKGE$KqImf8E!%v&)=WWCDDrUK9ATQ37O&n1}bP3YXeoqL=~DQGU%UO@EUc zBR6KrU_kyQ+KbSIz~Byh*)_VAGR1rnR+r^+9Y;q)y_opK?2DbShq%G?9y|K$P;^H# zCngf6t5}79)7Ii6p7R%J=EQOOk~Yn+7wu7Q0T{i~4?yCokIbTvMMD39W5n-73d4M2 z_f&9jG)8pL|@*IcXu*J9AFyzECwu*N{bji5y~(BT`|mwK^iH(F z3F#XA{@W>OZvc<~gEpc+CsNduG3MH}JX9U|QT%-y3aI?@xKheT_Wb1m_MUc9a(QfH zBvMYW>qx9kw*x_8K0ZDblT9vBnqOcFZdQdgLx}Ybju-VI0lV2^$LwyuDEtEnoR#S= z&9>RQ^%qxCrOnXs>6hWom(0xx5w-9jR{Gs>)OKTfc=~&tKbJPI5%z~8-eV`8*@2QU z?*H;!a3vQ9i`!9}OO~J-fvOZYAB(I48PheZ#A3wWgLD<2~HM=VxCEY$QMg(ts z6cHCMJ@Xc}Vm_O=-n{kZRKm4!MQS%xl0fU&z1K**c=)(dd&AMq)i083{(4NNL)m0o zKG_?bR`A-X*1aeN^4$c$JhQ-MWG5Uosp+*gi|Jw%(!ItFGz%VHtAx)G!0LCxK|;A7W3S1tblAE^kmm?Ra9xhtHn)CP5Uge;wYtds@UUQdIiXis2|AR)jQW^^u;L>xW}Y31u|1_r$BBlUs=X_QOFHentus>q}v!g4R!WO!7EL#5s6L!A7wKGJJgvDiVMHw-EkUPuTwD zjzXbMoI2$WsE@VIPG?27HJ1rJZmTSq&%-_@<+%6)=OOym-D0^R)Js$e#VoOvV4KF5 zl%cM+xbJV_rIBSvob8TrL-FFTwN)<`#S8m-iQ9Tq{xS52@1hCBkOqk`SHrc_Z8Jp><|CV zYWAxmb&%wQ3z%;%M0RIu|893)^x*%ORWG8aDpTW6AGTbesyjt0Y(yS+74DZEzdiwRKrlQaT%3x*SNz7oI^d&@zgqE~I9A|z>9gCL5{$x!j4!_OVt2UDyW}%H~ zuhLN4PF{LZK+B;G9ZQ^Jax z^tn;u)J_~Gxzic(DNpO4`H%9PNacD z_Z{6|?!6NCy10069b8|jX5DZXDV_&a^4mwwRtpQ8Wd3=vwYR7pL9+u9X?#vE3%wCD4y)b?05OIha^$Q1>887fm$)uCpr|I=^#-6NdCle<1 z?5~#{9UTRnp&zB#k6G2zZW-9!L%lgo-RpgeCD7dG`!YyOquumKd{Se?)T_YDhj-?tDl zz5%&rekZBALkInf2r?LFMN1ceNO$M7r)^QJ(EO(#C5~{DTxn~XQEx+%v$X)gvz}3& zd~qYqf({Esd5fKtP>&?nUSFAiX{@9aHVHS&-p^esW(|8==Xmm1r$sq4p#R(tP9Hv~ zS7d@znMTgO=@L5W@3cZb-4H&OSiVMwB-PCOHD=}>OuIIPoeYf1G2%_g+BPnCL9RPa zz#~fl5b)tPH(XJD*S})Ne9N6tez^w_ddT-_<-S8-!C7#M*SDPFNk}l-ZWtS3BS>4C zuTKPNblbUVo12dduYgO>KEM5gkPC`K$$F%>wJdd_HI1=zeZsaB5$(7(fz7qqUiHaG zin%ksN$%0N{HtDTmwKL_uHIa$p3ZPt%}6nBOTU(wH}##6QR*mi$10V1Cx}LS^1W-( zSv`IJ2cC=5aw8|OCeLKZ5bWG2ei@Z)n>%5ojQlb6I1gj!>jIZz*II{(*DV@`0$WO< zRRv78jIlhk7l-}WZlH)D#TpHDb+B^t+FR*ZJ)Zb`z}hGB>PD9+?+17cd#SU_4Z)CfC+2W_b zq+Kb0&*a9$r1o@A@vMqYwj1Y#&y)$SU|cVmqDpN%inCcaE;qW^uI3J226znD@JzCW zx!zj;BH-ZW&^g@mz#Uhdg8JCs13oa8FW%6bM z%)&dB-UcEWc=;z}SZ%k?p``-qWAU`&dUs;Z#OpMprjlz!f*k!{jx#D0t#)m0*ukxA z$2vMXQUhm_b;@0S%{FG_bLNAdNK;tO{Gu;S$ml#tu4Z;cs__$->w)LiQJjd+H>5Kg zgkrNy!IatBRP8!vaGfY(Qawb(Mb#EY#Y@vzh1sNeB=o+wG*jHd2zI?RJgbeL?pYD7 zIFV&?d{|&5_Fnd*gby0*;Q7+R#0LbdP!VymZM+Y)x`Q4;|{a2 zjV5$Af-DgDoTUX-W(A6@dnD}GQh0$H#-+0Fd%NT#yzB#pA>Zt0GLd8VJ}@V_QM#1` z^khp-F6&L1V_(XTEPLDmM`7L%TVoX!olbt!mmLb<55G!#O)K}<^ry*(UXVkPERRS1 z!dH0*g8fWn;oW(2oylKs1+8!18Y`v4VjIn+GvG3!)Skj+qDb6)JSH}l9+s+~4V8Wh z$yQ+q2+N@4;8oxq*aUtV5&wUO=ZHk?M}i&{Z7b6DfPd#Wl;1qAJQh(!@;^U=41-;o z;0E1@P^rteu=Ce=WU%%_cWz%6YaLBgZV5T1Bk7)qBABhsB%*uvs2_N_dLE(LVFJ9+ zXU2l!OqjNNro>-vG8iWGwH-$7hqfVg5yxAk`iL9gx|KPOjVWwdKET&cg6-=-e|1e9 z*uHc_-Ep@)C<7#>eTrosM6~|k0?fWPO}%M;h=@prpeMw#3aP%^Rau6~XLQ4jT9abX z;IKCJQaI#G2@EH;WG?l%jebeoeNL8SnCWnwf{<=Y?sCkvnY|wO@cXpZE~Ui^_t4U9WZ_Hv{2X&=o@nl-GkzVmppoWcp|_ zwAX|BXGv8ke{2OOROS>l>>pTJTUR=G{E$jEYD%oc33@ zQC4qkj7T;a=0NoK@R z(X7Rc8q=?r61r9rc!odtM5bD<9AHB-9ZsXhqVbF_p$D#T#Y4$RLwu~GLc*Cpl0A=F z$~DOPjl2XGngb7UBhx6jCz)iO-^{OgwDr75GW953^yId)E9^_!%(1F#Kw&)X~42jm8MmJ- zaMb>6zwbB&pcX7VQ#B}C>kymkbhF5w?%oqdMlhCFJvu{JplAsV-mcA3&mIyn=6WgxYDZUF5(%wr#BTIy`p!~i$ z%n>o+p2$AxS}K5tGW@B!jdE z)B9-&FU_i~&?z?NK$jAr?;`6;3~6(!p3G-h+mGb43_mnhe*2dctd$oaNo?2G$H;V0 z=io#~PIznipwMDg=Gsho>{vqkhv{)sm(_RGeEj^qLs4FyGqrArMtd`uZKi8G_NhM4 zWLUHlNOxcg3`P*6R1zK&WyRbx4wBJqf0W~Ir)D>9HJw0{6(F!&s@IFIMcD7hs_oLi zLPBsl;#|STol>vhsv{gccq4@AU&BGgSNq#N(GoAhh!{c(n{iB+CPuq;5iM?Er$u*c z1jK{zTl!ie-3tgsYKKX;<7!ZS1Pmpmk0rRuujW&LrOgJUqRN8LS~xBU*u6MR$yEdi zP^_cle1l>sOdZxuC_-BXy6t;iCwi&EWy0O7L;h9LMxUaT(I9txJfPlWD9;&FK0za8 zHRmgQEHDnkG;-0UXFD&ukq-|sW2ujaJHm|4b;q|XzW?YB@;iT|bPayxqZ_DN%mpJoaL?=s+|)B-}GqAzH<`fNM7<26g#`)kyIC<~B4ar9SC@7tT>}9gG;4 zN(qU+3AnX^DzBkkjm8Q?-rPqjo*{y0FHmTOBx}N8e{LZO+~sku?TJ^g068;}e7NpyOThHw6FS# zshh@JKI zQZk#FUIGlX+%O4xj0KBbm~24hYBy(+y_Dm|Ynp(6iB6rSY4{O$3zvlw&LiLK> zAH`0i4e(@Ef6O!SVs8^Ef>A~j$lG?vAEb^|zjz;W`;$cP_l=E>p`ocw*~&1P>7z8V z)bN-qnL)mV50N~RQFq5?AeyuDMfml%k-i|qAhh`lx(WZ#EqkE^;u!!6cQ`72x3LyJ zhV=7IDRTd4P}DpPsm}x^m%SvWb?Q|5PA@>0KEnh|fi1N!+Lj~;Hy>?Va3?)065)wc z$~?+f^Uh3cPQcQhY2>$TlxKSkR>Kd?6|9n%Vf{|2gHB)ZDgv=M!E=m`8rk6BfyKPq_+yc?@OMekAl$8r1(e%GP@bOGC?7#TIPQe# z&v{}PXq=8y%)3bSXy`pdT>)f3UdWxhmMRw2VS>nHSLSpH<)GDipIc*+Cbd!H=TCgq zjR~rZgC+&*3k-wYTR^bQ__n!quZ=M497Y2HDjHf31$rjJ$30bDlG%l3!})v2`LC-w zS99HnIEoXSIOe&zV8qDiLEtj#{-Cy@<<;GU?RxKx7od)pXJ{pG<}sq3$c~xO3Ec%1 zt7R*Y$y-q%3>}}-6H{&AJ)N{?4TeUj{m`bTK6MO#CxL?Za*QUJqO7tpn0WQU9*Gpp>8j1VCjwh-BSk2I9M$|hyc?9J~y5YOK4*Zci> ze{Z+ne^2Y-dR*7J&UHWcb3f-gZ`yh8PP^mlg?kDkkw_rm_TWhSd}G=2I$(@pc-hTg z?@K4MOxI2*kh*D$-?+Pp)^uNx3)TIs%{~^!oNNlaQkkv(<#a7k({AeXCf-0F z0WGwPHKZ1ek08roXzG?ZbEONYpH%K8#lu-SP4Ek~<0|z7)?WMrlm8Skxr-s;j5j|o;(Mm|h`CDx#B?e{-GEumD^fKB+ z37tQ$Y}YvR+Bof1R{UJOFppW`V5q>_L^gGn5}aR^CflzIvLgsD+6(SHbev=@{sr|G z4BBi^rJ}ge3?!1sporSmmnubT+ zdOqE7bgG$J9s{P&SiDE`o~nSdQP7Xa61QOxNU8x6Eda4FX1Fwwju)>_zuwX8qkH`AJ@ES0k89@kiru!qo+`$! zzjaxC`~N@~I8HnC`L>lU=FYsK-!QBeLFnIK=9@$2vB}BCT5}BpxII!Gz;aK9cs`~SF})Z5a5iXU>T3Ii z$GZgQ_SoH6$oHei>+DKfM7aBT@=FgHIbrf)3qzbRB!(}n(sxZV^dmYjMRRZqB+GN+ zTXV}l@Wch~jE$$4i~Vn*BhYACn8gn!eez2uO)Xlk@n3s2TuI2|7iL~2ST8bHYgZ>8 z4E%QZZkvNfIn01bs^oL*>&njZ>%%-tpO&ERMcm%R#ZPHku~F{aqPl_G*_I@Mt$SB~ zfjS_Grp6_dXDo5TPMC>{*$i_?buM_Q57t|p}Z8aow6Yvv=1)w2ISmYnKffz{3L6i0jM#$tkeDS6> zD`4E5XxL1dA{H}J7+KuWSYD)P=U4YxqwAsHTB#|W+0dTyofa+OasK_KY*{Vl*eV5G zM}v0~=3pL$L7d`DcrZoP9Pv4(k{|c3Fqh_#lA|pMFcc^>e67i~ulbbL+perg%!fBD z_2@Eo290lZeh)fzw|_=gOd#p5(pMaaYXbQxoXX7dv5+D93?IKAYqdt(s3b2vDuA#j zL&)52iz-^hG@Wlx<3Lmrz!`d~~(ZBg9G zoxp|YL0Zj+2Q{OFm@G(&Uv}t z_7`>EG41uAl*EMtSoF)Nl3%pb-cwUkujKfXEda*()a)=8&>Lw(Z}79n+OH~jclrFu zfGBdGnU?CP*@jQ;XWu#Wm$;7GS`;?@I6itaJWz|wAVE=nQ!SS*(qbak_WO*l?)8;Z z%G(V$w&Sf(L~NL3-FY<(J-dH&554CVKA%L`Pl$U#zELU;l@wvZfq(eWA$CY+s0g;9 zn-^q+VYtxvXnVfH;fwPITGBEg9fkdnayDahk7Vye*ssp>3s#-Wnh$%(fz*DAh&-wn zIFwVo%U6@jeIO=MEwP;m9}?@9fz-IKaatkE=H~R)<}=1|xH$*N-kYV?8YEXTg3X7QsTZV6C++PRY=Gl^+K-L6PU z=uV(H#sVw|zd9+Y;Y(1!q_jrDwYf-7nkyLly8915v$o@~oCI(jzvkZUE5%_%>TnSE_gnt=T! z6`Jh0v&wLF!#TR}?#CIk&N3?Tr*u|Ic3i=>p&5bwOc7#!r9fjJFYG{}l^0k_Yr~=) z#gwAR8X=X=Q~lX*%DQSFrnUc>=&xX?P^yYlFaOzkC}X5(P<OUYUS|`sOUpR#tOLdZ#huh(mBak*rDh{Yw1oUZ)rZZyv{f z!jKEzOT7-$Ic;sp5;}GpzT8EI)t#(Sj+TxC%=AxG%4S2FIywZ$oeFoy@>1*ewBf0| zX{Rim{>9RABQ4d<6v))$`G@ch&N)=+K}fymFGq7l(YaSCT&?QECr#SSf@0=SYk3-B z4)GV_+CgL9Q}zD$uy~@hG7ILC?z#^qxL@R1`PMKv^oWCkcG+$;c(~fwZhxC4dVnQy zk=04u*7;MAQq4DGl)hJMM#+Lo-aePy)Igi?hmqT{Sv=tJdI%8H+A-mSB%l!9&XR&U z{Nd#TE;E!aM+O^8w|@Z@1l#ti<>E&}&z3Go3Dq6?$dmeOgriE`bf;_7{tNx1iYBS=skFdU! zcdwi+GXn+pw*El);Z*p8)ZM&Xz_9=fbQ9dOMMT@bU$o0ExleEU>Z1$&(Fe5W6QVJY z^X5RuQRLAX4DiK{@J`hb*jh>bhR#lTX7f(HSCDV8uvBpr_j>69{c+AIR?>Lao*fEc;1Rv{{AgLeMKKHwOj2 zY3&CJeCJIm|9J}b9})co_MFMjfrH!mg`txGnoE{%U3Ep>K(?jP&_^`-86}MWJZu&2 zChGHnD4=lGpy;!6OW`fseh-V8Pn1>TT1!O&8}luon9=+0H)pCKkolv*Wpl3DJqD>J zM_T)MO^kwsEKTIr*dDvFKa}lBW+B|y-IKHY!T$|Ohvl{_hS7Y={(}B_#LLo(i(<2Z zwsT>9B7>Dkvg3aXbzUk*tN+TsktF>T%ABAh(R4X<6mx&k2f`>lNE~F|sR>0=?21ElK&Y5JHG!)^mjD9`&2i5U_MyR zn#Y=%qLuS`t$8Tyn9snAg`CCI+Yk2#u`?O~*5KP2(7&xJfS7)LZ#D8w1#S3Zn}x-G zeG}Xk%Qe4@z4tiE1N}0e$j1=5c<@83F(!rQi@6dF_h2h68e)pGz)VOK)rKfGm?2#rqL~5>G@jgklw^0xT^q0O1 z0x~Y1p%xRQpj&I1cI++Ib-^W1$Da&KGv%=F8s&8`~%>&m!nk@E!vLY-ix-? zISu}3?RJ*!uYbP#i0BLOzrG)AK8O6TMkF_PUh^_GD0G>T6YOyo-~ag*+Yb^5|69Fo zqq-Lm;i_xfjgvRJXyC6Vo`Nmc*4N&hzVN( zuiszD=Qs{7A3J6GQID;;$gLCkFWya!jh!rYY?xc_E{qv#6^v5s(X=UQNZ)Ph&QOT$ zV&$M3K( z^qJb6Y(seW%4KN^hUn9Q7X$K`U0sbaFbOnUGMUkPL+?J}ua?vM-+RocpsLCyC@6U2 z#tmJyD;F+!l97?g-o1PG*hL)`^iJHUy$eVF<&$?_1;DI0DX+s?UiaG4B1Za7?X+?} zk#zvWNz8{Ue^0#Lq1t>SFD?b z6O+_kA#iJMzVWrDCOAp)txwMqtD2fxP*fDp=;-LBCIca)!}H&Nd60p`31jHt8}r&D zy9=@V2GApmK#+g?dqGOBu9f<lLSj(pr7~;n~Qt zNNc#R^cWHJ{%jVm!3B-5Z}QH_TeF zvB)S9`i!0kt$+icSw-6^SLKaHuF=WWhr3<#pKzj3I!pm{rtqF^nAl`R33?a*3=D*;?^>=BH1ef+8ZgWMpJQpI*Ik z{509lLLXIwiIb)i?SyNH(qP@JuT}Y@o8yiL|7)(1QRxeublB?)u=CnK z(4FyU(B2|G*}uN{-yVbZpH2i;7(_X-Jf-5B9lP$1OC-G|x3j?+0%2HJ2b$hY!sMyD zx=OZLPr$;W{VCeC|NC&6Zv4G7<1g(krqJF0=^@Ybk&V~k+DyA`T$YFjJ`Rg|5*gQ5 zowqIfPvIp=yqOf#cYT6l;8V094d%bC1DgBr_CZ-#Wa=9jT)BQd@Xp4)d-qrhPV@7t zq?Cz2x%?(^=MJvF9{~SydBg1TVc`hmBIZBOauYZLdruDc(cK+;xdkig9ejfk^WX^p z#2!yhU(PwQ_uC9d5Z}w>Pi2b!h`k^0Jl0JCn}Cq;BrEI1%a<>6KCJoj<=LZ0m|tsa z55=qJ?cC#t_WzMTt_V3$-e>dav)uk%z$cV6wm*#^)56%dxp&DRuK54SmmynRpPY@0 zE2N}EeD%JLnOT~ulXj3F%RD~%$aY7|AchSK?C6J; ziteu5TFGF6`Kltcki#_z@o4ORXPm(KizBvp3hhNXvtax)Vpt^Xvj02iihEDVp ztB9?RFbi6bD6BA2oik(r9x4>-Llru6?lA-Gu-sf3z5;|W^S47|{{%mFU(yYml8u`? zbYjBf6gzv?#3gn0*ZACUwPwiW5cZR{=J&+@i`DEqvw|bbpl^-8@RUlc7<3n!|Etgb z7+>*(lvN*g-5K*P2PrPkXm4rpi}xR&kiucd zqfhxjR?KY+@+P4U)1@lFY?sc~_du>acDN2yv|R;Lzkvsf2TDuJ^y>4xDn8DqQvc!v zcE1#NtE`m#`t@sIP|(pU5q^G$PO-6_CYFfZf3yGc31vj6ntD;hY1P{3O``*1Zf=h$ z!TS5Xo*iazb)|;&?McieMw`GH*55!W)>MaZ+^KwwAN$_8KU8~bwe5`U@7u?Dbsx7T zDYABtvFp14Jbf&xbNDh!r}7Xv(LZ{z18xK);8ysE*AcJM&PDd6x;kim-SLvVe7Y-z zr7XJj|3`cF=0~=LskGttAW#O&@9=JxC)C`V*vmGSZQl zSHDpAzwMm{IOaajjWq6DMVSv@6@}=DgMT!H7b3M^HWb;LbrcQZMUFr71&1G2A# zADXqEL$-@A1y>LVNn|FEH6^}utu#zzk&l40vq81_uZxlP=gvDErK1xwFfbr{>C%%s z@%sQjP?(L6@t=Gc38S0phBLopT|Cua%fT>;UBGjth%sJj`)!#dQH*afFb~GX!Nxx& zIAKk-xA7TpF*9GvZmkSQ-GOa=#;BF8hVEX*?Y1<{c!&d=OYE!!=W5R)`);snY!eE= zQwAvlInc%7+6M|^V|WBwT>Y*Nc;I_ry!KzyjatSO zGJxv2C|Nk>P5R0-#Wt7q&Kkrn1>G4;$*w;$$0fAYoOS0)Q(-Vzz@=QHZRlo@N+5wA2S2!xZ>E{~nl$xC+Q*6CR0Da&ZQLE1 zdR`N~rClZFwq*t*4l=(!KaH31r5KVp4Kl7!Xu|U{Hvo(AhjesK&du4`S^crrB+J$L zdo`L_+rdiITpK=H*S}d8c-))bC{E{c4My5u(SN_(BdDYJe0;V=UfeEs5oj?NnLXHc z9f3!!fp2;smW7*8JalF<&+Zw}0n9wi%w@M=ZtKbBcwgd5-OAb+;lQsJG zZqW9*b^lDz$t(KM=XDmei_4Szw<`|3;??t1`4aQ1n&Y+>%9#OV<8 zHxeFLc!$rWyD1EvNIk53hdybpZz(sv-^X5LV^TU%W>`@rUCXqWzm>Dqvdc98>oZ2F zTCBV8RMP3jrtUfvx21$t&zH)$lP#1^_;92>tF66C`tkC!Sn9fuU2k_#|22VhrAvQ; zv{SSfUfVKX@kMhWe~z41QtwaG4;AG@=>GN*^*C?|ci$IKoDb)^i>)jE@9WdF3F~u= zPS7&0He9eJnTSeG0M3)q&fMg2nMdYjD+%+^UfVh|&7i4>kbodgugV{vcQz@{TZ9q! zq(2p`3k?zOwZBhEb_J9>e!f``yS&&+9HQ3I_m(|j0l>;8Kji7p9L|JITC>h7TWvuPUn^(DCQ%jEMk_<0gg>U5_$?$S`WmDQB- zM$;j-)&tGOQ$@)o)NrteibG#9AgV%cYjSKobkZz^!oM@d-#N<#Z&roanj}hikg{BT z2BG$2k+;-eU7PgLfJ##vn?K`=>3!Kr)Tl zw7FqcvJ|!Wx8ov*U|mNh;6T+jmjdZB438#-csXnmZwKV0aP~H2*xMJVM+DMqcyh|V ztszF$&rhAv{pg)R>64~as%}3&!dMkRzeMF0Bjr^Mr&_%I!kC5lnWQXlktsYTIciyy zPWa(Nb&`J_%DQX{w{CHQ9|-;gmm3Wxu4Z`Q(K{-DZ#%hKE_JFOm(1w*PipfLM!nB| zfx)cu)zD8M?ou#|L>Ov&$}78@#W!b&?Uwt!uKiYx^Nn1x-}JDbG8T>{S4d39F>UiZ zezv+ec`iCa$i}jDqvfqU63yU`ca=F6zi|pTi<6TVnR#q?|0xW^Jx-l%wMHT) zS*TkjP&6tS<-|Pr9FE&BkbU2uTwMYvLbxFGaBAY)vT~~W*V)0E`0H;j=sc`8(=i5x z$eoU?JI&D&?im3`CJAon9I?wG3NCcUBnY;%9{oFtB~e95y*zKyep~YM{AS~&ru*Uz zE6*V1q}6LTOv_qa-Se=IEBkI;P?62JP^T6@0c&(4zb(?C0-H=IbgP^w*v{-!Yn$uz zipxYhZ%6S%a|I>-;WA~aRM$$L^7R|=XDm?N&1*_Lm^%aLChP$bz1S^Ck%mP(nK#9H z!1BL!EQYg4(7ya@T^*l<2b>!O_J?{HLNPq-Me-$l{DnST;3aQt@Bt7?T69loJNk%; z3|1yA((6<`{Pz_8qdmjK;WOW#DjcqEdULU~(_#6yPE)z!4rZ6Vi9iCI0YiScn(ic+ zx2ofWAKFBF;Mcz7{lMwDzzIDUf(;_=E*O1JIUe|+6nc>ag@g>Mw1KF8u+upG=@;Hu zwM%Dsm;w?s-nCvs>Adqhw}HlLfiaihoO_uX9bHkIYV{pA8C>TJ->EusDfnsL}fkh zp<@g}^h$3oJbf-0A7o>8NgK<)>@bahfz6O5qe39=z;9-LjofYhpB8HW2Qy5K(8r;?Z^#KcV(iD;i3o);_NB=TxtSgK zfsEz$<9mPzU@z%1v{5z{GOCAf;&#=v{kwkcHW$5BPiv_rE-240U^S z?e)1pi(j9=A_A}*#|AU?ss=zb5?p9Ctgh~Vj8`(!_9uRlR%y|j_uk5=m}x2P1oC0b#Y|AEp&j^*q}7Z3C{vkODoO4k@OAQl#_hz2idGhK)-xvK~HT z4()JMva-sBKZD*#=CRWuRQku+zSw{>j@2_J|1oA5-N82W$9Z8|Eo@Z084pC`DerVC)P|tMh>o z!Vgz1488ku?zIdQji(V(9i;d&`!>os2ui8tKKs*-Q{Md^ErA6aE#wxo4Z2Q!7?Xhc zVwj)Rl~t+{KmsKofpS(5wVyv7a|iw;jYFDf7OF-f;aK=Q-QD)P;pCN2SwLL0-)Nt@ zN)l1F-o9Y!MPb^4z-iOY9Q^hK{$5+DqKDJmUc~f^U1qI`*AvRsUxdZ#xCXOaJF~Sy z@phUrkbo`6sQmjz^A(=2zXHU$g$#?2rTB%WX6^&woJ?&VEmW5?oHy({05O(;I48l$Ai^dz z$E2f~Q=x1$ASxt8_I!T$|+8G?^$0wxzrvIy`Z z1T10)1_ukrf|Z<DY}sRn^2 z+Qh3=-!p3=a)u-J67adVXuTr-S_L=(+T%?&XCkx-h6f(53~14ux|PGc#{44VKB{%<_mU$cO?UxrgiV!%fyj&$2sKq)Yp zdLc@Qy~4}^$jqneaX05jzvz? z1%?-Xh(@51hemGgO^joJ*lHqDZ*AxTiqP|~PU}3vXU`E*aaU$wDzdc)%e~vQ0yy%A zK4o?t9B|A5BD3E39EbS*Bq*O43qT@XvcvCggo(&8(+JUDKh^g#m&`C$6 zqg`-c=i`Um3CXH+AFp8j4-m4{Aj-e8eH7 zkcAE7PRB}x;jxM$o-|f#I?!}VF+mn!6KB_44Nv(?yYIYupO-fr;)ZWeJ|bC&VWy&L z%hbE5k*$hNHuj!)$6xXiJIrt~U+{az8fnWkQCJquic9^N6LNn>bSbgJSv^anRLx;K zd0ob~hQsK?-@~CF-yk@aI>0I6+Fw6MiQwO|Zjy;L9ux81T-;B8{GW03cAPfRZ0`WTz4YvL{w^Vtm+U=oAtq+^Ie%`1(dX?zE#a%IH&+7ad$C3`AjgI4n*CBKs9s zJt-;{B9E3&EmW$BgJ{m5`UW^0L93yc&&m`e32G{7FGAP=)g%N-ll`jpQ){q$eYtPa zi#ZnjQV?y}$epA*pA?!zrI6@JoJ}+9ik)5$`~G< z?m(VgPS+|O0EeVS3$c4-3DlrlLQ5drX*P|ISCRDGJl|Vl;VgDIiHEwY>*}6X4_~hp zs&@Og4UYt8zmIq?PCvx>m(2P>&iinN+oiTOr!GzPaO`KO*#Tb1`qNBaIN+86-r|sN zK*YXRAju&2{zM!O^e`73!WoC+TYd{}09Y%!1XNrc5FEVJskVpNXkdG}20GoBj#C0MVhux(C#w<3GOyGHVeI=F%1&TZi6~ zsir;9oGMCxeR)P}OU&Y@boQ5?YnktO+A-~jz_;e5w)KbSl$v;vvdXR@Q~55I1`f6z4qf=_dvxb!_UL$KRtA|W76hbSi|^ZR9qnJArt|A z3AB8$1ioNppd|2&q5hS{2byga<%qvL#y3d6ng zLXG)|>~`oqWgzzh;<$UgC50)fnUdCYb?-i&iB_P$6|1)j3gi_&nPIQ_jWl5V0~2Dz zC^0=eJXlWLY~Vv(`f}LFe^%&hDocAo&7%CaUdp=nqNAjZzvycN5GfkYm>Q8NevIk8`}`-Bi~r zE=hg1j+yQ*w8COCJlKQ8H~GEx$p}J-?e|cg^nD)J^@Fnbm&Ui@6Ml6@jC0Ufhq=gb zX?fFGd7kJl-_0u;gukRsb8)RT-`E$bua-NQFiI@5e@`fPs@H9Zq- zh;_3=6c_88OXZZB*rn!uVjVg6f*m1Pa`sAuU8IIu1zPk=g&S7s>YHCZWlj$FI&+db zrr{e|cWNjg3G?iZqQlY@SGGYzC>Slygs&(2c3fGzI6v2&1Sbf@l6NF|Tbi4t8=Bw> zomZdwKt7z4qTN+&*u(^S9?eEYg)S1dXPFbX%KJU3Pl$^4G^yItwSKiDAN|B{M)C16 zDV&yP9!#i0^D6_+(i$ydA-drxm$Hr_I1|pLKMCPb>5ROnm~b`l2=fJ!8^`83?;?o( z#}<;|+I;n6&lG<<$P#V4^FY8h(Rg&WC@sesnRNDrGPP+dB${b4Bgi6{twO2OQizmcdbIh3C{0)x9@Hk(v0T=@$j#FB6dhMq#PblPx95&`4gskO-i$2BIXx{)UGYb@ZDBkB> zla3omEIHa3g{{Z_{dScj0>+FIA)XOVi_&X%=ROk{QB&0UG& zZ-wch$a#uS%JTBZ#lBd=pOoCURO#Lswvo^V0G059hlQt0uF4V|;Tgk5hMMS4S-*@= zYeVY8R6JNTT7mLpL%5(KLOqIO5goJzwk=*hN-R$&M0{gDSTKM^E}YHYY^^Nv+(}e#i}*|?oL;9T%|a**Sf4t{S8-|jPV&v9 zqu++0&xp$1ss2ZV+$5#bCf`%kDheNGeHPg0<Kr-5CS1$ZL}G4M)c_&b~F}>XO4^ zU5GghC5HZxQ_w&#^IW;8Z@p8}61mDPouRUMH(FqJ?k0L2Wd&4q8pnz4!|KBL9RPHL zrcHPUMs5K{Hm#N7o!2?6l&nltNEF!qoj8|dDjz1>5hOKy}j%?IR{B{-?Xv`kD$;WyWa+KB;}Z^ z4qO}8LJaJnH%i@f0o%^gPP_v$@`;dT4mAdLB_fI96nlW?v>CXufyAS3l39RdwE0G- zd7>NKUbd?GmO1q0{h%H_VvSMS^7#TYn8afJYrZRhfgW-DY=Ys|R{jEKWY4E2H3M$22<9|INrLM7=~WTu?ggo>Piah*Z#gTfKmf!Ub{(XZ zog>1B?Ki;!vWM1lHEwDt$CN2s2j)lI$-*Nux-a#DTXS8KosUz3GF030>q&pDP_M(Z zL5MH=jnfd@|B~tka%1bog^VLecwG%H!Bcz{oNrO7+#L+#)0lT& zgw7*;{T4Io;m&69lBk&ado&P!)lwVYFYE2|x?xQxJIr^EGaanR{~-~~fN8T`P!*lS zRj8k#$dMyTpb@pt>9!k=z!-LWq&%SOE`2E2S05g8aa6>!UhR45=5Dqk^flZW=ljYy zLc}hstz6jYiQPMlx8xUvq7|eL zo_m%KE=vMyvbxiD;LDNGw)7}%1`UX9?<`JqjU^$01D`(zXOKpA|kuU{mj zXkF|933=A-_n3l9-B#{FM(zDr#3BqlWy`T`c~q{6F>Vn5%_U1wc7xAq;rzM8X+~q? zV)_A~-v%b1JC2yqu}J?{1_iNU=V2vUv1uWD(V3q9l|~_P`p8(C-l!U!eoOfJp0$Rm+IZF!!g5F0g;%esWfIF{WASA-dNSZPmaYfr!pK}yX|S~)R*Y(S~*>|R$m)3_CZ6$ zw_b6~!5H*{Ir2xww(?Q;?j_;3wk}pAv$`7vqf8*B>X*vDlOOShVjqu8iy`nlBnGgj{#DmhN!IwApGd1krWrgd zVe)y+9MStfP^4E?-pbKd*$8T)rgJJ~VgCyB09h9YSVJ!Cb{+>O=W}k&B3mwzbhJ1} z{reqCg~XWqyP!nS=mQjC-=kYGyKP&aWfBOw!zGHN+s3&@qRwjgW%mURy>_@x!o85rBMcEMO@G z;M)sN%ctd8C%ncemDjl4eixRY%@oU-M4w@+X(1gHt-6= z$uvt>RI8xcw7rf64oBfSCRJM6k_gCMwXQX~3Oc!@LrPGFk88vt!rAPk6)L7TpZNHh zk34MLY+9~Fl6LKGO0vBL7QY5m%2z}DsQ9XF&RT03)`ts%B6YOr@LORzxafMScv`I7 zjy8g_2~P`1*{*v^ygl52N}y#>AhhFrkuTopE@b`29gnzLbg7$}-to7aN|gH~r6NOs zyOK1jAF@2Uk~1vwkrUr0ZHdcL5_x^TTlo6=)=8Ns;Dn84#2CLnnb5op=Mr@>Sp+qB?s7OIPo{nV*IL|7yt;nsE+vVWAC(I!e0m;_RqjVAex1;D^$nRJ}F*BI@lC}+2^&~}o8 zqsDj*_1@8bYD7|{fu!g@P0itTXh|Vk?z~ab*#rVrwL;7ITX2kMxwN4$HLsTIRUJ+* zH#Qs~;_v=ZFnx!*6Niok7R~e0VS2Idn#)y6RgA#A>r3_YL;Q9I!vikRRbSbpnvYHo z^rsardKZk4k8U>+jpTZu|KKB4bPrOot7&rf(#|mq#QzmA{L|W5X4tiCZA!RlD_Lfk zXZ}5Qq?H7ugw8Ix0D~5(@&cB4bm@SX&q3jADla7G2iM$7kUlb3g7$j`pOvLHMW&6# zR|ZHsvJC=8%c;~upncMp=J+e|aAIM|V^ zP6AP&L11ODcHjunsarrwoHOedc=tA@r~77!m-Pkq=tg!~`6?}XY+aR!UjBKv)2q({ zY&pmmUVm%53lzn=01_!TwH-TIgek=H4x?l>(p(8B-&z_?&PNJwx)hCeSO>u6nc?z& zw~~LoO^{yHbLMxhXGT!@F0}v4ir~nm0{4>eS)k<#oO29twK?0>+#_$x4>CZ1LAC+u z{U8&?z=tgtF2INg*n!voz}eVaWMeE#+t!!~IptS4QwR<$NN>TJm!l^6>RJh&=F1}- z!Z={C<%p+qBb2^`;pWu6It!DN-_C{E(9rM#NW(S@YPm>}Q;@AYDq3dHB-6B-PsL{> zkXB<9XcK+A>}xP<%}ZXrk}=}8>l|xve8j`4mt{39mtK@=k|IgIP{Xd7lD3pqxIwPGajc7)MLYW{<`ux=qkC{9X$03{!? zp~z3(Cbvv5z4Z71SNUb5NO`eWEs76NKaE=lFpX zUouYfoRkk2XcFm;2FH^J*Ij|~2>t*GJ3D|r?|YzLF6PaokSXj^z1UP34KYoP_Yvke zxdkH+5({Cp)Iz;Q}q&*Tc~miBPUER4UcSk?JEB zexd69q|~J#KfkG+vqpiNq-N!#zP>!-W`bw@;^hC?G+gk?xJ z{M6TCR6zBs8AO}}?*6E$BBVL6BClvW&UhT6K7Um??bc{+M1Af()kx1LGRf^8U$``j zTCvqW8$Y>;(gFEJH?-@D9ez&1O5F2O+@;J;*4NzPY!oG7pARI`2+RGJtT-t2j;88C z-H)$l&X}F)t~#K;uqa~7U%4xZayuw@vnBB!bkr4@=WjNZMeKmt>AV8{A0I)og*mgi z*0W3*=kb?mplmUrR@!Ui14{G?oC^B|RsS8_Rwy$xIsdqM;|3F;m0%A-%GZsRkdD?^ zye745-9L{+oLvv|2}dT`{ow3zb}mEG326Db$+Z#P-IXL3Zge0{$=Qz>8B73Zw zf57MiImY9d1aOTEGo)D;vzpgbA_5A4JEWx!HC=`?&)ajIs=S`Mkf?CknsvN&X`oRVdB`n$Ps~XyNH9}*znfcDJ_+jXd9QV4tJbd*l6^Zz{y`ar(77iuIULvX zwqXS6Am^KiKZ}SOz&<*;R2(=i_%Hg)8AiZYd(w386aW-~3vnP8m4&t?1f=@WhAe+< z1ChF(7$Xk1p4o(Sf)T|uk5);0a}PhN{vk86hPZ-&ln}u+q@6!6tXP8vrVy~RKIoLR zY}&t3U-FgZ3O{%<`Zoju3D9J3;(lwNf=(($*u&9g846BS2FQ_~|JK2kr3HsJ1$n)ovYN$FITD25`^@*8x3x^obegLEy?+sjx)d{a!4FJ4jcj~m1Hazh zz*B*nR}Z%`@kh1wFFo7I7FUvItd-bUPE$^0GG~@|33^Bqv#o=K@C4KZA&Bi$qKm_P z(?!rPurto7<0R#p^{7J?A6 zBA9k!m1K(MRj{5{_O%n31gX7P)`t*3<9aQ-Xwi50b_=pc?E`MK-=}9kT5lKZw+1Db zua`a|b%XUi8X+kuoM$OtKvzgV?A;0iH*frpmSViRPyOHc9PJ2L=@AeLG~DIyAT(fh zlit`c^RpEI75t84-ag$)Y+%ve$kk$On*=)wm^lK}@H2qRGcYa6>&F@~cY<03JPnY^ z&eIEk#H*UK8B(DcZL#-gxikezA55w@k(^2X`2eG|=M{-OY&Xb*_rze6X}N4He9nUd zj4@(8P+j22<${Qi4MgJE^r>4#(?&^lGQYFkD6`O9L3&@FGp+OqK}pa>BdzOpu5H&P zS(!M>zJlXz3ZE6+lv7k0AMD3sXxZz)I>%j-kC&sbswTM*{DK%kSvtBVeKiYz1H0(xVyw;xs z*~5y3dnlvTs@S#Hj4g;O`n2i+w+N{?3c1He%_v7R=O@F0;j~nc#U$vmx4;!jpQt<- zJHN;(CrKA;V=^WKm3^d*;~@wu3ns1)&N)`+Jm5PHVpTH;4?qEZ6JRgvs#emL3mj9- z1o8bBfrCGXQAVXcVdqFRUkaI-c<`;3kSLY~H9&3VnAgFC1`|4h!EKK7*o1 zdY2K+JW-iA_503OgQI*^X{aNp5VOh9$bOL(TU0 z4~aquN^3=58ob(3N(d%DPBp=q-@M_HlD=ezINlGBBRWN;5328Mq@$O9fL{KtnBuVQ z!q!w@d0(xmk>Gerc5V0T`s}e>&1B`&TYbUOMq*84!j~uAo$->b+^MoQp;g7p#c{sP zJ1;a@p!kBQc!OLt!E7BfSFO&PTQk*KZVf3Hc*xNuKRm|+-mUPb4~H~X)q;osh}fkP zysK6;C#9pU$|t&$w9CtK%=>!RAMRGMNKJ_g@418|wr7XR`>uVmc+-IHC!UF5!o@qG zpdz=kG3>AO_@5L7Qs_sKYbDGz;Za({&D~A4O*flE)A&$n#T* zali~Yds!EcbfryVlWn>jXC-6}_j4)0@F+SaN%>_A^Vxl0uvX2-$%G4S>Dtpa0+L^kr32kS>Zl-JXjGBdZjsAR(@CX3pUd`fU zyRo-c2#7ua?(~{$td=~&T^Lgm3AFw|y&Z&9LKeiGr0g4@Q4GO5E7YUi6*pP9wYe4# zPEGEiukU0xr?-tm1l5Sp1mufC;hg>o2k?5OT1xah4Gjp{Z$Z11w8}Fza5dHZC}YH0 zCxz%VAIT*wVP@Lay1<#qWt14`Ag-X(&r< zB^^^poV!Esyc}cFne(+a{$6yBfrYLvpLt9h4&=aWXjj*u2jJ@l-G*2RYS2TUm1qv+ zUOiz+Bsj-K72XUdhb%p}?t)r}@bT;{T-c60EGNuo2fhxP63kbSH+YVVf%tc3>`JNq zf=19ma-!+St5rX<~BvSY!=o=2&+W8Y_2>z1VI}Ml!Klr?~~1$tD3o; z`L`+rEmTF_I0&j4vJbMX+h>|15}ZDV-Txd(HJ$W|klPo=pU9{HQi=z*{t+T}PL9As zesl4e>b1#ThmM`D;G_YF=oyuOL>?bveaS!qOP5~p;?5Fep_Zr8tAUCKqOW&HU<1EzWqD3?1Yu# z9wuX_piu6z`x+@@HkMj4x--|*!fcMzKgK7mb%{Kwx~$8_7_6SI1QilxMY(sN2nWT` ziCLto^nuD6qYqRynzY=|k^xCz)d15yY_tUzoqYkcB-0lx#YM#u6T?bnc1#YX0~Mxz z)ckVubLYB2B~O}tOjVqoUFjW}nGJ78U!6e~5UR!F8NyQqAFxTsTw+4EmIJmBaf@WV z=+dpK;;}~tMEGsSC-aBP=xXaSY59YQfQWJvAkDBRCO$b(`%V~}Y_$PLsWrp+{xqVS z1RZW5bPI}_h3x+|>#3{sV=+44-F$-QDvZo7Nxg@D_gZb5c|~+j?k-34tWcRuy|=+* z&e4M%j-=f2xtmDLxnhoK&}xi(w>qjm_O4ETlJ8n+E1u6ZN&j_qo=$#R$rt)iBwvGy zh^0y@$0)WX)4=%{Zp6j94IF92bA}s^njOUx+N#Wa>J1PXF2Y__5&wke>2@smJ8N%M zU=hE>EA~*g_O}Hhycw82g@>sblRe9J=g%LVTfGBau4BY1WXkiQQ;?BDuiqVqx4$Teu6vq-UdC;GDA3JZ3%L@h|ND{+H2AV1p?KZTz>jyCkLvvuw1uoFY-rZD ztI9f0+$eHb?NR-0+AgLP4*;dSui@i!XC$eQ zmG4^|V^Su&o3a#FD zu`Exvfqtavzz<+|WK!x4l?R5-BDlXnY%K-9@q6^u{K4-U<{_Xj^6tdrfZ`I%fiD^U z!*+f^pN6^Cf&?B{_}mthr5`+z<67261nRV8;(oCaH`huZe707S;6F;oIR>1KTf`KS z$3_Nhs1-)$?dzu6;ljJK#P00{7H^WrD-^V!DH97f37xyGCdKJ8Gh%f)n^zRk4t$%2fF&rmi>sY_3^Jh_jH zQ@gCY_WI+w->=S8NQX59v{xu1ximEAx6j?7BoQ}A@EBgQjd^gaiOZQtSbRE`0 zq8yvC)|uq=XQ^OyM$f@o?;3M`&->{N^GtqR6Fp&y(ouN-rFuYHEzgGX8MJ7o3+$o=Jx; zb($@3Kw*tStnazVoq7vauKEMt08g*RGOy;GcPttV)ws&wOEvar90Vs{VNFdc55cK8 zm{|BNSHEMi$W|%c)TZ0%N{8Ls;f<3oZruxAqvKrhJ3bO)lC? zLl3;deGU>H2|eW~jrUU$Jwe?h5$RGOJ55wRbu^ggk}Q zk8utA*w+EnP@A`J&K}{L1;~!yL}-zL2>O8d=OebxWT$$Wgz@Uh{U{3EwhkJE0Al!r zJ>RKSuH8Dllv0h5QJ|c3a;*mT()@hWxEc|QHZb**;R#x8puuMvp;3tgGq;6O`n(bM z8OVSU)sXaV|iIdFQydF+1zOb^nHa!Tu+qoqhWtpmCfVD$Z&2#n_ zhrcgPbm$GWEo`>G6Ckq7F>>G>ewaIncbMk1j?Z-IQlz%Zd`VLu%`I5V*z(cuNNWds z^VlUHqub<0?I7cu({un~yy8U9o}}@e%0Kbt`C?ltp%j`=PqxML6Zm7ZW^x8$lX(;2lP~0zJlT5 zD$0babWZcvo3@bi{f0)0l z7=TJhHz?Ab(q~?%kNCcO@3YVM{bO$*ajpBlt{G#FF(#bxkdtkD;mwmA!Wo#Yh^9@6 zmc9a^DZj_s&ABU=3T&@`G=X;Qn)-%XMJIH&_p39vfBL-+Vl@9*#8-UM*? z$8W$1)exv30OLTra&HPcd4z6;*D*;vu^Q`utLD>H9{B#!#?~Pv!J>fCtJ^Fy;ty{l8y?j-ukxAF<%oNSs z^E@TiY^~>f>(+3irOthFp_^f1;a6PqW?B75Ko$^l4}w`4Kb%I|CR9 zT~1`WD(qqwYvPVNjN`j%^-jePTSG>mS-jHcOZj~IhK?UYim?QY7N3JaxoWE8H2u=WqLZU0C>%?2V3JLpW!G1Zmp*CwI+BPY zU{6J{Q5_4l%aE3O%Fb|~yW!nsMOUbhM1frLIY?)&crkI$tADtd&N%PVlRYeBNN%!N zLd4YxQAN;$ECmLR9@I4Ev_Zz#+q^hGEniT*$*V=j~K0=LO3qo%gB1}CHfwUFXO0sj~ z_?tQF45jqd1?ophN_gBLGbtFqu2lu$TUmC=#fEjbGxe5fioI4tpTfQkZ*UKv3z1>e z=ssg;X!vaU2T<#19^#U>4pB9?{!(N%QO?Lxr{aEGOsk7*z^)nBAbH>w$)7cVXk)SO zpkVZ{^A7iCcLJ!1!e2B_6+3J!C&ow3nnl+h8;vk1rWf{b>}4Mu7~K#s$(2| zd0=qxc}SFgFl$7{h>bwX0OZr9j*}V*Vcjxj!of#>Gxtu>^WLQ6khWDL3(LI+D7vNq z_Lzp(ouL`~M@-1c;yJ~58uLJ?H3?{cY@f7Rpx}#tNw#3JVTqUAxxS96 zE+d?XoZ(qW7dW_9WJwhMxa4~qcp6BOr^*#MC%s{b(80cZ^O~q_Zbt z&e?0;hp0Z*2Z{(&TzZU$Uj|T%9b+I#9GZhfIFx%qS2t?dXArX757p}qYgq4oHH!v2 zy}D>%=-yn<)+HXMK95H9Ib>U(^w1+OkDd|N@qy~lk`4>{ko~E(yOs7nPtmo5Ug{&S zN?{7!`grrS5P4LiXjt#spzv(6-g?a~07X<8YAF_Crx4BTng;@Nt|(r>6&kaEsXq=%1bkykf($=AhFj^6}zzyO&1?=lj>pLCraIA@oR zv%D@>DeT~(l1}Tnx!Surp|=})3(9)7wT|w@esSLTbanD1`HL5w)Y)8r3dn)hTLkM^(#E;U7Kzvo(JSnq;6$=Qr4FSr33E%)>(OJzaWi=i*=ibD}{57qz)Jjznr@kwL0I+_a-3 z1pYCp%b*Gx{1*xZ9>ChI59V#9hqJJ13`1@8$@n-}38Lu%3G3zUre<^?hW8F7dT2lL z<=s(@_>_GNH~CGz95QLkh#AXlD31cjs0PH?YojE2ufGNE_u!Mh#lSRl1?JU;6%=)% zLriBT#9ZbRhVj3GRsX8Rlwpjw4mKv76_jF&XCdLs?Yw^SLWVy_HOQR|gcxGu^(dB~ z(CfK15Rk)sw7uck=xGMG#5Zn$JTG)|)K~mKShL**l5nglgz>*4m{3X8Y`(;Z&)i$> zya1?`W&jl-8ST7z#B-QBZfD;RZ$wH%wFIEsM^LYR&n@A3 z*|gM*mTJ8`+*ZP2#_sK7GJoP4=v?D^qvX;Hpx<3=6k887c3MWekAwOk*&-{acn^vcoD@U6Zl2~uSWo^B)_$~zSJw+x|3lN1>lbm zU3d{~1*D2H$adngjyWQj9eU`cqhF*;Htf4khTPn#(Fq?DnM_!y_J#P&utS9ESuNZ_ zAYCdU=xZ4=V2v=@Vm)bhJ%BhviT3wCTG({b<$q{gx99l|Oqoz-amSmgFDR$$%cqQW zh}(3^3Ui&ZqIJziDz)Z2N>g4t8=wC*miC}jxV5+%pyTx&I4_2iJAt-mUZ%{}g1YP> z2FYbGmOc;7afPUkvTbixT@1M0%{^}_SkQ12dUZ(Xr|nq+l{eBFg2aAeK_XdKd{{OI zsA1Z<=h8neh@Y>UYWHzJLz}pK$|9p%TNhWWp_4qixPlcbX$fAk{;IS-&a#)vm_@R! zTHhfu?acxJrqt`TjcFp6O*`zXQO zK<{tqjkh5kQt^bg-z)ujS%1g+2dK&3bGvEF)04^y;XIu|^(^4_06VVyj~fiK{!T1A z@Q-j(P3#w0Uz@8NBpze9eO0wqY>XRmn&%-j*|6eTUMyJl6t{CP_5e*puelH_v(rqV z>5vk#LD>cDCo5`;^bLu&iXk*O&Y^9#u&0To&><7)d6C8Nng~l>I{N+ZAcOJLd4%jvSeWcXPRpXtYfezn1{2YvvCVfjLk5VD`MuBg z&yC5f10nwTriJP@=P5#F=OV(Y!fU8w05`BFcsqJMH%myZ8hP1jI%3=ru)jrdlu8Tr zXYj_y(Nu?p#%(uyXtw7b%RU2~5yc*F;@y9M*?9R@01IX2%A;KtH1=QwW)2L53! zdwD^=Y;X;E!opA!AFOEK)tag`lwTI5C8oHAJDG%07@ocTKIj`CiE-RDL@O+0^aJy>v9 zgctJ>;yG{O&EBNp4XfgrfRRn8f{a9ofEmO;bsRyDte5ejDJX?ZD+lIvFJ`&A1Mb}1 znotNte*+hhBb(W!^rp|oV1dN z?5yn5WYuK4*?K<)mqfNlU~V)ob}uU3<(Rl}J$?)6y;I}!u@UVEqLg86Rq#ZD`NCJy zh78fVJ+%)0jY4gNQlq|y<|TU-h8=O&h@bn`FXsU&aYDbbn}}wmN2<%dQQ`3XxAE*c z$MdSCk=?7OGn~UiDCeh`?RDn?MM9E2&o8Ud>blNyX~nbWKPFw3eC zyNk*>-?BO*y7t_tuQiF2d_coX%B>h&iode{RC2y%DaO8^$g@+TNjBX|@ML|GA45jG zgNA!+vS+?aeNbq_#oT2Lj>9qL)YZiGes=rmM%U7smzX-N+=K2$WoO>Bmf@^55B?3n z9`Z$2S>d}B=kZWm@Xs(H=t()~ByY5YIMeAk#r)hYVOFgQ^B#lmT-$D$%Dr31H_mi`nNxEDa$snCq(exjvT zKjMkFPtH~y9K0Z0S6-%1)?Im}7%_y9nP0C=2EQ+VqW?VC4BP|YEnZEmW>vfWHa@AH^_SyxPcFD3)-EJ z{gr_qH^&dvA6DuF79ug&={yEK-AxO+;4MPG;>KcrS$lx8`1(nEv;B$d2Xb+3)f$@2 zN85%RGCVX1*+&-qqo{$9L;)&x3tTI6VTkGnh4eRWOXMvS8{i&Jb(R({QmUbT9r$6c z4x*0&kNaJd!^WH_fnvU)D;uUAt!BjJPu)O(_!4^jTCUl)nn~%MifMQ$gHI|*FEovv zD{1M1Nrt*qMM;{qPwdhnbZ(EqkTw0Y!=#LF142AFnnilvTTUV)^Ban>u)Gs*%ob)L zj9dx*0xK(a)a413MfGFcy`snB-L3B|Op#BpP{a<&ym({n#Z0gzAyd4fle?mKr&pFE{ITi9#PIIys^uEiYjsWtu+gdx_au~HFu$cnc!25 z3ohzqQ_WMF3#+cZV|E-%jB>ko&T+}4)!qeYDjpZ$Yd0Vm#b;glGuxnJ@%DUKYlCs$sn z+`mCJnr41~tCg;|?t(+;Y7svr&fu3qwp4KhiqcS^74#(E1}D$wV_bDtX&(*`Xdcq& zOp)w72-aKCsw-Dg$-D$ZOn|?T=e606jm1F zet{bwECKBuh2#zzf9Bz=t42uC!$mage*)eC0Rk7}hHyRNOH;kQWR>T{BSr{&&sUy= z6=OVQJK?9n8bhqM*Tf2q0S_#>d|Dt>dpEI^-F? z8l`7L)UZ?Tb;=dn&J!g8U&i?BU|IVKpg20Z-yU%KVALeU$71o;8#cgo&A{gyGP2u! zY~7%gXS81KH6WxmszX2kXBQT3C3x+pDX^xn`eI^QN1*SJ$Olul?0^kaxgTya2Jt4F z;5pB=^cXp01vJ{6EZ!mfFj)R88%{|)H8@+?s>i%yxk9vcyS&NPaHOaKmX^!!^$J+^ z(?q%jJBlp{E1t&{8(ZDS7Rl1mbrMSvi5yKCr|atL(1`UoC1;c5@K*=&aJRLY4|1}K z-A~|GJQo95L6_Pa4|F}Tc*%8T#t%nw?+4UJfgj}ez|6w9`Z4cc#$O|aqQUgMPe5eo zJTY|`Hw|TB7E*&}T@Nl^U+jz}v-9<*A~Ln-j1Ei_-|x-b%^h?XIIW>_>2C@XTB|{Y zcHa#aG=C2#N?HQ{pC>?*4z!7Q{qhtgFDS5s7WJlL97<0Qsh#v4Szvu5X$SK*cL0IEfGVs#!@&1N-QHe`55V5X zXr`OlmrBd_pZtj0RGAK>E(>|jp`;WQS_e$JimX5iW4?^W*txwc83ZM2bKGJ}f(8`? ziJG}djY-;8OHi@}*WMa-NU&-kmPXz*27z8E2&gg(dP`>7ftKsHUhIH&HfYDW#V`0jzo9#V# zQ+8jge$PL0>_gFB&9Y*#?H|EHvKn*~Xox?>_u%>kK}5!rNuK4?=5QGJiZH*cO#Eli=f&Wq=Now>=z9VWt`4~*ysL~%06{{Yj5TRdMW zoo3t>0~OVIrGDi5rb``_-iX_Qnp_e;&S^Ni&-wNzTZd95+ZHc_$X%XQ z5g3s*OJSfuU0$2!R_hd%tSS@EAyaNKqviPCmU->4{<-w*2<>a4iOTslt6^VrHqKfM zA#@ZMZ_KUl&`8H@RN`S{+imc@3+O%6cV2GFeHD|seC?bBx|iI90!(R;`j8r?ta5bq zWzSF-JShX>dnVsq%3i(s0IxPHBARqDY-})F=Dryu7SG;7hh((qOuFFOZb!O=-7e9R zAR8=Gm@ieGdK_7+%q(jAmf-t{hZ?e0%0dj_9n`>jR!Zp1H*G$T$l0DhD);+5r=va2 zF4Xj!3qXsUPuFUTfMEZ8n#TRv>699f#eXgiYF@YoHVq1AV>$z=hKpq&g@0ctLRZHO z)@(98btD}Mf@&w(3zG%s8i1z}xyfOwhpr^XW;e;{jId?Bp`P23HH z6y15g^G|op3U21>-m3tP8q-Nl^_J-&dQkR{wiEJ-^u&6O?bneTc`(t=QOb6~w@}BM z?zT$LJJWd?R^n`#nRn*btxcDvkTYns0%gbqY$JQ`j~rxH(r6LYF@&MJ5V*QEZ(f_y z?XyT)+_=A~{k7@{G3`e4gGNHpvwJQbNwinxVS7qb;20`$;1=<@GwP%x)vsi@8dM)l zvOm_6OQh~*61Ay$@%8LxtCM71M{4e3gxTkh9j@uQOGd@IB~f#2cK41Cy57lMe10u` zCUOz1%!b-IA7R&xy9;w8oqC)hjtKTL_I>N;FQ`7$3C8WM1yIRo6*;C--$~5a=`ZoN zE)y!^D^NK`WV~op6hD@8J&82T(lXL*wz`(c;q#P6-=ogKi44ZuG%7y;`RPPci}d2z z+tiwSPXv8_g@Rz%#Xu(8vlXvs9Iyr{Cpu_yY`>q6-^3iPU-j=4skz)5li>rbbE7qP z9}J?l-NZ}B-SttiC1bH)W!8QKI9hiRNTC@eTI70qEykcqp7!Oa}FJK@;Tw#k>FikRYdy|Q=*y_E86se-QwpT8UbvR+HR>N*CmJ_C}S_{4{&kaES? zNWgQ;x~BhVRmKUaxzl5E^g8yJN_R*Q#&iQATG7ieY4y^A9_6e0N`fyEO44J>i50z< z328<(zxZpuU$KSt-vvbYMC3a2-=0qHO*H_HWh<$-eRy=?VTshWhZlG*rjku()K7x!X z)@xSpF1J=_%tJ`?{-n>z9d#d1Q-+2E!6w`B4NS^T1V#VbOG%RlaIF6^Mc1uL(jSRi z3^*H!@JS3K;Sa6|AF?O;rzgQZBYEvks;yW0YZVWQ=Rc^co_NU+zB!`AUP_~iH7K;E z5x(NTY#bU_;iPzS<`l=BLePiQ#=R5nb!()NWYqiO&%Vr9;v>cNDWEMw`eHjwAVcpG z>PsxPT~pM*Uby3M{_aak-+>!o2#N3554;zI2;2EcFdyQxvA)^~JlK=2alK*Pg$~(p z%v+r^WVxp$mk9{*k#TU?V-6=aI`cl3JZADHaqO9R7K~sz^KgL=Y+uK z+7le;l;1=^JCXrI&kitO8qcqO^ua`)R$J_P&7((C`}iBpMl&iYKGMHWU_Il%c}y$s z)A2@G<>DH!Gy0k{v`|XzscTSr zj={wMzOl>^{4d>U(o5tRyjI!ic|BkoM+ByDCP2R~{2AkgIh;+wz#$R$PnxCSD|CPi#1l_A5GWH9tJiX1> z&lc;&EAqXZwL$I|TvTO>XHgFUCudI2ZQqF-ckVKYX4S7u=H1Ez+{6)pWN~R>gJ7)^ zO!K9TyISY+!<55!JEZw|(7cUh4FjtNzUv93c>aTa0*KO1qdlOCxw>-<7+&~hQl9tXzGMffoYE!EHt0Dl09+~6p~k`d}G8iyX+znidA zH3*1wCOmjlKLZ$si}0Rb7Ww5!spI+q#kGVo?-RY41Xyy9HM|HkVz!KKr8$+j#j2W~*~W>X=t?$$F53oNLG_KCM%)Dk;vj5U}w zN(QZU8%!I`O44Dz9lye^FuM ze>S02orcpBe)ok;^sj$^3oXPQf&;4Y`%Im`2jPg_D5c;sjF6BW=?IEGzt8d;l4-(t zADdTdzs?Tl6@1HZ7UErd`}`u-`YAm*Y3`t680JbTetGFl$QaC&1$(;Ws~Wb`?f0 z9f);|s*EG2&V_3#6Ow!ezh|(Zpy*H>Bm-qM6j!po4Bp_jmpp2I36KUQ4jbnVEv9JNv~w zu_PrM{@kd&*DYQjBBbH6zPJ=YM#o(xT#K1#m3Z8{3btG>_2obfy$XTlV{&7itlq;9 zlAWzi3mFGehe|Vq8B8>z`*3B9|0r(<(BP#&%yu3;i4LHO^Va>)ZGUf?1{S)?Q~WwWSk^)> zExvPbGR(p8!m(bOSk9{a3^_>ZbI}k;#`fwfKo=FCU4Wv@ttn(P1m^L;W3G(A;(k2j z!odaIZ(m{l@FVD&Q$g0HR%ky`?rt-8H|vd%HSvLnkVNu#20|H0ofx-5@Me_Ndjk?s zP`rAO4w<=uY{IhBb8}s`b<8n#uoGqg*APov0@~hXiiS0{vj!l!do}9*>_QfE|hhMoal*Qh@^sxjqHM-F{Gy&s_J^L9M^LtnQ_c=b9v|DN>M1y|xG z=$bT}U;Y7Od|~%Ao=*=G7v9=09ZyV4grmg+sSjZAuWoO83Ii`cbADFum|yfiAPBGFCEG$F@f;@?M;N~aJ0*?d%a1D*PzdYDkB1kAS_ zfjAprsSmXLxOlz|Fd5+|v;^UH-Dpdy84}7zY%=NrHRT2bt3*(<3-tHWo_&G4$0s+z zr84bLuX|Rc`zUT2=zN&~j)}iHHzUV*l2%)z!1jB2w^Bw8fQylvUoSi&&+``F-R~!F z!AVi%tvYcZc*!c*VD+F+%uZbfkk@bH9~@zltK&G=;7FO=?tw#rwVGy z25y(5O&M;rsI#1amV&Z*0+>c^AhIz+m6x)j=sPZz1gfMc@Gv)3VRJ#0Kna+-<=yzq zz@c)i^z}Lm_i#owU$nT#+RPcFlTogdqQIw%Ow}zB;|+;{7hOBW=TAOrcL%=*tmvNB zX_pbF7mg;m>DflYD4806BJaslE*xJAHpS|`)W+))DBGM3{72ORQci)wu+}XB+NOj$ z@|eRHgF@%qtsy-tw)t0-uM^3<7k(8|0%*6`8$W}J(_hChdz5}ameqs?djd|EP15w- zG7rI}Qe~PwSy$g-IIwmg=v$VN`H~x>&*C1XZk#)4^{m_`_d#{5IgA%mU_xCxHr#gY zelEyJI}HMvZ$KPWa1veHqKBhgWlal@X@5jr=Sne?9?hvVhm4H=4=Za)fn`8rE(gAe zC7M1lI}bdlT}hJ+EOKZ0+~jig(L&=x8l{`ye9{4a2-NQ-bk4dJK7An5oa=nN+ug!e z!tz>l@L19FB}{v(#3|deF)dDD=Jx1b$e(JS{j}HA)RSj1gEHG98J6_|%n3ey@s82j zI-q|}Ye+J5*Ms1HZ}mIO{WF(C6S~`FUg{yeU|?kd{^M$p36w|xfw!x`J`|oQ@TUOr zXL{UXP7Hr3Xw4fz0d5YSP`kQ_(-%wdF|-K@*h<)3C=_#S-*6cpqqC|J$h{)?9{<>L z-Pb}th=jw?R5mku2V;I-te>n0Xd+u+mZnwa;U49MZweYWXS0S_IiK4?HlEn z$Mi7hC9^>=(FpF`bf#UsZgUFaVDGhOuynsKiR`;X_;%P@%&^ldnRS|HDR9e6mk+b; zy+|L|7wk(Z*+zOw8TV91kM_3oGxb^uac1Fc6r^FEV2LueJH+T(qX)3rtOH)_oEy!o zJA5edbZV#Li+yIsglhmVCyL7e>-r_g`T^bZLnO|1VxYb|dmY?&Z zRqAL@6JL4&!|B#c!^EK1HdiWc_QO@IM{fw%6-zju<(Nr@bY&iY7x?Az2|G zd>M=^2K*o~iXNA@-}5j3-ku{k>vH(W;X+jJpMo5z1ExSJAW-(!L07wIWi&aGJY(h+ z_|ggiA0DRWYNcY`_=LI6YT+Az#?U5EVtDs~H#y{$W4+G0c>airkX>M1{g9n>2t)yg zdk1yPBCmjj0kz9BrZ2F+#X~xuJOxt>9PxX(7M(4;1$JG~{zodIZ9^Opt#JyB@udR_ ziO6jm^@#m#oc%!LD~kRCt!+U)s*~Z4wl-wD7}PHD!IB`oMI~d23iZ z(E{WIxA&HmxLeFLhxBM{;DF}2u~=o^Kl6%mHgYsD?)(5{&QU=y#Jpu}d~ zy3n^H)$BcSeDWSCvv9eB(lMdImCg#}EKN{Cd`z=$zlb$1`w5dCkdL{lXSU^vUsda` z`jzkqZfY4E9oSNo!aPxx~y}&F#2k+{vTg1PyL?h(x%bihrV~tq`?ez00 z7UQ`j8Isk7v8TMxtiab-(ONr<|N3lmJ}7w`2diPIduWZ@c>m?x^xJT6Jz>^slsyTU zY*f8R8+qhdYkEFXM1ax2gDK4y<{1kTRQp8ussh?H>@35jD=qa^BQd#dpsJVm4)eJE zeiO2iwU8hOv8;LJikq2T z>VJ@R{ty(fI3m2;a0B+{#m-<25p9@LT0nhF`r)X)V!tnNZ|73ZFs_M#;~TGrVmMxI z!z=*s3hjX^6CV`kF`R3Vdlm3*gFUgC3}c7+DiC3`;4OdA^&IyiXB29Kwsp*0!~Q4? z)$`%IHswf*gkOjgqB+xE&b8nD${^4Zg9VWLNFg=Vuuvf6-Rm7GVxZ~w>sJzq?SSx< zIb|^0Q7i$ZRJ1?^mUNC#;(Gr)FCJvCeD-eC=1SPJ$ z-!;~p{M6_vys5L;{;9VhrnYHG?Y_;z^OBg5oj%nU{_W=0n`2!_7b^stC5_gbQjPDf zL9wV+cKj3R~ z%y9}Sm4TF71soJQeVU)43NZ#}H(`rsK=&{zy*8f>F)6-_roeS+3p+aU=~w}*6n;yp zq@tuh^5xas`bnMDOzL8M%z~XoA*^@1PzpXlZ<8gBL%+gA!vbPSRF?j5?T76?Ey(s3xuI$jm+4gjB$XmL3Vfp6ablUgby(d4XtDw6ptWl zzyJA#u#=dVE+lt-I>1qds0bWa6wIz$$_;_d=SM{mt=W|)_oe`5Kz*WPpEt3~f$OFc z54NZ5ryXrK zA#ZkoueQ17lFBml8WW(Xbf{P_mRW|aNBZEjF28S@PPq-HJPXi`L*qSwX$03jBm)By z&5b7=`lkO@zRZic>esdDbECHTGhfWLOwd|-Z>zk3OM z^s~{W1nP6d+(U8zhKx|9$d?L^6A0f_18(T}KDVb)Tcwa!=|J8+$rWRxdnT6eo({~k zJ}UuJq^NJ{Hp&^-fdv|2GtHG)aoj->?&R#C4ltlo<-_Ir*q z6sKQxu3u2QxI+vn68`652vNodPEx`w?n7Av9Rp^ds zC7m_1rIeTtX@(*2t21#e)TEqik)jCD6;wzyLVmW66{H+(Y=KN)Rgwb7(G0xyd7;k_ zA*-GUzBtX*E@)US05K!oOmpbi!baDE;W{%n_R`E#9nL4_92v?iNM9Ijt2Bl{@I9sb zXoNB)&gQ7}M(G1(tG)JgziW>m&hjOvgZQ@p!)W*t9EKpT2&98%4S5U!_y)+vj}TCC zqOF`{n$K4L6u{?`cIa7iB_6DE_=S37r76xpFJu`uPtyg%>kt7NS+|y`lPjvL;hKxIp!kmy}fys zwSRAY_*E*hUSZWaYoK&IHM|X&_2+uvJK+@d3p$JCqzo{ty0@1u`KidBZ7j{Cn#MRJ<6eB1@;0lj0u-t-BvyW z=Q>5kALBjN4x8}+(dF06h>pHZBcGt)3)4(cFc-2%JmkSC5uYJ90U~f7(sj0gH}p}x z(&FqRv(SND91irM28?cC$oBU5Gt}ZTDv5Y*xUGKMzd0;&Oe2Fe#P_{Eplx7=CVo_> zFlWl{#iyblrv)|z-(!jnMbryR5&tVutRc1!T7x{W2@xB+ra6VtqB$EcMj^Fkt*2`E zGd>nH!VswnH4MMuxOAKIlAL)@dgCwdM^XA1*n81@qSwngogA3?=%{*aE;+b1#A@`a zp6!R-Fke$XoC#Yktisz#Bw`Ra8}sL?4$$|l7Jb6}RZrlI3FoI{LF_0ILyf@wD%rcY z7i)|WlMahJ1it$k56?uKoxu^HAAN|UFZ`)!IF;~9`&4knDqFV&vID!eK9Ir};ZTaP znbn<~yJK~CCxu8kR2_W;^UOAHcbC@LiSxAtBAzig(>%=Mv7k|5{R#kKq=Iip(B8v1 zCGsOLZJ;Y$1drlQ;OaHzrS2SUO}7FCf|JY$1qc?4?Q~s6*u}xgXV2(sU~5hQ1eOD> z{c2JXCq)fh6|kYhFd)LDLbPOeYrtq5(`#Tzjf1R$;vJpiWOAm)Y6q1z^!G{=c6}WLxbqha$0AndLM}P3CJr}Yt1zAik^e6?23h6&z6mey(dt`F%DiF~+ z!T)%_@rKb?!3&5)xfNTnJfzd>-P#PtR{kU<_>*@iI(-#M(x%?}+<5+<;4N`JfGG8( z%3vt=&*geTJ{s_w3jl=*uLvzZzryd|eTyE!6y|`2);7{0nH6-$B~TL|whDcL-%f*d zf&lz1s;~|EuRDR0NBAb8Q?-%7JmuA!%qer8yJ2JwexL(6=?cjme6Ce&fdhB1Jo2j0 zV21`a64_5Fc7nT12J|l+=^nYM3K4~tgj6hcpN^{mLgi+616utS@CDE+v^VLgqZvq; zt{mvAFn?|x)}h2Ch~x9%Hhc}+B(yTG%9Vffa}p`o1MW!RiZOn| zs6Y zKGGgkL)~I>SPDQ3@d*1Z5Dxl!5>7)dz=LkV$T8y- zg*g3|Q{4a4bN#>k$JqDqZ+zOzPP_F&4Icn#az$XTJ*=+5T!iaiksZhWR{;N)Pw>C` zj(^_GZRA(|&PJu+@Yb)!$As+!Yr+En4RAlGtd;vGk(1E)U=uIDn$4XBb{ehBM}fZq z?LV33Km3HPriLuUZuP0)>h|wZ#p4Y%tp=dJ)MBbdjuwr-m!DRtLgi)WFfa_uPfwv8 z4}11={P{qveMidaBc3t+j-3Awhn^;CM{n=3lV+NK@9^y+fT5-#aCOfAxCAJH!ap0F z|1(nJ6%W5%8l~7ru>7(=316E=}Z{psI8bLNMtR_5; z#yvLMe_IT}4*2v_l*y)3%zQ*1voA=wa02FL3gY_`9HKfk<68l6Jx`eFWm z^9#_eK18wxqt+ZqkB8Q@-~`G4muF`OzCULMER@$Oamg(K;}OK&>IAeHH9F1`sG=1# zZ>vYc8&ruzI(C@EN$I|pAkU{NQc(W=EdZiMW^=HkO~e(j-QYC_+)hET@Fa7Vo0uiv9?#EKuX5^H3FEoz)>%Smj zZ*53aeClWJc>w+55InPkGd6!cGMbEXpdwl<&wht%CIEV=u!*jM6H37tu5oZ|LpUs# zTFTyGZHm+77y5t)lb@zj)B&CD9tvkzf|df-DdqovGab7koQple1KLRKpoC1rxOZ26 zk~;u?4iE-E{gq6BGeAf!2_sQQ*eBl(^}|6YWUej*CM{;oNsk}%=!n_6t0-j=^3y8) zfS}k8MItH@9AS;yBXgBBmcQ=wpY!xz{$z{b^9UA6F?6R``+zpZrVKKU^Dp6pvfH*5 z7;ihRS(<%d!V=hRT}hs2-CqH|(=aI(0)I{MKChkpy27+in7ri)Xe>MPK7$I+5VmY( z!qS*Fn8RG4U{(LC(ENR-wqLma{QtdE>_g*13@z6oZFP9L|9#lpN+|2&0D{PJ`+K<| z$4az`-W|XnlT@(gu>ctAlenU{72uKQU3FomInm=f1-C2dmBf>s5GI56D7{8RM%dST zmqkL%D(e2e69Eu#82-ae-4@M$AMD*Ipq#=g{^@VfJK|?8FS$ZQm57htBWp5B%}M>Z z6L4-cAYjlv5t#V%s~!p9(KYzqeO*BcJRMkBvXBi%)P+&nFnof58XgJDmK;AF|A5A* zv&{M6N&;r~zKAsxrxR>_iO_U})}<`GWzlT_PayG;m!ad(L*;`@bJzK&Jmehz;bA<2KCVnlhB)fu z_F;y1%AUR+?&JX9+O2PBh+GGDZMhB%M8WAR5WX>w+>3r5WL9AUjZ;ffiWm+R<4)Kj zqO+4Qw|y-x+%gM3sFpv5)=j+_D6mCf=Y`@-+R!eRlWnl-%Lq{ybvTF*uzEw^h9)i4 zIs0Ie0ha8)-wT{O>?I6PP&p7v$+31!4XM~0*Ds(^0Td3@$eQ&AM1iL zG@}gxWIEAkj*bA{TYzhzT8WFpChdD>#LEo_ z(G+ZBUz8XK-w>Mr);4b_C;6RT3}1bMEu6z#LWM4#QJ^XJR>u#{rir3x)=r;P}4VUTmOg zrkI0%-TM0KO859zzdlV_Xj2gU;b9C;WJvNrmT6#lw0O9U$Rd0DNWuAGQPbRLn-! zmw9>?!3KJHX`f5CWia6SWeD^4kLn6=oh$(DQG+g?Q^A@Czmzb`-8XxRtRK7mwQvgI z`x(eF)_kSGr&l}Bspm5wIUp*%c;iDFBBNwwxAHix{(0retoARXBa0#iEezF|6nX(EM&_ z>a&F-ovl-lCnHGDU=8|38vijo-}V)^zk2xYa97ZldqXMk0Izsw;u*aW`4gVU@*q}b z@Qc&YyRob&<31ow{Odf)V9<(dm-%6X3r~3z5~Rc54Z#vMcylCIvR6$I1;k;ptG&t6 ztzaAeW0WJoC0nk*+9@n@!gTwiSDJ;OeMe}pfCZm^V)R912^3n4V)hBhmLxY8R_JL# zN=b!r@>XmH+#f7WZJj@$`QQAjW{9e7U|MA{*;SC|zUtUo$iL2a$5>rg#As-xD+1O% zWP=R~xQ=RygvR^j!(h+}vO+BZJ1)aI!sO?=(SL&EUtjXq$-&)(hoN!u!{cOByq`yp zgWY+)z>(m52Kw>lbbYj+^}dOID5)Y9ra-!NQKp2L z$=XX|b8W5#in=>_XRedHCN*3oGCEDZ{ezrGF}&gZ$yV9ESRMDAZ2DuGhTz$f4;kyQ zic0nk1x9hl6lz5cute{@ix#xi7S;jQ8%6>>xaZdnZ!2Ux5E{I)4Ap?R|n5_8c8_)ram&w%)^%q8|w;C7=EV7txX0 zjWPbV4C%!J$a175#<0KiGqCF6PN=e$pi)u?oC-<9n{r3o!Qn;Glaqud2b*VQ%`-d} z@3HMMQdLQA-HUT+f#q^VE7L|(u!97y(sdxn$|sx}6976+7EHkclGdOs$zGlt5+6GW z3HoBf3%DwIAW#4hSvR3jG|`&y{3ruvs@h+?u1oXdVgb4xpLYMd*s%QtY`y>6@mQ!8 zEg+nc(&xhx);~?J9E1Wpo2FsdeR2w(1Rp;e?e?dMCGm!x&An*9LgRkAuOHzgn1cZW zSmqQ^<;F?LO@RB2L)_;{5JzN1T33+P?iYe8AacX->A}UxZnJ2QmBb@Irc?8K_$^p< zb4^uy9zd&`4R$~x7O-BS1MukSdW-c|&-JGW8FzL7c}{!W=PbA-pI3z!&P<>U6T0pT zs;Fb3et)wZkGKuMup#rwGN2izf+_)leUDn#0J3X`k>mtSUmZuAaud<+E>XR2@n&KJ zA+m0r?r^YLa?RAWvJB7lh{7lrMcl(pGe8sQ0NSi2qGTatvQFh&3sf}_0q;hFO|@g2 zLEsg@Ax?Jo0;RaoN~aR21m>Vg{6xl4-xhK6>n%n7S3bv&0&{Fc%zpM)7kf70gN1B% zbU@ep);eb<-`^*2>#-8hvhF&Xpz(DEmL<+1%Hc$WUG2G>L4GZ~s5k@X>T}Lz(P?u2 zG(DgOsAW*H4TIf{ChJF#~qf22Ha<+&P8J+6)}_RvT< zV3g0bP)J2v2ub@rb;&uqE-oC&;VT&KfX$zl;CTL-Y;GflZJM7js?C9kq&P2CL-J*RI%Me`K*4M}dd3#*A4Y;ZFhz^Z(^GgZkO{;ZL@RJ3 zJX@#}$iRl(U1u?8$yQ#sA3+aY`SE-PwrM4_T+xSbPj7kBT>6u zHSp_g+*U)gsZ^=dZI}`yH2|7IoVSvjQWt6vEf3Z#fWrYVTub-kHdNa(v^U`opHSg; zv|$d`&*j0I`$J5~mNOiZLo>{VGMo$|ajfM~=t8+)X%1f^CR`QUhi2Z$+gB%09or8) ztSJI^*yYs#<=#k9DWK9Fh)xQM+srn%ZfFy9KqI&a-V`foXNbWAxB>Y;z?0OhnM0DJ zL=9kDX2GD2$aEF917^c6zdCyfE|~pigZoECz^;7{r_O05a;f$8L%SC#`RJII(6qxa z0X}9YIWzJ0Z;pLJg5Cftyn#v7q8M4ovH8!)Q8LtfT8^2%(X#Qxo`%k50;alTIftp^ zENXA@pl9BYUSC4g6H0cz#j~Vca*2dA5ZpdMEA+Sc9{?OE(G=kr&W z90CCN0dqA@^=mi-X*3lW22zF3kl6|GaXz1On);FI6}4Y`ZxmtkcNT@e^92yuV`B}{nPL~$9=C2sKzU=zTe z+Ef7AOsK1FaYPxzEj{5sViFo8q*n0QEUn-@4Nt;mGP%Z#-1?XIEbcbCt*PW|;E$bT zCLwkMXuXGt)4$S<3xnf=vhjE5UG^v{dwn~{5ow4l1~zo?ZX=l_u%Fv=SI~t_QcE>` zs^fU2;`ppm^!z)|eTzCgwCB%_+URHmRdN}|)WDPi|8gg32nZy~ldFx+x>kN{~r zA`$u((z+l!RgSfAp~IXhq2w47JqD(~O7Y$3h!FwF(ON3qOU9qhG*WhKUl^yRY`rW$ z!VX|%I&PwDbHfn`7M-AMGz5iw-6`s(OJ4ZxEPK?Ip4PhBN>{I>3wI8&;Q4QS;IDZA zWVD8F+5}m8eW)m6zwo3DCZt2lOR?r)S&ad-D%i<|(#hUoAcF-|XS7r%xvcFMj&XwB}S$bJME}^r+(-2Lc+U zuBg$kZ2j26KS@>x;H)=&+M>Cgwa%% zI;78WW=5BQe=>eZCyE}fYh6OY!^22)lCxWaG1zCd&}nLtK{4U36!eu@Fs}{kd~HU( z1}ac}WHt@<3Ws~Y3tDtEq0QmRKnJY3aFK#jUQ)x`!*jK>HwEO$wQ;LIVkJ2rSwZ~? z9QEgZ(MN*Pp|W(w3&1d_8215>E}Zw}#c)N?5s(#)#D$wf+*n=-=Q&#ryMhWH0{N*I zvgfEDH5>+^{tp=UVi2fUz?Svp_pxvd^AKtT<}`NqPBvY1Rsnu!3=5%RDVn;9SF?yI zeRBtYUYC1;tq!jVX%4GD1dt$mX5q|Vf~WGbEz*706sNiDl6Yo@i+{c_5Xv-jj19oi zF_YPKJk!~Gm~eLhE!l*6eR0LA6cFx<`J5M!s2_|lPH+tCExoH=vhYZ6OPwk-ViL7A z)TJ%t*Z{mKQpr8_T!It=vpmuyhso|kg0`;Ms3dTuIfaecFC~$KrKBV2u<{o%J|;_f zB8XShX)kDr#ySFeXX>AO3w5SzWFDBJxtrcXm1Fs^s|S2$BBren>P?+x3sv8;MOOX& zMmHb>r$-Q9Huf-E`?epmJ6zeN&v!6C?VUwQRq8iOvl}ySL@;7EL9dMj2eo4N2W`gj zD4$o(2J2B{#Dzm!aq}*bke(aWQr6%@*RFnoqVDOm?u$ShvsJvFAvk;$8uJXTDG+*uc2t+b6{r--3`uu0*0R`Qz*z+xO)T)Xc&Hx!YvLz~+8m~}0i zL-+-pxIi0rscEidu`EHHHGolZtU}#Os2nYoB>w(&@AAkAJb#ZzYs}P!y3T|IqXsvD z*p>tQ#Z@zbx0lK`R+8t0ow~E%(o0d|$Qrb7N%?zK^eMA~gQzKF=u}{2e=bn}%|pSy zLEHwP>{mCocVyV|^m0URv#NVisu%N*Uso`i%6v^|Fak9mH-rg88LnSA%g=l6h0j|u z|1euxyufhmbTs6)_oN)!P}<5or5!*8q!{C~HFLghNDX)QOZC9!z>uj92*u~)(?ERf zVj73xd^7|-O61~^%a}y)p9?>7r##hoF2&~nt=a?Axnd!FB%&jo4d(4APQ8mMY_&f+ zf{zI#XS@NdP2qMIS__{i25xPqW;17>fvZF|o2cqchnFKvD#RGI0O+J17K2La$Bpv- zZ52Cf$npQf*n5C;y}$qC2=Oi>y(P&^A=%kmvRBC76j|AOj}Vy^vLz$3rR=0cW@a|Y z9@(4!{py_a`E)+l_kUf#>vGQZKAjTYujli=@5j3R;b+2+Ns7!jR_}ZqZlS_e`ShTl zOHSWGVspI6yBe52KViL16@OTL zoAXA~Uk8Dlp}}EQ5p8_b@ZNb2rlNA_M+d>BIx(!g(}l|ExrL8@o4|Tj8PiZF@S+fe zsgZG>oBQ2e!>01{3w=k`pK11}JlCc^bz~n-XL|ZUH{rCnJJ{q+mCehU$?YzpIG}Ar@6fWK@TvgXuXr>jJ5=ox%9Dn}C^nAG?^kSrr zq9SuOs_Dmw>T!`cf)4c3xz~P;RKgy7Nr&L* zYjBD;ruN>9afZnPy4bW;EgPRiLD#~TtNME|f*}FMN*9g2?i7E7Cba<8>iQQ3`ZYRD z{nwg=oJvlXbYj<0bLPzlFnIXqYpQs`*12T62Efp!Q>bswNgQbbN8=bpdhMMY0SKgq z@x27VXX6#3=??EYu`M_?I*z=$j&rGD>U7pS46+C&@DHcHVPiBP5I97@75Q4;iWE{q zD;_AQ;hZcELJFKf#v@d1IBCkui6W4jUil^T4d2#^FmC}_d!Fq442(n5R;!OFZ0-7$ z18_ASEx5giE9cpSaZAuq7L(EV@p#D^icx5->3j-CG!#FMdmAnOG{&>pnqnEBZ+)O{ zS=8}SD10}CV(`jdEm#(NQPi&#Sw^wlwoiFh?Vx%GT<*=y=c1 zo(jrMZ20i-K5XHl9A^Lad`i`IJ`QnrhKYV&nZ=jDi$MN*ZEKV8EY`UAB$ z4PS@!?s7n|c$DgjJSkiyN;yEO~PB2Z;^y-|q?%PP&K_AH0 z)>zruXza)bq=%F3qHrDA{(&VpqB33EUgB1!dmef3Lrgp8DDNFkX1G7?luQKL3~PM(If{DqkgS3cPhm&8suQG;)H+G4~zFr`cv>`(il^{(y{vG!6;c^ zyk+>|0!mf=-HWv1FAXV5jsg3Ks??l-&f3n*>%5L~Y>_5=l5RsQGX5iL?1dq<;Q^a+ zQ3`-++F-CrHvQP4Sm`J6hMZ}dO@Yt2;0KhsRH$Lzsg7Jdn8DDNRv-ilfWO~{_V~p< zczAR^xx^Y@$O3afEfqiks*I~vB_j71b`;jR4=Of22IU2A75&!kYE!^^DV^5qBQu#; zZc+R*313!EqXhd_zXGirRC|_L7Bje+dRzLy_gU-kH)YpBwv+EX;=kIOI_ zG%)kMhFiE}HW#rlrX6oGjQct=w=pY`n&<&z+qfjxUw&K6cryb}u&4%Ww&$o;P%aA8 za?9A?7bgSOUeOFkd>fy#V+Ckh>W=ZM&O=Y=;Zhoor@5~S=Su7p{Jr|=&tdvEsGbfW z7!JA)%$v}kmQ8uI=#e2$rx*uf%Sa$%j|a*63f#S%Tz~%2^kN(bFr7g#T6X&;swwN9)w#+uGYUrPpF#NHI1N2SL1DrbPVA6IkqGiV$@$fqX`Gy_UZ@AYfE?>xH%D zOE65iyipUkMqRb~Ui#{{_mcxvqiN_wv_wjiOUxU*9gKh48i3Pfz_<~&)d0iREuu4X zgC#G|v%e~?h9R~UL2?-id_jA)w_g-3VRK;>@RU}+*kW2cOBGB@ZnfOI0pY{TBX%MhkJt` z7I$C)HFj0>yFh2f(ym)nQv<aK$cSUR#{-4-kgQ|!X|~Z-l)C2 zbvcqi*S9o3ZTAMHqLeReDwN{SJL_L?&dzi9`LPJ37YbRyE!@A^^X7_i;B@JJCY?(p zVuYZ|nDOa9<#V*9Ia?>SL%4Q(hY-GOH~*T{5rb2fx@p?Zh7#g5x;Ql}HnufuQnTD> z&o5$cx6^$7r0@T1ii~kKkFuv%A0jK(lmwT{i|;y6{Z&YABMPmU^&UQ;&7T1*bo;7u z^&d_1Q$2q@6l2X+zi!HtA8S_?5)9TYd?8UzsX=_5TBjWIH7wRDjQhwEtxQWa)Rte2 zUw@k-2sX3^1hp><3@E=d@S+&HSt#}q{G(TIQeI0(!fLYFCQdVuI8Ye# zEPCRw>~lCJoglWdQFiL4K+`|^GqH3td_><3V_Buwjtfep3e*>GAS%z@UvZ5SL0e(z zaRWXnvinT9`*6hYLEsile5Rmh(XaDBN4vpRyFrLRSQ#QpDF#26C)o5Ab|hQGm3&Sm zL#>$-%sO~D>PiKJSK&au%6k9;$LFOT(&Mr6&~LN5NQ%Ic%;|D;=`@bwH8PysQAlc5 z`VtT@KJs|w>rY;nC9MIP0#N-Ag62#3sJ8!_dQKxo;8WkDMMI^~K?rDzcGamm&Cx^% zij-O|<(kH(k^KxKew=^@sj0vbf%;%8$gYaUdq;rhZvD+1v{#N8XJxuT@DCR4(k6Us zr=(%jlFFco!SD$yArq`vL%rNea> zuW)#`IkHcv>mXu(zCQ|LnT8+%Q+WKP6T!Z4&cJQ2ZqKC8*ZMBbxu!^9agRZeg)HBA zr)S*2zO&bDSny-FAPOF;joOo3@1xZI#k(1=TCR>(n}coB6d_*#yBGeR`1sj7?!vl|2`6bJpO+*H0d;r(;>Pf zDqm`T_ibP9AuOT$=A0k|9wIE^O-hD8!1id>1{>2{o_nfoGo5Lx*0zeQNB|)`2kcg% zHCVglVIU>7HC?LQKo-)Jk$Zqe89yfr_1baxGS!UyqA_m{gQ4!VQz*6IOCE_;HfYux zM1UA{I7R;HE&{y+*bGDFkEJnn)^2AQqlOTj!jNY_82SV;jbXU(vm!gfMpxnZ<&}c} zjn8-+D(Z&w9twj3nmFNur84|xXr1SkLi;m9s1~%9t7zDQZ_U>u>1a#g{nlv7LBQ3$ zCQ+zFsG`Z{#F~Q#);N_+Vl4dVJD6uc>X-&GX@l|O;MPs%#;v5`+j9mBsI$l+xOkN8 z68b5o85gV72?UEYR4|4xK-0x%VIfi>~Jx zqOVxJtFs~RQ=}^Mvhk|gGf*|z621LJ5s@o0FD$;HL+b>MrR>~&@gn1FPs87?_MNQh z^j0(Z_8(9}hVkPReA2oPG7U})Xk!dkiBLOg>=9{eQCreSD!M4{2(}mUIt=}b18;5s z0}G;%q+c(Yi)y~%N6YP`QVBkQ60YQC=^$fV)s+li*uK6eWNz!i&D4YY(g$eGj@8QP z0l!AttxJ$EFAgaXi=FZkVv`jtL=_v0ciXFdj_$qMXxn;wlyefqdB%|hGuXJ7@3j9C zncYFnGiIWg$0YReM_0}lhCs{b7%s}(TJvovNMb8)^@r2L+|CGbs^a(+xlMPCw0x&1 z3PbeeBBK?~d#zdd0clrMvaoE&*?yI6YhKW}s{>zxg{ZtXKgicv64l1ig>KSMhE7a98-e+)KwW;$Zx zJ_!Abm#nJN2kCh!zB?4TtE5!f$JxX5ZyNHDgd@1)Mg5MOv>dQ_mInrs;n_Y_jW>u*ON|Ql^dMQVmePO2V8?zZ_!8kCt%OK$GBnygX zoxU>$ld)2x-nT)f!*358vI5%BsF=$lNH~rbOx0e>fqw@+rLc43SV%E})~oJ(TW&)m zl1OHE7qWc{^_{wJ?*+^UV2^ydJ)iF0S}MT*PS6Hvco*wMqG5&8|`5Hpbd{SHH^o{8wUo96pJT>ZPN!sa4>8@ zdC*~Xvo6ash506UOW7*GN6tJcR4YYVMZP%%@bMKj_MEZ&Df!pj;+5{X13ii6sq;zd ztBa_cytE5Jn*Is*>yXixtD7VGd6Ey@yBMU;Nl8##r_*BI%fTG423ea`fZ zSLC7hTHq58pR(1G&L)5Ge@Mg#AcBs{PTlX@JF;vQjN%U8c}WuIVc%0X<;xCcoO26h zcfHoC#>2kpR(js_=0S#vQzuXOuM%0j}O;quTUILxBMnJY{g-;_Aogu2mTX>jRxMFu?AE^kVEY zaBHte5^c}~4YpLx_76T_g)6Z`le&gbHGK@Kyp{L<=GxJaO8R$TRM&B;UY+sWTnG#x zgG`?5N&JrY8)<<>3;{fladI3QBJK+)?R;=`(L}L3su8%s(}8cH?yW4cmsJ6oOBt*4 z{RU2UgMJc~&Pi~3hLMdAK)B(X?ZQL&ef)Tv*Zhf$Gvi+gzJ70G*7ZU4CN~f ze)fHapvWIJm9TwEXfhf>4jsFekE8~?`?bxlUQSy%;_Kr+1`q9L9;0c)W zL8g}4=&jSs6`FJ9D|w{R2&RyJ*Fgv?n03M>D$aKKO_!i-;NQ=kY5*mEE|={N?~A5OU)9Bo2MMN(dGi=dgTEIjiy5ag zHGlZ;IRS5oIA#&M_h>${ZpL4C9VMhtDXU}r0P^mdtk&QkP(Br2htB)e5J)J%Xeb&mrisJ|@px=nFkrLR zNp5YR8s*6h_<`&Pt+b(pp{lSLEqMcr7wco+f@8$U4A~Wyh-LM>9T~OXMW@V4i|$4w zS>Fnhb%uUP!?m>?5X5tBN7?Ekiuf*{0SGRZihPgqH|mK5mx_aqk%!YpaBd`GYuJWB z?t>R(&=mt@i1V`&pd`LUO#~3*+krvUr5~?WZm=Q^ly*ZPWlI^b_PR6o%3#n;aRJLUYbJx|rMZJ0}5fD%!7EPw;ZJ-A$kxZ;jyJ+?g5#j^qwnXxFVsszY za!-B(mBUJnFG3pz*zK!97*@BLAig4J(S8NEnU>n$fpaIUY zdZ!M1o;PFjz3*GwmfSMP?biK36?7B2K ziOm7d(lY$Jx3|>p*&6#VY=Db)DfeZh!U( zHG+jgR%<8m*=IguGZe8CMRy@4AO<^DsK{EfgB8?8J0$J?u)IcKL%7WuyY5#s1GYEO zdXK`L4y!G;Hi1lCx7QL@K%U)SgmuACO}aZ@g$R7MS-Fi*WS?L3aJ(+NcpM-=>=jdc zLdK=;tQSld+3U{g9}?+qZJl7dJodl>l^YH}wf_>@u6hT5qw?);evkRo;H>(Qp?96e zV9{eASBQkOcY*%s#meyJc#JgFJhSxxbNOJ@5~9vS%}27?rmbaS&s6o1^{b|l0jFq% z=vb0F5B3X+7B#!g`HooD;3w_MlRtkF<(=B;B$lyX;=HJlLsV3MT!mB_1f!`st)^+(U4@4KjHL#g3@uoWW342;!Z^rb1^!o0CkJ<+(9rLcE z)W<`2i+?~skp*HH-4ltiEnINUJQy^MN1JIlZb z@s6Lu#ZnZC^2{tAkHZ_{`8#MqMHM-GvXt(HkUaTcoU;@G?5!^m?Eh9@N8`iD$dw@j zR`X~_=wW6?qPva%_}vZ$4OVIne=EEYK`45T+p_F&BSDAIhQh4;@xF;CVqdA4e=B~A zBFw;R?Y4QKSTBqaC#Xk9Q{ID$3oSn`+%FS>2f|!*_Fu{}Ex2Oz0lmb}k;dm&y*)(i z({eSQZ}Ln@EHH@16i60fExby_=cS%Z%}grWL-=lyutQ14f~{A2`=C zxGlNvhQ{dTniQmYus~$jC-PgtkJMoq!^`*yo}J8^%6kHXuioLJ;bfHy54|irD)CCJ zSsQt`Y)(;ZWGdA>@bDfsdjHuJAGU*h!?G~Hb?z9LvmU5rGyK^0{YML+Py!mDhPMyL zS12UXMA2{aJ2mpKT)8Oe;hU(Tm!>j zuzqldWK#_1dy@oT?PoBH3yF?XOo*^BmecT&|C=?w;EE(}p^dy@DZ@%?8b>;JG~ zjFt#j>w#@SjT|hR9;ElzdAbiT8IayT%s4adkDdlC=>Ja$u-CxW-vb+J(i8>(k}PS}#9MpDwrr-#Ck%N33^j!<%krCm z$EA$~95wo^iL_qZ+OWM0! zG>me$c5X2g8rF|NDp9nG^y|8Jqdmd+S)mWRzG@Q*s)UL;;CmR(UyvApNkt7~>W(xe zX-ns#5BKQ4f8$3ax4G+Wn%K?ChBicO3-ltLzI0a{DJ$sbGGj~BiG+CgJ zs74AyMnFvr*27;xX%CdH7q1cd?%wJu#1R;?vAy|lYy^KqolmNZ#L4#af~#)e<0`6| z?YbD-F=_3C?+BDsYxE%ihv|DcYc7ArPNF&yC%z^1Pq#|@aDmgc{cC9JOqy?uKYfp9 zeV4{hjw_M1BHO^s2#7PoQH?~BKW!3}UhiBBPKdb3(dfSXiW#Ndy>FW>!N5h@M6&3b zy~tdM8Ju#7(xg`Tbt?NvrBMC%vBRklC$)4j1jzF*R%ODv%^Dr%C*i%ld7l0Gib>MV z2UFPDDNf&H3o|AX31h#$h5skgy}AecqOyrTEG|Vaat^@I4`PW2M zXsGqgfV%}r*@w%rw+kbNwVO2re$NOx2VAnD2&?+X*`w| zSAvei+46WO&Z{KIP~D63@KOY9{=CY?2m6lyauWxkf1wgoX>_BggeCedw?WXoXZYFDT4qq}*qgj2=7Pp8*Z;{v?6B}IY^78<0nhUFi~;dgW->MdYecM@d!;S# z)W}@pqiB%beoDLr{d#*okN#XB)&yEI*C_8**I+i?vgrjp(OOOBDm zEc`8G;((A&3DghyYco&N&e(a{+)ktrpS@oYWng>>HG`)+vabqeP!QNP7r?h#*e9ib z5R)^LJG8C)T0L(HJ7w<7H;6+n1w+ewT-?1kY;E>9Yjraf7s$-B6^8Z3OZX6QzjDFrHRbNsO?CBdNev0L!h$0(%i$~6RXAtPY6(Sq7ooB z%oIuPTIS9d`vS_#uIrkG$=`JReX4ePeD8#dm@XLHNy9(F?s-3|Ht%(G0E%8!m*!;y zy@5U^ED@u zOsM$29i7;L5Y;}!A7KA~`2*nL22cAOL`{NIS2W{bUpMLhb%@56z(dO0-qa4_oU(I) zx6KA_Ht17p5tGhO=xm&ME?WKY_Ue`zXo+Lc1-1Fc@pmh=dLBZ!y6C#dI^sNMNS`KH zszLr&*yB6AdCit*e&79@MciPd{BN7*-*$$PxDd>R+weSGQ%_}`VA59}S@Bg1&YYJI z4Bor?K|RA2=iKyFwn|UCqV(|eooBW?Wr%WMgFV;@^NjIl)!D2wv}$&KSU1oK#D)l& z*G$L%MY)-geF?F`;N54MJFT2meY~3nLa||dwu>TOZgo9qvvnX{Utk$eg%9Yc2-MRY z$vIogo?(3Y>2K4G-MyBkp{T~|+-!k>L%L=I{RZqAZ}oJn{8IES!j@i@oPr$o@qxoO zn_wRVTIpD9Vcz7r5s@}-=+X5gz-a2DN?>_TG0l^V;~uLq08;K zWvuyCba#0{jY#p`$nbOTd-9^+&zZgB^0)!L=Fcon>{2NjORm}7YZsU9i=*Tjp0V=$6DU$uko34`f zetLe3|IU%h#2ToCIZ)*h>7ulFoT|!py;U6oxktw^W04K{3sDN*DV@M z)VbT_-)^I6kI7q!Nxsnp(Q`^(Wo=Pl;Flojm^|4p@Z5Pov`D@)HoN5Q)xY?&uhzV% zB6ZcHXiMloyVGFtNCvw8Q|!SWdhUdNJ^@ST)_GUaZI`=qJHWM*e{iepK;Y@8)jik? z_>GYC%L+SD$mMcaqkFv*p4^{6U8q@+Mhr@55nyIL%j!Dux|L?u{^Bky%Bg(n1|u{5 zZb-?aSz$x3wP3``tqSo@)ReDtc_r_{*QTi3W`G4?Ipp z8n(!>9BbSfPY&TOeJ!**5g5y$Oyyh%=U@Jl5n8aneB#w}5croJ9Z892*r>P3V9|^H z|9nJ8;u#fD8BqO58S~!J-zt^6MnZ#$e1uA2q0v&NMI84@X#CUhRa7qCl+gH}&oU8< zalsj&^qWSQyAYXvZ=%6}sC|`{=+$H6k2n{SU;3PsJI$+BeE%f+1mcN}u6P0kbr1U5 zSY}Pufyp_ZOD{QZm^}nl$6Esw%DW`U@@27F40dLEde>H$x%l5-$X{O$jXw?E#rE4v zc&TlKi|Q)5Nl`f6H@;>n;RJtJ7Zb~4VJv6vE)F1#FjC%8J$)sHnrG>j8HUmrKAk_J zT)Ez+esDQfLWYT^_?L2(R^TMrW2xJ()4LS3EGtK)IvX2V7$v=;<3NRet3@_K@`}c? zUjw$o6W|;SXg=YYKc*L-1ax57(se^vc@`Oo~eH>~0Ixolwix zxs1y4hTLSs38wwj(keq^f;U&#Lc9htpB_%Bm!9&Wn|Ag1EgA|65yrwHMARDf{Hzeo zM?>V}8g!%c#{9~;KK-o3*>@20rIg}lH!4Fe)YW+_)? zKn=kjP3DF&D0ZGhE*rCb${pWS5pSKXJs3w$`OJdNQCJClHI(BI-N+hh&w9`x}I zwCo*7TK#-)UR-DRnOd$Xz2+gz5#E3(-};GEWWz!BRWN@&mc;A|GaQBE}7w?@qQy0&oI}`Y+onm&`j#~%s1Y(Kv+Dj@&U3A5`1;Xeq z!p_|iLVW7ULg5UNjD-u!th4M5Tk;4MoL+TyNn<9pC5Go z7&F~KM8|`1buY+N^Osrgqs?oK$vieMVimFDoE+_}k3)j!-t~J8}HMLmZQ;c!6XgcU53qnE^mn4yyz+h8&gAHEFRKcvJA`*`=eX zo`L2^`%{Dq$QuRcwihRszn4kNDuLg2abpb(Mo%Le1aUsH@ z2?~qpwwair#7!&?WeC{Fg1a~t7?h7zaXqVSp>D)v`@|BozQz$LV)^QMbO<3hL0*0b zwKeF-?85Pwtrj1(82>nab`ib(U?qt{`O9PK&cXR_#XmFB*{vM7O!3KyF0&5G2MF^V)Ui3{$EEzu#GZL zJumj>u9&FN*pw*SHoBYy{i0>jV`qg0vDRG8k`e|-aiY`enD9g$37y=RJ`+<@%F%~z zoVOZqsla@eo3}}_2cMn|j%Xa>jhfZcs2B9)JS|vQL-aa{VaibuOUYMdLJ)%O$=Qwk zI;DYFiUA+SgR9R|Bc!ab?4llf?g90z70G4Be#z>-)fpjDik3C@(~Vr=@UuHD!|)`a zapaR>=q`YY%p#zmuk5=ZQ@1A6VyK#%MCPeEHzTrrxVI7DD9b?R1!A*jW;a19^4$Iw z8oyh}K)}x`$F-3Q9l^}C_AW7I;jOuuw`iE@Zj}T%1`XFe>~io zkr-rr-xo`XL=RO`p`!Y=WsEH)MMtMkV}FfjBjW3a{)=|7Eq$>JbWyS)gp^Q?xkY{^ zv+nP(dQCOqS70{yzQpr!bBB)oEH8q0i<%2+prR5>hz_yQ^rM1VPsl3mH*Wwr_hjuur3A zxzM320QWG0j7Y6iqIf6X;3D^hQdOBdORZwb5@(v7S@`+2pSa2-E=AasnddP^m8gJ# z-anxn(iG&*BK7x{e`NPgyqb81>k>@baUe*?uZshFJ(F9vf{95c%ZNs2>Mh~zkx>1% zOR=fg!Q_(Dqi?VNe5+^Aq$uLbB&*Xt$}HRR;GmHKg|bOeYLmpea~q?POXkO~&N!NZ z&pV-%9H}b?%K#b^ANs^|m`V-bpi%GvJs5VqxQ8l8QlI&ejps`-X~pQ#ecRRHBSlGxn5>fi;5ZG zEvfYTc9?xW_3fby>(Qz?N3$jL6Z3;-p-^c?dMYIwUbGr(S>UzP_mg51XnJ^rI8uz0 zXet6R9)i_V#C=KTwevHH*HOFn$DRyjTR30L>6<^6QG~8J%(Oi?c#)BR#v7qYhYLT- z?BcDB0lG?$D5tANMw&CDiZ3+!3PEtS20lZ3Q_8Wp(byw%f`ps{hA(H%)pv8@y%e$A zFI6ZGJX-?xR1sP&{2ga8+Al#Wy@LG9^1~?|5&!ASh7PdnjFL|U{_A#!L!}yzks3Dj zJ=fI(8qHIw&$4aRg)aSlvfeR>iD!7a+>phhC;kMbC}OI^bnZW&5nh~A^b3&i9CbWC zbgwvf52$cV9N@`W5jXr$=sATdx3$cnP&m~5d$brHJ|C&V zci~OwmwK~pWr&4Z6cTdII|iw-oA(orCU-ocZ#uXrMfV2grM!6RU51>ER=agU@BMNR z$zPkeea58;Z4s~3@85oa?0SZaE;oBIh={n_3kW#}NLA1!tcJS8`2A6R0gYbL`jh=0 z8G&5SV=Qg_EIcTlCDw-Q2zz#ww&?|qJ__oPp!h8%d}CTO zSLCXW9VN#Rloh-w8s6^!4OTOzrN08yr_!^MS*azH&$Pn$D60#dS3h1tuRU04 zW2tY=OsRiQiK1ne7B~K)Qc6b>dJ#*&-Wk-zX29=ZWhCGIrr&7@5x^frnPn?oM?+7M z`~oJJ(O(~0vuB57s&mK|arOwK+YS#{7;lnvh-aRCq(mR(XGSkxMuJ|*T8bW++%Xy1 z5l~E^CZH5hZzrpXyIUQB9V{STb5{XmDAoJzmv+R)@ONwysFPk9H9zwm6~N3%+QVLH zhCN*ij>?4mJpE{+;|EV6g6InLlf*JNgzK#wmHRidcaLQo~3cDQ8jXyy^9u2&jjNXW7sl}>X%2=}rizJ`}*o%xcYH-~> zn@c@fbc_ip)AHJj$INHy2g3CHSA9U*#IlVlpDO)-@$J`vTi2gCXg!cz>6ph8Gw(!Tk{laI9!3Nz&8VDP687N&Ybk5ud|; z*U;j_3tO%AU+_LG;MLzdP7g55~mvrwpWG+CFC zt8&;@h3=fttqmo&F&^l8KE}rdEqsqkN~i5d^1T4WkpN2hg39gUMzOMlMtglh_w}rD zn_-QL7Qy+ZYk(%i!kRbiP$gPYf1#Hkbcu|)BrNZE-NN3%NQQw{5?Po26T(mk87*B5 zLOhoENvpLgxZuc)KX8smw;x3vZhh;s`sT2$9B`8DoSDx4#?!Bv!N2Q#mv^(k`>4LK zR|N(^7g{y2nPcUx#3$hm$$}m!z>`4P;Y#7gQ*IKf7x*Y=2cO$VTO~Lpkr&B06J`&;jvW}9|EY!rz{7C@ijFfxwSn~pQOz!Z)?I#)>3qjBF`c8+o zTj&X|R*N?>e-;qPc6XuF+Z)$dk=71;OzYBR@%Z0Ql@T0|^ z62ogbfyc(N|9leYtt2TiS?x;4?}6MS9+uHCe!#lmdXNKMLMw#jOrIRDo><#BN$)}B zX@TSi?C=feq|lh=mPT*u#?RRg^t}d)4?smE3tr-Sp&0&4$pV22C5#)bA;?2U%13iW~F(38^(0GX5!E1-e_0^5D zc$^>p9s++}e+piYyjdM2%u8+$@aY!ljd41Ig_vS-g56lTVb98P85=Vr@h1W*A+410 zv6j~zD#8aPk2{w(f`YL9r*S)RYtNf`1H$3R!p|X?-DCAQWqZDGqlzQ6%4rtkm?}bD z(v>h>DMx}x&}OUi)c0x7#+))RGIg;z3nR;Qml8{Jk8@~^I^mEA+iB0$_2X^q#3P#k z(g5|nm&^iTR_xQruNGym5-mF)KYUE!qBn06c$PT8 zWp!GTBsXqqIOZT&A~M%1Du$kT_6YRU>C065zOY|Uxg8U}L8}~jwe`6U%7`LB5#*6* zzoIldr>>KGE*{k3v)2HWTIW}1|1qQ%6BFJ0fcl;Ho?o})*_;jXHbvl%uEL5#CF39~ z;ssa{5ShekLjIqVa2`vq{_CXpANTWb!0CaqUqEB|>M5ze;3r}oI4F;vGgw`L*_;HK z&1I!I6#nx`kQXwu*u@+cV1TeJE4|bBXc=6EXL#6=UG>NWnN~ndPJithif5#5qeD=K zJUyoYc$GIibU!;%hA(J0g($KPDx}AuGh^Z0ye3$RzGl|?RJOR`vO^O%a}*HdPM9!K z6t2SxT7yc)j%s3@;5xip6?X>PvsaCv&UOdq+`A~4s>lR664enDysS4UMr{Z@?$iBK z$^OC?LS?W7)-bW0>H2@!UgR0Nl^eyMJ}_UVU97?JKDKcbD7tBagcRh@l7lnBF;H1JvO<5`aRq5Q>o znG0xKN1sLPE5w#Jbl)lnD|5-&rXIPa5*@=hDTntSVj8v#Lj`Gw9O9hp6ZEf)UjA4e zvGO9Ia}x`~ohn70UQ0Gd$@(!5IleGW<7mBay%-%f6(B?L0Lf|T`xc~TEbJ~~ zKe?}}&THCPFrM;Qzu>b9oB<^Z9lh2IZK>ZzzRC_{^(EJ?&;B%H#?D*k#|h30NIXsJ zuTJYn7ACF)z}CYp!Ayq9PsY2frOX#@l2p7D85y3qs{SsgNc;KI_lf^#0m`yT3PbjT z)jvF*9ziWd2(+}UK9_1-fG{rHHs+MGsFa3rsJEVBS|DicI}+&cu2Pg*FGYqw{zBII z6{3;Wo`{*tF1xsbg-$j-qbEQ3KfsnKdJr+yU%fwC`|^A8h}tB4wk)u{m%<8xG*dBj zm}iSoY+*f(aWW)nnSl%^-Ewr#`1$w^p0e@hB|caim)n$o2|7ZeS-^w)V%j-7B~>m- zDRC}=pM;1y3x9PACicAve+9ctEuVy*2%HzR=X*bs*q7rel)*II5;wfFfb?>9<}uUIi*L7-8|ngLtri83xI$P z_eb3sm#u}n-)lW9J3rIClq<%(%qba#*U|q$5<9jLI`@`n+FNI@2D>auh*)1tfC5Uw zI`mzO;`Qy-j3En?^6#H5OgV-M&L1S&;PU(+hjBsaHi^`7!#H5Z^n+dZpGO2y9N!yp zu;;{0jQ{uKL$i-}F)aHMk995&*R7yQdh)R1f@xi-Wp_8UeZx+`rH!4mvfSoa`=jZ; zW79Z5nts39v-G6as-GQE^4W>u9~~OrG`i;J#8q^23bB7ZfSd+XA3xlAte^|W-c#xZ z59l8>dDTljxrEsm0<5AqJ}cM#HVe-=^7bdRF62Dc31XLsDJZO}MP5cA0T7p>z@WI^ zVsfprf&bA(5HrN!vveFg@O2|;r>u#v-2rU96RQSUx`lHde)NIxjNQSvQY)!_Y) z=LMy6)jg$0b2zil4vQocvA+L&a{rTetMBg7>iTwe+`_}3XGZC5m}layn4dh~6I7C) zGSt+k{%RwRLXF0n@{@#K#)_T-Kv7w?f%fr6o$_aIhLvWTZ3j-*?yy%*EUen4StJ~Y ze@GQV^|l&sGBkJhkuR-!K44ABlmvd89hA2`k3|5YRYx`Y4oZ@lLi29kTA_SsVpw-gb_7W}gfBw{8FYstFWiUi{Cr(|(6mpoB!LzzhQG%V6fvnB; z;1J>AM^4j1b2PBT|MllHs`nk?F*y7C@qY z0}@fb`&G)mH4)8y`hOP=8D4CVH5E$AA8*V6FY%hcXjHLna5u`GlV|aOfqCzFj2(*N zHSI}5wi^R}(h)2>MbqGx$0m@6)z~emths?o5qqiumeeQ?)AMe~UGX8lKdD8G&nGK& zNHg4Uxf~#WrPn>JH8cbTP@->w*76mrkd-#%Pm<8^$=;Wc>)imGY+lLy=1-C_j$}T2 zi7>*WR~Dh<5uhV6xwsA%;%8k1bhlThofOE0Px)OwW&eyx?Q_nt3>n%)s20N8BB!aq z01yrRI<8*P(ieqMk%Ml09D5rHZw$7u6WN6vDCHVV!Xn*po+d#37Rk{5n>dw81a4+I~kCBJvOiyFVoz@;;GwS=52x9X%S_QtB$Mt;GNqf}wF zz>8`LG`i}?XH|M)CTynx17+)7CI4K)9Y&W~rPNYlyGh7wY6{DUON*8Rgkxa zv?J0#WB36D(`l`W@X`EOYXUeXW!Wz@A7CLQxkV5T`HB!4xk&%Tz+pxUm(E;@m$uWZ zAnO^c#}+J%V4ur6)Y$Ost$>Q`pD?ToY4R>M&TLT?9rtB<(@MJ)3(G)QCwqZaj}eI~ z5Tncx8TUEfGxxWkwkc1td-L(|bgB0jRqzhq0FcKeJl{)c#q{GJVmBW1!P5Q5bgn=LA-vDhUj;KIeiXbn2g=V3i zb{Kx~9?z{uC$`pSuNimmf(GFyLV^XKSDV%lrQ`p8SJB6K zMoRwt8*tTlUkYiTO)wV%B{T^cnfZ`i+dPu>{cf;RP9?MzqEp{UhLN%A{o=nc;|?+O z=d96{T&F`UN+21o;Z(pyHP>;XGL5qw1jOHSDm1ifO1ug-gEfARsW zZ+^78aG{+e?p}F9~nhab~N$ zk4Yv{rMOYI<;#Pf50EIwH=&GBPQhrTo&)s%3bb4C@Xvvl+0~;>vwqY~+qT_)`iB(}*AL`YOAvD<-gu3ma}KS6LCS^VN!EM#MCbPDx(Yb@e_ATxwZ~ z^)=ejBTE3*T}Uhi=WmnXZsZ!7`iHGtO{C@+BVGIb~KN1)fxS{vD3MIt#y|z zD!;yujg+l(fa$V|?ZZaH^`RH04pSrdnwy=}03GG+)p~?Y7vdL_PFznmMYZRDn##^A zYUu&x3hA0~z~0kt*JScASis0k&OXDxYg=~UXrH_Vu3RlOFIuPMr;g$Le+UK${DE`r!>mako@tL-Khh8wiv#k%Q zk!mbMe&#gfT*t6a;VHBN({lHh-=4Jl{2<)gdvtMa345n#Od+KylHUb4R6}m`*`zL4yW7scIEPx%ztV=^M9zp_H zHXZL33t}t@2frts8Vm(0^pIa(@YiRII-*C4wb`jIX)bL-S; z!n4E)85l$UWTz5Z=G!CF*W;u^jWu#yP1+n=|%ZQ<+KBT=sZo>rZ2~-LIMlX zEFlei@A)*M*);&su{#eVu)X<;XnA3#v1*_tJ^)={7O=Y6ohW-BR)ZR?l#t^e^RS#v z^IJWXB66M&b33e((HW}wLL|4!fmS};thpmGe@HfONFi%Qb;sq{6;9U8f zN6XkG=#$Fm8Ge{AhuIR#Bt_90o=^C-|H|werJsJCA>52~+~Kowd$4txE@kF~;kJ;Q z@`okPk_YxSwVH87UpekQ@9!$*1yWRlWUw^$TBL{&VO{M}_k)X9t;Fube@B zkY=Fpf^0!-Q+UxUOJIL;(k)Hhf~3@hjmRiiYIohk&2gwvIa~>MN6joid_oND5C3p? z`dxeoJ9-VxsM1g#se*?J6z&wp%;pu($kBJ(#wZc(f8iF}=k@7sa~bi!QL;afJg9hC zm zMAE~$J9PXHTk2iitLgZeW8!m^nlLlS6VPl1Lcyo8wWP4#7?~7TCkoNhiUUdhM$)1R zn;-Esy@GM)x@{J0DM0b;BKvS3(bYk6(^qins*hJI5^Dq6qJtnVZ?IxToAk34$SXrs zej+KbKt+X5+6TNI$!Fi6h7=`@buvNx&NZ4O{}ELNp_`Y65h<4O_{8@u7NE{Ba<(A*v%!x-k3ft$nW$(#NeRTS6jsE4;`--$X7|D$vRJj97ICt zXcaaufK&s|IHH4P6DKPkphr3WN;_g9-zNJf9c=j`o7k5u#c8K+BkYO?|6>OCLZWva zKR!Ku*KtcV!0Hmffa;)&MY!nl0EN{+Z~_+o=U4o{&=}EQ|LA>YMf#Ts*UME* z29^flD=YIHm3xp^eYQTZeW83~G-$p12IC>*{5~Tl*g=gkY1xzG1fO&{d7h9gz2l@9 zh7RLSsr#zu;6JeHPTw*9Mh3EGdzNYw(z{f5itd|ODhu<1j;Tju#5a(+P%vTRqOdN< zBDG+rkObTsU-xOqh_kJi-xK4oGz zi5pfO+}vYafwhm`uZ{e_TF*aZ2Y=xwbWcHiaNDAp{l@<>GRiPu(|p_o8EO{DQ6F)# z3n~U%p#DF`z5|}izk5HcjL0fvXYVL0duEeF_ADW?_Z}HZHkFWwh>UC^*_5K}JtI4N z{?Fa|R^RXQd;MR}>v^6J86WrkzR$VNIoEZaGt9T567(f#=SriA`$Jy~o#1QfND{mZ zd55Yk?ZSOYupWtZJ>JR2M)2eVivQi$E!S7oz81036#3)y^?}XNWSo}4hwDyf!tyVi zGIIuwz-7r=Tl%wz341K862G*r87;{aC-D>+!Z2Jv8$Bjnn2L`RKzk~v?49*#5%-sb zqKyp=ec7lcbue&gh9j=@mHg4IGEv9HLG4;z;+8yN%qHyORQ#E7=$Eqq>%_rJ-@)Fx z)&+IgggOjkEb^FS1xn}{v#{ZwYt8a@HrLypl8kA~>kX~tP|82meSPneuu46rbN_i4 zUH|rhcq68qC&C5XWa&;Bo}DG?qAjEox%hjT55%~b+QM(Cv}B4gT*w}X+1|bol;Qo% zHKkCMYmf^cZ-)t!kX9k^?4<;ni+i@4OFX*+=;cX{Z?6bn4}#KKP+e0F^_fDglE&?& zHIN>#_El0^6vouj$`6C22-^P&2uv90JS#*v3El3p7u2GjWvUK85SBxybR5ZMOPSbf zv86Rwp(GFPl4x^Xq3BUqonCVHh8UUrC7&b?@z|0VW$IZD-s-i`1w zZM2jCUyHM&{&US z=H>n{T&)ANQ8RGcp3AsbF*zx^0g!QS3JrKy1y1_;mI;S56$cGpsWG`kR^69)Dn!p# z$o7RY#I8T~IeLPM9VtFG-m4_D^o2h9+0Yx6Wq@fXzhy_!&|iQpN_zAz|FD`>T~!x2 z=CS>EtK!e>f=r8;VOkXSKEn9wUq24{F!CKHlI7w3Pbk!Vg03nVI50+U8(*$q5*(`c z0bj=Z2%}7`ZwT|nm!r+iY8PQVYP}vErDP1~Zxv`QnEf;7lMy2x9?Or+ENZE~Ur3W| z7*Ak`g=oGvxM|;Xh$rLUy8s#W6ZQv3^-!Y-EH{j~Kxwr7(_!c=qoBcOhPpKlD!IyR z!ZR^Il$QgK8U0750*F)fr@DSb%B7|f;u*!x9N3ItNXrlTrR^W_H14J15kk>MDkP&p zm2U|4iySN?;GvTalLi#0U`b#E}lxcG5m7TqkXLX~k;SLFg zy8NEQLtEwGvaA~)(iGAMe(=B)oWObZvLu1r{cys(F?=S(X5E@JnLz1oYJI?)GvOR0rFMe>O*)eNL~;y2e{!T4Cxc)F8OEwv2mMkh*A$)D-bOP9 zS;btWv6pjtC>BXxb4?Frf|ewAk2EVKH%0;t%l4?5DzDNxdpoDllay98~Y@ zPJt##4!VzZr^pwtEsNatXo0-Y22J!E?kQFbM^0$clPDOUp5`j!T1%EfO^@2c@gE0Q zAna+2VHQ$t(zy>qhKXKHbEKfGkpdGyF73k4L1km7X`b2DM0NX1=D#UJ60g_U@$CkX zHF(xfF{vhNY+49t31e~L)q18vJE){Wf)z=p1P*;%&M(DL_WZM79i9vK&%lEGEV`A*vg`&c{ zwS#y)luLnvOnEWTH^0*HA1Bln4IhF!d1_1_ti}8t{riOg^ToK{g#hh) zW6V|#D!Jg=#7F-DaO7$eA(q=Y{?%3Z{$ctll#q1T-oOFc;HMnrx-cEQ2FBK%Km;sz zU$^wtt9u3+=^a?%vW1fHg$NO#i2~c22i)6`*%h7N{kU{fU{5LBTn8Af>x|JaeB(V0 zki<0KbHj|?{p%Y>Cm+8s?d3=tuyZhwXQj2tr&BEoT&Xz@oq#x)O0@wm-!rT?Dy&cB zwvTA|6u=T}X-wxGWfzK@^TDzC@K8j9nDOuQ! zy-m6z6~7LjiAOnHImC)4wB;fHi)*`1WHdd#8klMFMOI<=2alp+hGzifwgs&2rkL^8 zXom+QzS2M!qNMG%lv;=tne;_uyK!`Dzo6KlfvyNHhEKSMoXmWL2WAS*rz52xY0A`7 zy<0EsY?g`8#ehZ1{5tiKvxf_Dqj9OtP&)KH)boubbiEQ!*QLr45H~h7%4M={XY^IO zF$ADIs;|jw4dha72B2@fa<28`m)E)(@_o9VqKh^2se86_Y1gVto6MsmoN%zD!(=Vi zUlpxx^e|0zw6(;q_q71ciBh3m3iX4;kr6ulaY^amB|26ALDEfp{Mo0nk`#$t)gUiW zn4h7#3rq19c8xpnBr8hCpIc((~zS0NGcth&In}%{b$sZJWY`dJ1^Y zEb9;8*?2WU?KVlk>r*{-YGjF-E%~)~^65?TD5$nZ#C57cGD~b$v0bQQ7Q!8{5(Q*7 z-7=>c%tdAJmAahUKB$?&c`j(x(}7G z{O}<7y+qD`|0W9E_kY3UfZ{=_EvEP{3L|pJYjKr*aguC4FPcyMPOtniC!$ft6sIZy zk>l&zGw=vvp?meNg)494%Y4eZBn3lquHL;QUMtO$bgklNj>_L=Du+|uDm4w94?b<+ ze;~9Oq?t%Ndj~w9?y)*tl5ny=>cyyz?R+mcius<@h+B%TfHx47KNh2;bpr5G z03o&B-3(hSY?1J@c(kl~1tviqPPj8@jg*0ks=_OQqg9v<<)ebbz!ZI&ClBaYe%4{h z0e>IQ&%)EQszk{A?E?mIWLnuiUU$0LX(9Y1=4m2A!jHTtBX&lkKFYPldq5o37;%Uk zHW7AzwKQ))tS&`L9G-P;u>}2oDaUIYhvQ%4Y8DvX@>FPBQs|2KI-Cf$x0=rJe0_L% zwda$S1SOYN_f_rQ$1m%z?_CTio9VgJx%nobBW@2$kP)CwV#JyXB#H9b~#E) zh0r2aO69N+AQ#VkOR#=%%LbT!BcH0xz!&zTS1(vBJU+-#guG=uLnYX2cMSt~!V7F~ zd0+~r77x#?xp~(S02?+_lDLve=*JFaX0;-VgnGEDE*)6;ldFf;C9x+y~2>I7D8>;~a z&(>zF;dtUbSEi7UhOl&FffOrF?fqpp=D98rpEtaiJu2<`iNB_>l8U}xT>k6H^d*M^ zzv6Kt&-Yvxr38I8xkbuG+5fAP{%_=FfL_OOndastp3sB+-ONsT9fQM)gLuhlQ=EcSL&I3y$ zwJ@*6p7cNPmnqt!-L@I7Dv!qTh$hE+l*w*p1*K9nuugXa&AS=t7GGoc-gRZyuh4)e z>nSBQe^@I1-C(`btMkwS%gA>HpQ1_F@}*wrHPq`&_aacv7R(b-&Zt)rqxdVeUmK#Y z$9=|U;OeMOFT9j z*$x{)Bxwyoe6OEHCzLBi_a`70y(*lr_RQ_!U=pup8xzZR1$*!I!*G^d2`cM@esa+}ODRg`liN`AEub1u*+d`M09b$7V zNa>E9J$GkBj`^D`f#rgbuqaU1d4!Q&?LEu)aIr&fLVJyl1ZmnwTUrNuv~@sv;yE)x8ZzasfGfe zr)etVZ4G{=C?Zt7?QTFGwgdjc-zji(d}HGk4S#jTzw?uR{_kwm5rgxeV5MuyQ?Q-q z9>vg@Em*kueXcCNLk}5zXI`u1znXHt!pGk)zLQw19%aV}m7SCc1cCv16ZQo117sF=t zd@ajBZEo=7t*br5fi;uGyiJq!9s4NUXnPH#@##%gnorsW?H0{CGH}_ z!tekN7d$;N(4xCwk`H4?iw|i*^Fx*V8F~>Ef@WZTiEsm~^dUhw)Tjb(<1g2Pz8wu3 z(*=YqT2;0P9Ktsx_s{;ti2t)9mnK4J1tUrI;^{g3Pa&q2-4gY{1uz(+y% zk8_k3CpyCy2v?NNtrp3CCa}ODj4+xQ*5H~u9b#(L_@dV5R167(E)#$jQ8c{hwqo+cX;h&i%wQO1eB{wTuZ7v6_f z(_jbKW`o3pkUXd6-Hw*$%D9YEiip#kM#?={rNt_6TZQm;ctyD?)L&BpCqyH`ds@5k z1g#-3n$6%4h`QO`JAt*0I1TGGLFzDr-tQ{-P#RTb zP{zpVV-E-Q@JZb-~UFb`@|GDFC>if^a7gIq7R#ZH20TIN~7&pp}H7SAGkaHZH+*kI#SOX{=zx{;R7 z`NM?*FFg&^(Ir=u#me zaaxkLp`^*NWSDO9#b!y=9<@6i=x{(N{PA7~%}`sU#OB?#k!Cs>HPZRALSegu1X@sH z$%d2CCR(`?O8Y^SiJ3|E;GDWedJM9546>6i_X17I{XoL?30KhPza(b=>~U}W8Rk?^ zu5PBZJD5A+Wg*&Dg{=GM%vIiyN-;3kql5?EkqINdG{cc+czs6f-6MD?Sj)3~JkAco zo?H5|qo1S6B_8*=MRb5NJw2IC-gIx3Oj?DbTxHPAcLvpveG4VgXkwy~hGarS~ z2kxJ2s=v>g_zi@icsk;sn4X>>TOU+${~)a%M`K2vd8_58gK}@@Q+HO z&c^y)mKbZzT#919Z-RP5ymxai%y4paDWOi1mCy93+Y5abu)@Bquy4+35LfbbLw>b* zc~8^d^sa@5QrOf79J0e(8>;pjFX(j_GE(24V!VFFFN-cU#=0+R&h2#AuBjZhllp~8 zKaE@%|G+Q1Na_rsiUA{F`FQkMegDK;;Fb6^x&VB$SZ0TSUxAwKoAq2el#r$H1)>Xr zC7r0NWGyHnWS3L{5oG{;nNtPb>=ka);i*T^K05=Zit1?`x5n;M#&1451h+wkXpv3zYR%ox#^4^)O-m17CB&A7o%SA#5;9QWFrw^u2h4c zfm8o zOp^@?;`lp9<&yty6Q=ng;~pt4ZrT6B@qRZL;n(aA2TYEF^d|}eQkydWP&%uB5^Bwo z)cHc_g`~9ZuFXD4XR))hTRhPkeMy4m>WZYs`Dh6cP7v9$GM^_}(698?kDuSszwWb} zqo<6Qdp`ftec{!cxdWbZHT&x<+qRpt`R{$^7ll`q*8QWE=}hZUPB0yCz}V#0ZZBX1 z*@kd>{441GCy5!M?FvdHj7>$^Xvs|R2j?x)0cbE zrpLfTndVZF0*JAXHV3ZmX+>1Q?5W15QUAC*zw+9vaX07ATD0VBB$FW0pSNd(rn5OsZ=-hEXb4wrAeB zVQjNbKGn3BjK%#HjG)3C_{#p1QMQO>yPx-6pP=Gzb8d)^BK^8j%|9+k7ky{rU9-3BPhR5=w zuHo0o7NUbAuIPUp0@w(oH%g51s22ZkF#atncJe}M9dhB4rU5(bSj3rIQ@z5`Lc7fN zG06Ad@o=4!7DK|<2UTAV8oy@7Q4O__Zt;?ygI9aev;nWIGv66TaT`*^A=P;Liom-n z=L~wEh<29seK$dEowt2#2{Cy=lcdQCt4LM;iGzJ}c*C$SkMpsYl$a=e(?QwIzfMP5 zLFAXmRC1++L_`6WK42RaE6wJzI9M{*2g_m|=RV5AFx~Qj&t!8H&&}Sm)>I*_=Ix2J zkYAkGk5z3Bdt8I1ZtA%@ynVpex00Ym4X8S9h+;ZnYiV{Eb+S>=8G)3U}NasH$)hap7aps4+!Hpu*VJs9w z=;zF9n%_U6F7Vvk2mB%fE*J_qeome99UW0Yrj$+f>qlqSp*_10Xq0$t!U+txEgx0K zedZ#Sc+BJr)!nl)u#7S7u|w#9!>$v!Zliw3ZZ5H5{yaiM`|LkmPx6o>WaaqlewAXqQ@l!?If3#eE_2ICXB@lo8ghWqjZj;%8#ps<1{t+0w(z>(B zrVqTy*^qq?wGD2b7S0<@GVbB z9GysaNoGxzvh<~}K6 zpJKmp$B-F4NC3Y+OQ6)iV}ZBJDgkU1Mqs9C@i8mPdOI^SLaoCpAorfXq^?xN{T~lC zO*&*7{&WFtvY)N@UpMX73*QhmwPs^+R8A~CFwLk|UWc6Qs2yO(U1hh9@K0`uynF~3 zSdrCpyYo&e{+$t+wY}h*LJT`^-#Up$E#Lh!wIL@E|1Bs_Ssr-YEBGZ0{5{i2qd{B= z_`)!B)Z_3p=##a{cr9bi;Ifnj6gYmw$JDIC=f%C2N{^p`K8TI|VX!yxI4A%_E%aGZ zc19{3cRF&QYscN^G_I-@sP?7OTCvr-{2&TPzrtO)zkP_!$3VsTN~X_aR6!m#6qEb@ zjU&ptKD&wrx*mEF$@>GJxLKGTgYE{&(Trn(ySf~#ik%|LB!8Cdf&q4N8eC$H4_aVk z26K18G=q?N`AC0b%9~J>Wk>`ZMT-BH1TI6h=eEe`qZLL+p9=O2StBOI+2g^dsB1!8 z!*y@V4p#lDngP*4)F}=iI;%z&4It`xm>yu%Q?SBQUJKoxHW*iBnDoJROYy{DbZ=x9 zfRKZLqLgM5cK7a;l-X|VN&;eclOy*)gx7#tAf8r=Ob_hRGP#z(s{-+B(8$qL2D=me z^=tW(5{Ch|(_X3FWjjZM{|T2uK#fr~nIh5}-Z`Rb*AiYhB}q=ZDNPNIz~AN?DPNDx zm;+Hi9b_VwgTUzRjY@n^bg>LQ=)iOxSbA|VGkNB)2}-`Xoe6n?U>M}9z?X*+vP*_Q zzf!X@OXHOqbd`?<84=j|iye$z8275K-@aQ04@B-em(o4Es-K7PqSU?nKglfg$YG=> z)yDci4kHPi4?zmKS_~BS?phN9%)z?2Fk+T7U>kY~$9*AV+70B}(X>yC1qYH$PdeE_NGU>)WhpTc?STlQ70#z8Z^u zCehbM-!$L94%3EH3e-#RhC}dCFG$eRXTm8VnU690OIr88{-O|1h`_Sm)ZBHLbVou5 z0L@-o9(~>4yd2;LU7;!1&!T?I_wD_2nH`N7v4#6fv>;T1+>7r9WO<8auvnrtsaK5ESDh?)U0x(r#+p^)={M>+G_=%S2ufFaJ%4+B zUcgzR(Z@|s<6(WD9-m(#9nK|G~IIhX=7*n;eMJc-ed~YRO zY1p;SDdBa@?qs!F#$}j?^@*orZjXI@q1sZhZ>~?>R6_%PT~aM!ax`g}pSyV_dxt>f z6cQHm`uk-_=YnJQ^u>Xh)qjG(fBY)#+!^b^vP%`t3#^A=pNBeU0D7E{u)|L#mp%GV3ioek?T_2?@6XrX6{i7K z%-JG36yZacLZu-`_3j69M+|S-2P4$_Ser6${q%j0UHY-QlUn+w7n94}N3`8`zr-Mt zOs%G~tU$t*vn%95Of0|_B?_EX+dz}8ZZdx74&74^QcUE5NY_OLra=@8ja$J{ExEJt zDDLTIaJ=RM3my5=h3jwrx*q@iA&m$D@X|%r83zcRQ6rC)-0V|#hQ*2w&p`pz3K5B( zkB={l6!vd&LhE=_8}l|c)MUt*2e3m~>YVx5G9sw2^3)dk|9VLOxp1|2FVax>OP*-> z{Sh*9p{S&p=%$LPuZi|tjctB-})L(1n| z3_5PyHor1}DV#og$4Fl^R8Un#GAcjVip_!?#(p(l9DF>cQyIOw!7CPNts?|CQ8I{9 zj1YAbps$YZbzBo)6u$rVJr1kiP0&K9P|0}EZ63hBCHJ-SzES^I)TqT(pwZ}X0bL6# z(qH77IPWeB3*5Yr8mhjjX(aV!-%Td;Iu>SWimhuCD}|+U3E3F*icd+cZy?o^`Kg5j zMXeVZo(HX_G8vX1l2{I@Yi>KbABrI|52m*<;Jat$_{2oL;JtFceNUcYLPn)eg5U^a z^HjP|#L{OPJ|1weK_e`R6elJW_+ejx>yx9V6@<||Y z*-B$@#(-i=0gN)zL7foMdgfd*7Qp3qnG};DU^nBUM}mV+_`aI>IuvY{UU)zIXn`>x zoEl4StLUOcsp2m2IXnULM_?Qh}hoFTo53|f`;0&mf4>g?ncpZ=!G##5vZ$ zh?ijmC7VuHv&0Vx;&u9FuJFQl4Os6Fx0=!mgxFEeIy?`r(W65s(KsU*C`trAi{}k1>D`fH1%?p ziYgAdC!Ht#($nd;q1W~4=w}E93SeKQx(obI5r((de&GVl6MkyKBe<$&&%b@sKQV@8 z5PkWk4YVqFOzJWHnmH3=kpTi+y$ski8x0_C;L0Vn8{~00;lOgP^4u;&hS3V~>?}Y6 zxBujQ>ichyLrS}+lpa)q*jS@?y`a3=4BdmLnji${(V*T#-hG&3b$;g-7OC&I7K9%N$P zRnTki(JY2eSKZ`=%jdDNVJ99Z!bw9WeXBsPYx^-!$|K9C`4+*&`w^j%b=lXfOA6vl z%r}8W&<>Pq@J&4#t%*1=ai9yiE~i##H$9wyzA_gKCSyPe6D*dd>HU)nFiHBHUKkPe zp3v%6_>Fpjua8t`6+3r6{9lpFH`sSzrV?&M94x+V0q!LFojXp?y!UsIp*6@(nQRGd zm1v4Ff-uj5>1St+Gi`Z|9Nx*LcN0FXqbF-HWnB*_?q4&gRF9^8Bc|Nvw5RIk-M|$w za2qvidPH@QcFLw&K5hgO#`b2#M6@laQg;SVrTwJlGudt}!y=))M@Dy_Xs4x~UEPf) zK;Er*Izc`gGX{`k!IC&5M>RbF0R;f#Rm zveBDvtt>SO2o+$dLXr{#zr%Sc8jDx0Qo~WI7bO-|APW063g0R&Hjd+Jq;@y_pVx@)9Auc|xyh z6)a}b!)ars$d@&h!s$NVJ)|a@*gfhlWXFBtH)tVlhChm$+5GvKtOV+7!rmhDd+vUy z)7`*1K2dTZ{y%g*-^&_QRGZ`_Dj{bjWH2h^JpaA~pKCg>Y`M=o<)r@pCEp-g*&}{0 z@rm6Z=xEBms?u$4!Ow_}l3D8$}S(VVwfO8>6AT^6PcVRzF$z8v2M6&%M3XHJ_J>n) z%pYcu7MGU|;;L+EirRP?nfu%Shl4V{FiKVWkq(rzH8t+**@(m%89szf23%}A{ZDfF zH913|!w|vMYWL!outxe_vPh1#E>H?TxuXc1nw;@7!c2$fNNiEK?_A67f+9;)DifuE zm%KZ>D83RAT^c(^5WxrBo*3X|>cFUH>@tfUk!=d1VEgdedwYsxwkz}AH>)K*u!1rJ z4Zc0Z;rrbeX&=!IMx48>_L-Cn=Q}(O41vd*7PZCF<~ZtAwV`qc^W2-cPG7otU@e&< zi(Z*rkd9g+EbKz!`vXsCo4t1KO*Ej7USf zOlD=&FrR?*4|sS44wMuj*i1@^G!P_sUw#O_48yIG^Vazft4%~oP_sx;WPmaMiLHUJVxjIsa z^fm`3;3F0tQTJJT396W3Y;ZvEwH76C}8Nn_3y4 z-=s2CB|^O#i(yr!(tnJFLNtIl4|IXIP0DQ1sT3r}Ai967N2`SX6R@)8bDbQpjp z0==nfb0)NxNW1M&us&w(e4db*sNQ&B!*=@PBK7m7A~JrQgo zUL&616JIypBYPzMW0@2Gf6|Guze49J4;bv+sumX;ZD$chQ@Etd+-S_p@aT>W1qx_CWd z?U^;iAqNPe#oS()21C$6n85@D>?n}Irk@wnAe4BH<;R8kMXZX*lLlZ$6@lGF>4z-L z;UP9g_{d*oD+QTr`S!ds--WBFSXfxA$oiR(&GxyVL|pX}#O*5d0BkVn3knKe-;P!n z)$o@*^u^yqZ2EqfGwgVJF7)# z2YnwslG&g@r}0I~^4PNkCer$0*ClBCMWO$Dgqx-xhTxaU1ntvf!FEN%2Fs!zCf6)5 zrw2J<0u-E8wq7EM7rl3k-*1 zXCq)!_&ec!lg1*&e#ae>E}4nGkPsStEdRRa_f5<`Tz0`Z_#zKtDY>T2L%NopwHX=d zS_gb|j37KC?(fvOUqAPMzep!Qd8C^Tvk6o~X;94gM_2-BytBr~C&e8p6JaiF{obhv z)ddOx$ELd(--E9|qOn*$uKfnu6JP?$@#?nHTV+=D^bqm>4M<*Dhp=;eL_^ZtDclwl zyTH}6GhH5WIOuxwLbp^UbO8t?8E=D2V56u6)+Ucxv(eRrs4W7|vt$+E$XR^tiMAT% zb+F8cg!8oZG8gC+3OkYfw+wRfRJZrQ0b%vyWmOjK$1eWo@ndR%w(+3*WOyn*IX*s& zCcMxhQ2ZJ|&5s_fkQ3+*`xwv74p&!F2-?>(?ZOPt3|jrPCp~l;-gNwq(~^kZ4}B%K zzUpKkqzLCc{U}ORWGdu>RiM}(qrh`eY47@#t#*?)1h4|`Zl?0B@h~^N|tGuUkaeSVl&YEUN`=$Icto_ z9E}^=T7Q45-`c8!XEastm~cUT7KF^L7ppf7URU6|iX!7f&>uOf<9@vziQtdGmhA7h zi~<7fdjihuj3|bvFoB8(GG8my-5C6iuhU`Gj+*>IvgS5-d(i&yjJm$KpSQ{oUJ#(D)R(9qe$Bp{<}j_2^1hpI!WN9aWq9Sp-u7v#JpT6 zvt)PV!xZGl$yd9JMfxP?eveP-q9~lU3SQH~XRrbTYN6`NfF>SN*`~~KUmSsTWs~jq z&rt;b?;K_!C%);)FDhz)K+U_^wqtd3n_f@WDJ*)4sV+Pskh797*1H*wWXQ?Z(+?## z1~cf9X#qWhp(_7N7G@=W{T8^m|3Xy|9(`drwRv>jk?(s$?0XCtz0Gjsm=s`i45|~V zt<$dR~TmS1dva5@U+ z*qM7|giW9_k_Ws>X}5Vx47&!{46SWxijN7u&A>pOfZ-M3vo!*2rPUR8UzS0t6T-{B z;+|NVK&<1lPKjD-d>(n(dAYULybv-wa8d8f4_6CaQ}PuXm#soLSH!Fu&G93RmomA* z3=A$sDl(gq5K4ZF$AN;mgCIVH=nvaVS+iP^`UCOyf918S0Q3Rto|BdmV=!+*4E;)O zRXkNq$s6BLjl`9F5RCD~xf6)-pOn7>;`Azfs4SXxMGZ7->;Oe$pwQWRdD6!NR=Uyy z{&0@mok#_3=r*Q)m1_=zRtI4$YSgYQJR9RjnLi2i`e(UM6r(Oi$w`L3uTS9l8ug zopOCR^J@h*e_y@haefJRqJr;)e6XjCv?sf1M~``0!jAsU71E$*DAV60ANzcCy9WI|ZJeyXc>NR({kGkVL zDmo@Ujkxa-l%FsBb_kiL8)Dk7C-J?^Br!ZyNuB;Gz5RL`2BT1FzSjVM!==iq45NOn zz44Z^jRP^2^D;6`L~2(r89XBTsoyb1N30SQgwwCtpO0fp=_ z{1UJ(WHPK1&ZXKyGk)a>^?p`5|KJRRV`g~@?32}gZ(P8^l`HZ{NVDIC;~4SNhnp9v z+~^fg)j)TnkbE2#I)5nuR~lkPOb$Pk78x zIpd3%K2e*FLZK?PXD4qq!OyFyk?D)8@~NCN`^;G?zqP0NCp<^dqC``22i5TpB#u#@ zPquj^_3Hxve4&nJX|;D{iY zSGC$IC&*=5tb@a>G=jU^z~xQQMCqaj^oJY8-qxbqEvk(&B>J)BzS_@x>tbK8!WR^z ze=+W(hgIJD0xR`IMYate{7-7()VIJHzJZT|5`Ggk$%j&3NN zWT26VPaxT$G28s*fhKQ4Rb(Sj;?H#kKf~o8%)jdY>4FUpDmJy}@@s)nU$k*xXsxgI zHxb#Fuhyryj)x{fFMAM{KDYVAdNb|p?2LOYd5l;J3f}+uu%+6g@(Emdr`dtdC`E%Y z3;q@74BTfk{KxovjRIW3I2)r`wK0t}=I7V{y1BG|S1^d5@;7X5Y{akCwPD9)4;&>* zgj-u(`rwq|&hnua%|S28ZX|gF3++#naU7la9D?YZ=0zPG1U+dqU~-@lxL%W$0DvydN4JH9B>4Yj@;O zbaxYaA{P+ivA?OhY;#!2Ezb$^2`j^0ovH=vTjZB?ur>E)ReeqyZq<=!R&J~jcE9jm z&!MyA3=S5Bxb+?r6&0J@IPPtMCA#|Z5YSYjgH9eyGKsOU=AXqV)Q5{{_g>=I-Rx+G4c|;Zig;iEb3IKVM$B<>T?ZdgJf_w@Obqhg_|!@A^X-?B}{w zt}Cn28*n0!lMLHrIkofkv9Im*b$Fj5G4!-Uo>b{s5J0BMx|naX`0Pzk7mZdDUr*#w*StBqwKo242)g11u=T@Ph z*P=tp9aw+MquZsii5%AX{&IM$;}5Lz$O^n+o2d!~7+Dr{>sZBoiS9XpL^D}&apO1a z#i5!{#1;HreaWjt;!~?64(zAd9^~nIy9Sdj>Ls@h57|eukeu#dW$2biR{7Sg1b)2B zbkkONCfrFx!&H3C>P7V_@Ta~R-+K@&wnqyG(@O1-n9my&Zizya&`J4I6{mX{&cncJ(Qt2+^|YtwRY zBPsmE6jdMD(E66D+CutBtb%bw>USqVwz$OP3i$I$}#-$Q2QX`Z-FZ;phGIeEX{&Gn`l-Ubk;7e|3Eh#M?yPH> zYK>s5Cf4bavbs@8{{k5D7hnVQQ-4tvNEULmfmV6t*KJ0M3DveBhVrU_%Ks)OTM6-E z!Ul?UVGCo9-YNuSD8U=JWOZ^*4uI^44&&hNNk{Fu^?Z}Vn%$wL@pCEL?ICtuZC5U1 zP61TEm@6_>=y|w1at}h)<58D0Q_1Peb;O^aAs18J0>XykSNrpRVVLRUhlhI|hwV1Y z(A-EAl2u4mk+6L-G^k}NZmdAB${#^)Q)c|ZW&(?xqC?R1oZ63u~zD|FoStJD%X#fE?%Q%hSk$Ub{nIAqAcbsqoGX6AxJ{> zAky4hA1}t|k+;?(W7^dRl9S zL2NL*@~+pj|8P-t`-{~Z?k{r}CsetPD>GhfXgsBMm0O4MU$E>Czz5;y2}aAwb4J)U ziV*GhCYdJasJG=1Y&PNUckP*<;}Z;Go07ik1u!m`qYX1HhW3g;jvJtUI=q1&$-VW} zF)wA0MMrqEH5eFzI|Js*{uMH;DzAl)PQlQ{q;rfO-4_bOvUS-a{YY9CKM`xb_4IWAWyb6KA|T$$Mw{Ec3Msj+rayW2HE#}I(3`698y2<>Ge#vU(wG&jUiCmdi9n+EUBdGA->=-?}S=g8w|G|N7a;KV4yoEM(>UfqStf`xH1f0J7fjoK-YzMMdqo zYI-+Km5Vph=A~~Pbhw06gjedX!V={sie1ooy^E+^uEW8JQ1rXQ63B_spPRiu#pM(F z?%lhx@i4Y{>Wb`}5jt4Ra5Ts-lBRz_5G)&uFVEC4h1c4L`?G~5tWO;Ep7p#beD&4d zs?)Vc&jHBPOj>$NFR58`zX0?zuq8|P5n6jMrR8L?_3g?%r=dxGKP8^pir#rwr2jNI^wJ1v)x@V4NSrfvgBYe?X$oGvkR!0zA(;nik;(@pTuW>;ELzU6-T zlGk$zfwH%J1NED)Hmi{)_Tn}~)iRhVm4V5_MASv9P%w6)>D+wX$$RPfLSaiLd#od6 z`eA&r$*Lmq^sReASMfS+7+VQQD;glsDh@ZTA=yoIe^#{#qFHfOB?v|ZIA3jz%Spta zM*bFJCYscI0j7(*Wwl7Tv-SFfHyAPlO;grx~nQ7IM*HYWT000Zq7-hZ|!p|2K(Q7vPt>}VCBR;L#tYQ$y z@Av2i|F{o+6(r5Ob(R%NpZ*AhzaA_jdkg^UX-}Te4LIuE{OVbHm8I#5x)C6KkLjBy z$yKa%M016FzRn2bEN#XmO{Z@HdhARPNBR3U``O|2)(F{LU?TjLZnJd#z@~{7JZFh5 zYUElK<+X`3UdF20K@++OR(F=S+Lo!K&B7i81>AdW&>l4Dzvn@^?~QZ1ax{jc&;c|BaUECAiBKTD1N~q=H#@)=H%G~ z86edFO5N@@g$Ea9zCl5xU^#`r%^ zSncX7-?|#8n?LmRk!k7K$@n=ipkhO9{w@)ay)b}-@`Mk0m5Zk<7fN)ipm6R$Jvy@0 z$lv(I@Nnl6S9vHNbGxFjYC|q-Z~kD-xt(7RUKSM!c~Z7Hhlb>@>;BsdKl(_6`ulu? z&Mq!ZH~azs68DKF#y#|v>`~*zRFV}Rv+%G!Rav!w+m`7wx#>+=v%f@=BikzN4|v&1H>xP2 zLR;5JJCYQglw(xxv!xuYWlqe99d6qPfZXpJjJ;uF!|AT9B@7+HAnoL~u!Y;?HX--C z=1nK&I^>Z;RvHS~yJd6JdWE&OyuUu2$6cMh?$Ln6#Osg!;%=~wq-SuW6HkvQ%`g}< zy(qA$ZPF=Pxh*p7g(+vTJUi_MIGloe5^AiHrwIHANul)K8nXl6j>e}CZFD-P)?vSP zW2Wf(GsKoYJ_Qz|4m1?^7(B$F`1Y{e*;b2o$)$pNjT@YXueuC5DQ1tn{&l#Exr_oX zFT8k6_6v;u_Ciez6%7sT!|<@ENk>~D86Pk2wbwzjG7(nK3mQX0ahRW{SUrw6=OIH0 zx@F+AAmEVlcEXxGk35F^!0dT~Z(WNTKjR<45q@;4FzUuqeA6YaU+)0@v<%I{F*o>w z#!Cil+EzJjs@J<+;SqAktm#q_YPh1Me^S@F_*0f}#?j8HYYwpwMxyzzKO>{d=oU%N ze+6+d9ZGxZ1(BHLff`$xruwx>iU;QSUSvW_BZZ8Lz|P@>UsDh z6K}1rNw5KAFcHXV+?hh(K*t$L@yBhx;smHj6+q$KYuxv?D{P@CW&h^hgxG`@jUGkf8?@~>xSf@Im# zWzYHDZ@H0X{GQLADKZXwCpeSjf41Tp9|Da$p#Y-fMSB8;fjZjGYIoL0?zRsXtI>&H zK`f54cT~q8qdr&;-um>y`((}b+gpWdbrAE++YLh@`7{>sx%Eghc=KOQR@BncVyNh5 zf}=2}4z-#-XO4WFE=`6{=EJGDTRhOor4kVlS%EZ@|6D5KRGABO)0*IBCJA*T&l|hO z()7byoLk%3lIyGf_=?IHs%WCHK*J6cYmG2(OSo49!pZB8UA`fEQ3Tm9B(0&`fN_D$ zz3TbeDzk;PqAS|*r`5KKUwCn-2u{WN@2I=)@%HEu>E0}mX>rOVf?9zT!J-1CiR62Z zb*^aGU#mKo8c+Cay>W#mk5HaldQ2w?y?WZV&*7#|6SOB!A!$24`>>?6+Pd9w-|oa` z>7Q*Eze3F3QH@iwkAB_I-(MIFe(MiVB|sxj&ck383PatJnT+ysx9xi(Bb5B*L~QJk zf9q-wyQ+QbLhbml|MdtFq9!kCN?u9%?GaKP1vvJOhf3YKF^pqMSPvd^?P)0kHr_}T z@v)i)K#=O0l`tJ>f-2DPth9%RM?1h5zWy6e3UT+_3=eqlARoEz ztu*26DBUUGO=X4VtaE>tQqcZc}k~y~e?JZ8^=qgK`#z z1EgM`H3muj7lX};=ogtVeicN(Z5aY+-*HSI^?6CYVRHPk@967e)D&U>W^fvn z4V?uRUUr$hF|JpYRjOr#`@lXV)e?M(L;q zhFN8)?FFc73b^S+Y69FSK`a52O=1Ujo{-@0!Pq6vvkz$J98Xmqowg9`EYwu;mVeeG zHK!|X+|o9I4o6EV#MieD%a~721hWb*f?YdzQ+;X8{sKXl{KJx(olh^W^K0e|bPB-S zC_zx0o0Pm`8MJRGxnMEy1OuwO0I_~t4|v}QB0;*t9oGOklsz>25U(m-}WAd$(gr-d>M@etmr0Apnh-80WusLm->a9XRX`REt->Tw; z;2YM~x#mY5a-`tg5fx>jVly@NG5>Su|LaDQzNke!01tOw9G>1Baxny`;}Z(OOt{Tm zV7V5pX<#bk>+Rs+2|zq|K%Mkz)ZFx%YV2t_Cg`J}1a%01RdRH4YG6pvQ|~M;c!MWY ze@t}QZyw_r0!x!1x?yN@HcTc!%PJieQ0Pk~JVtTf+CZ%T$Jv($LfLlzmnch%?4_cJ zlu+4|rV=F~gd{{ok|k00rqX6>MOhj2nU_EEm*V!F~GRMwq`S51}Kb@*?xcq06x^(5+pYv=2^%I(I*_ ztJur!)71{WSNz1?`@JG_vU4gHFl*m#h6X##OssAVEredlxi;zQtjE5qt%2*+kG`71s4$OdHfX zeYt#5q+q_*8?Jk{s~FhNr?1WU1>y#|whNox=E;-JOUBMc6t|Y*R$+Gy@65%sa^**9 zjtdtqsQe9bF*N16HqZ2$XCR(8kLbfrwOetJR3WYEn%;76J$~`L%^ktP za4mKF&#iy5IIac`KZ*;Czx!KMOR)etILNdGgX2ukp(`EU2Q>mW*fkXFif!K_Sdm$v z3DmD?Z2YTRfJQ4jkA6qK>E)pv1I62f1;hIacx}AzYb2W<1qMiQ=#I>|x>u!0QoJJMyy{Ly6~sMRnG=45CnQrEu3tHCWOQJc&(6OUei5mu4r*7jmbqPD?Wk{P z`-Y)Eqb}RJ*#7REl?Q$h+JD{_x6 z#aQ!8^YDe7JgZg>M*S%~Mi)s77dePYNJ!kyexdL)=mXMj4Xr=6Z{P0Ka$U3Y#8bU1 zfTRhZssI(|{qoRU2aF;c5=Qtelid5Ax3@~wLI$VbaeG@9WX437D)bTb5!4*Uw85K4 zL*KY1;_FEl*Us&&h3Axi8?-nF+ZC*6U^%E~E%H*JqiPj+vjXnJ6@KoLa2re7#WI%` zcAJaucyDDG6>RI&TChobtyA5wg}vx=+eJjM?+8Rb{+@?~eFRbJVsD0B&X;Sv${~&! zb{aCLzUStSW#)Qu7^b~h$Sc~~74o)ea=de=N(PhKaVwGYn1B)tI^-6X2jd@96xqPZRen=eWiZ~xo%=z$eG0&Aqsf;X-LKEp zA5UMv%m;YW2>eWO-3{^hQJI{6pOHLa#VrvnoL(MK{Ll{R5cgn*+9Q!hJBxgc5C8n0 zmZjAH)fTz^b-zD4ZrU?d=P{x8WLct!m3_x6{v^oFvDvcy%2;kKs1K6A!yu6&zHj__V?b+4gx55`*asZ;&6OTbPRo$5?pfi(SsozsLhHS#3g4#dLub=F{O#bv z+V|k+AH6#P?Duvc0*T5-8_xGUT>{LJ)vue*9oRJ`V&8GV_^%dZiRJg!{e?SL^Pzl! zF94vr-}YLLA0W8lg{$UxQp5V=4z{EoyV+L~A>4~YI_CpSCxeyI8`5ttRs)D-c8$X~ zvT=Fzlkf~!9vPRaiRZf;R_+Lw_!3qA;rx|{+|~i^q1Lt+z=GAEuR6G`2hu5dNCwvN z+d}8evb*^^hn{J0#uYx_J^5RaK5E{z^8;BRZoc}hM2}?0P<0>x<-a=a?w+r`M8s{p z#Kzs;QH{CZ1rn3%YR-Wx;vKW2q~9UY&!v_rP&Klp$lj+oz|n;aC;ilB9vsHU0jtv(}GQ*m#UJ?i*@`@%95x z?rSX(n>GW!RO+f+gTLeh8WWCdF%KB5UF|auU$7kI!Y6M(P_&QsSJZgQz3r=;uhlBf zZR89v>1+2jOe`!mxGZq~yZx_4nQ`N%PZ814(ND~`hW7f~_zdb8ffnr;S`{xdx1z_b zr&nl=zxrH}5_jl?5kOD!Aispe_Ok+c2nX^*-}rsx)Vv5DhSDdQ6w_ZHQK~Bq`XmH&`g6G4K^I9O zH}d3K?;?XJI_7}_gXX>xFqm73z?PiwROi%qm}vXg1$-m8tbveTQGbFR$cx2An9dRp zrg=PxIA*N_LD_n0&TDLpojRj}lFvsDO#aFBc<|-wch~uz%f++$b_YWiI5t&i`_B|@ zLx-BfM>LI?D~`U1@4m9@*?iAuy~xi{9BU{OTXt4IshgI ziGFyyw!kuS{o_Q}(&vg2aT}i`Rm(jkyd#ndCja&XI6rSEubU8b#){sLlM%#kWE*+M zH^~ZxZ^ep{iS?C5^W5PFx`(1vX%qX5)lYHGa!Sq>C!Lv&8=bMV{CJrKDfdq{$)*Jl>_U^A^OSO_RLq!x12|1?pDmu0UY6R%@Qgi=QY> za^&SHpAS4Ddbr~)2EmS>PT!d<8v7xu+YF5_Ojg+v=J$g+cOE_7X!Y`-D}-J}IXk5d zHVrxNn|KnCf>LrN=UjEIpbXaCwcpEFG=2EZn>Vt6K))P+zws}eNbcxx+1}Lj}gr^B0X5Hx6j?Sm7uOfZrH~K;!6m{nEyLX^qjk^#?LH zt5Kq{!m((nn#gCA5Oov?=9bpKdFidBW5$Y|-vZ6nHGlAh(qie&h=lSUVy`toNiI?B ziu!z=^Qh_@4IzM>hGX_T;T?utm=F=zl^o1s*FAYBhxLx7Dqm7TqkqY!nwKrkJwC5_ zJIN_QwIm4r*%w>O(jDGVUQw|e8VUWp@p1Bd*rdo(xv#f3#_1zWiF8fo2T~&ZnP?@8-~l z20Y+8ZMOz}eVOhx@GjDzYfU?p3wRVBw=R-*2DAmWbU}zc=imh7kEJL3`KGoMz5H+n z>HQ8TZ_aW(UxXI?&C&Uk`O@?N-dSYa2DG7J2gALsI}*UF66HP7p<8(A?Y2@`Go`-P z=eOM8lE-$i=p_l)A2dGytxSZkr%=?^-|y$4P$`uY7u6%*5pI+gE}tqLo( z7Oa{QlX5b^eVKYL#^S3cQwULW-NwY02MZ=Enb^UDD{z4vbu#Y&B#R`+TJuxRhdk+7 z@3}qh;)39S-8o?EPRcX`gpeP2EP9zY7z8ISFy6+lO)pa~<6My-A3 zs)wdN>gBM(JwHw_qUC(aA)+e1mF@Gpo|+5s`#JW730LvCRq|FrYTfTPbTiv$1zFX5 z`XP_WT7k6pslpPHlK0QD0fzvAftPFEiw;O%gRfJ!{7g+t z%NoD{PF9TF($f8K0TR8k-7%5p2JCe?H3PZ71{xJ-fCuS)`hKztIoS7Y1iNVlcb~q1 zG*x1y?CL&XiJi>lgI={_vXfvHy&z1B`>GHYvCx4Pq_|rLAf^zpxYGwik=+S&YnX&Q zr4Pz-0{Yr|LgqR!5$k_PR4y3-XP*xlQ!~Jey*bvrMu`@Ptaki*%fR9L)DD4~kn?OH_vm&h$sq(>Zz7jS*X^5dRSf={BwRTPG* zwGoPa!v1LTiT$s*is)FYt~aG{Ar^xvpui<;qk5ez?Cq25&#fb!T-*^5rHw3+>WtM{ zgxv9>+&n~VHeK_5oAF-(ooC{wVw_lMg8_O*Z*3D36aGq}FDY;25~Tp(4SEL_LvQS% zS7&Csp)Y|Y2vTe?NJNv@*0eKK7eLs}wdD1gF z>n`&8z%{q|3V+;=q%5HB9GvL_a4RIgIo$v24oU7v03C|fA9=C9J<;vwnLEj*%40?b z8KEAdMaBhSO@dBN#?=o4n1Yg~rjU?&)?#e+05o(2iOKA>7w1AwZfY%e%=ckKVf*j zVlO&Tesao@;Bj3=zS7d|Ka!TO0Sc}cN(tU=fILX4D5FGn;2`wNFD`W=<`)JYmbnV~ zdvb}5y$5ojFPG87T18LYHQ;RDNw~?mXVRbHFmwkUvTHaQz*+t1b>C@?Ds&Y{f-vA9xeiA1`M^yer*?UQ2j2Yq%(({qGk=oBTt5HiGe5XO{6uN5b6sW| z(2Lw0KcORCgW&%6ml;@;g9E+=O}c?3ZGN8^N@S2}BAaiSe$apRo)GdFpU9kw+8MJ0 z@OXv=3E9J+(4nh`!zcWdbvBk~sfJwPjEszR*WZc&L$jCKV)#nf zet&D}&~5Y_zA7bhw04NMo7EX*Jq6Bh#iSQuwCKTWK6oRB@?>H2kfR~pC#GIg`dzgPTLay*7YkrEx zX_TF%6W6oe-kW9rq{jrTGs9y~ksmgIf=8C_bPEvmT;2j2#cX9Wz#4Z7^wYBeKROcr z8B)S3SC{zT3jO8`cWo&Hl)Gz#*|P~*#9Hy$2tf29y_T$5LQBu6qyHJAI4`8R@-`sX zbn@bZx6D!`FM>RI{1oRt8+8p{)2g7n0RwGqZ7#$5hKAEsaV*itiLs~FKgoA6ok;Od zWO{(*kjbxPon=-WxJ4l6_k%VA5M4lquD_0~Z1`SWmJxB1f3pMSn;6hmRgMW83ygdHx_!?S~# z?vnw(D*++5)b1Lr%tj$wKyOcY6dy?sv3<+b5b%ADb<1TM92(lIqN4IdCccxB=g~bu zzfu+H1ySIS%#|IW=pZC`8`lC>ES?AaR@ML`=hIC9daPge0u0>=yd%P;tyx6 zd3c#=89@DUwSe-j)0?V3PT~Tv3>C#XAh+yqF!bk(nGg!&c=T|RH$EyXD(T&ePtX!- zHS(8EWpC^}IU(4~G0zDCz&vH%vpUsHtCi3kM&TeITQSG#G3uy&hFg$tA@`xbv32s5 ziT9w&bLc9y-G$`=HD?pPZE*ts`0?Ye?(UC9-)^@|hSYr`Mzq3@f`WJ-er)0eZvoa4 z*nt1AmLj)@LlppKtY~fJLl(%aB4TepB=r%CSX<)9Sj(TBde?!Hm%T$j;B52*(`6g* z6yxn4)ZUoySrm>K0ivwv?s7jU*Lx3DLtzsZP;yPgnZbwRM%lAnUF}LBqwN1n!~#>SVxF^+%sOoT=3$B!SyEviO^-$t$1I}ByZ!JDQxnTi`$$|Ai8TUjBKzx>;JH8`k1`VHMUG5`_Np_!+*Pm^aI;kw z?Xr7uUu4UJXTfJNZ1c-5#GY7te;4(kL_-Ys1?^W`Vfu(By%%T&L<%7n25*gUX9gq4 zj@5%?m;pNv%+4z4=I8JOB)#Tn(nsof!U}M*0X%;zAt9mb*Dv2MU%oUQnh|vu->rvA z2AXf)l~#X7=QY*isXv}KwP{H5eZYpK=A%Y@joA*CH_hdOY4!`oxDtUm*A8ngAggoo z2Pi9H)zmlcIW0|nL`}39tZX0YxL;w9amFJt2Lsa{HZ>Y%2F$&~#))*ZY)JyFkC4#z8$V2Lc({5X?T28GsRXLqlj zrRiaeNp~+@+8sboUbz4MVWS|#bsWcz9jj<=Uj6RfyY$GE)YQEi8XAyh(Tibjp7Z^) zrEBIV8`Qyhw^R51uViw{w{iPt=pJi;M3df$qJcM_At51KfF5`EucO3&A3BXdLVOAA z9V#SnuH_+x4Hg!dr8m4z;Wb=y-0C#P_EJk7We)T3-AFKx^*mnrZ{M=ipYie{GBdYB zYS7-ivbL6M#?O1V?Xdj+W!AVI%rNQqhoyx7?d3v-GdeL6F~5I2efm^1E$QXUZBNx6 zZv8T};`Xc~iJ9oEaS@(_N=Z=tP{za>a{!OZ8D2n1<>hqb?rw4WJD3rixStF(u?B9y zXNgxYUA9c4y02gs5Qw&l@Py=oEMB_wTWxt|C0llOcEzVp@~5SIrII=`52uUXnp3n0 z8_|JSe`f)WOb~~>;SdPrO_Qalk?-0dqaB@7KUoib+Gv*ZUSdQeO=CMB!!-_8l^7fkLg#f!SBA9hLACmU=12NMd*@UqCI}N0W2P(u<*a?&3|6)& z0(gJnLTK;uwu;;(jXiuJX#VGK_G)j%Z{*x({9`9@e@y`chHM|IxY2u{`&^XfnNY9? z?CE54&gSOkEeZ<3+b3SVdesG7>u=w_J^Iq``udc=>SM~=G4tC1utOcg9Cq~*E**ts zM|C`4W3R&c&6+0e3NwHs;|Erq`>?hjV2YD;XW~cPcqz@KXueGnfRCGO5iJzVA&dOMu^W}SXNVIEuSM(l>DIG=dB7V2;1U5i zr0)iTBAsn_Nx4@f!{llz#mYUG?o=N%T0d4Ttm(80u zS2Q;EtY^tLktY(}s9!+ZK+3>zApoJqy=0JD4Re!BgH>FZJ5MbP7YW+Us``P94b_xm z81N{%vU|%U?7d;A-ptPjVx=Umr{T&}e@66qX2|jxnVDu6FUnpxHC-LW4p}b;NqcRw zoMVhDWmKebhU>#2%~zcUQ7w0YHrX6^cO?_+hD;b}@;%z+xPOq}t->SVnBz@UP9*Rb zb+9E~hD{A8kCH#X1;Tvb)|1R?G}DU@Tj zrH?qI>KR_{Y`Y<_ZX$C!EU`QYV~vjwbcV5dp|MJIUnNay`e6{-{K=hivwB$~jVkvz zy^-EVm4jvgHpbM~%LCnn`Wu2+vw53rHg!$rJT|k|RWgl$NJO$02Ze+?vzI=GlGFSnU}j{*|K|J0SIaIsAeu~;)l6P@YpjAw~Yu~ zu^aj>NV{ZUqc9?n(iub%fY|PYQ|`Y;txH57?4DDHYw~{{O<=iW9FWSGn2+auP}qWS120p;a`v zvy8w4L*%x>KYu#8nyRbsGjKaPIx4pelz$35>HYu`YQMXmgYG zlWE|pK1+g-vH??nF=zYf!G+l0;_%?PauYq*>U$ur)1}1W7BG#y+Hw@718$?V?1Q`x z6FT}^9BI&l$_#?gm3D*I6$c-u!C~|Ik$;@L52O`xoe9fPJu}amHKjXZD8}4+#g5ka z#we*RG_xa$ezzf{DCLb!s>s}PP%NkDD<>naER~N5Z&|QRL89@(6QZMoH(&=}@XV&C zMs)Na*MUfgddpLEjKprjLSb(3Jsz;hLOU&#V-U#51-zskbXa_K(qCGm-%aJMJ*60e z9aC|_YsxP4fZ3m25DJ^E%!b54x6u$Q4yW*lJ=gInZ6z+On>P8pkV;71 zaj53smJi-?p~+6g6+kC3o(lk~`~3R|X?7oJ;~~UzuBfRYId?~GfayFqIH+WAZ(mcU zf~S(u+25b}iB1^oY4=ffPIRCNBcLjMTT35;Z->&GD~%MQ$aB+4B|x_(uzE619{)W#pp3EduJ6!rd8MJ` zdh$fE;>Ex24}*e{#IH)M5EAlO;CNoN7eMYkt^=&ME^11^sPh8WY@^YtC~_27opMP$ zsdn(U$gCn&_~J3f#Y>j#1<(CFD%&w8Se-fJ6&e}}1uqF+asg(rR{nbq@y)r7fhfQc zZh=-{7&Y{PJq?KZZM~DfdYRp!3TOM?zZa$}DytvCzzmLV8Ditt6EHmW5PL?!AHGhmNZp}nFm-h~v9`@@QwLUEF*EZMO_$8jBFpMg? z(7BEdgZ`q!NCa?B$SosQ(o_ec!*EohW6pSvEd5}K4q@Fd@WB7XkRz^BzH^NqD{M0l zqVlta4gr8J*v9(^Sf^kiWSxeUu|C9{E8oF}Mf{SHTbH2VocA;wvH&uT4wp$`M{(g+mz)95I^BIcGZlSa=z~N?)to4ETNFN2xkt)%&Qg?4N zP>flB{`}d{Ii6KZ(y;}gorN+D+vn6{)USi}Dd&fg@Sb>l5K+;VAm42l*C$B`oL~vN zZc(PFxdLFUae%S(PDy0LKukFBkmwRYtgf`LcMmZ8$^w-FH5_WAO$}AY%$&><;sPJe zP!6V){JX-9;23MSS- zK~QJ+=xy{#h5)Kn0a5xDP*2ZPeU$*912-g3y`e6Rt2z@}E##r<1ypQsuz1fM8sQ_f zVh?W$cLc>pGUJT~Wzl}se%hm;EYGy<5;_7t^M0dw@33nRnVe7{;0FS45C0xm^Q->N z1678E%GU_+xee$6;PFKOltM%>3L^V~%!~Ll$2Lbjze07y7+HDtV1Uj)F=U|gcc{kO z%|v-(9{LC@+H{m;8%edh_N6ch!KX5 zLn)8G?Y@Mo02%jsdXArB>+T{B&&?vxUyxu&SxqX@qWNtYC5l$+(JUvZ2)@z zn0R90a()SLD|Xi{q<)0ODm;8;6VN)8)kbaz_}&0=Uy2<+ZMsq?9c#CvrguLm+Z#8R zTRBU6fpwN*dcyw}nwQxq?T6i{(Rpe>96s(4+H=!$5TXqskfKGm+Ld}57r z?SVt0@K@264sS_Z0L=7axMv);GPf7FM`k2C$O@;R3qAR76fl2DtHLn9ib1LA)ZA;Q zh|0|aypAqa&_`>LEB-Y(NRn!m0N|RbGg$T57DV(tG^FM=d_PDnkl-=Tuq{xkV`&Q| z)zP27;tq{2`%gn#k4(Vw;x9;1X{N$9$2y+oP`-p)4+GQH(rYCvFdxy+Uz`LIbJE#k zmf!&>+hh$L$uFBC77D`p1a^p*W2TED+02hHR#v=3w$Q{aLR~*unZw(AKr-Cak4eNX|vYG;t;^~l|%L>XV zlYl53P=$dqrE%57QbSRF{@|of-0E)Ri4s>r2Afp0w=z7lFN|OoZX31c6uWho zX2LUqhB6U*`Q@lkQg*>UNaAk^h4yckD=Pm4l96aUcI`=cvaHxCk6%v5wBm%K&ftz zX-=v%Q`v=SWONR}z~=&z)&ufLI$xj)hEOInG*y!r)5L|s0@n=KO9M)Y_*Kf>>J8)t zJB+IFYsBwBmVAT{ZyxF-1U)edXpL#$JR0z9^$Rk1=0vw~t5PT|TLRJAV8=lm?SGI4 zSh>!ON1U+iE79>6NXU?5=Vt{54n28n^cxX`deU%6l`=Kb0)*>gQ(@8NB!v!GX}&?o zh0V1;N3Ew-%B%sg^0TIw)v34~XBDT?GBF6u`S`b+h_4DkBKu3;5#F2gpc(?4)xBPi z|3{4>N`Yc?`9O@H$>rB}j2|Rg3W{*dr5DkuD_}#le{E1)xiN4MItdDpk^KEgN5=ab zfI}Jt<4iu(jm#uvt>$<(2R59BBb=a4#zVI4`0rmOkFVO30F2}{aBs)OH-?h~JEyk& zoAi?(K=pxe4ii2bJ|H_~kbT}wW~k5JY^t9)2!IS*`&TN#jL8KTxbQ7V8Gp+NlKLNu zqN9!pzAjMm7quTbAJ4_=m}`aAkjmPBSq9l14AzI+jEVJ(6GF^1~PG~lCu6NLf5 zqWwR=Pg+w|u0ZI}qX0ZYhR)4Lk_G>5YdDChl&c>sjQ1(e<@n%!Vtpm`r{riktMhuQ zxaQ7n;2yizR;tY*ajX`i0K@Q}$u7aLVe#EuL`o45Mj7_D0Jr|mOM6<^1tM3&lyN9*$i&<9`W2l ztk-!G*-fim_lYe~+qnQyAa>l*EP|h(aT=;7_ny@(LCrP?x?`Zct!DWM@M%|}W|Ml+ zES(SrVq_Gbv7Ck&_XVF$dAQSHy=5ZG=H)C&W3CF*7jkUT83O zHPve9HBRu4W89D@eF9>5&Cu~4+0F*eLtL(T4PY?U(vUlen#zb}bVUHeD6p@82@M|o zoJ5dAWRTZ!uJ;J)S&sBWY036AQk|reK_#0ezkwVQGA^Y_83c^R zp9yt+@&e}K>v>5|O^g z%iK1=rAMWg(^2cPe>+0Nr*>=cdu}Ecb0P+K-Z*NTJJQsts24CGEkbPtl9EYj2WVC% zrcQR2p%!>Pjp$Ggicn;ZduM{)7wJ zn~W}g@ua%}6tPinWNiRet8IoQ`cG&98Q6{q-;|CHVv4^7n%Ds&GW%SmXR5Uu_Ct#Bt<+TjDw zDZD{Em*?)akDzW?dfP)ao!FWWr(V7*4ws=`M64#Dnrty*QO5-a6IC1&E30PUB}ll= zr<>r074y(0V&@c-jz7+k_WR=|)Nr9nIv#@ZbU&qX5 zZNY$|y-`{>&&wb5raX&k7_*MZx(Geo7gXY$=v)&!Li{ye366kT^*Is$d3bmD_#@zn z9rcbA`dC)k7jLnHY_?TZ7vKgfd!gX|Iv|)- zf1&RU*J|8Jt{|GvS;L`z51K@%S~$DX2&%>?5UH`0k`L23H$6&0G|FlJI|q%DHwsVr zb}?($5dN!U=0Q{}bCb(LI*8c62Q|T1;TC6nelv1dfdR0Q@I{l z(74nWH7u{_$@M7GirG)285QUa;&tz3|4VVBp;v|>2D^r}sLCrM~s&_Gk04&y%o$!3{jMUyDYx7^NiUA7$-; zK}&+N2`Fd~B_H5O;m`AN)oIh2A#@4gFp_c@1FQoMV@;`z&D z)7OFua>P4luMu}DJb+T~VHMq=(8s&RtvfzGc&8q|lWH71ba>rZ&L}OBj~(Yy8h?ha z?l_koqqFgjdi3>hv(3fIgvno4_k(A*eMi>q4Lb<(MQTc+34NT^r4^9@~vS0>&cYV2q~A@ zTY{!b&uG=0A!Rfe!JQ8!VO+7g@wX6OS1Q}?t)G7GB;9j8a>e8HbdquLx?Z~G;jJX(G-7ig9!9>>Il}+fd)hVBZBNPGS+nXmvRtD4G z=b4WO@L0eXYDkgU=0**#8PCV<#jF}5>%L@MY|SmLXB-{p2!VJ7m8E^eEV>z>)RU|6yum1yj5` zyuw0BG0e|7yJg^q&c>Q0VxnALTj0WHujL_g9&oG_kd9F{z5K4LP_;AaZF~%>2T}F+ z{OQQyCdA}{F&$c9LMGs2z4-oi^1J8$KnQ21oMit%@=31dss?v;uD8=J7Cw*h{AEV* z^sjeU3^8v|EB-A)EI4sTje{HUp#Q<+mAzY(aGJC;`1Pzjyu6L4{2ep1>9++@DcPBa z<69Y14B|IDP(36TVCFJrpKd6&@qy}-s?)ag8Fa%Jni**aF|96;aydcmeDkQoCcR5f z$N=|%umRbX{KIwI=F_bWFF!~*EGBmJ3ZGMC6O?J0MzG`(NAb#$%ST-myN8_14ro2o zkx5I^7ad;wB8FkEA_K-Ki|E96MZ4Jvlf#$o~QMEXMiWC9>M~3>)9ilPj^7P9P4?#ykscG{Xlhn<@do#Zi@mX#`@NSSh6ye zj5We<9kj86YGO-|S|Or}zMYZbsI#(s;&$RWz}*FuQRsAPwdy5YD|{UGsl-vF;uQD` zh2Toel3JC)zt@SqO#{7pcPf zwTscCqK*iyXG-!Rp+)7TbDRdsnV~#n9^MHKR0{>OrnM`dD7$2a;x zr44FFgY7C-mT}{7dYWT#jrA! zY@=SMa~mIYFXVR2Ihk2E+2m_(yAUr7YBd9u_Rbc@+iIYX3#k*R62Y*^*X@rvApGSJ zvPQRJ69ChMCbL15%^w&0ep{xwvyntB1JNBnM+iN}SDb-L!5Q!c3S8MV+rP1Ax7jW7uZ zv;Ld7ZUZCn^%^{v@QMyvYg|M<+C6@}cwfEgA_P*QK~l+Up;vI$UA#$~PVS}}^gKLE- zc{rr{5_SnmgJjib1HnD;)`|@~hyNQiGM`RE%oeW4KuxDJOZF36Z=zd$`l*Z=fsuvm zT17Tn|GEEx6czg=-LL&e?J9x7hea38>JSy8J>r|mh?}$XO9-N)c4BQRjpbz%L|xq8 z!zG>VaDi0dp&{+CztxD6ZU+2YHBA{+vgSYw0@w=004#kt6AdE+@K-9H25|Y$>NUKK zE=2sX8+1wCX;xjA{mY?QJlf%(b*mhsA@C=f%Wt;s1nZ1iTm`?|r%pZ0+Q@Q%T|igs2O@*eitr{O26t%#ktq3MSpR>Fya6mF_{InMHuE z89k!{)Wz-oLmS~G8PdUhgt)k4nbPP2suk-|*B!gDpReDeUGLafl0|g_lISs5*vlvk z7Pda-!<j_oL4s1wPSNkVq=UC9tl%XF=6ZM;E1xIw6?g zDREo4AEDro%Icv;7Wt_O*RjUdHK^B^x1V2`JHI&<@PUheU)Q#4Nt-uRFsNMi32fHF+G36XXZiX4RFc$*>)PrDb+5FwmD z3W3L67`n>21}SnK94yruTLZci;37*%(s>NXc(B9mJm#iwtGN#}xY;=QRb%pmg>w}n zR@E^Ub6BJr9NPFG!cgq}a89`Z2@3V`11zn+^%$4qJ*qj9j+Dt87&Et;1(j_cJcvL? zsCjcPxajdW+GAx;U1D$Js+rK>04l^6xnBY>9RYmdRcY%FkxL*5w~>L}$EC#!G5t*f zBsJPV`THPDq2T@dfD`KXs1}Y;iSYu}LrF@&`Dfy0xBOG94uiUk%=rD6oZ}&DQhpr& zcNqA+oXg!9YLrPJ3YvRrr#WB`}6Rv%YnY)NO?+FkH+Ju1%^Rm)HoU~E39$qEn%rQul~-p-lL_qp^Nwm7Jb(JJ0)k-ouW}uY z#mN8SED%+^@j3?d8o2n9o}-lQ<7sf<1K{Uh3^ba_i?Z1F(xTvnbKdNbyGb=l z>wZy(#p}i$EM%lp-1zY+p}y^~9?eTJZzVsJb>IJY%uHqU$j|m#JB?H!*GMWEhO{|D_zl3vpA`p!@i6dLzPnwn9gW2>wue(?=U#6ZS$d@YE`2X~2i+Ch}3ZQZbhlBXrTpY$B` zWaI^tj-pCt^RMrBNYU0BC-HgzV@*g8;!#G3M%r_f@E}+qL<1TSOTnYT2>*UPkLt9} zp4i}CiW_C0g+ZWd1LS7a+syv@%ulWWz1z00R%tI9v&2pq(15)?kXD!w4%Ww@qe!KI zW<6#h4s(DWk@n=rJe}CNnNzbKz{skvhCn&g;0@gb=_MEcg6O({lLb%!(a91-1*ud3 z|9%dHJ3am3m>H6%JDoG8UUQR7$~mfUnbaeD$Ab9(58wjy4PfUXhsvS-8#^jFS`@wS z0dXq$BcS4g8SZKWfS`zW6AK{mAY6M%fqcwtV9cbS9s^$Nr+^nlT!~u+*!2U!0(p+6 zlj|3$WUy#GXrJ`2C0K72f0hA838uN#+Zc>aG#VX_4VF-dm{ccRhL2)_7MksN9cfJQdxA&%?l{<#-#!XnpeA}4jsL+UO4-u{x8csWT8-V z+1}1p4|~*)?~o{$3b%46W~S!eYYM)j+y!0l#h0=GS?AQ~iAJYvYfa z>%4?37F0SfWd6$NnWyuN%9w!DhDE^4*~tjl3F&8+|LP6s>kvhLWMsr!&JkLOcV+#W z-the>^YVm9j&Xx`k_vts{p>+)0kO6-ZJ=*#DhVx-T#j&6}j~_eYMp-ES+dA`mtL|o;>qhz+-wLVqE_d+L1;Gyaeoa0@wrnO$^j5** z^OHqDhMn-JF)R|$`s9;Ei@gw$8&QHF%O_w%Ph|tQvr+k9PR>LN-{w~9D>3120n4_u zM9Y$j;GSm$)Ww_u=UTcc>Cd(OsE4Mb~$!ijAL`oLdfw zfp+Ni>w{YKZc{*Fp9b|5?Q&&KOR3u{b*-h~;or%CNQVmG2OyC%_yMgb;MXpP=?Jns zTY{O+HDwfLLOo$=SM)&fr$1+pK!7^_IgxNvafpE6e5LS(Z_flLw>n@i>a&+AMXOxx~Nb@3$Fh{Nh zK8q_**iT7r3b&Q4H41=P@}NEw?@}H)uf>_vg3>iV*g=c5n_Oa0ob2~|pV=~$AdA!h z+_-eJR3=W2>QyFBDdHe}kQ7saFZ*sTo+{b5^3 zbXOh;qNVQW8{&hkGeqF{zfQZyL)BY@iIjXC_*s?hOY+{Wc5l}qQ()#E17%WF=_!IM zobFP+QU`iQK~yso5unjeDVb z4rux9XB6BI6sYO=b&f?Eu>l+NYa!-PGA{N9#rDQvSq=Jg!Z=cRWhIAU+fZ-nx7?be z+iE12s>rGA%YaX@m1yT6XJ1q)xj7Z-IMpV>eu9`X}iA`Fgu1L!H>WrbN+sVUx2exqF zl^~aMaPHFldHCf>7r*sNbij;hp;kCh2O+3h1D##Vaka4{ZdMo2v%rY zl?&x4!M%Y0?m}S$+Kz7DzU_I!qR!88`qW}u{aA!7;RX??U-*+D~4$=sE~tl8m;(A zr*ZHv&S-0cCF5n7&em~*F2=Ed$2 zd;G5@CagT@!qO{g8!eH<;?q%zJvMNFiIs;Le!l00_altYM^r4|HURe42DQLmkW`Z~ z8cq%<>9>r=T04_b>U2NXT@nCgl(V}8gH4k*X>Nrju(_`?AV(5zbhRmw81b7L@uT>` z5U2;FW$$qELe{#$x^sNw7iPSlN>!f}Cmb_tP9QR={P zK{a5B!3$~2N*C&zv={jFcX~{AzHp!TyW%i3QGa0vjog>ocBo?i7^g&`zmb!{T-d|XM`KItbD2(^f%kDnxB^x_knv3%Q|6{nW$^yJ2Co1f@Oxw=P%FY`E0k24(xU9zJ0}e4)ez5Ey$Id*~ar2YM{oGIAYa zA&+~3$ma!d3#R*m5^NZNYL4=mjW^KMc&I_(ZLAq2Br}n7!e%affkmX0u=vpsAt8xk zkd5n34nuP}K?DiJbof?N!;2C0{T!ghjqFRLwyAP!2If7`0m2=<@=?&67KypPn7mO% z;hkg7K4jG-nhd!Ou4x*RemMB+3akK~`XG`>!9IvteEEPY@&bZ;aodFfO$AF9e*o_ zO&4-l19F2d!YY8K>6W?I^aoO;z-CDST~UnxXG1(~wpE!$zXj6n%v2Jd&E| z+Oerw;oj@)pJ!Q0nVqVFS~!4Q(&6pAlgJ?-8eJ14W8;hN`f66YSK4_Q0Ih_2^Dwt> z+k<j0O|@jwFvzU?8suI30%99X*XhdJ(er+7c4-J>%+ zZo-T_TH^a7yu@zZi;p|Kn8XE8mp{$gRZT11tX(3u?QktI+|Q6x(z{f?D|`gl>fCS| zg|J~KK8FPe#J^tSWPrhFHjVwus($@UWtA*~7rV z8}~F6u?N6i0TlM83 zGQ3q)RV?aCVcL6Uw`MHf6Fv}cO{83J0{bL}yERUW3M5kU%yii$a3rG+S+3M3eTDzNhEbbJ19LVa-e-S1m)S7(jE5PI zSkZ7f^0L7nCx^Ogh<8(#!tEFrzikT}eKO>Ra>={(D8I>+gGEKTbs`H1xym>+#zs zp{S#FAfhR6eYMj;^eg1P8S&M??zqfk;YXi{h1e3<)ny;UA<7=?Pp9Xw zZc2N>t9B7}QU+_?_r%`ghZ&z2oZ5yAiU9B&fmEi?kIFN_^YB+$6yO@&7tmbm>V?Oy zSdVG{G%(-oKHspDH^gN%8JOR-@z`d1WvImZ^+7DV0PA~_w8_3SlztNr|Bd-24-Zi| zw}Fsc1VrxDQSFTnZXw^lA9`Sl!&PIsp`9V;EBD*M6;;I}&3n0zafH;ltcL6Drdmoi z!UY~V15daTol4HM!L8XVc*H%CGAGy~)DaOn@sBz5Ut1rGGtPCtp6yWi9$Em55bxAF zdzsmsU2_EW+Cgn?e=RyC&sW)6QSmU5-K3sr-+78n;5m$zrTb$KIcrG@v-(;w=S4JR zk>vI%zE?!2SI{3!hab$g!%hYYaOXHNTpPh5etbCrLwdPH@L)k*Bz;l)&+UQ@r=vsK zVDrz)#Ps=1cKMwO-r0ERE!>2czP)a!&N%nosJ!s`&_b6AFC)oV`;cia0~f?aw#3Jp z6f!_5wCTBX=V}L#&))yqW9)Tv_*=LGDbuC-9s`HtWhU|L!AjK7=@h5hNYHM$8r08$ zy%58gS3UxvKxEcmXY446!KM=Mh#edW6BL<{3ejojjX%N+k;(e|RhT2e zFTp~T0O%Sh?X@jTHfkXB2O!D5h-qX5x4AkRHy*-$fsDpVP}Gy7VN*`E1FP)vmN$0} ztRg<&WB7+Jew2^kJOrW1dM>{q^I*BPmOos$}**fN?_1hd}W{uh-X5pSM^ z%dvTQrL6+1>e<=Zw@kYm_8FzLnLe7hnr9&ZnKf=E$%bNx57MATVjMX07}U;j*~gq4 z&$#$80o}riu5SC*)BqR1tU$MB{*g;RAeMfjU;we&}cyPeJ~YdC9D ziK_Jb!R)%0N~KQ=U@@X}yLK3=K$Ru)`L|5l*Ln`f>BbvyZqiHeHlFC#+a?A^uelvu zg3z+t6;Af3M!l@ZfSYpUv8s+VS^h$dRG)n^!|?mzel&$DOF_H0RFhr&obatAhOKAAzoj8weD4X((!gOA@~YC(fXM`UpPq>`I5C-IJVw z!NK0oo;^Dm|9IPIUY?SghQ@nH<|Wrf{14uYXcVQoturNL(dJlGsL>E5T4eZN$4xo) z5UjM&g~8p_=q|$dS&6-!o^W4!9V8rv?Dv80M=t$p@NS#d-T`hqj}CsQr|vxnpMQ3i zACRK^e_hCRY3R`;Eh}Uku}!bYxHXLAO-v~DW`XK`<&Hmz0BZY zH`%+}7en|K|MQ~7KzsjZ0l)k`L401<*G!b;?rfPDxDpgL(y*hmZYN*!C*P8ze15|2 zuRJCOZtk?P9O~?SzNuiHZsIDhkP9olYMycCPRb4Eo!O+9C-&?`y;~>ei}&3jrhXxM zd5`+x|1}!pP9@!(FFM7x=Ud0><~=G6^O(piO`UE(DscmoXze&jQj?2bxJrS!7DUd} znmPA)PG`y$kFK%`9SH8s^Mgx-Pl^n~Ev~-M*SLh6LEI~cSWOLZs|yHb9?Q%I-~F?N zvcX^NgDBm{p^^{)c1=CyV=#iQL<01vak7#1ZD|-^k4C7;x;VX`9L&2(37^dxEwS<4R;IA+R=%mnLMga=YR~#4r!(7s zxm-Nc^z!%_$u*V^R~S7in<^(7Mmx=yy^65+HS4E$G)|4+)g1{Uye%!;Sr01^q@M2{ z;xTN<>GCt9s*Tg+1o8}cu!B%r(epu*M`;S2{1^5-vFRUTpwP{#rJ#6sd%2{tGf%MCAQ#zUk50f#}d zk&uv(sk5^@qW4;VpYZ||}&V@HM z;=)0n{G9KHU$lniF5Nsnlsok$G`4NoA2m2m3Lmyw{<-Q7&SKJz8F*g4m&tiGnT~V= z<+30tMwQFLtOOue6U|h}6+B9b4w=UG#L4bNe~VYbPe;c)ck)3sOw--c(_4w2T3;rL zOqW5Z?nyW()68)l`c~W>I`_qsyFes5IQhiDLrT3EP75k}?oT7=^bky-UY?-dexTs> zl;KEL=MM24P+0{ZA8hKB4u1*h01Lcv>L3#-{z?JE00S#?IFC;8%22JTI#!&eG{rh(wK0f2YbTu0L1_H)G>>H^#@@AQ} zb}5N0wJ1sriaR70;Y*G-_FqwF{F3;N(c|J&WPjLB4@vh*tG&I95ps)vRX^tJQeyuF z$L0;pf3oYD20fSKWtOn9ln}Ea)i<7dGW%A7w)%=;TDfSDsW*y!(&tQ8&XvrXORYe4 z#|Q+VTpq*b93UBhD~bPg~mKJ7hC26Ws2;ly<%bztf5 zr@MFW-WDmTREzZ*YHE3dgXf{*Lj2RJg|ikIoIhx6xVp^km%Z7*w~}qDP0%i@9fay8 z^iFipJfO#ozq8!pwZO0O#Lag0?RU~frxYqDMkickRC@aFtS#@l#1!{Qq>Vwtc(r(; z_xl5(axD{1pYxsrg(7M910v#%X&2Ke&bh;vl$Ms9^X`ke=FSgIU7&NM8ww@7J`Ln^ z8?VYYwfldhy>~p-@BcskN;G(tWK{}fZEaz|&%Ec07vG|*V*Ss&nzXS@L>52ht!RE(M`TmYh z?yoKXhU8ZZOVXUH&3hDG!ZbFtG$HF4GbU@?@>xvT@JC>S@=uB*IKl}U?U zgrcV#pq36j*X9Vy?;sP;Oxf3-V_+qG*ZlggC#LM*s_BilIe!DGJ~FIR{j1K1fH4t) z!}NSk^>&xT5|3OM5)g9ZTBcPXTfwZr!2Dj$m7%<>nrN**C~nq$vdeq1lgAtmTj}%r z^qK4u!7wU629xs!XG(3{ktcpXKdX3 z&l8(02?d^hoXb4WiS*Io+G7_oZsadS;w_IrMfmXNJ^_GP{bH=KGc=kn{h83Yw9v%V z8rGg=VD*lEq%i*;Bh1&(gtQVgQ ziy+soZ?M3_WO~qqSgvI}IMQ&EWw-vuOz`yT8J{*$z&V`dn*-(<0Po)QrXN9&a!7F5 zG>WuLob7>wwe*Xst~)Tx*>7oaUh+#HmZ8hizuP|F#AH!jsM&k)k^Gi_6Y>v-CLLl8 z6Qo~i$jvIEsBYt_m$d#eDini;Jh+oEz57e>SUQEZ4v};90e=HK;5!2l%bL<%#Le!(3K1>}aj#YvzkN}cUS!?hy7?fn*XEH+|w zjc;7&kzy78^V02=6%i9E7@eTiXKN8Fm+|x!2&n*BIyIc7R z*llz|MMpR9qXH<_$Yb3>IQ(NsuXHTdK!Oa`na5`SF~_rHY4O{=8UJSClIfAwy(Y(? zr6jG#ePDZ&gY{mE{P?jqy@NDMR$k_$Pv4E%-Q~vmT7cP!lJ`^WUbO3MpgA}8NsfcC(axzJdKk@u| zg?nWW0K&XX47N)IOJ|zCUuU*ll{Y=UYV!@G*G%GhiJ;W*k`YpaGip~P(6frnhhp@l z)=cZeI*u??z1LsMQf?6B;?uW0&c?9K8N3;jogZP4+^d^ma(w>h`}z+-|1iF#BFc&^ z>3q8owuNgx`Hqd7L7W^<@w*;$pXZa_$W<3z9-~*kw1OM_k&<`#tvko#f3Ufb2n=yW zyEgwUN4wIOUBpjl^6KYF zyF&#DvlX8*W*ha-ljKg&&Q|IEASeZ{d}=Ao3EIkFaDxNf%Mq8EnBn-hJow=;7WPV)x7w4%JWjDxv0u*AO7pcjHmy<{{gr|$su@BY{tZCKRnEz8Z zhAVZQV57CDJZvI`cl8YkUg*t`IL~9aIMXDlRGmgZag0{;UY`EGox6@ej` zqkDglVlLv`@y6$V;3CeiMIcJIapj*u$BA+1HoZR7_g86r`RuJ24Z(7`Wy2@3+mLKG zsK_@4mx`{y=_jo5Uz1=eHHlQqWnmH`G?|KwdsUk)(TNn*?Il`o|L&=FKx$dyZ`Qg) zH{1F+gjXL%h29({VqzclHi-9V$kX#=7hmES``N1M5q`@LGBFwg%$MJ)vn~9b1l$4y z8?|1tu<`@}iV+M_^r$&mac$9;OSJ?-Txz&ss)OULmOFcDNYhB3#RSy1{m$!IS{{O` z{8jD3wQ6Q;g2nIDT=)+Mt{*$G&P)cA#~*XQJWgoMIC#Xx29l<1BB)S#95GLu$vR#; z)(;*OMoOAvs^Agv;(@kDbc^c2d6LPmW`ya14M2QF@Ercz3e{M#P_<2<6G*@E(NA5~ zd@!-J1SB*?2PQ(6Pm>=2f4`k|3-W{-Ed}bXc7YlDw60z*Za#I+~ZLxjB5}~YObJUCHX=~DrEIz|#SB(7mAEqB!JFoO@%4{7^ z)k-B}AJQbw&U(wPMa1GIuw{#v=6)}=j3cStbJ9%mBUY^R;`E+8ANROd1x$!mzwF&x zEjqEZmCuTF{xc{Q04EePjM`xscAVpXxuDB<($d|vcwfE0Eop{04GDyxzO>a zKACN}0Z)t`2Wp78W{N`BbSD2ifUiyb}zk zCeF>VcbRX{Of5ASZlR6{xbWk(;7!?MB*O9i4{B9;+#n?_DmnkLvqErR;?-HoqjzGC z_&7CxTX-TRMRBZ=KE_+QplV9()2DhWuLa-yg3p8B{waLJSQyPzDwqDP?X$vQqYFEI zy90abU;yt3L%Y~M&*1=%=;MkU4a`14-o#A`%ONAouC+R zuZX!`mA%eHU_+6+aGOZ)sv|<4m1D9}@fPMB37``qfv`Eqs%ju1PZH^Limr4lmVHGj z>CA1PEIiir!<+oN=S?#~41;-YOJe$8n=?~lI6WaV?m zf>R=2?meV7D@U}cf!ECQ%22@d1pQ*x>7KS|wqx6U!jQ!|nX}S2N$itqm86ym;x4XE z^)D|Cvh};D@%n1vpr>$6a+Ny89oVl1@k=H*c#TeXbiWV=Mrh(g9Lk)&7Yz;BL7@AR zjZLa4d6^5tzTLYYf*zT|)N4Z`;y@7PF}2F6Tn{V;^v6KT-^~6yE1jM`k=%tcGz&$! z-FsfFh1_MP5J?8n2nE$oq|(8FNjM?s8TY%RmMJi_z;OO zj*X3F9;YUCwKV?*a=>*CI>|M&Ie^corvmD5!G`my1P5rE!`yr+(~w6?^Yc5x9hiQle>jENfHmBW7H<}jCNi#n{q>g-zI@qB0xP} ztfugY=RjT}kECtG@D6zq|9Z;a<^$#L?^KIBNNYJyJsQuQ4yLyFT7HF1_*TpzmeCKx zeKT!^n>(|}8Q4dB!on2&4t*&+OC2h)^_!?JvF4L`uZUqowCStW}s>%5G?_;X0+p&>h29w=?KH(A6B6m<= zBe%4qbnDG8`llB44!6}lolQC-=b%}1?(_in<{eX^wbpid2QJvA8lmu~ z$2VV`f4*?g*>1^a=op#OiMax@3ndKMcE_{77o6Xww&6Iefe{ji1EAQ>G+S9VnR@KG z@^;?6ps|~p%6#a{-(PFp%MG1wZdV+QDpFx}s;EO{i7vpoEuMXP8BpC2cP+EwvQEGx zi^kCo$SxkEIW{S?D{E$O-F52cU+*uA8-DD9W+KN@NgC>eT7cZzR%N-N>nSJo$%lhI zrk%UTW*TQao&}h=hwf=ACXsNpo66808?;g_O0JTd(oTGH?)4cacTMY9=69oFVIXs=-?jO4zO=NaHnz-OMtt63?yuW1A ze)A-v!^sr3W}>^=+p?ENt8>$P$D%(BNClWsSX4^&d3=izE>Sl+a@y|`^tHdM=q;b0 zOOB4&@tEhz?3-z~Z{L1WQ*%6ze|bfJ+4P^=fzlF29-__L_dX!wO=m>FMk@_sFjsOke zg2adtxj05=^3A0{`;x<*Z>x+I5tO(TPxtmYS|P0ext;4KDrg^c(o zOz9=@@B>Ybca^$*BCMPFH%r$6TB6dzP;cOdC zBQH%8PIG>su6ZK+XIV_=7ytN&s=7%+LR$_RRVZG5szN57ZIroJ#jcCordW8m-M?Rh z?u=ITqp6vJhG0s*JVR@ydxwD$Z8xQ?^WpLQd<<0N>f^Fk@06>the4^)a>#ltiKbfo;?99fTJr-S(h^wf1zkd{@h-4Ytgl(EyBJzBmcWY!H91OGQ>20I`ck zM@PkFtn5QkSiLyF(+td!sYTenm&bWEEA_kHTp3FUP2qK(6CHTj*!t=EP~ZK0IkJ|y z8I}2BDTR{K65Aq=jfdG5a=CS8M#8cr@9El{r1HCxcoVqn_;vAy-d&xS@~zc3@z<|k z7nftI9Fbz4ts(Dg^1J|+)0`H0u zB8DOiLQ=bdmRaxX+dh0B+iaRm#s&?f#OT~#Cq)xUwdy=-XrEDT5lYO9o)EH1I_6OH z(OKU*du-f#3`L0hhAM+wwTM6Sc-{40Z1uD$UYZ%Mni+R;VA{%UQaqG&*uS)W;p0WE z^xT?9Lwz8?RY(Md^-t~X3^3VXtq3fIqUq+KIo`kEddO?t^{p;uy%&0kD987UwOI38 zgxk<@mq-da?&U}C%Bks3+92oxLZzuCUL-k6Bt<*Z?=<&f$>nrW{GG!*(c`Z|kIm2Z z#(Nqq%Gt*1X2h~M)KOtik{UNzu}hA%?z1RNOD(;V6-g(0gR?{Rv+4U=J72WzgV5Alr^r58mAP(Ea}*FkJcir;kBxNsjUNS+KPYqLJxX7;$mY0@!!y zzl+V#$s99)mc85I=rf1eMigzU0tK-cxPH_UJHLY>mBe0>5xjAH&qkUA{> z@Ie-|*v$!2vULvO?*|OOFnJhWG?!~sTt@~Dy~RV!&I;V5O&ZK;Uc%%qEsT@`K7SKu zDbeOAgD!WMIrEm8LT#R?QKf2aiV>%>K8wk7s%ctgdk#QTL9VL7VC3t*k=gOCvBJ{r zywY3UgnEs_a)lOu3HF}QZ+fAquLsFKBY`KiU5nv`qKg&PD1fGr;Eb%hhPB6lbC~&K z$axC)u)w{cJ^kxD9|gmR!PTpg^P!i+v@YSMo-UDi=NZ0f){Xw6@ugav*oGnaq03Za<=9Z=T<=)?Pu*Wn zYmao@;ge$0aeks#@H4@WG@0FNKI(OKONQ8DAuYYzW}Bx;jmKI8!}6|OnNJX=xl}nm z<<}?2L_E$+V5(_DtEL*nM)SL3#(!QtFVUiIp9lzz#z!bIhwF z376xwD4k)KULz`$&}aVnp%M=LI$@3-N|JSK8}6l)mKl|0K=-~)RdT-C=pro?Ou~yv zAU>xF2?;r4raPzMcFqv*pg*Kz#&0FrP+kZdlRl3ac>`SG^HD4!kaUQmLDJ>;{5N@_6TL~7qz;FC4sQeSrv=HB z@6}5`B6-H5(u_R=Xl57G{f)Kgbr&0Yivx^~#EQcOL?OFKH(OJq{4Y5uZ7gwudicVvhWl0HO`O?JV z+x2}9F6rIN<8|3rrPsH@*Qfxk8|iKM^mUz$N+F<${)F$#}#oL1C;GspIswjblC4;ycT7sZ%F1#X1L; zuAVdQ=XiHa>?UK)XF>-)$4y1r-BAE4p5tawh<~1O8(h4R`qY09W}#Dsoh1WlFPFn3 zt4B=MGkJEJM^7?teSRi7OYZ~tV z){O%$zCP}d9JSKUVjuEFWKAi(hicxS8SEMrY*keig5%XSH{{mW6)3Fu-e*w|&sI`a zbT6OY{4+!-8|GS^HyG=PuiwHZ4w2SOUVu2XaSPr24aYS5{VVF0ZF7!pFaH<8Zwz_*#u7{ z{}@DO+7Cjf*Bz$P>qEai;&2#OG(;f@x0c@YaBHINNL%K{WlQmuY6#V|V>t=ChB4Z@ zx-ai8OlR)Lgj0a6&^>?l@*utA==(0`*Gk^S(?iXw+u36!PcKR{+Q%g+d6=L@o4?B+ z@BVmc=xYi(Vtd5!;SVN|#PK~xWz0)_LTL(hv(Yzs=~DEKpY~LH>DrI=&wSJs6Z7^u z2DaL_!_KbZM)sH)g9l%`>XN*1-|O-3Z=jmHKX=wo@ffL+$H();fvjHhX``#DZ&T*P z)as=n5XXx^l^vJid`PHsH2m%f@i7@xOu>wdBve)hi}|InA!4>Ccb-)cy_zU;@G z!73LiXBlr9JcwT%#{2x)5YNCy-g6H^LP0XWie78{gcMt+czamdcHd$ zgxaiM+tz!;9J>9LH0j?on=g&JNYoErqmyhuCw!yCw*A*DHFZOynMN=My+y*))2_AB z6FD<7TQ+a-6XmV~NYA_^XwUlNsg)oPp0=Ann?Q{l3kiHN>IZy_Nj}9Hz#D-S~vrhmD~##?zA^JjO?>o8W; zW&Hh2MaZPfz!g7sR6sR|7Zr|o?(gUJjk`dUK{eCNF#O2K)RFzv;U?3i23~ba7u(7V zTwbMt0VomorcIkX=2)6mZ=hzP*6TQa^FK0?-$Hf#1?^60H$PU2a~*5P#7IjTp+Yf6 zYwqLS$%ah0U!I_25*=_S5plJD89KC;QNh_;W&CSbxsjO7;Dd#^Y5(eG^s#V>osYRt zT;fjmvMqN?(B_ZZ{vYgxoiq9EW^QRN7VHk5DJyw3a{O)ZtaN=V>4dGh3os3^9V+MNGu0~zvhn6i{! zJ`D%aX_)&y$(;AfGWdI7BYqL<-^4H|7LwV(#?S&jf>txll*i>kg5+r(`Nd#nKeoB= zr8sMsA%nq9R@C)JH;emUdH~_)i5K-Rx@e8Hk9Y<=zJCW!g5z+5+ZY#ZoT+cbr_I=w zEsnoDv@I&#$))|43|_yK=nOJzqRLYnEU(PG_7t;+7(mu9K&KKw)&qX$R!+gE`g*^6 z-e3O5@C#eF6-`=?0oJ%Kj;o9>x{^D(iZ^;MTf=|f0hpoEnEu6l!yKxO-N*pGr+l@V z2&^lH2mJw?kLcbJ#q&)F(=79!|1QmhyL7Y2tBPtR?R3S{jgCyTI(PV2KWNXn?$k3^ z^A9$gG`shsXQ|8l_0+s)7Y2tPh%GI+CSJ97(D6UhP8`UI54=&s@_4QX#%rs%|1t6g-6KTNZr6d`+`nB?)ke-bw5(Ib z060mvTvlZ)I~ZNX742_uwoFv6stL}*aw+g2AGU;qDpmT#c&)RYu9FsAi(#q{c>%SH zc|?5mz=7G1*L*0nVHUBpUJrpMtE7y{Y6aS|2nlK)(1$Mav?goEMRWKLYjID@On>0@=vG^B{$l0YyLIH2DOAV&$4AI>Zb8%91ti zDr_*BfKd48iQTLzyV*qVH$a@coBA17;r9>r8(ep5U%Wyo`vl4!R6HkXPF>T&=;Zqe6)UyNL45IhPh3Ec zT|K0tQ!chgBOR2*u>z#Pic^d)@$e+9mUtp@qd}OCS13lf#!0(wDmEpxLR6k|2hc!f;9LK4EDsuLsW z|7eN|>cwv$mxDL`tgFxkL@_caWKP72WG6_*XJ2TFYL|9}9eB;>HP15F_u%zljAu;s zzMaZHXz*PQjgwGA(zwbYhyqv@GSu%K3v9>+U&ne8(eZRKEQ!>|YX|iQQrn*Bn6Mpr zsJk%mu)-&{&)D<6^9Tp{iE(KfxU}OK-(IPH&aSiMdC=F9jj6D?*EwfbLbjdtJu&4Y z*q>WK9TJHWfBW|BS%{+Z%fUoVkOzMJ`0)uPC8e9Bs%Q}V^ce^v&fT3@zoyQe2&s#F z_CJxU6Rm1q7+A2+KLwOmp4D9q=6-E#24^FG=hg~eear8Tw$s<4YW(h0Bufn^T%?*; zSc2+g;19A6yx2j(MLrVa$(3+^O7VJ?0PK)qdVcN$cIgvW;G8*`YGCvWhgMh#xqTt3 z;_=P4hgjW<92*c2FykXN>ofCG>eow=8$V=k)*%!vnnCv^zf1FD+<)=9mE&w~PQb`_ zU9C*k#h%IDtGVRcaKY?H$yCwYpIydFW_4t|YP&&b*il~oRz4AlH~%0Wbs~0lwZo9p zyPqt$tr*}TRRu8IegaQIs7AiwHGCMJLFaGuU`q+oX_-h?3 zQsfFYpwzde)Pe|TEjS4N@^-DOsUd_-}U#Q(czYS?zo9_T> zqI6(+a`0I`W9mhb|H8ZkR+Vn)^Y;|dnPMJo%CeDJT5{x{;Mt~=A-VWd zwdw=%P&LMc_fqmClkeP036_{z*ukk{B_&whigjOL2DS?DhVyS_6hCuM&ZI0 z3LIN@Wa5+i&aN9u<5xWXQ#GU2%jZD|DhWnoSzgPq3A5miqGT!YaehWkQ z?+#_5iU$qoJ`0mK8MJl+2c}No9caR>f|ho!-4lVX5TBHQeYl$2PTo&EGhrAv-P0W_duc~C%rs<5!|pqQ9unm-_M zcHse2({Eq^;eWD-S7*X4Hn__D^X=gwh3kh;e}4U(75=h*R9nS&QOL<2TfS1#Ae4y25s@CwD0#%{MGq#?r|zbp@)^#=#S=GVitO*>LUhhKg&KA= zCu~hDBvVv{k2;1R>R7}#bu>J4r8-J~N3rNe))d@WgQI*Shb$d0DLzlV;G4l0>z%<0Kv+q!Dg+ zw95V=&3EMLa4!HD#-?4CrmZ*L@PAbktn~HK23NkDpUY?Z%}C!@%*Mqn(CoCUzwbiR zMb2Ot;6Y54T5{x-tz++i{#pR!tO?hB!+mf@H4S*I z{{ls!Gm;BWtg_+ez{G_L)OyLeM!lv3>4fo3;%I>D;p+oUJnLq zK!=^SXaq=!aNOXA08H+mXBA7tz`9tqrNHZ044CQe;36|I-3VTg6EJcDe*jRX3QGP1g2ZMip z#uv#Uz9mcEJOoJ^lp*sNThcGpN_r455%kH2pv0`C;>%cCf|RhI?G_HLB)ZBX;?rjtx3W1Fvk8@|x@3+pw?E1G zNKAFrtWqW0oWR`yu)})u;J|>}lP6Dp^!2IF+(j)2{Ja167kEb=CJYBt430*IrsXzD zwPPsoc@S0{O+s~bS*zzW^7n+@5B&PCQGPfM9(u85BCFFa&q60wy)87AMH#>?_eoMm z#HjdYV>WlrdG0m7MhOUqob13DLU>F=lrsC%J7k+TZ+;o4`0Q=a8d1T2|MJ&B`S;m9 z93@&4q67{}Im>|^1QM-^#XUkP8#lNrb_+q7KLDNZ*}sL;YxuF*phBdl)LOG!ICr=u zeK59r+r(iIlXIs?H@WDN?fm`uOyA|`gBv65sG!WGvo}Ie3tt8YhN`6DMxdEHDI@bT zEiLVv72O(3$$$UW#HY0ZD-hjm4--}PpcMP86vV=@5kLf*t}?zmL=~fdlJ;~HG?q{a zh~>60&+=9D_AZf$iAiaNq?lONUFrb5N`8Tkfz-{uzOkv^5SoyW9t)43 z`dOdZjLqJ%_gSdB)M9`AOto(Np2MQEs<0CKjI4=PgEh2cmxK!p3E<79OxSN20tWM) z5E6tEd&~cJ&{nL;>lnDIbLs4-R>KLAYhWyFiroNx+H&IVtz&7xioWb~2mGGNVf5v> zU$TOW3xqXAo>_UqvhJv9bCrW?+2fsM^MX*ZoCx42I~bfMo5tqJE<~CtQk`JrBhvjf z)@Cg%$-W04GyQR(D`}y>G~07^HQ6)_hVNa1)RQ5V zg@aJYIq(Fdfr?~TSPq@Nf2-gz_tQfl9sUsh*;DSjhnAl%mKDZ8&QT^Rf_gFR*&$Z1 z>01StGwN+qO2Zb*1I7lb2df(UIs7rH#8(ZN7UxsT>>8Guc4kFgO*-$mF@_pKAcf-* zC&N`ZijP}C86sq?mW}@ev?OV<#X_=R;?;dj)c<`v;@-> zlJ9ZXZx79=qpc~EDuMT3^RJBVsL*L~zHU{g4T`U3cm#Iw~$45a7V$b^d$w3B)+ z@79*Nh1rK?el}VHO}uF*lNh}MPKFJxix$^du!)I@yLRn*0Gv6QCe*8!+lA|ze7}Fz z%JE)X4E`sE2+PfrSG0#26aE|)Lsx!{ABvX1vr)6{(0_`hR&4c^Mn_MOnTK%pw{2rR z!g-?i?%g}9ZNx>z7Ct%?V^>zvJyt~*f)b-Dys}DZAv3#x`Bt#a9ipyKcqTp9QYL@LKQ&P_<~Z19FASM^dOCh*>V6@1 zKjAC?xoG?`?#aHSO;9}k1joQuQ4>9;YbNlb$p8J4=T#JXy2 z2OpPhJS=+tS(XVdmO9XikLh(6gD;qI5hStlwuj&RR$g1a6#jZpXwR{ku#DDSuoeF= zUTnqYXpZUuof2>EOy^9QlCx#q50sYS$dahJ?iTP6IoQiW=(c`z8W>qR|V3EBrC?^fFfLJ8~;man^% zzgCGUgld#fFcP?ahmfIuIy#jUvLF#$@RUdP>qoGVDeAKffO|kvS@Dy~e~gdX9qaft zkl<;XGoW2+j>z2H0e8Kj_5g%6;)0Fw9;v(1jZNlzl5cjFPh_1o*)eheTEGEK4-%G) zb?3W>e8!d*4OJEPl^Zh2tEA#?=b_ugV2%H7+g6Osv8t+mU(Bl4GdOCs(t!HESI3SDU_0F)@kn)-U1iYyOW zc5~7~2Qu7_0RdMt#b znc|gEv&FY6)Drmkb2vZ7imQ343Dz_P9l4(G?V6k0Y!tc^99joDLHAl^lh`TSGDt$qoB&71mtlTh zYoYGT*dw=wA{ZMg4yyP-yocF*+AkU)53tDgEH>!7I31Lz4aaVq03u6Q(|g>%HLKzn zP7@5lxcb$>5DZoUrQm(t=T?hFgyF6z+>FAdYf?2BGL(X2rR#_`5Gg{i+jzqG9K{D@ z>QD-q*a+nJQhYGK=!Ikk?DIDMTxQA{X9q-_y_U5ELc}!_ArLz%CzJ|UbOBko5e&T= z3;QSalTZnn9d*_}Zje169n%S-2g)=h`H1e$Yht51cWcq&$9#Qk)_d;RIL6AC! zdUNAm3Nd_(DUB;LE9(+LTR-Jr&y)Di^P__L5lU9URfb29&_h2R4X|DWScJ@E1}Y~5 zK&Ue|x$1h~Vo+1?t%9r986+BJ2S_c7?X|~(FRISN6d13Rfi#TTYG&T9Xc;G(lTSXl zShF}Y)w3{04wGZ3MNZ!)(K_xF6?YWSdzuuJDr5vc+m&Ortk{9RRro`Qbc86HRn{?i zi1owapl>S@pjtT+m|9d+OtQS^aeLH8mbr-v5I%)Il6wct-gWxh$R88C+cM_wYNo#6tp!qYjmKq>H zk05e6aI;#6LP=85P(M>OZuU!M#Yr?Q@mT7eR0)IRj&^%v8D7ocz)bYF6)eF2u|ZH|WJW!x^H!H}J?}Gxkt|S@ zzP(zMK92czJ2ofaAMho^SbNmo8-4dcZ8ybgyKaK>6Do(wKFP0t&`67KSS=8do_lxw z)NeBvSVx#6NMZqgf~cZ+?JN%>m_d-9{~;rVrd9dF__qy0L3-oCAOV%>@hMBSZ^_j| zk6bLlc6!vgI-{d(PdaG&kn&f0lOoW5PPd-p2w-$u?~^}0zSU~L~^DOnu z&nT&V2`&o*oUJSOJ^|(tvIoa{3mgjl@Q}=smu*qqvp5VlM85 z%Wv1dtUJcV#l>+dIm{j4&FLVl(P``4YkT&bW<42%sFT#<77P1^&TQvUC?MriaIROK zavcv-z40zZ2veTbd{~eY4f4#v#yB-cb>^BxSTgEc&gXlat@yBCVU{#h!yeMf%F3)g zY-lo~%pe90{okQkJzy!bOAkxRpg*(XdH?&1CJJN?y?b$Jq^5&sIpEJcR{G$!{!q&S z4OcQ$pJL3N&&hzMUkr4?&GUZYn|@(Z2Ky)(0chfI;36m9a9B3D#wC6Kg*VXtO{5i7 zr^GCbP?CYMAx<@Gr^g9p=Pjfy(fDiIsN0ZMVETg3lr-9R8^r3QKA?WrQ&T20gsLgktny>EL+31(E_2YgO6>C-vhOtb*miuZ zak#J1-aJxp!wqXx#WeMbAZ#pXo?H#!w95|xRR(O3AkiezC5d+Hpp``Ex8Rj=0VVY= z?kcNez~+v~nrM1HAiPo{C!Eg@FIJp&0+sT!VwMYsp$`gG?N1jkKYxl&C!pEBId}gB z<8q=n^9b*(-`zSU4E0BJ*lP{}z@+Ywd^E-Kf11NGwy~LHY*3~7+Ic&)O2n!%N$pD$ zeGKVP;-Mzn)Y7lrO7q5AtrSrS8Z=P}{SVw@{ELBa;-bBbro7r%Dcr$t)THQ|oc-QR7eN`1F2Z2E+7l>a%0(nNbO3MbimEV7+ zOkgWbap4UM3Vg^MtX$RM-F}Kk6~b9R&Chkh0t6$U^{Zq9H>=6dwucj;ue%Y-rdDr1 ztW19VW@6zi0G~4{yYgivU}6+T_zdH)Wq&1Hv4!b=b>J)-p^;IexTs}m zZwwR4uFux0!HzvcQd%u1;|+redm~5JC^foR1$QD-70`kT({>(A%srjs9;^a zUe%a!NWZ){^ULr@w>mG>pnm&$)c~OQ@*>{#{Z?Ql0iUefb^A!HsQq?&!4x8z-d@AuFAUdv9q{GWNpVQILbxDhjJghlmWEE_3%OO~w}3NNMxn<&4a;i@nO(EA&goJ~Y0DE|{t z+2%Rue)D-R%=U)qwy7=#JHAekEXApM6Pk>dH24H{EkPJd^}2#y>f{*LNfOv#$C?eg z?B}G@euIz+xQ)Sw$9Vrt_@>Kir8%b;f2-hYg?Im;ce#Znuw%j>deF#P%AbC!eb&JP zN-V_J!B{fcdRWY}ZxuMrO-YX@!)U{J?k~H6`nW`wrA67PvVpQh{9mdiUsVi-xz#gf zd627!fOy1#COq`}x9_5kIwHmA&jD~(9ZCSty1&S~3=u#Z+;~=VkUq1M2;li2*Fg9y zXs*G=D;zp?{jhw0cOY{Df*Run;PPMq?$xh!Z~e1PY`wyDZfd9- z2fghlz@7Jhi9y&M-py3?!$!IvH{7Se9jLYa#nPa3_qN*`79!%a(3R!?^3kWM^Y>cO z(96|Y5nHko=lZkp>nt&l$@~Sx*h%tlCKLkC#i_$uyrA}5ik6}iD#y~!ENTGNLQEWz ztu4wXK24=;*O8Bf@iZCH;J3d-?0IGlM|NYKFfI;Z)vPDQBIY+o$PD_4J z4O<56fFTjks;{yY??)Z>|UMA=qF$!C2!D#BOwqP_hHID=-!{q-u-=FZw;Lp~p zQ~z%UcV{#C$?;?u>qiFH5U&j!&(vj-_7bA0_%npYVchA2Pv$JVIUm#cvsTG-WxVsZ zuXOngq*FAiir^veAP7FE6whUt^Q`~pFU@PLUB4cwQvCL}|HbrPUFbLgh=-GMCRgQh zw0yn`uFUp)_lLPb6$aHq3Y>G_gVEtw86FhT0o3Y|XY+$i$x+J~8Yfd79~=% zr-8iu^v1c?>~WmyHNs!i(aKsXyqP%F-yNVbuIO!rfX%BjUUtx**Hs7z4fTLI9+@GF zagCu2d&T~X@Nd3j^nh`^J1ur7nAc;bN|{o6p4_#oZwda}zd+w+gc3(t(P9g+!w%%w>(v#PqQ1vFCfWL_|b( zO@?m1tc%$UTlygkiWak)RuIts>GUCn*aM+VYRQrQl@^tkDwYy1-S(yg7-pHzn9|Z& z_=zC3UHGZLNlQgeQ8u~Mhkre1%(MBu;&yN0IZK*%VD=ul!S3xee$&zz)%dY5N&NV9 zb+$9drYT8&x3#tPmzp7PmDgyX5G0V`@fDpSn3J+?v5?UM9ZU<*;8}ER^mH1$G)9*< zM&}+J8BwxRx$*4B=-trM1KbP$Mz^P(I|Q~ezKcUwLvTw|ytS|#R zIj+Dt%lh}40X;vit1Ktk(m>Ca@FjF@%|NTbynmCg)A&)B?sDHJgE6}D@wiX`eb{F- z9ehn^9~#%a1p!(v$mx~H>x_F}x|cm(&ea7nL|5zPmWobRg%u}4{}Kn(!1%%4eARx^ zFLH{27mkE^Dd)geM1b{E-Qb$vhgdN9zhUBp{`zl-x#CWdGZ=ku-LpaJ(1RPwVD&XZ z%7~XwGR_X0S?eHYcdAOx+XV2-Yxj=_4ogTs!ZxcMX6)NhV6XTCch*mY18vS;Sv9jT z#}6a@{hThNN^1*WF*_Jx{ixZtlVSFQj0Xqn)?xcnv9>232hORuq6wLM04x3A3RjU9 zw^d=_-nAmV*wxh>&!jPU(<)G5opVj_pkc>RoL~t6Sa}bBlViAm3F4k{xN1+m@#Nag z>g!}#1ygre4G6e~AKGt4h3SdRHXO{(+>+>{ACDVoCBhWu_;Z)p@$T-?0{qbU>XCuV zdOH?)14>x@wRVE8UH%yomOQ~h#P{sRo5{Y-P^?e(88r1YWG<{s-DR270+ z60tY=RN3a~^4#(FlJMY-*o0ri{PC&St6P}!>bmW#9oi0JC6!!$)pm)s&z@%1cTHu3 zK58aNPBGSK!GbC$t2&L#{Ey7d%^_kb)7dh!V~V$z0YGs)Zaiy@qhCu;O?si zZX#xZTlr;MRfr%mD7z2E?bD_wRR7GxD|d>(HKTR2FTw)E)%FQqf#?j;e?R6*$WBs^ zcy*J0gKM-7eK7@N&25w?o1dYp0m6qhI<%%tCW4u8y_1Mr@3)Qmk1ND>k)d3N-eukb zhis_P!=A$EqcD-0Rm!*PYtF5LO<1k|H*`Ay6}7K}6r86#l7GMwFM}m2ZmQ0|L4X{OQCt+0-nkqUEG|fHdjj)Z3^Y1! zqCP$C#7;CCNqEqfc09YggLT;`Ls}lhulO!VB4HZKJxd*(NXC6cAOe+zRFvBf7H9V< znms~fIeqMwTVtS9cXuX|6~pKcpJ0_SSZZ=}%{+$%SIv_a;D``%sX;immoK;ej|>K9 zwjbWXjUS*RS-cVuS@ONTRO-=~VP!D94|Wj~4(aN|ZOC?S+OzsP`urZ#B5_QDm2m}HrNOX2x=nmvXiflBM1TlQxt(Di<81pkZ~671h=AdgmeMX zEdMAU{w4WSs`ByGlEYnzR|enHb05Eb?z2#x@CEZSlC%E4oK@P>JB_-JJ&iraShMM7 zD|=1N&O5R#cklbkm>vJTBWavJZO74TD(qWR&Ys#*OqRrX>NZC_C7S^0_Rul4(EB|R z`ZpSu?hZ8_NuCtZ>`j~&4NLZ`Uo?_hTB;s#8FZSG)t!7zOGN5GJdy;0OGs)Q%d-%O zO^A&}5;GMQ6-E91*Y}st%-8`Wpyb1cEds~|>@tTCW3waCF2sxSmv>7+v~j5swH7PC z!#)tP=sXcDZtso5s=rfbBxA2gl0WM#S6}7F5zgP7Q0Z@b1XMc^CYFIJG3dC6&7hhv zU#|xGtX3FlYlQ5lz?GGiv~ zQzlOeNNc$kj<=%bx!KLypiPTba6W|;4@E)!CZ7g^3R8+Vp0=7=T8|;FP*YQ5-`fqi z2c~rM>(}3mjqKFHEh~RQ+iHF1Fo{@bL#=C#R@azEBVyH~5G?7mXEWLqSfm8shhAR+ z1Al?uz<0CbrvcW)0Ip&1&H)>%Ev23eBR$<(h(~rKi&w$siKDJf)0G38NQ?EBjTifM z>&%9zuf7WR(SL_;Btkr#T_Bcg1w^nVn}J@+ZgC>49UD_O@|1{lK=rT$D<-@RPRW3F z-q4h@v$G`961jFQdQ_!P@`|Y`Z%Ii>ssl$UvVIH$A{Le@7Ql}H{=URbr{EM}In&;+ zOuzm*-O-X^WdTK=Tsr>^;E}^giDt9CUx@oS#>-LviUFxE71Ya1=|3~u_KMDd5ADg1 z$CxQk5FE9inC^Qcy`UX(tj&JbaikCML#JbvFZpXH!!X%m(!-^98R!$^UOpZmig01T;Td z>E`-IV$C=jUk#cMhZH_z>AC~nIbPfh?sOVw7Q81XCl|g=1~fYJM_;`UqCfe2 z|MKtCgZ~Nk6zMCe5{I$Q;!{(KQ7GQvBbu%7p8UFS=t37f0w?&A%?5oiQU&Jm`vb)N zBp)@%6rqW_f7cxIHk-}3*NVw z>=kr(*Zm6N3=k?!9jA83Lbndu2eIc;%BQWbTwy^n7+0^de%5`$d(++1vvhFK7-oo{ z=6Nx#=eO5g-C87q#R6bjgg-(^dF3Sj;gV_)3_K^<_6ia4rgQL(ml~WbEDoa4Xnp{s%A9}M5L?Yi#f=7xkc$;ilD{pslG zO^InwD=JEV>YXtC`AHDH8+g9Zk@_s>o9h{Jeh0L5#L`oQE!Q!T5NHQBa;W!01D(j+>~ulE*J#gFLi6ufvAA6Fi$|Ds%dC&4t@gO;fl)2VxY;F zz8Sk1Pi(n@1)xLEN?8Uj%mFn)r)6X{`VqnBVW<{2B!iux8Fs>-FMlC+sJXrs2-*0@ zZey=*$jiTe8vh3aaKHqF;m>Qd;W@TW4%dAle2%(|d)6GLif}M4l;3m$p5q3Ncls-i zp77IaY+*;IwB@#~GsA$Xh6g#7O?-r44TPI_huf>*UfaU3Z7Im6|9`Z-c|4R2_Xk{B zR4Oe>Wvdj~-AWU(v`A8jkev#Zwd^~0NhP^uOO{b7$(nr~l9F{~%^nhC-tlx9a_rQ6K>&|iqA`;EzjP)Q=Tmc)DrmH@kzMGNpI+Sp` zckkZhvv2XG3NWWyaAmLbmHxxOwkWi4c$YVYRuCZ~RCm^mkw0Fl<#g;CA>_>yM#|e} z%SYPg39dd+!A`zIh2_S&6;$9w#lVJM!1L8P%9kx#=hho!>%@yTXSD9Baa=)@7Qe?* zIa0s0qAt9ciMX)vm24T*>e4z@HMLGPmoBxABA5Q6Yxedt(U)hx`pll6?QmC5 z&gP3q6ezu|2vWQXQuOdjK2^W?mJhZFFysQU4O|*H4$MBiBIk2g)V8H>YK8C2ji_5y z*Ef-sAF)Tqo#DiI0V75b8Qdy;F!e~m>7ZF2aT3D>bELnA?Nd`r-m#eE$&<|+_(Na3 zK#DQ^7Db;ri>Nod4U^08?$?9+fSoFTDt=X;kU9IaFtR>zC95Qjebcywy#at_~ z6JVgfP$)*w`H6kcTmNe|t{+Pr7)#l>b(RaP;dZQ+g~hZ?Vv|gmKbioVO1o)>@<_0MdA;y};v3xicm=DXHpe z5N33Md$UV-Mc4=EFq|)S%D$=HI4B*#LL<#)7gBG)=9WCA^i62Muhp_4&1O8Vse72U zKP{t=Qg##h6&Yf6>IM5;5&}j@u$}N)WJ1-hi$~X9-3T*JfQ!yR#jEz(&$Jg%tmCId zkvV0Gw-j&XBQ|xB%ZQT`wDm8tk5Pk3RcC7!Y3_178$ujWN52yRjF+oGgf4WF2kJ}q zF)sXx6z94ZYbuYI2p8YI@Hk0s)Sgad6IYp#-0_m5#c9@64VkoZ`yJ_T3?&@H_vZ$f zM?HD=Yjeb}DfQY>xHc3yr5AH;5|%I?L;Q`oy31LE{hz#OteNO2YI4RJxBlns%$Rp# z3Q0&h9?*-)Murl717~c$Wu>%uNr|m}QC)~~K)<0cY;b&M-!Lm-EYp_dymjcKnO8O( zozaqyx$@XhmiEZ zG1Lmob#z?22v`3K3Qp@Ad3t&>ee_UPR=zxLpN(g)IfMM}cOf7u>zLp$pcIlk zDSp^Owf_h{8m`wjcHal)Y)C@7e z;Q7z7zm=wc816l#Qc8O}wXpSG+~WrHDtB&JV2!<|GJeZjYWL~qEzMB|yDvO9H+p%$ zw6xSa11fd{4auBU0{fy2Fxn~nrc(zix4JuR(3gl!>~Rh>>sQ{OpH`Na z{-QqhwRww#=agRhXKe~CX^-t{$saXCyN*jBD$(PgPn>FFgU(5jK@>RQrp}${>9bM% zO|@g2j`VYCJ4Z-4S)6+Rw0>UxoHoW$`8-D%CzONtdCs{!EjY=IH$eWqTH6vBzDQ zMqNU2jKGtNO-jVZIuABy3TH}5?>#j$rO6VVOG{3TB4By@rO0J_ci#JgEjdQ0eYh_N zvp--HoJ#Xq-{Y zIA!S(5?5OqF5Ls@5C52>y7?nb)eU6>5PkKN0;aC0Ag=A{3a+i?YgB$p|2YZ1L9H0G*TT z8ynzO9BN7zKsd*jh6Z&|#QtQ^a%Xg|`REXOqSa`iM>8GUF8^CU`eQamo6pIW10LU zqB=@JH*j^$tVE24gkntX2&;)o*&L)#m*LCoig~4AytZn~`3qaHW)h>NHS$eK&Pr|? z_&4F8X=ta>;`6xTTiO`yb-mEsEqZyz^B~{Yz$@!p*^KTs8-CssuO__fAqM28S2=Pz zwncV13?)yvyo_fd4*V3!p%shnYepi#lBgyXLjx5Iau-E7-2Rs?S8UHw^vuQn#?+Wv zWmQAh)gA#{9#5gJ+mA%2%RS@fLQ4>ju*C#E`drk+LgRMHum888NIx0>AhlcZxdAsB zh&l$@#oo;`{T{r1k=!N=Ci;F{U9y+&AA|X0d3i}?5l$|Zqobo)4Tr%x10gQ#{a0Mb z_RX_sFHk<^0hxvI+V^h~5dHenF;c|lq*b{lw!R) zYa0*z(~{4a8&s7xOW?~jPnuGhoa1s(c;nnV4Jq06+VN#QlkxO?&DPOCWJM&+@E@+{ z!&5FpQyr5{<_QBo)-lwjS&70Z>m{Tj!B|iVMJ~6D9^s|wW~=Shynsetj2ul*)O)C%;iwKWPDh?5ivzs;|M7dZSe&^fF*Vhs@3j#U`k&R(3rLB?EI{=QDe2pyU(t5M0>mTK?673}@u_XJJXyvGm7+vCRibN6$`rgOHKQ^Ok6Y#XalSq?BbYJan2AWvrdX4 zAT5OO{!5qD7GV%;>(s=Za5n%biJ0JyPA)DoX2lfj-w7;~ovU|$h2`Ii9^Rw7tI~LN z^MmiZ2%Ag1q(aB_zE^d=}eH@L8N_r@NQEMhjtAX81_&x;%VT>CK`0D+vdKt^IzUFkutwA>|j zokuIb(Cu3%G|uI&Ps;J#(>B6A*_}uhp3IZp- z7>(@O$M3mVT3Y^f{CHi<)69J&_iz)L589pB|NGJ`#q``a0gA5q@>&m2ag%=}#kY3- zfrw!!7_a{Rc+t}C)=8Y;*6Wjir>yvx)n%Hsjl57lmPg3fySTg1A8F2mqY7|r)%WjB z)oOM88bLIhY=KD#f~hI**no+)izXqa{Rt6(#$lzyP&t&w9w#3hl8WF)3J&goN_x__ zP!vb1iI_*M&;e~jU`FM(fPjGKWUUXTp9QL116Hk=N= z#wqwlEQQv+lN-hzrP?vhgpSIWXO=uD6g%uJeiYpm-(?Z#0zSbm`aPiVcOEe9Bulqa z4#|7voa@P$MlDn*R|oJtKoLv?#Yr3!)?RGo9oF zuml@H--|!*CPhFp#I~BfO}vQ4a&gT9AJ`)}{`qQzl=8b300nO0$9uF)rA}g+L2Y%v zlul@~AvSvD;%X1)V;{DVuG&M9cOPkKo!x6ij__BMzz`0XzEXzM-5;Rpsn~712saF$ zUW6OM1|E_-6Yj^6A@*|@qZXR2OD)n(iV>-(52neHtRE5WxCio-PoF%AUGPQ#F%)+P zkAGe(`0y#fEn21A5sB>8Wq>93AfuA}_p-J6Xo$e@VpgL(thb5#qOQ8*YirGxx~w$z z_0k}gHy7xRF#D^UhyEsDNTU;w$dg3_?S}jac=rB_pMUqV!v`r|7UxOG`8|1BG5k<| zzx(Ztn@>yWmt6j8^qiq9*hDz{5c-}{+=bpt0f5!U$31W>;4YVU2F`kadoSg>?9fP+LV^SGslFdHyR%{~+iBM?|7cwHm1zx5Ul6 zzd-7}TkTdMtK1vmqlqhS3FM^^KG!0!4#BDsHVJ~*k0;9n@`s1YPg@7R#A1GqxJ>z) zzS#ba0q%&5v=lH9oA*q6;O;uKKoq;;yY&d-5f*@3ct?C3r<23+7&FGn0l(Xsd`MpR zkrhuB`?${<1!B=r0w~LSZ}-0C-^m@Ah#_&IS(}B7gygnT@&q|KIS)=&YME_3~T!_?)yyyz-vkEVgP8Zx=C-L4eji_z7 zr(oGjk_uT@5iZVzv%ii%^*1*La+eR>__J!T{1V!-W8>X3YIja6~ zT^x8DVs@Jn$7D8jL^{I|)3DNBh-07qk1yOkK20O-z8RXkyLw;7lYEx@90Rh~xu=bg z+s4#3Cf>Fa8K^l-%N3FM%-|arWC?(Rw@*G6TN1@zE!LC++F!qs#1?TH(h${md$N}I z=g9xcZkUKPU0oW#DB^?HwgE}Y(cgSN$2Ru~#F+$aSM13>4U$G$`JUX9GkXv-jX8V~ zHNh1*td4b1rRZgvgAQRLNJ?VXAa^qOsL?fOd{&JXc+eR)mN&_ViSCkl%KWIStIH0q zd)M)f^;Jn&J8e9&r2Ki8gam@S*5f2A!>jyg8FI0m?eUqr87XM&4t_L2GgU-w36y9TUM5TA6(W%o!7MUO&N35r zzXTEymR|g63Wp&0gt&)4k1`^dYoCTlf-^yGKOwVm;w}Kvg0fJDhZp-PNHl!qmlZk8 zReflo65647wdg$#Rv^m3@X8;RfO_U!IS7?5I$nH8FUuF(R>SCw7PZHZIarq)&^ql0pO9v=DT z<6>1VjRw%62xjQ^K;kr@W0YnA>wB6~Nl4qW5n6bO)@4gt^Y^GItGA*aX=q`8r8x*@ zQd8R7a|PGzehOBAY!$gp7vtIhvP`I|B&DBisOlPS1XGo_(B(oGN1K$T^d&w;9>E?e zB~^rflEZ*rmVTby$TisdCIy{0Ye&Yqv8ZQ-)k1A%t${nfqU|~!o!em#Rgt#B>I*us z;wXEvMMNMEszQp@Hc8vG>%6^&Ikknhz?AWxvFli@`Ki=PwD(Urpsr zRpky@nF@p(s2P|aYI0bg=uJm=q37yF}`cFTlX0yz5Xz;%IfM5lfj; zQMJI!D8&MR&_jZ5X$I~I=Sw~|^2*@C0ZHrLD-3)g^%I-*m>7YybjwN4<>h(6_Gui9 zzCsy4yfFHV5hSP11)`Q1y6;_+so0F|Qr zwePKRmj^G|pOQFcjw~)7h6JK1T;AF7Z>J;r4%i#3JsM~`$B88+CCcp{xS)ZGP?75C zH`!J@(dG!YG#aIVHe=4q$;mMc)(u*2C)BSml`Q2jX9-B;=YoO)gA;j#>^!D6VoO_# z<+0Ts;sWklK@s%eLA>a~=S@D*icXYntiU)57<$FFYX`#vojnxLrKGKr8xLj--c zF!hFrKOZU}R=^7xy81ILe{mz%JB#Gae*UJ_i+}sNiid{bpi5!8Q)&9K@UEY_o?BTS3pnHC5M*SWK^{m3X0$`W zex2O5Yr$YuIH|#l}@1f@-4~Zlhj;qzoLk{Z62XzB&$AmFv;bTy!;n)Vx9R!N*;`c zmJD@(yNp1*nlu_lUdHD?jR&cD=`7ZsYfflxUIyC!=ZD^~`6=?NQg-+28 zMlU3(fIOnu9Nf?hFJciI1Gc*+85OU3aQ6V`Z4*-OXrC&wg^{!N!`A>#K%1rJN;#k- z6D+$cgp~@$22$efn|#@2U~EDp$pF)m!$&8nrLAom$~o^mmL^IAcD{%#ze~!mtgJL> zk(+u(fuED}62iH;~z#8VWhH(N(@^Byl zNU36{W~>&Yg_Mz(J}Ex9=K*n0{l!JmQauBNsg>G4;4K#Ym-lW3@3Ce?b}2m`_%`XUZlJ@V=FBOCJUmMILlq-dVwDm2 z2X7}0xOD!*sOI6Tp6YW}*>@|f;tyHpdg&iM z-(Hgj&>>Z*+dPAS8YHXeseBo(2ySX)w%3mRg8A@u*q0Q(MgbmJ>V{rAzOAivCC_dE~2<#xa=-;Ux#a zP@FK|u}b0683Z(U-vmgRW_w2u89rRD1j{>vl`q_!9;E6(st_W1FD1PUJzBe4@oH;@ zFLbD60Qa%J{gSh9Lxy_hTFb5gwJ(4kb$($oHz5~NO~`lxjJo(jQ|gx~hhFYFr&avA zuoTcWz`Vc`e`E(FhrdWe*W2Db&8MIHLI1_EjnI0|Q-|2AR+NWVo9C`N`o%2gDYM89 z@OT=QTmWeOV~dQ9YVFul<5sYU9e&YOydSL}=TstetAbZu0MOLUe!m8C-+$;! zlaczRU@t>?ty0!dgQ`aDc1U{a77`#Q2pipAl;$J_-wB*l$h#Tv2c4iQ<&hoW@x%^_ zTgn2fG(=j5@}QRwPdHhqHP5EBT+;nCf{Jh1NqA7r+Lt%iq*n1|%ms(-6TX>yxYCH` z9(eD?s{3m3V%04XlhXbN=fC`q;x>Rn!~~4WKw4N=DhysP(%zBB`h)qz+8cBJ36I26@~eVh@A zIbr`EDlVr%PZx4_d=_xIgPcnGkeHZgnCw>2TOQOR#{MPzj@qrwiG3w zd(ind#*^vgeMehU=F=J99>NPqXq{or?a)kk93(!a$D5$_q-%_^vnJE)LrxNY!oa1a zzNuS{i6A^l#E*`b+)ky9w*BkKY7ZVBp1;J!S&kk(`XNi>q`+cbUbcB9hxl(7iTp$_ zf8Eccv0QB$KKVc2-WY!C}F8P+wzU)8us|<4XAz4 zMQW{ge9fA|o-sIEuiA-4UwtGgZX+?*-Pzqqrz_5c);_tDo<*GP6n zInQ~oPd+?*&-_GbROfYi^kW|<+?Ck`PPGeD)$UC@dv1iK*C$UhFYQkiEZW$lRwcre zuq3niEv;PkNA8$y|6mi-p8T#cQ=jke{c-B+ESIj+fAB3(_4%6h(=(r_y!xxDA!|0x zI3f4=BwTN7KyV2|nmWyV+8?76J1G(C_wVC=@dvE`-x(`M6{%rH1ELg>Y0>FP^ZCgr z3_zMKGfBoWg;QWXIqlL4UYhi_^8yMmv1FF3nX7@i*T?GAuQgl87WS}B%T9<@-2P>4 ze4{H9O-t<9@vMf?mrE@vOT%uKT9uqH?Y8}sET}p{{s0}a(Yt~>KBsx%ek#)%dcUv3#zl%ZB-tQ+qG=(2zN})S&7V*8-+gv z^{ENO--;U8IR2`lsc+<$i~v9Xm%_rcyu7>&gP|@`{G>JnjLcV4>vzHL2y|gX^j=|q zV3j)_ay8AiMx4lk$fV5Ig5$APQ0FKQKHP^iZuP5ja#6c%YHc=x8VLX@OLDf$Wrd_D zX8mwgxP_udluqv6Kd6!WL1^~f&>^Xm`^TMte{pu)QgCcESmWBK*|u*A?qn+W0S}dp zS^u8LcD3JYMKBMqLKmiWFVRxuKn;WF=n;Qe3ghF4T(0m~Qhz67TI5MdmC= zoU{1$f3OxJj+TbSK&k+k&oT2MS$FLeQyL-H66H-419{I5*sN%3rJwcM=2(C9J~P#l zrrLq?Y;L7$7p3&=#bg%noX2Zt-Tdsy%O&gOew6CY-EDRnEB6go5Y98p9!Q(+HkueZAW};hXT~j11P+?&m#g z{QKI>Crd_f+uNz2*B7?QQA#g04NlPvPR=ruc-EFHhPw{ZUgoi{r=lY7Vn8WI1N^Xx zt?^G~O2F3&gDxQH`R{q$sPd=s3-;OXSu(S-@K=H!H-djd#<-D%!ei;xS-(tu#3!%v z3JAymLhb`GhFs6}5r|!DEb`R8Pm?{qyBV@bLmfrFnUK%!b8-hVk7snUbFZf82r@YJ z1zfN>6^TMx3FL}ba=+Bor^S!=525#7j( zHkV@(lNR&ytHbKv*Wp`L;>XUtmYsh~$qyBXj_1eb7OgMt(~19n;BVWWn}D2ll?tF= z=4h)ty=Z?p#MxkmgDVdb#zO>*y=Dm?49|}a@U|W53i{ckGSYKJ^2VIig*v#6+4B~J zXm&eWl?#5woA3K*Gu64w)dJX)R~7I^2cotlPctvPr^P>Vqu!-5Y#S^)IhbA<4A8+nXMiWh% zZJJEIVHzvV=-jM1s{hga=nJFIUw4T$S{7^#la|u=)N8V8d{0}it>v9Tm}9>)#Kvq~ z{g8I-m`Z}>WtAl$gxB$<$lOQXSn|@_LOlC(n$QGr@YQeyi8El9%)uTz&0tu`vA1bX^&$byTW$j6aA^$;A5}c(L@D0$1N@ETc5onBRLtP2e=Bx!Xs=_ZWZtjfI~EG5 zpEg!Y!P>J%Mfjj%(2p$FDUY2aqx2H{x-n^u$_ZIIIsDcYPD}9bVj1#KppsPl|A2ol z%vfw6-Agh=f3;^A(sG6=Y0wLSwZeExkID|XxE9aiklBCtkI<7kvBx@o;s``Z0dzW_8Cso=wlW`ko_85*HmVSQa^^~eJ}i*mLi-!G4hgWi z5poN@EWgrZ!Z93@Hxn}QUM;sbsfBbWDoSDkS@zUO<`YlOo%t2g2~lL!FzP9Ax^cGR z>(Y;trT0M0sT9)pH3`nDTI%;K3XW|9ejT zauuh0-MzH_Kz7qOBj>eb&?N+3hog`)KeA0L~Ap)O9J%+ zuqZfO5{ol#wLu1g1=}+%yUFm@q-;HInPz73!KQB3!bCLe#ZR@ly23sTOHkM_!nEP^ z>nQpWjM@~2w2r^cfC-Xxr*D1n+5AweSAEx&Y7cCv>z_tyEJF-IG4r0IoxfWFYlnGz zl?r{lruhcR@gX+vwyP&F9@`raZ@iLPf%EjCEU?$nrLa*ahb~_v>t%!&R8=nH?_5?`E=eX?Q!G_;aU!N3=_^sZb|=rbp=QdDs_ z?G|$KQ)UN~ih5#T^69U;zsJKk3NN;wEZMTt8+WVj<@6bl@?PN|upQ z$@Z_urXc&VT7yol7NXl;)(CthEjer=cOyT*Te6-p#WgovBKG$7zJT5e_f@MTdWluG zO7)rKeAfLG10eACu3BG5<|^D>cAUosm}Pba+WqvEsoM+?f;K3sZJ~@L{#&4D$mw@x zxf7~zpQuziXK?6gVctx5pB!@o;S93du%2lmA|7rTTy&kSwft++%XK#+etxLW#Bqm8 zT*ZV-6yNs+H2iX=e}isJ-K581C= z4B@2SWOU1rK(Hh!NC+Y)18HAZq_VyN$#q#$TxPe+rb8zkCr#L&3j&s=ATe_zRMeUq zA%3I4wsD&}V=k~ct%|>Jc${)oq=yk1^c4s=Mw)NlbHknCh#j&rGxttfOowecpSHt5 zz%kgZVE13Po26$Pn9QFV>4-OX_||^cmeLpQP1F&1zgw&ReVtF6>!H?};_Gp&$1MnI zZz^yJ;ndFEr?ZO@dW{c|U#zLJIdwwzV5fpqU|F%VKY7 zZnLVsL{16=acl={`oC|NF8yWQ<@ocfD)`Q{S1K;LP!0bZf9%n9hx_y8Ba`WQxqNG! zU`J**5Dle+c!cxjhnSyCDM-Bj{Q7-zHiBQhddI1BxEhAi!$hcImJXr4I}f^ z1O|-tc{IAFcCCavO3iP8*dfYl|I>H6T9R1(r5-(7j4mX!&Cgxc(P^FBuM4;AKPSxN z695dkJo-t1%{yqed<`F~N?6M}q_H9`9(~%P#m2*BQn{$Zn@udKrFwi~BsYF+cGl@4 zw8g8clwMlm&uCIM1?sH@PpqgdRH$g_hX@U@lG6H4xa~uh4orziB-}{W@*B;)djsj| zYJTI+gR|Sqdmiw}Gtnb(t3bS}oCv&aZ6qkoB$I+cBEv5ML%#nO?{5%=qJTTpsgk>- zp2H%N`+e65HP_k?ACc3&k0qz?Ny3}?dw4KxyPP@k*LT#(nu5!(H~h7lwT`;_Bg&*9WH+aqfWQBFSeQ55?Zl*@j{Faj$s$R{ z5XPPV50bglVqGttl__b}qexL2=*acMX5-_)^1hO(uf7P+iHUT~EM`Rv|6O=KOb)yf zaT=zdAEpP+B(A3{Vs(C7+u+ds2oTwAI%0Ba{;7E*8=GV8B|hfMx!RyA2^=%56Wkf` zE!>im**>4K#Cpy~=SGZ(u0U^2M#dgsVuQYtV$buraxTgQxT5o!s|3Smdp7(#g#Cw~ z?2$q|gje`o+2bXG#oaGpK*mX@*f5i$%duL5U3BvhFDsqp0!y=3#WhK|mlbJQjW3rNcBilXET z#pk<5E=(re4#R&A(qfy(j>){QiwnfFMSS=r#B~Z~Y zu#k(gGo<$jlRN0h$_*oC{YsQERA2l1hZ>~bp2-?$$+rEp8DXJVvxT()J;}fp_v1qBi_tQMtW1lzP?Mw4l zE}9{seg9OzhU{U z8=GC{i6i*>JP9Q|{aPJ1e5GdxotiV;^W{cxFNGF!_^*4lRyI8i{&A!f*=`8p> zsD)L@VjsSjuCps4XQG!++oVXb(Db!hVXJnKr>{9~ElVrTNYa|Ob@~H43+95jLK~bE zSj;I;M^d91gR@R-RICnpP-hO2nyaz#jo-W^1^63J2ZW!ft^1m~?tC*3NebWMjLx9Q z#<>5}e{YPPk9p!BT3Mpas$i(MqRya{!O#vyXpU$cPxzDiA(PGmWK}d@$FGyKCvUDV zj}-#EEwi{oN_tqaHZg3-$tZL$;Q$oc@4ljR)5S33cq4OtY{k&%5ZlSU^HYTRq%p?3 z9wl^IxwbMnzbFl*tt{Wwqvu=(za=B7q)xPi%A2EMTNmbA5K1HF(M3ulmGcYP*>~U! z(pFxW#a@Dvf`P6y?g0j8o(ExS%h8LYnH-Qiqt%dU;29l}BqaTbyBW|1cY;X>zamn0 z*G7AU$0FT;*_!XkMhcN31gMP3$)e`l`=fmcc^Ka-tvP?n1%6Yw+ZzBjFT&22VGDr@ zQ2Ei;++V^8kBpq!n!s3J?whYiu|4$3xn@P@Xk!yJrmN{4GD!5Z>#wO7bv$5{5Rjir zu=$claA)eAG;dZ5cG1=HJ~6zL{&O&0OaM+4?l#Z9bdDiZFVUCx8hg5orP? zfGyp58*00V{A04>Nv%Uj0rW0gQP12&R3XtY$Ozhu|A%OZbldvBe*=`3wDH5Yuv`4+ zEaA6#C=D7P3H(j=v%M&nPE_3%-`;aRaD8!d+R=P20xVT8uic}*5KClJXe$U0Yw2=E zOR~-n-}AAVJXoP4=N@)udmf=AF7+mV6|@U zB?{5WOd|JjJjG2hK$FSXaB1#DjZG2ZP^{D?n>kRMFeHYzu7T=qA8#1F{~xvNJZcih z(~^&ZTCPFJBc%oM@IU*rg;*`+yq48z_+tiO2^~lzP!J89J5dtj+GzpE@woOob+KRMF z;x3q)!?ak_7>xYV)B*&1)myaZ^Lo&{?4#nN2TZXJb;$=?dW=Ac;>K?KR1x}!j*E1xp<_(H z6`W8CpV~3~y+~rWB>uJJK6=Sni+WGuuQv53>BM?J?L4ykGT!{#|CO%&s~OYD+$ ziy0~R_8t;_-G3>=A41@cT7nt4O7&m&Kl^3cf>UwTHOQTPHjC$tx4hDMS_tNjSh?J1A9040lMffosdJh{7|)G$@g84(um`wD9V)Dba;Lsv=lZc< zU_DRF(zjVXZz!D5vBn5pKhjr2q>&`j$9tjXomYEUCv@%~*M)BtlhXpX(icfGKR%_B zWU8>8p{KSem+A7dH%0RJx(F#~STyCC$ZV<5a5F8XP*stk6kO}gzB{m@H1W<)2ktk+ zg74|0k;wdNwlXY9fh60$MrDR!urT8W<&Ev27I5e$ZI^#eVU93{+wtRaKM`DoJyeiH z%7kOwP@Tk-XVS`2KB)!WGDqfr?K8eh$VWzUNL=*5x~ki0uM(v*|ATG*#%yb~PF-~M zRJr|pm#q$CwE%30qSg&`y2FmE%bn8o4DnQ2;8?=E$D(QVp6OYxufL}Tzo%IHkruC5 z^(lDkq18j~H`F=Qx9Mvb>H>JOz~@44`63Gfx7ZSp!y@IdCb-B7fc*V+@a(qzW6M zo_7*L4rCGu*J8+rD=ZV-bhZnE1zMe2} z(}Ukh9t5Fqd4404qkJ!ix}AQYI*FfZ07k65nf+j79Ng1hQ|EXw`nDHfg$4}k+#D<)dg~SZg5uBWX!zkr-3Vh>IdNvJyR#-*d4Wiw0yGk)jK~^E zdWjRrhS@}PKsX7Eg)K-p0O-QmI{W}th?Iq@k>)uQL!Z&k^#bV=p+vhRA@^%x7NGqb zYv)8kL3`_yYv;o$REm*#H#C-VblpcwCw!vIin9j9FxpzKBf%B6&piboOe%=H`u zbRvq`&q;^0erx_LZGokQyu@y0lroX|*sTCxb3p;Fr@+3&%ZAIy3HTN+m{A-&(QW<{ zimQFFJSEg7kD7wGc58Nr-X=~&m&Tnn(5bM;CyK>YM=`bEpRv&h!szPgnDJHz)gy58K8G@O@=xH7(k@MkWcDkeS<(=Acjg@KsgR4F0~ z5tD!0XR4>VjVwKiNyEuimTrHQX9mHMnPKKeAMej-`Tg%f%vS;Rqd};A$ch~e4r#aG zbC(%0kL_H(&SsrmRjPsG$}WD$>~>{5&X zxv-PgMwJn)-|%vk2+Q{6)WOEZF?QIsqy-HiDt?w=y9a-h;VSYs$3EVNO~vvdm;yB7 z>hO`AvtxfE=xhL-Q8>oWC{Z;C@K4fM)wU~M^%2D#CM%C38wlhIS_6k1tAty_(m#;V zh)!TxeCkRtpEr$-k59YX)95@uBEud&>D}eS=Ek1B<4E0>^`OLx@l(Puj-2NbLY%rm z9>ZZLdQ`uvUo71wXk#GMA~}zgCO98cy4QXyCO{E=pgT5U&FiQVyA9zPyew?xvvl)D zSmZ(yl;i82B=;g+xI4nc-?%F5TU|FdEbS@th#!{Hb4DyerVDz5Hc@9Gf^~-evb-<{ z{O)`w2@(*SfK0RVt1mnF8FJ#IyIG<85*zC%sU2l|PSYm_qKr(~`0@$ccMtNrCFf^I zd3H5=&MI?j?s(hTW+%tY>$E@LmD7CA`V8MwvuYyuDdKF*a_7Ti7sC{js2G)VQiCeF)It z*0aG|o=LSKOMHYK^{#G6G(SU%=D#dmbc|4=aELwaB+oAySYq_?l33V}N zv{{cArs|TjgTY6Smc6%@`17UOiwwihk4VlH*%AA6*?dlpo;MD|SD>U%4(*C#IjZLf z+#891*W1BTc#Lk&tNC!=#YxbudZcDLLW}|w1hs6D3+D2BeEz+Tp#Iw$$Q`(YSBn8D z54>+Yl4v5qXb=>NY#LpFO(Q9N3IP-!4EZ6`w5C@ZOtLUZ4fAFUzJU; z0*X0U1Iv!Kq2=9!C(`diw28af@UP<{7P9+^o#T$c%V&qoe8L!M)4uZW^k<*s85k3` z_Q2K*ZOX7PGmy^qGRDp1aZ-yWIgc>5#iD8M$G_6UrNuWTyQXFY81&ag>A$q!pg|Bi)+N}MXlD(jx6VYTdp61ez(3>I5T`(L!oCKj2=`_P;&H_~& z1d6dthh#`~CT_oySte$w+_mzdC$!Z2O z@q{M0qR|ig7geOpE6q`Rhafrz#e}R%a5%t8DYCDlNO^X?zlAQxpj4Z2y0?2^YGAM_ z-MkGmWrI4jjlpP@-|&vLU$(J!oYlK=W7{c3*)Kk8_d2cPShI$G5A%rhw(ab%zr4HI zvF5Lc>r#6*viZ90KXhP7t<6PJVG1`m9*lJ@>Wxnx6eZlLP&caAAY@CT$D$imQtRU< z;zT)9ZAJ6Om46V2TwNV%V{`&^=JE9jd))$_hF-vR&A&yXGNhAXfmph-)$kLD9Ch*g z%({-DVie|w4IUX;8<)!)mx~)p2SDqxMLUn~Oi2oyMycrjxrJ&Z|HX%~-P?N7gn71! za2V*wYKF^JpdMjD)rI)6ho(%+S;jlH`@2Q!Aryu6T~l4Vp^O8nq~ijwyFu=z#+6e8 zO+io$g-;H(&4(s6?4G}#x`)j`-Q3vA#mAFo($YB_bj{iL+Bu5T&s*0>!v`eMcxPop z3ORb&q2aXjT)teGHCHfq?$bmQvP{L4@JG$%Ze`D3_e)h=$54^dvN`-U#6*z}g_%0n zp7Gl8ovw3o)m^1Qnz|!pN3oG8%!oJ6L%^xsU+vfv^84MB9YI-`jU?y=<^r73}j_%D)mY3Vc#}wAfkGl9p)4NXV?PhI+U= z>p9$COa>q!w7j%OWWxrlrhVf848Dcj%6n^nImqErhwl~EKgwZtO_WOlx`7P-mNVE@ zhqU@*2AVK@K>0bO;oM-3FZO>L-ddKvuO=17Rc?#WIv<7g*TkIpc3Ne8CYwoEkDM;P zYWx8z=Ku@z|7lUz9+QQfueuSQEhaJ-x%5MQJnDzzYWY*yA$_>p)Ia;gh-iQ@^Z!W$ z*r2&-H9g#(VuTIv_BS=P?~fe$HZ-D`?-Fm0f*5Lksf@ze-jnX;3S6-hN6B>Mi48PB zbtH%ME~%*tpVDZWvdF$0TZ@lF8k4_#4mBZc^!SAAFeog#2!>Lr!(lKQ8m0OO6*& zt6LOGcT4TE$E(~Mput`7%V3-t+>z-PJZ$z=16`34^KghkGM`e353Bf#SG;|urvKG5 zRtDq)4&~5*#>?T#B`4Q#5#xiR#$P4idgB~iwc!wiZh=Q8gmv+&z2~Ibk77^ywZciB zw~Ux!5Ao+YT$Jo_Y}+t#@MKLc=gRMs{|BMPn<)!9n?-ub`PVjrM!uMM3J;nP$%j4E z9dcH;f_HC_(KABYudVnI{z=O)#FJK7{{^1Z5IpILko&s}_b1bwsIhG;}jw#~o= z@T}+WH!Tr3riOqME75|KO-0rb%32^;zSRY?8(Tn{x=K ziL`oMQ{$_)&X;aPtu`}&T-#neUw(;I@FJ*ZbsS9k;X6fQE8~AW_&uVuw&Ey*2>q@@@Qo4eFI{EdK1|RMmy?D2+uKAQ? z>t~mE%P;^)GWiXq-SSN!_8E-{Ic%k&X-V5EzICc8%~TF?vhHTEd2r!!;6z$l&y?K} zJ<0lXi1Bsl9EQtd{AOhsc(Ll^j<68reEka{f0#2CytO`cRy_Ea0&(egqrTIXKe)#+ zz)P@h{CB8qVX2vy2g5R6W>{onI!-&j(VVN&lxTcSGNCO#My(O6U7W?gV6qF6(n|#a z<803IxnI*IKA?Ytn(a-+ahTSeMtI#^8;oZhmGb=eu2^j^%W5d<tx(T@P9`B(I+~9ElvS;inX}x|1vL)jVdzhFle@l-2(SQjfw6jgkZb^e&zt1{uUX56~#II%)o2AO_-DyPTrHXP9 z0E^)2jD2Om$GT4Ze|UyE3_mco9E^!96TAgMd4}U#kmZHOB)Nl~k!7f8%c$||s*{$I zb;Z2E7YgsVa%(h#I62E5sx+N=QK;`yg+q%76l03X#zx1xsiM1glBWSSXLTStPDU~FT_Y*Q69EvkJ# zL!V8z8U1qbpK(nH?($?%ScEb|{ zZS%YW5=g`x-qDlhaOv&|eTkgzPtKZqX$j})%y~jgw@caKs@`1QrcX}QCN_S@_F0Z` zFGLUxnmKpBTuS#V_AuB>R_^6LzDRY5wv*Hlw4l!>h2YL&Z@p!d>~|iN1Q71TVA}*5Jvbi#vTL=s?fX z$1c#U?L{aRuo};c_knlsYuX12pCBud-^)(BDcNJNjhzyB~KQ#g!EpB+lT2x@yV)&WE4G3193wgscRo^ zJQ8Y_yW1WHs_|-hfq9%0a={e*<)3v!s_|Kyi`ZYyy5$45M*54;ik{o$%Na!?m>d$Fv<;BWq zp7fbq=`pF=Mg(&|(Xy}ZPx||tJyI)y-#_FZgn}m4GId>+xK_{x4(1^JXN2nlGL?G4 zYC>G~hr0bqg^=~2ze`z(%V3dcFhGW#fUh+ks0DB-*8I`htT-J%q5Fc9##;~ZmY&}L z$ws7yZjRj@s|=qcr`CS2RR!nS1SFdS^XSW0aI#eKL-PyXnDNy=`Wx!w|KS4!KS**R z_)S`9A4J0w6uOj89O<+l^ufagdsTx4ck0FL_w}f{jt*;pfp~gXte>du1xZ5#$*JpM zWzSZ*kAQ*>{L>3hT9sy_3LZ+^?7(mNTPL1Hd7PY-`^1RZ!dmumqw<3 zyKjRkX7G#n3l7!Z$>e}JZp{FFq=Fs0gjBF820>>fE(Dt^{n4fN3Kt)^55FSnUQuf~ z?nkD;=?$=6huXwp0=Yl)#f+pRp$h^&ebVAl!Kl880Z@al3u^G&JE(LeWsL2%P9Hyv zx9Cn!Tip|5hRArP*QhWM(U`d2@y@P?v@@Lc;INBU!e$0sBcy&2~8vWev0lEwY5|KN~U2CO9`d z!mYPG0oQgtGuC8UU0Uto+Q*m>33okZ)*sBaPX_|dFy;v! zK3Q`m+cZKvOyg0Dk1Y`l_BU4Q?xiXR#PX$>H zbu@Z`<1o|x<-G6uwV|%ut97N$nY$dV04>ND(<0u4f*X`08F239fG6++^!b=^ApFUpIK-4 zPu%qCh9JxmAaW?)YryJ9zPr`v&tfZ}$^E7fpPmYx?dDH_6v!B;V@(Zo8OH|jFV4^( zF=+~d3VPoFcDi`t$QbR&cUObl<{-@vGDhma)SY~D@4{Z{b6zN$FC7;mZ`JZ5^DsEo zIR)3j>o{TEyG~gjS)Mw4)OAsFDDn_v!Q7LZ(vEoRR)BUQc#pr4oOH+dnc-()fJ`)+ zjvVzr(g6T8EZ4t&B}cO7-T%Yfmxoiiwr}sIO~a;HN>s{_G0K#wt;i4>C3B`q#${$% zNo5x$nI#mZwhS3Fiz1d;nIkiqGA*oS_^$g|rd_?i_kDlg_s93X$I-F2N}l_A0Vif%To-)PFX$=jr=v%QEtOq1$KaHrS|1AHCW zw93+=P3R@U2pZMqqeXsN75ej4ATU>@J615nNuCYyDM*Jp8Vez|YTU4`=b4NJGzXv@W0Fz_ADC%{_JDXUb>%Q>oKzaHZ&212{2{xT_*v%FA=tkb93wh$S8|#n===~mt0e@O< z5D=HFD{$qkMfe(xt4kX5S8tBcRl~*PfyPuh*W0IMojzqaB3AisDHrh*s(RBMfXb}i zD*MbaldU)*w6QolwyUYrh5=R`rtvkBj6LsW1x#F4=bPxf&|j%uqaoeOeW_sK_OY#> zq*3;{?@4`BkAvXtMvA2p^fl7I=MXN7#XC_tzy{wYja81-O=L!(`YonO^o5%f#TRPyCx!+&=R9rYIKCU0nc;Ox5W#Oj$a#Wnt|jq*uudOJ68q zi-&tFy5(}r^}hsKB6)T_knSuFGx7`9yeP8&kwAGQQPj?602%IHpEnGENewEO zajKi>_&dFgJ`yyZ4xqs{L1>cfM3MXL!LB3)-5${MtJu}sZYaTG8zE7za+zEkHyPv{_ie#5!c+ka*;>cl!PrlG`8Yx0g~27vwCP+s)sY)I=8Hu4NwvF zoqabqeeOu=aF~=c{GNFxd(1h(h$$5yEKb+vZom#qx@EOOjI&c^^DWRTz!TCc`=U0Nu^K`2K4SQMl zh=k^v?fjM;>6YyU3#Z2Q;h4lt8rGNmE>?KW#w5H}X&SMQ4qS<;PHeI<`#`x7A)rrS zAbHJJWiCT(gZg4h2p@FyA?OrRb~j~7%rvArJB!)a*A|2ew|*wHOKB?;Msz~I+~P`m z|8R{<`6%f*fpR}8I{ky%+}PCEm$}(L&*Or-4Iphl+v2oXg)aZ@KOEisZzZoo_pt2N z4g{Uf`@h($22#F^Y=ACS8wL1^ax6K-H-enCkvwOk>ixs)lGzfq)udZrUoP0PM-_E0 zcB*{{xv+Kv$dTvGj_ggt^7>V{SQ@2Uty)=*N4`KPKQGY`j6CDX&`_ashv){pmGS*` zZ%(V%;56!MKZj&w4uUUjBz0bL5~7-$Y55(EKj@d;wS{mA`Jo5!{wxO0Hz(pW$i3zw zev;iK*#}ed)N)#7djH6AjJcNx)4$g?>&SDGhNNcsNaoz0pzN3u zRrK!VIHfD69btW686><-|w+nJ2BJ}Rveyg`PAk|tE%Qqv>D0f#@WqR`?a5g zOB?|ZPrS#dazpw)ylNB8ZMaJZ_#p&@)Mdd*nvU4FI6P(rs&m(W@4htR3>5SO!w z0eoJ?lfB>G0IYMmLU;AH0u+)z;NQF7fB_?~Wxf#X`Ql(@CLd^)2sFe&Qgpf?yTs|u z>i~)s7paH4k0;z>JCFWPF|!Ym=gcO0SR1QVX1&k$CMpkTNe!~)jJz7c8#^BWlTVrbs zYWmi3gq$w{ahz)q9xt~49aw$P^(oEPf~P$&=N*xM8sMMxfg)SA(ygz~2i{~q823B0 z?uAEq9;7WPDI$}*MJ)C_5wX1dLu5|Dr`3c$Ns@XzqZLa`s)eL6#@BMuAm%@~-iM;n zIktrXpEo;Zmpx)2=5VFEz(RQVGs{~?z9(dlp{Dm->7U@%j&X%5wS0e;f4;dbE>ugI zFk9MPrII}(d+AdMXbzt@JLU7s4I)dW&@$s+-Nij0MKnX@2Os-to#_g)PO}Db!pFZi z_@s#YgqR3$W<-_<_F9!`X*q!_FZFx^MTBXPSZT_1=Kl~ryvt@L+r6SrcJuaCZZAhM z#0cUCqJ{!6id(qB(1pEEHx7MdmKkbPW)`#NkeC^(j!1ajostk2Eo$fR1ztnS05O?# zy`Pu~;j1z9{>-I(8EL&gqIKzhIL6$4=z6uTR~eMoA<5IQ@pf;av3tSiJUcr!U1+Pq zl<|3$%b1jwJYg&hZxG#5Lm5B!l^*{sqIU@Yx#(}>xn`nWHJ{7(3y$T_GkR;jP)y3k zd4|*#q((!Q-baQjf*#XZuCnO)J;ol#4F? zaP6;9p193-cv58`JB`qHV7nfq)VB$FQLBZE}k7^UqyE>EhB#!{G7CtFP>s;XNi z%JyqJj<^W5Jtg0ZK5@SoH@McU*bU;raVG{Te8^eh;b`;oFr< z9klHyvBP6~kJ^30*!6y8_}Eu;lPR2;1`m$6+j~^8rua~huioZ*Hqr8qVn43luF}Vx zEBEX35FL{~j0)7n{qAK{PzDffiqaD!4HxbFnGZ~mJtl1KI(8#h7H~ha;E6N5ofe4V4GvKw0!;;+-RhXaKxV z0*{`=_csJZj&KX+$A{_qAG6Gs!BL6Z?W;19sJdZ3EM&*5X17RR*>H@r$(O3SjiLp-Y7vYW+e~NgN0)-Swq} zTb--c;LIKQdIEF~s7(l=A+s6+P~kxiJI5&#P(bMWslN4VXg1M;V_UN|)};OV*As>u zdJb>B99@_x0$v#|GmbEls(iX&Z}w|pO4}Zm-gX2u1@P%;pZ7OC^upgA{gcW4Cr0Og z4)R{~;xUjB#jX+=?8O&K(rnG{&CyoTnVpal@rr4cpxN_%L`CY6OV31D&-fGSgKL}1 z`%se!+$Ojtnsr&!X2#MZQhO9a+|YaZV@vv?Gta#VuWzfWh!+nF=Fr*awO#Wg&sfW!Pz$jc+e` z=k{izI3NFM)HS;wP>+Tli!fpWfWG;TOoRGLk{#XMrP(prL&?o5(jW?ZiS*3j$M-aY zISSkfGL!1$Rl>}dUQ-tJ!3j1&O4{1~rqHUmtPzLaHC{uZjZ8x10?b=jL}cXk{gki$ z+S|f;xb6j50zEr^H^@f9v-`&PKlar-LlPFm@S|+`vCrR;S;ybOUx65`gqkPyAO8{s ze0!_v+s_*OQ4d-`WOJ)3h8;%mvES(yh`O8V=l!Qkd%^ur7lay9$l0}yFvkS>YrO|J zjm2}vK8$H#_PwpwM7kB_HsmT6igb=}V12pPSI8<)&9xBAOYqEnPjWZT$*$$l95VYZ zHs6x_=QsNC=&(oD{fNDcGJS%af6?3iM+tEyTvv&_oJg8G z^rf!90k*et9s4fEL&g9r!kn1ndjF@l-ppA^>&-r7EQi)FWl!e!kxpW7O^gO-vB>*? zaU&h3jsSmu*|;2s(XPJ}u6rCx1{VQlVaOLuvpfR>r&D3>y7vy@h+1UairZo1GDD|w zzPoD7H0v7a+|KoKp7QDS%AT~?h6}RUOnsR(TDprX{r>&q#v^PO@FxARZa@PPA^9yD zr#%=l@7w_wZcq09PmR|z-?=|SXHdk-SE%Jlzgx)=*;_dAu)rM4`C< zkx{mM|IAM2|LI+K-NTNMJ)jeTq#GeXwDx0qyw{ot^Een_71 z@5U{OzS<)ZLyC4o6Hewqc&(LuF4t7Z_2yzer2eK0weQ(VjQ%1(dxLaseK7YACbGPd z`onL;HTUd7#PJH9(R;Am5FX^0PH-nKrSr`LK8P{$|y>&t{fd*aha-M3A-}%*Fih znAi#ALwk8JzP9$u;<(B6Y%D-M&WUEzIb`gYWEglnMLx(_VBr9$HQ?h7ZveqK4`s8=`A3vhWxBupmcgj;pqcWhRP6IdyRDqtL>kyl0u+ zYAz%1#>i5W=Nhuw)An{9*-5qXop!;ZRHQZ?x~&=$crUBWO>_Q6dLYjv!HX};S4y2PlG zn0G;g3=T+U*OlFT+PCO^663KLKy*3eKY!aG3fHfi&s{IAl-V$Mt=VK?#ckoiCP3g@ zLKLMY*9VxMTdA?{ds`GKk}z%)RW-coXiCS7&8bFv{fC6K*3~gbj?D5<$fPl+AwFh=nN-|e6DMNzX6VY1| z^m(uO)KqIez2LrQG5&=Wqc|hW?dYleXD-8)qVf%#hQy!eR?uIxyy7@g#D3Nnq&1vX z0o4qpL@>{tI2L&^?5Ld?%I^J%kfv_6^)}jV7^wv=y~US!Xf!@>0%(p^)hbdF2Z@em zTol{Erl1t_mQXA8n#XuS6{BJX?mYJ*)E*(7_0`jaF9Ov<5lxjefE7@&2A1a-U1bnqg80mnK94J0f!> z5uT)2W>Nb?Eiz4KV}*%5jX)~ykq$y$89--`?|K665`zuNCRhRzO5Cw!7IgYf!7ReA zHCrTMd?UIzfu{gQ0`npi6R=P*Y4LE#+QVzv0ky^wo>t#pH&f#&AO#3g+av z+G?q3zv$ljT`XU|9hh)gePQi%GXvAfmYLgLQ7T8%q7;HKe|E9+f*>q9gfmx7hH4{P zo7rbkkYah}nH!8yebJ?NQv#@d(B@5&fru^9m&ON-R7rWk#Qjoy6kg4@@du+KOSrER zkMxQW4K%^e3!@hx)o2#%G6uG8RiSV1KOSPfc_58h{jj&%X3+!QSI^Wu2L2b6Uu3)y zTB0I!7K$>XYv~u*SIS7~`nlp^e~9D8=7~>dRqoSG0@i0)aW9|p!GobXMkDpY=ZKho zk6GjrSJDOq1Ymu;Lu{TIj_;50RlW3Lk&>idg3HdUJ312}1xODb-RB(W3_5ADG!vTs z;Z__`7M?8BX?1gskyxZ=O1hf_4(z)XzrZtWhn@(+nN>>o?_T!_G2T2L%k1r832;}< z1|Or)A}F7X2x>THN0+jNN=h`GALAqV;$h&b!7mnCy^BneJ1)8Re#?srb8)nehjbE} zpE9Pa>9y0W45{uJa+a-nme4JAQ1yqCQQNh5Wq;eA9cw=W)wM||*1TylwkwmCh0S>F zO(urT_5Cm$^f}g=nrJNgK;VMStwBCk({Cqa08De$?q*Tuj_q<)gxT){v*v7e6(+8% zPAO4p&RvybJUM+=?mf)A#7sqD{J$73mFT|+(n~iRy&>sSm~(A)n|H29Fy{(w4I6N- zg6)yfHeTF5Mn*+O9l^&7!qyQ=nT6SKmtr z#+n8cmW0w5jBZSan)1DZm)Ygm9l_PR%Vj#hmu0#*dDfi6u0qi@K-`=_meZLzCzc); zs++e#6bn&KQznXA@*glsO zFdpllQ1bo?s^{2Xq>YjhJPN+C_y}ho{H0B>_S05guw{QNKxolgy$Fh1pup<((7YD; z)b(4Q$j5F+-{H)DugC!b`}o)0s~jCv&F^e~u>NrWHP-j87H5iWlv5s9qHuEi>oTwB znYHcdEohY-gbNK6i3fkO>hoY+Cz!2F=F<9URIY+Rt^1ynZsdu#4B?5lQ7@!r?o=n8 zBWt|AhC<8uqr&QF$+?RSDKTYN=`kcnY$6ya`OB<#@pyjuVRRej<7D4nfPp1}yUuql z0px1|wxQbX9m?wtd)C^H|26Fqa=wb2=;_k~MSfNP(6us|^6~}rZ$E@%4CYE$@-+)2 zQ)=XfJ&vDxHf{r!P|bGtsFUlxHok?)2Qy+P$b3~lR}83vK*H>c*_dn{XxDR8@Y3Bw zH}nDtbxX$f?pZRxj>-r*IXyQB^rhJs^Cy3+0jS`gr&qz^YRYq!74YYov=xH$+yk*K z$lYy;=38<5&`=I}G@vSV`hDV)nAG)KKCX1KGgF*vqnGm09+{pwA3@Es|4t1lqGs6# zQI_4A5V&O`7M{_RpTb{9quHN_uY>5 z+wsHVE)f48!Zx=m2+i|hm~K)_0y0m@>Zjj3ihO!OypeO+Nx}j-Aq9tWLv>K*t>eh# zFTUb+#8bD3Nr4wBJRCi#>Ud7n(W>OBMhf0&rXLpRq8!lLX>VU9;c9B*U^P zEjo4IV!qC7KlwFx(6qOd&|yvPuXc*g>7yn+Gbw_{s7cQgl=Nt9-tx;%RPH%QdY;@X z+Ot&E&_kQ#>^^sT+4>URt6jmzUF6FSauNk<_kR;jMRdeb&GIQWZ=EwW84b4HHg6!A zJMX|Y)dVkyX+f-S+tnQD92@!XIs@}YxL6_EbmKHGo8Fj9C%hkY8kQaI_ zE7$4IhLLNVpSX1Pk6bXP8A|oyU#%3aH3){gl5alh6dDg1~e1kS8YF&&HB$`=Fd>e zIEZS(oH#{b*#*yN%>-|dkYcw|v{IH=pMMv)Sta{`@f7DRi%}uh9!gN#ljA6}?38h4 zYG`L?`HE9d`Jl&jlcv2QRE8FprGDq}sv2_21Z0Wgut=4|nIGA#u*Wl?FeP~|aEUgY zg&JsQS9&_kT_Iy+#EdZ=fkjcx4ubf~zUCZ8#r~Zr0k4j85W|09XX(FRjG=-3r6j@A zR6D$n>`;B(uam-DC1i(piMmU6xPBXO=d`Lyj&S0Xf{~*f85!Y#1SQB9jn2fi9#V`| zG|03b-$6}ajOMt@MsP-z0;ocMjHr*mXMDwqVzZIx$8{Xj7s-yZ@rlhmsR;=qU!R+f zpEUELmlv(idM$jm1yIqn)C1|L*gU^-+Oa#cK5mQZq^h-l%R62vB{R~_4CeV)r>l(! zT$vqNeApLWV$t^0xa99!3nZoobH=mBSei4=&9MpOH`8X0Bm&iN#873-DEm+)6mk@z=q#CZc`4E%sR*#RYJUa=T=R; zOBXVKgi(-Aj05Vz^83hIj;4#!t!UJQB0)!jltr?qn+$n+cOn<7&gv8v&5lBnjLe>nYrW^F(G)(*5R;&c6Oc!aL><9{`A zdwt{z8uJ-FwJ&GkJdn@wMTOYZ0YkjMsNInZ(yiCj(KR^?$&)S@V(C6lnYa{yVOOOc z-P6uBfB3DU#YyX_`;VVA^~!hJe-A)b;Ir7F>61xa1jU)xz<1J?Zk55llfchu*lTKE z;67!i>W+!w4{=Te$I+vGlLY0ljB0aNT6X8*Y9~j#E!51_uCe0QPh|skhKrThvJb92 zFa!lR;U+E4Rz6XZxV@zH7B+^4G0ad;D3S1QAM`PFP29Gvb{HnrjsSVU;sEL&b1lHiiy7{YbnGpg z)J)vS%lYoVzPFL^ZF>IcDLEbXxgJOLOs>l&14V%$O`%40xy1R_#i!pH3^zt z1A7WlqBr9Wv*kMiAoz`p8tKee`PYgBpl%?WgYTQ2F?M6zvgf-d3Urts13K{qprBS1 zh8B-=;PyGh7P^Yl4F&LO?W8hzD_P?13rOzqh5D2y#{!&2EU=X>**;(B>d9=X17A{5B$^%7@0zO!`e@fXie<*4WrY zmY`^sO`rJt=%L1Bo+on;7$P|+J#H!wXt65zhC1G{+G~JR*ROzsx-xpM(c z*>c^zyk34_l8^l-<|7c2Zp+CuwF(xW!R4i^RbDoL)1geU8zZ%bOagsA+sY zny%-ssnlBTCl_KwygejSTA4@e3KtJ^7fkoTlO_Ti73OMm3?6hG^46&;;Vyzmm00ZhTWnk7|cc1Qd{l$yPB)x-X5^!O6+Ac@rUS@#dMCFI^ zk*+Y+MQEz4tDiR~eI6n5{^Umax2~AWy~@GWOTAaQU0|Kpg(WhLoycj>c$1vEE0*`A z*{;(}Y&5u~Vfv4+_uRHk_MdgCF3*`(vYD8;qm$*IJ*5+Rs@vQpDLu{f^DQcBO+^{= z5v9PQrs@ft$k;K9PYYatg+p1)uHrAE(|J8^o4Ry5R9?vc)eVEZo%!}AjK-;zW_17? zJ(h5?r81LjW+8s=0#e~$uwvWokFH15e>(S1L}6c_HEl+{1fFO|yHGhel@~g*J}hCO zBJmOTw8kbUGFi1QUTRWJJ-Gd;oR9qgQ8`l0xxo8xqiM`a+QPzOt|^ZO0=(()(n$ro zBo*eUo3JQq@J};w{jd!Vt1UL`=we{H7)I^gZByN`1c?P|SFTf|z$n9z z%h(_^s?hLHsin0a9i&f^!r}ZZsyHiK4MsCWa^ukCD?VApi>Ng3AFLj*Kh9WQOYXHu zt42~0`}hYzDGxIl%>sgD0yJb01%YjM4{;VU4w67Pe+%xlih8+hr9S~}MoS{h&2BYh z8iAxMPD!yGa)0|4`F9UsxMHH}e#_85`g>&>h&gwwAu5HQqRXc3ajQ;t-1`>|z^c*Eq zyoU89f{UKHAl6T#szkWgDvC+W`pWe?@-`zIUMgz4>l7YnJmFx18fN5YFbimL> zUMP5h2vE>fcnR`VC&uO-58AminiLZ?7iJ$+qv7Z;sTE{0gN~BsZq*%VLPdURl}fDK z6}@_f@%gbnO-R+@B6jvcQx^sXA|B>J13+Yh-IP7_*{WgDUUiWVJBD4PH6aZN7-78z zBi4uwzY0Iy4-OD47XfFU&pb&lrC^ZZYD+dgLV#`%RfvlAu%m>Ra8&chEo;D^fN1Pf zJETKE964SC(B(Z>=$rcbFEp5z3W{%@VyaL zYvoKpeK9!Z>b}LB`ENf+qmFynE(}UEu<6L=V8^=7WrArgGi2CW6T7Jk2${iYY+9z? zv<15Y^v(dJA+3jjKL)^|^*#+=5V|;bQ{)-hyiFgCDqZg9ZuJ8=hCE<_(CMYY^zVAo zdQ8_I@4eM|EW6*s4Xsv_eRm6k$3~J%O@t`vO^3a0ce_(yLdX*_I;|Mp>rl^yE)iOz zLO=?iR23w;hhTy`sDDM zEV%Gp^jWFxc9v6nX`R0eIUk<+GS_PW^DGMlq*x=#(NI{E>=1WjV)2GAPqluXg(152 zTd{D9hD>kBmX%BRIdQ0~(%|X4qxLiF&EW#WKwkvgUhMKyDn7#KDBT))qX2+KsgGh{ z@n=XtwzPvTU%iOvON^fZs+-?Z^HD=&0bB#q?S@MEsf1=5J1{ty-hv>zh**=H1ICLt z(xLm#m4#Yc@*RliZaei?QlCf@iOpMN=;a*DaB^)C-amQ#h< z-Mi7u8vTi{HaZRh_?h)AF>SWZBZJS!)(|N8^Ci#yKV2&Ycc zdIqu(G>v`vyopA!s0%YsVuMrl9j7I6jh;&1z~QNM-;$BdFjgMcggG35$t!s1_#l`h z4Crw5MDC^UAy}$29S8TSwT&aEAYC7;t=0sUlEZNQJW|>uGGT38^s063{M+0IIY!+> zU&=`%?||kGPzzATRNWkSk8YEDHrA#su%fgiVWF3Rm^HJ6qc!!wMP4Ejc(Pw<3gX|p z2T$Immn?N;s$#VfFYfVh6e)C-a6Q6OT$Q-YKd z($p9t+hyg^%(9%XV3o6?V}9-4iNKi^cr)od*^Q>v4x z`9bqq5K7dsd9(lIq|u*o4Thuzg(hQp1hZ-S>_BaCM87CXHmQ}ZKd_8wr6sXXMPC#1 z&QNh--Wi^^>qY@kw9q6M^e%4U$^_TehMcW}c?JB1uE)?~{3cAbZFOkk8nCiia}e<*Trl!0(0EW;N-Xbt0j$H=UyEETb$mu% z{g5F+)2?AUWQQH%ZnG(4_zC$*<-kW+mFPwK5+uk%!E^Pc@dSJ+d;b}{MZ60bF(@t+ z^8gJ791{OciM;)Es%hFOCv?QU!az@fk53BP8o*c1Qj62xeIJ|D@3ZP<-R9H~p0yzP z1j-ti)0N)pK1^$Wy$08#U(cWyQ2inW>O;;6Oi0CtZfri2pbN01A$PeF8v1rF(CaUr zLka6?wPrk)3Ct4@Km2uRspU?#woERvNiK{kwoj_clRhj1^|ye01p{KMX{U44b|S z#hjf$3ucCGn$VLBNF(_%=&2%p zwabz}!KAeyJo)S0GPFgR+BixH47HvsPo{YrAWQ-DihEq9Xytiy@AOgW5LJAFBMl^zc2L^|W27w>HI$G^G0X*&Z= z+`O;g(@H-q_DPs%q!FO8kIvWfp{L9>>N#&NMhagF2a&iLI1bq!-Dy~4g8AzUq)zJz zOU|J*KA6(+D@7gRS=+Zl^T>5L3nJA}m4@J}|D=SmpL79b!|v#^x&AueOEE~>x? zOD_u4meLC}G7GHOLP$Jj-hk92%9|67%O51*Fosw4kf)hks3hKT>mc-z3SC-?q19=a zDrji~iQ8+W$Uz>+wDO=jtqmlRq(YV00Mj&y2GqNQWQ7HFLQ%WWdUkrMvW|lYbZ_1O zNjh&d1+`|2EJA&G>356yhPse@QJI29?ynAbXF@vc<@Kl$1JJC}DQ|rzniy=oFSF!$ z{NPm{d@cWP+)57l4;BUU$o60oW2CS)E)PQlb2 zSUsq%;*@xfP2s0P7X`udQ0pe|U2^M43G;gzVw4qKa$tKZj;NVAR-MK36K24ehe2Y& zYN~CI99XjLCU3;ZfvFG(o*YB_i_xg8$&$DeVsn_gFDFHS3orkJqr~IFolOwsSbAG6 z?Y#tUb`*oxzS#~7=|H2ynN)AA=4Yq@x(}P&KTh6V<(!C;=66;|ABw|1r`2BZ*YXgM^M)^8%8l!yGc4Fy;C47A`y^xg!GTB0U0Yq8IFGfN7 z@U=Va)PgdI*s=jV$zmH1b!oO19JBS8qw^-xGzdh-Ieg@C>ts71S1#brTUc;xwj@X} zA|Ic)AsWJJ6h}ndhI*4b-#&~c41D_>9YHT#ulMLwz=X&^GOIPe3uxvZqUIV9lLf_^ zx)WXp;E%%ESR0G5vDPb(G!h97ls+rXW~n!7BQBgoqby?Dq9<9jG>p6-r2!+b)uN0? z2(AoL$?P+2VUusCR624>bsM8yB7)OC2>^pJ`Sq;@ko7+~+9NRU0ky3NvB8&-A9y8( zAQGTl9L|B0FhY}CtOSU3oPDDC`vWQi1VyZ}6-igQ*g@(+ololvFdUy?xCL|vI4Szf zo!X26?pyFaRu&44>O*g$hYh<*!S=n~1x@@_)kbYlk@t`BpqNuTq3QLoQ-Gzv-64?& z0qFM-uW6$~U}IY@i_MDL^!e*ynud|5^z2pLorJ2S@8vV7RB@QE4X3z;pnRLZ7!D_fU_H zt;FHLo77;_G!^OAG7vQ_98qe6K89YXsv%+DDR+o`^xy;=HXzX>=o!?oNx+`Jd#jw8 znvg&!>GZA5;OiP2AepGi*h)INo6o0^{rSUg87E$1v;0B!#^CzH4OhbEP4Ghh%Q zX0PDobQ^q{UL!fwPI{g?{ib>}?4-igsb+qDJLD84-8@R8he2`3sECw-M%}t=+`{w;H?Lov$k0;MP z;DWJZG^$>6@gsA0BYiPtj%*+~;0`U<<@n`mT)aN>A6_bfOdPaw zQw-=hoV$XIWslv4>bDfQx3ZJEbbCFs{Q62q0I+|>s1yht-fL5S&;gnC6Ty?M#hmSJ zj4FZzqX`ouqvJUqYQRbh*8nT|LQW^M!OMZcp+Q|1nn{tb0W?$2UkT)1ptX>ow+Y0v zC@3?#4Rg@Egvsuah%bw!tZpWZ5j2Jh>KYoZ*)?x_dyo*>7I@obMK7n;I~TDJs?3r5 z`e->a9Vsqi(}ZmNEQeny6u5@#FH?td!)YM)47}SHwC|VB^AgPVnP@B>P~a)*DcCA? z_|4OnyCL-BY>R+TESrXuQ4g^-tl2uKbMQc0_IRw zghVj6Y8fri7l14Y;@93=oJLZTZdR;MD?5r;D| z|3=(rlc~fU=KE(T{Xm*0L?oWjAs|YP?S1KRL#OYd&ZXqEBNX9ndQTWU=<%ZGGdHkZ z2h(}0Y``~9D&DRDY>7)RJ8cs&L)K-3pQG9mP7gb{X|J3CX>zv?rDU4@(V6tOW0ltZ zPR*yG!VQKBH~2z6)bNm;NlPJ-3@;l2#UG52YBGnB^qa~r&u*EBYymh#JaDsCuJh3J z`Ocs^$**!HB}Z2lst?^ha0g~jlI<=<-LN>k;&wY7$(u16z?*1$dn3;a|B_b*IlWQ(w19ca+xi1AguwI3DnlLnA^Ck!dH;677i5q4(7#}r z3y$iR^7gGt6qXEDo`w$9Kiysh7ecA8r710pH7sBS)U2Ey*@)n;o)cajRPZ{F`qD~7 z*&zlf?z;}sUql$9^(XEUHj9KLG3EDdLKLIM$}jgNExt;NS+*TV0H z23h`>0ZlbFY28G09{!Pi*3`DrTelemkLumBe8@+9DW}8K1BHuo|8tH}7z8B^pOy4V zM}N6gHBZo(`OY?5QeoNc1>d*|N%{Sr@X(BUpRAFlh1j_TuOT(r!lE$uon8`i8ts~A?%oTU? zQ82{?+f1mo|IWv|Xfsbnm_pi+!}=hImjWAKUkKdbuWfAD_qJl0UjbH|f&2XXG=Uf& zVrIVJQl?u~oDR>_v51Hwf4a~H`uoS=0aXlm6{?WmFQN&?;b&h;{ZPIOZNf`O*%HJc znKc5!1fM%SJX>jsJ+l`Krh@=Dp5G{C_m?j{@L%l8A@&b#5^&x5zvU$~`@Xuy1Kj4f?49}9 zH}dj1|6EIq&nug)OXL613+jHw;)(8pWtclxwvMIdwCT6xlv9Rd7451==RjqtOAJnE zqHJvT7f-wNoJ)5Ec@HE-f?_8hYtE9qW39jXqr_9i+4eB$Nxy0Xs5U^***Q1*E(Bmh z9#Ecr@DlV&TgmyAux2Dm^5OW*9*y6(`P0mX6g&}jl*}z0P5y?yt&q%G*xftFvW;zC zEq3wW9+SrMp8X4X&%yw3;N0;u3g%J-c6=3mX7s(ZPlwk+t2*Wmbqe~&bNMkmQe8nZ z7R=t(XhWt0Z|Niq3NhW@20F8%@%&!I+N(r9&c@4{EjX=_nQno`4y*1m_Z7Dc@#Udj zP&=Bo?gcB*Ed4de}kquy6pDG?cCtwlQw~+`F{kzu{6RP8aN0&^V+1+i>!M z%IMLQApyV%T$^1<7)g8(nM0y{qve<$Hx8&Cnv5O_l~OPigq*JaW+2^v_DtxFs=~+@ z3c#lC1;XRi&DZxlHk4V<;k-k4hZ%NPM=1JeSPFzkMOf^H#N5CH$7!}U1AuJ3M*}9e zr&gVbl~X4ng=6T}@FJ*|GBM;8%W(AzRfr;BoYCLu#nYeWo{Z8gnucH%w-{k|`eOu0 z`y`tCo0JRKf@bhlU{ha(zwnAGWE^GCfJvL~=s&B*3FMQ$TdAXBcpyshvA2 zLUi2q)0x3810)Ftv@*~;E7kd`A=Q0_oRc$l7t4dWSk#q)WC`}f0Qw=@8mssJ3v2!n zwn1fWF>=?iPzNyEx-M}aAT7mUx`Qw&0{LM_c5KQyaD-G+FyJ*t4)14#F&aq=ckL6K z>+_O7RBiM5rrny=B?sK?W2-&M;B3{gH}NT_qiEsMJ--5 z^g)^hO|z$7TQo_MofJ%*d3co*_HjPfAy*8HJ!5fJ*@k;{bu(aMm;S*07t=V`z~0`% z^23m`o7Gv{(QFOBmFT`(d;+J69R*+Vfj+%FjJ9T~1=&=6*1iWyq+EAH9vPvD`*t{M z2_Z~d_E`*3u8gxD0R|cSU92PmMEmv+9!gMXxViH&9xIQhlG8#H6`x7ewqxmfzg1WU zpjD4ycdZ4EN$a`HiqB!g|NNJNfrYRL*C_y(iykcL#PcKEf zbR}FHr?N3v5^^mxA#FZE(%6qe|CI#?KQwt$zc(Z2`!HtzHS|piVN)_gG5-%DoW%^_ z4Ju&#d53Cos(OQ7*^mQy3LLe<<_7B-rgnQ9C%_;$s`xz)Sq{W9V+ACPpl+VpohaTm z`xyGqw(_wE))fUzB4htxYKhoYB6ktc=(E8H;`q?O#&C}4HWUtt_(5n^F5>{)iinY7 z;$gqhZT+1IG%3jfnf#%J$4DRx9AlWsr@hP6a%Mr_HexCLqk*M{-W<` z9!*+Ufr`cH-}JDVXYSfi!|SW*3xK@UNOfY}WSH}m9gmRndO(%R5y%o}86;NZ3A8p1 zeI*lUjMdE-HLzq*0=Ar^gxru42()1}YIffNuPC)S`ob=hmzL)YT_A_Bz#2r&4ItQb zUB!p$^0Q!|*DTJTXt0pg{UiE*mxjKG&<}l)d4CjSYz2Vgyehadw#GrY@t%s5Sb{?F zqC`oe_##2oC~feyKB(s`3BVK~P0PUJPGw@K&TDz6UfT#-ShUxXf{W}}rmZ5RALzXM zVJy?t74?w(i6XDNA_t7>`#m~FP9F41fXw{_LCp)CQ`9ONx=7RsAuXr~f*_%t3gktX~p#wEaU!X?m)gvXhF+V;g z11GnH?Em9b!Kb11C!{6#joNR5N2MXg_@T}{HxJKqfjF3>moFQ}^zDo^hI{4YQVJtq zdxhYT^FgYWLj?c6GO?7PY60Gi=Ou?QISFXt`OY|my$}7X=`l!z88PA^^V{9o1OryW z6r=H;Ytu0CA;-vXT;|+A5d+Rf)Ak4rqV?v=B8Kp}ioT-^8y}?1S|l;41`+KpBw!aNG;L>Swr8kD5#t@Ps}1nM>5LGUrpQeegwDYb*MIr~VatGFUB!37%k zr++W{9IYk?k}F6HP&BFo^c*6x;gB`EUi;Kva3)tSc$LqwSGOj(5W8LH}yb%wtK z+rDszF=fpzA*t2Y$wm95%^?g&@Izk-z610te#(;2LAxTTB$@GtbDn9v;;kaUo4)JR!)N;a*3%4$FG;j?4F!31Qklg?ki@&2Ia<6h z8_R6@-LqqW>QpY5e^6<%1KhqiTRm2SSU0I^1uCScCoiKv>PEQ8Bx0dU<-m2mAJ!~5 z2G~M*d#fGxGrUfH^MeC`6<3gWg_zx5Fk+-O+Pf{x(qMLrJm<`N^X8K}q&esC|9uV7 zbW!9|85bucC=L4_Qajg=Fi;VaM$#FqdY+SVvRi2=Z(B=4HUc8>fRoMmJ{!MFn>Rl? zroYzV*vJZvI%bpW@2~CsUE{A!LZlmqc4m4?Y{+vf9o}&Bjq?uAV=4TXH^Kjp{=Wav zD*qLV0v8K5hi`AVbk1%-vBqEB4>ucUlVN@$r?}*!^KkS1iENSXCr&y|_M3#Wo!oF- zVpCVMG<}3-IB-gL0KuU&grp9<5lu9R0dONl8yb_wXS)110LVGv@Ovt0L&|PkAwqN# z!5<5Ab=&E0fon1aZc5?p1xAnQy30?~lahS*oj+PU;*^M50ietv=w^|Zz)Wd#>gVgz zI^|DW;W0LRu|kfqg;fuR(VB&9#Je<=8_jB9uj}uoty>LAeQnIFzTZK8@hb4h5nhTC zEL|OED+!vdgP{JPyU}AB6lSKG$1lEZ8Srs~3Q;mU+^f9EN2MlXheT0?YIQr-eIcUyS6kn+!seVG|+BbxY$;#*~U5ctxDo-k6y<$1b&5pw)cYcpE$P$t%UjrYjty!?#8lcf5Q zOfEr1P@~cG()8g?g}oQt8T+kH6+8QUvMq0*Edoc#%Zd36?l(>BJY2En?{X$v!O zr@rtCO5Ks@zL^9Q&-QVL3=N6xe{bU6vHmj$5&jy;qer63V57ms8Z2@_X>UjIbqRv!d26AFgfXnwcN(%Ehx^4|6I0U2Z> z=eD3--iDhs;GoQ_Ua;h^+&u&zx-w0@N<|N#Zk|EFd-hsfP@*z58_E%Gw352h8E8Os z_B6IQT&~;r#tw-qH6U^&SJ&j5^|W%kR74t*j(XwS9(=tC`(R)}Io(ZKA~_xp^DG_{ zPy*9If;iD>CCJ%d8>hoEogb$zH1R>INBN1^kx6$gb(R#q29r9V+B{Sab#@+R4%0+m zG5z_O9|L3h?IGb6w|C*JK6R{dne?NNjB|cBiyd9foE0bjgO|ja|Gk0UXJ<0q9%2$y zZA$h=6RLtmrY3ORO+7q3Ow%74>6wLyShhXb;G+i{!D=J7g}Ol|$}bC0o&I~nLfo(}5!8h^_vbEh3g9QTO-=S6C-hXg4tu+@U85%mq zEUvjpG~J&!KM)!yV-38gFLnDy-tfcPkqr;J&9tZ)At=mb$HaEWk*m?@$|U>=U((26!@r*&~`_ zzYeqPt20}UEDN(qa4=BMeDMs5jaakwfw4IJQdkcM*mD6o8L8Q2k}F-W(w9XaU@~oZ zBFDe2&}AK9<$L2g8JWtzq_3Mlr*NkgFsz&Ph6}qbuGwI%O?4k(pf1oSf{pL{Xq=0q z;}njhoXyJlTsUjuPsah3nbX_wuU>6|x_sv!cxqh^f<>SO*d7`1%agvc&OXOPdFeV{ zfdNNAv@#e4wd7z~ZMpqAFo48pILsJutY-Kp_|+?`nM2eRvD>11@WIShcsDZIL_v|z z44ZB9FIakFQ#m6K1`5SLQFAuTHozngqklpoetUD~(7(4R5mh^|SU5x

+C3`YaV@m5zf$9r$d~`)ir3P}RLsU|dl%YP zVieldFC;|b{4J8M+A+U7$3)>=z4d{6roXX;xLqHZ7WI$^*G#*fohXQ~L^_*t0@_7*7dCg!5n_nJ>9K}x2JQZmPHizyjs=I`WvvQXhHoi6;i zxm#8?4+SsN%x?g=8umDw6)4qK!chu_{x5C)2CQ*vuG@w^hqMlg71ul9my)8>J`9uN zISv9@9q(f?C-Y)L`()e<=l>Trz6i?dTA`3)#J6zN=Cd(Ay(;2pakOyG&}GL`6_;xx z9Dd`d6S(Tx(O(+#k~J&~D$?8F8w0R>XcPML(POkS!DLrKiKkrW1ANabdm6RQO2c$AO}W})x}R7JbMK5864^y&{u z*!)*2JDB?_e$jC;1Na@{P5;sh_&-q`LY+uIKfibEwGo+CoeGX)m2zm_Za1WKrf;Nb z+bQ3^eXA&k-54~2`-?@4EBFwaFfC0@ z2c6qk#E(i0RRT1tC1O&V)N%+eV75e4-Q$Ng=UlvU4Fso-9Fp`t0-FkPZ72Coq96V^~qZ_9m{h3&N~moskj~L~~a?__n1U-`3oUfXs;}1npA0m|NJE z%?XKYtuf^b$ytKPe2Q|V7ssw zEh~3lK7i|~<{Kq1-r3mYm{{_vdso&r$Vfxq-GAWTzL1nAub*5M)gX6r4*NoG2WsS$ zz#u&}$xEDTG>**A09wg(mySJb*R3u8DKj0D&ZT@|-tdU`*P79PfjPcdv*>YLZCB=1 z7HoO2U6jpqL>3k|0QD=)Gz*BO!q30-_q0@cA4#ap^cLYY1`$2uM~y-g?VWg7jgc zF02nIN%%IF4V$C`8KzFdr1_h0ubGwWhM0;Fl(fao|U(hpN~@4oNb%Y(%9s#AoM&SyM9%(Leg>9(OpnnH}s|Kh^5!z5_B# z(ZVe`O6jA}n`vJwM_h3`A9k5a#{-JDaLrF3Vxz&uSo!|PBp$2d`{MRnDFly$wks2C zKE(>1d;vu(TX{_`=>AFC_=E7!VxeQu(EXCaDjlA)61Q?4<*0pP6)c@?{03|xx>)81^6K7 zs`G6pgT&s>TR=&z3s|)QC-K1RHa>(E7$I}gALsu1XlfcF;nwc(d!y&w#dg9GWU;|= zaxGMgH9#p_iO#~|n#^^iUF{~NVJ`Ic+~Ag8V=3$B_p(2sB<9HdJK31Ggvkwi;PY5k z{VP5X)FsPU3#cv;0r~*eR^tavI%l6NfQ_0!YcmA{AwWPsjk!>XQUf%|M8#mx+Vw9B7nZ`|Ho1pVkCDCnS)K0&LuUl;nI@J9(-J8t8Fo_4|| zjgP=WVhO29M=4I|J04{osh_6K&}LT)eHq{eP*e2cRDw}(i_nzIaeD2MILYAV(P0xC z>le?oAw|E!Z@o|(E=v&qRdV)HR$8RNKCg0Lp#jqW(7tA?cY7(D%G@Y2lRK#MAd_7W^qm;Ol!c z1W+jFO&ZW@%O`2UdPoZ;-%UnvWXb2H_NLao`|#ldTCjHPtFCY7gq|t1;8~cqVAw(# zgU7_hBstXD!gt!OxNS#w*)zY$$BVDI-3PwciH!R{J(w;IVx4doY<8ud)i)_hNs(P! zwS;|*or{Qsvsqg3?58Tks`b3(^3Q0z==Xm00a&$|ckc**)_DGi(q-;_)%zRfeIgGP zJ9bKpd68CoLT8^BFh}M=9aHRFJA9B5!$bm)z^R7oq3?#p-AoJKBLH^?_?wDMtX`j7 zxo-4X)`o-J4AY`d#QzU_?;VbH`^JwyDJ_Z?DWjb-BV=o;5G^A+4=Q_alB5z+vR4U} z9obvR4rOl{x4p-0{m$#YQFQltKF{a-JC5(~kKZ59aomsY`~AMI^E%gcj@Nl+JtSCt z4_r+R&rhGCoiX6wu;aq!X~+k!-*2Df|FWPgKv1xT4hd=u?S2z9bmPTM5hC6SqrA+P5_XFQ zA@#)a@2~_>zAhqroF1A&t1KWy(J*UZovn7FV#4PyjN?nsL@YAmAmHrnHc^bztb7 z&U8m5roP!KB!}UYQ2_D8fTxIuqN1=+>xL_h7X#+$grf+^Ewiv9Y* zmKOONil^#sp@KYLnU4UBr!C8Z8*(#zqfw$7g>4yRSgH>#TIWZq?ms}r^9mmE%wX*J z?Ak3rRXZY8{S|sw?_9x2E>JAS)P>1mJ7-2$Jit<-(aJkjQRHu}nts7jEkMO*l?6!o zE&Aiti@FZ=y+ZYih{Z%76-csLJ@OIx3lRn5x|FiJ+oEB7T`@SO5Onn#9^U&K^%QZ` zd#f;6k%QU7BA6ay$II74LzntOVfbu7_t54mjq0|PO&RQIgS`P)bD3tRHZTWE&37NX zITjp$1$3X*xv_r}fIE$JUrsqB`k&1K%Ppn}D5lYrgxR!O$sFI_k7TZ=p;3brUqS7@ z7;7va^i_n!$AG(5MJFxTJS!li6C$Jpgs)E0p=28;BssE5Cgb6&~Jj z)y1lu0z?@a4u|J!R9u2D9supgk}e3C&vtAAmFc;+=lm@OF1b?Bs#*#{(GbqVhGHT+ zw~>u>$ONb7&wuo%oT!Z^J=CCiO4%1eQuFG%bpdN97yIr+6EdSesZS3+!K7Q5EdC8Q z&Rb=)ebhgOcdn<}zosUOhSms^*KgP?I5THxG(EhaymzndwU%)fUib8IRpBt9>39?W zOHnpmnB&-MvT)U};yi#oi}TZaC?V7~f1-l$kQUM%5V1;KRww%)Vs)x+DyO_~fi5TI z`+qCi@hP&_1!}RPz@s+nL+7}4Z6GQNg)Py0rPOI&gVMlemlvLR!kP``$7E+VL8CHc z<0&Sf^JNe8c?~kuUpQs-f$t_`6-2n30JtbtbHI^28^yP?apCUK(NVv~DD~)c_2}3v z!M1(J3&aKiIc>A?G z4E#rx4&xI`B4K-soZMMb{Zt+J!2{k1$V~<(*9Jue^+mIC1?GW&@{!vO+D+z5&thT+{$kagl}?(YO=xfXnjA?Rvh7tzym*z{fv$IF>>|;Bk%l;MA8Rf!1UJt=a;P8~kky=FQHfkujRAZ&Zc;83q?EqZnR``vbNqcsDOiBJC&&Jc_lswf zTb*#XL`ANx^qsB-h&4Qc=%a7UakbrH0dJXj=uyJJ5z{8IB%n)PPUZ~=m1=VNF7$TM zTw3U3X{sC$rlGSk$4Fu$6$O3LC%H;P9l5kkEIC4>&MWCzGtc?3qzGDdkW5rp1Ruo? zf25m|Hm??rl&p@r#d8QCkzBv7h5}rU%>Di$5MO?-;q4*|*AL(hS--Q^;t=pjfmNO4 z!guXNV=vXcu|xEa_hjDsTfkoQ73ewR9J7&@Gv-r+{0v$?8j~q{)K*4j9BDJH!DCMx zi!kk!?v+QGBQ2AkVfAmh%Aayp?w;LqVlsUMz%>NtNb)P}Hc;|pDAbdD=BuBHpu5ba z9T7i=DW--W(0Ff> z+WnG2`f1>P^~jcZ0=DrA=)V)nncW($nV=0dRID3O0p1CRzJae}i{;ZjNAEgr-He3( zcJe8=B^|Lu7uK6_k*O!^qT@~XL~-p;3(CY9rh^BoD;+bs$QZhxFq7`6IT27{G9TOy zTQGV161D-j2p27ki^n(j@>-9JVudpdlclU^P1GSIEZZ>t8?Am3zm@vRRsdHrUgKbv zTrPl?m{^|Fb_zBS2U@a5vUpepkNVX2GBSq*w}sX*{dQO!F0KR z_k4Wz1}r>l#55{e+%^#OZ;68PVRD+X52P4BqJzU)L@v6_}ii%acV>r5Nk{!r|{kAR{E-{+jD7PC9%; zAc+XFao4+SU`gAtih?QdqQoC)W+QT>t+i*f!vg&0D-0&I=hy9+0@R^RfeDF!H?Q5C zvE-*vnDF^HfFzaZnN;JgA_K%zwa=I75WI-6a)bRksy+w}9gF-j2UiEM#{ujnrcr-d zaTS$>DNM_9TPT0kdliQ8kV1$V!D&MQy?I|uKE(OTW(wn{`cW*uOIlt&EvQK|ti#qT zAV7SWPVY?vMD}UM)#_1DpMSF9<)c?6R>SoWG>C)tnXDuz_ru+f(@j2^t?#v1pRz}z zYl%OeodK#iohio;rpPoz+2z^9rVJemY)h}d*941p{p_W@SrI4CWu zmzr3eifGU_t7JEY_AM%E!vs;BRL0Y{%neYine$yOt2iyNV>=x7nIY!o^f^vz8Li*h z1cO4K|9U@@VOgAI^G&0sst6Bv@DWNLdD%p(ia1aSD+H@ll0OcSR!x%{b2OET+!wMz zmeizAEu<^EyoW^C@x&9jk`8s4D|~_aclv{YL#R4w3{#1(PV&|&Dk_3fc&JFFw%)DU z7p3YLm?@pg!pKO9EAe=qh00q2Olb=RV0kqAXbrpA!lV~IsNL?p3S*+=C?yi7YjyEs z@7o8BgwjbEIYza`YVJBCZ|JtAtBkAQpA z^w4*)hVi~RkdQv7Bwl={xh!&*!X6-_^^5vkWG~wSi2U(VnMf_2CwX@ic>g>(s>3k$`d3iX{fRp!3`~Xy#rN zS2bd+8*SsQ9z7$FkYAJg22E0hT$-RruBPOs6 zL4$40UKjLi4#GB7n0I3X|uYhw>g8D;J{S=)q37xuS)p`el^)E9=9|#OO4yy9M$UXRQ z2r!-?j@4zQDjS!d6!a|jZ#WXCyPG2|yfy-0uU*Vv5Y~;KvYr}hJq;C?aJ$PjrxKE8 z9tmQCzTdQ7dng(~cdM|0wP9E*+W+d9o`+%nW?#yn9DOJJQg0Ytw7G~#& zV)gbwU)YJ#ffvh9_{R@Wp+g-}svEc4gDvN8X&Cshc>M*%`L?!6o72c(%p8CXEN}o{ z5StEv1rcc@Kb7q&RPDR*<^(1KZ_&SlkWRE3pIw}Z^@j-!&shvcezcd#B5tmo@3RKa z#C8}Q9aR0J3DF?Lj4=75Fg1|nEJ>01+lS4GdIwR!I+_)NFHyC|fMK15#=?*xC7OF8 zCNoMEvUZBMsBt+a|1O-i^Nv*iZOm7C=< zo3&gsnjWOrD&*|WI~D#ZP=|R+qdQh$r3VIVgGF~h!=MSXvKl|@X^ksy?WfEA48Eyv zmpFB=yxc!ZXN|p%b#v~RcfjnoJKNM+G+|bj((^{bE#pfDd)acM4_|KqJ2tMd2i*xF z)ihF;XQD5^q~5_7YJOn?OQgyVlbfsol zwNs7ly#oa4LeQ(nDT#3QKvtqn2K23sK(O=za~Q_leyETw;csa`Kr`Atz9(Mo%B3IP z;k(WNBpqOTV{@dSV}uLorgb__6>og0hC?W=So*wl9{ zMIUJ$2QQK!Tp5V|nJL!7Shgg?{<=?YFcb{jqWz~Vr*y&2Na5_%dA4bo_)v**$qwW& z@nz$o)cSO0CO$hO0Xuo;Bm*dJ#(ORYSQ+_v=be<6EI2Uz9Q9NkC7o#V`9v*b8M>3T)AOKh|P6PwF{sipLsXqnAEnG0<3m?eskl}^M9q{ zS4D6VXLyaxLD%DzA1f6kJdGX&aj=U%0?#J=@zZyT&_p64 zBC_Q9K+63RR5OU79u*W0bX2)*t5{_GeiSjOdV z2xvm6frNBtwQHr$l9{r-1i7a$cIf2U8F>@hLYAGL6%rcoEtN4!wiEFBm6ML(hEaix z9;-)De=AqL?Xt`%fA%N03Om|cH9h)%@V+h-?UU2jK;B5LKFCFYXG&Ozxd;m*SlkZ; z^A*+r9n1J9Nwe3ZiD}5TQ0DhFrf_KiyeYZ#ZsmtKUvhfBa6!m0!Q2BlJ~<$ZIln1s z$$fzf(S|ENS4Z)&f7ZQVNmBN9dHB}6vK|hj6P=-;TTr>b2f(*Do@F=ANTA!5rRlM> zHU|OMNM^z5=6yXB5wRxVeNj`U;bUkVaEX!~?e1RA=dU2?0CUS+WbNV&6nHn#5B$eu z@E?m#JSlgcMVMprO_~faBMloZ_wp9hWB)SpAPMyqfdxi9i;~Fo(X%g<7t%E+4Ym62 zlqofqwd7qWzY24lQ9ZuQ?hgOvAl*1?hoF+|H$zPpgESAx0PT&d9#Tm#d&N#ETTNy_ zR!%OwvtXQbVumVQ?EnT3L$!~U9ZC@HE=NjitAH%BFF#?k3|#sz3XVz9T?`n_ES1dY z?SY1O`2y$8R1O#!-M|}oEnQ)uwl|9IdyT}j26DC>)-aG@P5qJ3U0(~qLa%Ghjn)f*lc63b*w;$V{ zAN1_JCAfgOw^0^!Bc$#i^zS z$g#P8K_hyre8tqG{j!#`bDeL2$zHx_t})UerB97d^`39duwhIa=I`P(-r;;p3St$> z=e90t&poJ|b^B^U;$GMtiYh;-xzd(_vpju)#pWG?I>KQ{F|LwBmL=!!yLT~9!e5@6 z&`vrG1qmg^Ey`YpOGsayQUlG0!!ULAay`50VCCCzu2DcF)mHn)Iq7qkY*VA}Q^jrj zzM>d})!rDYzNq`zygLeJIEio1wi!UL0ht1BxjLw$nu<1S*eJ{K(1XH@{g@di~!gb~f;j0(1tPSdGc zx}YX7^SJLH&DPJ%kyf#}KzMx>FM)GnY0#2xRbRPxp)d_2;-A5y<@hao6SyHq?>Du* z_(Z&OzD_tQBx9mI;4)oGbVD06b+SK*%J{=LLUx0o(Op9ni@YExw_j?rJpryV4)H6= za(ZN6%Au|xC{n8~=D0gLdv3XS21t&FD}{k?)y2%m5KZH$Vj^f50(CX4t-+$ufQ zC$U@Rh-%1}TS@3r;noi=Dn||mmsG|=rPdc3SDOcvT)E@C>fxC?E^foP-W<+K){2aS z`*fj-%WiBKnxnk69LVTsseO>k0(Y}n0g6fP0zMm-`nKdxQ2t;oX!oi3;M{MQQ0QK3 zpq2*6e~rvle;VB_U^^-=5~mAkGswRL+o4k&w}Ah)r+i32@iZd7JNC z`wY0M>exf2(DgJ?zk(MTR)UTB_G7!Ik=w0bISgBj4Rww zt4H^Z83;iQd|ABWE;5wG>O`At$_qtc??7JUAk^}VK8A*>ab9G+^Rju+NLaUyH-bG~ zWdU>#w_GrTnTAMKFS5HF8kus)LItj>Ni5EHV{@~41Y$PoR2@9e7wRM|`wbx}f-A^P zud)qLnzdr2U2JxLejrdteHku1loB;+pW}`DY}anMrV!`hIHNrCrV(FP`KxCJ$`L}D z2EA=kWhv^y*jTduOEv>u78PBBDkeOs7S=yJwn?1QuW=rwna;|0I%=<#_zu!?6RdHH~j!dg@Kd_+%Y7w+42u8oDino$3 z!$zGa(V*U)2QWB9Ed3Tt`!3Z z)k=7op_e}l-GD=$12}yd7#3duUX8CujO3$BKVHJf<4+&)^==hvjyT^3H4{S+jUh?) z(WSmJvm@IicbgrC8?87Rx2vM)rMkVJ1LSpH(2k?{pcOE+1!C&GDcKZIN{pDAVsyBG zWEv;&W-lW=OXZ#k$GE%(f0JoSDV$@rZj#~Ha!p&VsZ#;PKv>=6r@ zsKZ8l4LFrqUu>1Xlp(sXpS6$JD12tQrwq2@y_T%6SzsfhWfoAcl8o_fD2?>R7#4y2 z$visda4SL3abl{*YAg7eg)YH{z#|b)yQ{ ztrC7q4#P6ta355lG&3Izo(O$02a zXR0E9ptyTCH1;T)#Fz3NfQ!=HR#X`pbKgbWvTS^opQSITAB`NM=)QX4mMM5a@+rnl z@`<|p&r7sUk9G~x7g_0v`Y=&64|5xKe?=7|Q;uUp^eQ&r4%+j*C^Xn(2EXaZwPYM< ze@QuZ)g2%hacjvFtQmQeCf7h#Puag55wraSy+JRj&fpDK1Hj6)jukug*I!GvX`VQJ zr?rWuzG49Wi|jYJTb&&rr_nk*GW$suBLmL^#%}TH0iqv+w3$-YW#2%E4#~66zo3Ec)AT{O|^j(?+@pUIkJT01hT;i#7y{-AW z0}>;Y>Da6k=_=+{=)Vo0{N_UV+lnn{C1VYupwdCkSz~{f2vMwHII1&{xD{va1qJ$?jHTCiqkdKRge=_@`-`xTZs6QHC2?SG!&mE3Qgu$OU{@r>Q;%U8F*oKZ6 z|16B@`V`-T5E;O4j~;RD^dj3Ju6>;Z5^Ot+?j=zPVfgu|cad!Gxlqpk(;EFWRIHA` zhox=rQkDlxp<)tYA7|aTFU(K0B1m7}0iW`%W`=HSHVv)-6yo8C$!vhhUO=D^@;G2%43@v27=DdbH__zxXa^<*VNMN@uTS09fS!AoPlAr+NZ#(~1WsJxIZgJBfI<;j5 z_>~S+QtU2gNi{WG-#k=K;%kq5WHN9K^&ipguqmH$2W~xD{yRXKOTa+D?Bu+&I*&HS zZ4rj?68YfRR48S6^dj^cB}L0Z^DQkXCQl|Xr3CZ90hDaFTg)JUOp1R4!y7OG-clzU z#YhS2OddUucgjT_6%@+uO@NUgq-QoEv~+Lq`ZeJ`O}!3*sskW4W2ZrF2;C>@UMkBG zDnEPmXm_(vx@E{|%It-DECweFu`n?#;7iC8 zy%KJYoDs1%5hcr|hVBNJp^H15tcb(Q{{ERVB_FR&RCoRi0jG5{`oSbl&el7)m=?sg zz{?iFSMMuU@%HG4RSwiAu<-Za6&JZW(3~O2Ka{!F#H}O!o%oC~x*$#|;Q(rbn21P5 zPsB(IT4BeOG;y)%y2O469+Hci!dUZfxQGt`iLWQYN`HYO_0e=oQ6KAX`r+zB1zq|O z$+^|(_glSm<8F-*L)fT#^UdpCM>8+3^VeDiM?^cNsI%8{jk1_t^XQvi3zq z_fugl+eo2(ByoTh?uGAgxaBsOGKdn%C};%{Eo{S0L6}aL1_KbiH1wxOI-neK>t|V( z>bGJ6)i7Ed@cD&c60j9iQPZJHPHsJDNj5@iOM`Dr?Xgh3ZX5|E^IKGK z3AnOzv(uw?R>Q}7IqpLlGZb0+ym=oK8hP zq$nSb#V4i-O4#?g4SjJv_#OfmCGqz5_E`@E03K|^j?!yFuc4<()8MymXr=gd{-g3_ zq0NE@2JzZ;jo>aS5fQN@+o+olRtu^SNf}@IEzb34F&1h>QmcQZAiD3x7b*Htv3p6Q zzAD>Q*}>SMOm9k$@K*X~Y*04-+Fo@I5YOy}i*YKyFrL$DIcVtkHH-QJG%<8|7oWkc zTNJnM3ut<-p?m2!S0SJKxX_n~2lJK}VMQpO6_XKe@~uNM)G0c}VjxMK+&lr?149r$ z-a>uFZ=qJQGBQ*T$u7{N+01n#f@8OB7=IL<|9d*Ewkl5xA4ELsur*kXe}bbP*@N3z zv?S9g4C~=?x6^7!mXjDD^Rr0*(0Y;&RO| zLyv1DA;b8S&sMTv5BVJ!uVHYR8DQYRLW)Orv8nrg({sQaTf|L$qEErL^FY8Mm^tiq z7_!4?Yyh%%GDloxui=2*s}^q|5P@}Uc=6>J6nxPA?fJvJl=;bAk^oHq@Xz98FTr(5 z_<=nsm*KfCOr!0mnfD0|Tw(t0mx391SRvFP@J(&hi zjojeMhp94wI{;LlXY&i37>N18(#xI7nsEMu<&`9D7?i=O4X_%=1W;QJ-W!4BGDRP^ zS!%e4mX+K$2cIPi!CCec=~o=bGe#75Yy@EcGsXo4gIwgR7yT}!Y;ZuXnEoaA8y*Ypu|7M&hkL1Oy&T(Mja`&w)H4!6@oi%Y z1H0&(rUuICBgj(JA~d)k6JNNV2xSBI8Nvo?Pg{0d9#R1QrGT23>H2_T3UBZjN(@lH zR}a+DN>`h9myjc~3mBA+Y5M!G&8N#mt>L419`hJRF>E3OY$jou0Y_|{PK*)@3}~W(5g#J%z%H)R)0v{_Z&9~)n))jj1>h_OMOea z)BE!&_g_6<+>~h8WLvWtK$^jzowR9wb>BFQ@D{k((3MenzfzBW#2rco=zGYI>1>$2 z_Y8?|Ta9$)d0ZFV#GZKRL!CQ&mG4&L{1pn4NqxO$xo(eU6*<(avwKtxXKC{e2|(s< z(HAZxwp2*vn#`R{*Y?lK<@GO5cMh^%iYMnC1|K-@aQ`BTdInLoyHus!!Yo;ZSC~<1 zP=C~0fB0(4dm>?zvQU==JM~a`5(CpE^Be&Mgj!;q8&=5ck^+2p2K?sZk52z=H8el; zm6MujvAgD;@|P?VT$3T#Tvb7Rq1I^9m->q9uD}Q~s8EQ*j6$wh)gOG4R0y`zHieKO zPqjf1VxGa^swN><6%{PjloplmAio1mw{yw3MuU&u6q=;)M?G6;QHjMn&&z2ne@ff z4xioMXvO;&tNi)V# zD~}nK{Wg$QkNfzM4IjhS?vOs&+cEVc-f}>HSYS)m!|8NBJ8=Pz*fG+0Gd0vkztW?) z!=S5W#^E<5xUkPpA^H16DDFmOo3fF*o;^TdVlu$bn5p>0a-lyV&u#T16CIJC<$L`_ zPXD7o;E@z_7Em4KCXWiSHfaQiJ<$UQv~ID;3T_Z8Utta{)bCBF=ID#Qi70D* zSZ-6+($0N0c4~3inLi+Re#M$}Oo_&p+FA0^TbQn@5*_FWGcRlNwXCfL`Wuqi&r>-% zKt(0a4bc+cY{{T!yt3xj%*K-`?laTySK# zd8bo-Y%5)=)oTDFz53XuH{s6dM4CdCn6t>bgKEDz0;C73a z)BF)1V|eE?O*s zyv^dcOp*ET!j%>R)>mBb}L6DV9^4mX&eiHioCccAI z-&9#~?Pim{8rMS2XKLCPyaG;qw`&~fO!nQ2db}UY*HWl(^~-vceyTBBuR*m5@+C?P z5THtU)0LpIEfGe}BRiv{2O}9~@+w|$Q~Vn$WsXtoijjUMj;gpwluflvQ@r<<#O&r| zHnY4v8)DU4m_)%ch<%0h+s0T+u17( zoW&OC4m!cOvsEncA9idjL-Cl@9t~>AcRjeI@F3sNxD`Oj?h8HdnX{#|!;({pinT=)AbFE9)}QsnhXpQfbS+keRqU9#8@4L$Op6OXdM zv{EIjB>am?KJuDWp{>GuVbXfmL)G{*-)0g!M4z$k4f67Et;d?$$d4x#CAp5O7HWF& ztuL{XZ&YOJ>aR$0w-|$D>UKyGW=f(OZ78(PKS1vIRQel?4cPAP=+waJzSU{!K+OGT z=g(7J@SMyg`dBN_Ry2tT9F$<1t5tado?E8H*H>v|n7bS=4DD&(F%J{E;H zC8ebDbyYnUSr5xN6pV`T;GUjTmJm3I+=s`RxU!Xkh2gt9lHwf~gYT6`!F?EWde2Kp zXDM+)!;hbnp0UTWvJcwvIQ%KTK5r{aADk^fSX}pdRbE^|KgxdLX#E1a5jPiGUB;M`JW6(uCEJKRchvu5ReA?5ZQ6MldpQwyG z`C!_@UfRnkl>U2mHn-PTppj?r2&D(e`6O=+ULxu8UeSkWVhBbj-0uoq{ww=2a;nW> zuTY7wP6ZU*Zal;o%T`rb;#qJ>*TZK(Upt&*J&fpTjMI_lslMGs^;?_TK8K)J`|l=b zH}3hByE}>78z+`{yCmk0vxsFGNtVoJ`Y}?0`DZ^lyNUwB#cGR1B+)Xqf_xdi3S%sS zFG>|N(rT|y(ST^GU1;%#9+x!51qpMkEoMRSqMz4kqafTK0*PQp47=CK|E8vJ^gIGb z-nh;?;1^Z+JIl+tb5oVX3p6Q8S;2mPFnTGgls8m=pZl)g#O$Y$B)oJ&zeu#%)orSb z14SRhCpnDx99ki}=5*D?{(@TxR{E=jzH4M>9_u6@?FoVDmoB}VszXw0UyX+Fo@}Et zUoTABV~D4IW-&sR@CqRM3n%B9?(61r@*{(xpOsFmh;4Jik8W^gL=!U8Az5KFD|@tZ z)P?2Bq*F5Q;z{?xNjv*B5EDFU*6lzS;q2~1KTrA)oHV!ae!!tNpZ6aX!|uTiO^(%i z^vJqVwqIY{Es3qysVteMe|ilZ^_keF-5zFVR`gtsoe7lt>Y;pBH7yEa?SGkFEhoKP zw8m7`f@QttGrzvu+N1_$Dqk7%O~ZDi-JmSpk@h+-m)~x=PjU^B6(yXu72WAMeB|~e zrD>5(y61ddy#U9wb2n-lJifCg$%;8`)P~1ZVDfY1W{Xe}{0@Yg!L#k3+1MjUNLj}o z2f+__PMuk*DF3vx~N! z1m9e9m~F>QQp2;7N)vE!Ji|ToVy68kd(l?jv@8i{2`a1)_iYwC7f5=kh^8AbeRsr= zrlrVQ9T?(IyhCY_W6EdLlA;@V*PLpU0Jy5H4CPcQn3?$|76Hy)Qe2JST3h-0t_l{* zaXZW?U7gs&|90s(rF4!6^0FXb)M;0`eio<6JN#hG zR%Sa0o%7zErWK{=9`raj?yT_YRDKc%OIA%#u(4Z&rrfSEG$@Hc1*R)dE1c zP=$KzC(_NTr|mv4gmC#3Cnp3iGb9WnjXSZZS6^c)?=lzu@kDVV zzv|hZkAVZ9M5oXb+Bsr|=&QEPQ&XSD>;=c37@A|MF4*82+Qt-Gyc{Sicmeg$?^NQ~ z84EqaiL-xP%pB@p4T!0@zA_Rifd}ZsCMGA}0+g`DZrXQ>EiTMaZ!<>h0xk~Dd>hB| zhB?3@Yu;oASnEn*lb}P_&~$Q^qU=Z4A|u;6L7`)c9)s{ws(b|zpb>P?2HPv(bj zv7%C^P!;ONpTJHy8o`B@?$pf_q>BxDA(Ab5h4;+I>jhplVQodOTexUH0~$xohR!*tO;_=)Nhg2#}*X47RrCSE3%v;+VAKq=7X z6ZaAgmr%!ly`iij5{E7#4Yp+~_R9y>dngq^VI;6}ovb;i9`n(L<_KI|!S)2nEGlGU zu`W7VSsRv+XQ}D|*M!V&V&^`8alyHp;vzPkKL*MJ8mN}c$zBwvg7)p-ZWHL`LaMM_ zNzQ%A1lj_XHiKJuC2LfvL*wVC2b6$~NC&YvS0mJekOQ4j^>+wWcN zBDfJGf_cY>)-GVqU{eH5jzej^^LR2d1m_@m+E*XLWL3SO%a=BhyCFe;PsYD!KKhlJ zSg*ku9qce=STXhw= zgtA!Ar#sDm)>|Q%Kew!)) zOUQh*4q6;V7N*K*#hS-n9K5S+eO6G9obV%l5etF~vwZ@cGQJyAmaYrGynXSf@+7IF zP=2!oFfs&8v1-*G`qpp`q`OcV6xJR(#TN!$LMj4vd8^Ja9KuTMBVK-*~ z%75*4%50GPtkaMb5g9oVvcR)=ixUmV?Emg&-3=(9%_BuF!QygqUg>)ch1YGo!7%h@ z#w28LrfsIek+w!gi_QqCIxruyt03Y0#j+4G3&C?5hVw5@!7tR9{yKbVcOJcIB6mfa0V~%N!Gs%y4^{alebb#%s=~b>G zl7K3MAD06)d2flUuc8WWnfnf}u~$Q0>(Zmp2Y^YjX*tPu`RL*ul!qd5=V|+eRyp&W zs7=TFJnJxD&+{xC zB%xQV-0kn*QTl+4(RbZsDnbNB=LP{OE=kGC46A1QF`5kXBUZC*g_2h~62KODWfs_x zUTkTV{!D80P4FZ^syzXG`-*;mFc&X)tXxb|0(9HSDZ|acIWy(XGKO zX7lNBDgqNV|BepJlqp7Y9c%aKn5>GA^X&5UvAB0Xzo+M3XtkmKBL8F_T1P98;5%Pp z!9tq7nNBvD;|X)(ku^jIwIV1;p!YY^Ef`!PG_ClVh%aVJw zf^6g<_1+s+{AuwZpFw+L>$~%QOImdC&&AQ;OL@0une^g*q;!E;yYUJ}UBHxku4Yh9 zRB+}s0|xt4x0A|mvo_R&rBHTO6a~a`rS$;X34?%vqIYvu?B1_v^fg+b&<1$F@%=TUL`5gk=*m9ZBR;=AkF1O zmN|R%!ArdJHpTwx>RdpC$Pqpm!;oZoNipCWA8fO!T8dm#sB?P9MAi$fBJo(=DMl~m#Kb@u(nnYsl1#FMJf{a z$fw_X?-hjz*puIRA{0e?Gp0HI`!L33()nz{AdSVGtdZeh)r+Hz1l_Iw!o&;7LNYM^ zX6a%EcNM>(t=Jye6s{n<*M-T7rE{S*&GZKuLwkXgRPKge$CF8SH}1UDaxg6=KiWky zU!Yzx-@0C#Vn$n*hTr1GuDiy|3VS7c5O~X~r9KBgqi(ed7s^`q;lrLs4&SP(C^RkC zqGB7|lV;^x(#Y5lYQF>-mWm!H} ztHS&E)pnv^K%Q?K-2w?ie z$&8!%Un9)VyJ)}a2)wnl#P=ky#PO{%P&Z6~=||vSKe4Y|1%5B|abSwiIPO_x?QTTh zXE}9Kcl6a_JGPr|rlM42(Sywarbahs2b{jgbeDV|u28K0oLu)p_5<-NuS_7?cEoFa zNn%lbWn+~Vl^@u`u5mA}#&%=}kja|=CMur&Z6UArw^$~yVZ$#I__thy1R$zquzZv_ zDY9jw93l>7(}^CzKg^byCE8p|D|M>Md#Z8oVE!8}$$SGF85)+DRwLy)Hub=Y3-RUPK&IwQfh5W;k@gq zdO8W9c1tuN^_r6LVb#^;{4QAQ8-1VU`y#9Dq`*6;T%CFTa8_bU=F1B+PTz-xv+Rl4 z2MX7IC*C&j@qF3TXJPX^W=t2|LW=pzvGvG>#cZu1Mxo?stzc~-79Q6BQB}tDAW>u3 zf%w6UyOwPSF`??D^Iw|RZmJCM*>oUI=eHyM{g4U$<;Fu`zo&O%4-jc=*_DV2$r^Yf>}Z!x4r>(<%R93$SMU9I!7CbBtXIL6v%b}n=w zWO#UuPhKfq(@9RTWA@AhIE&DNRvtF+>TxufC^ufA^^f1Sb>3z=s?+;1I=i}Aey`1R zechBuNu9Lh=%iq5Kt_ZU*L*x@Rd}!GG=ttZu@qs=VHW<|MPrp+bb{malblu-f4_bx zJ1a7I`NvguLbCD4_x|!_CXkVuGxP~7W#pGHtlPL#QfbQ;Z0L0$S6fIC zpJa4nwHhFl?)}MXzcb(RUxX}>F_HQ@`XBanew(Qc$nxlX^IDQ-vxR_GdyENYaAA`9MhvHGtTzq||hkc%8kO}){TG`D4= zc=zGn?l;$D>py<=U{kxgXT>QjvDakbf6uWSYz^V<&~=h zB?I>Bu(5o}%2nV==w=6R)9-$>hmcBmcMhD>S2ac)1awfhG{kIadNo$a7_Qj&;RLH|CflL z{6^^J&6{?r)m2r-x!1x87-V4IU59~v-_%8*#+_dn4Ns`Ti@?5A9M_K#__xc@P_6vr zWH#H%p9yhq1kvO+yt;+JZ#Ld|1EP7kFWGygJma+*{RR-tj<4rAep?6JDVabtgTIr7 zt&$e`TbY!;$%ZqGgbJ^1H&cMimsKLgLr4X}Pp7p#v;%SpXaahK%XGMw!f_SD$zMmW zQ)><<5KM8 zIKrK=1eg17UM+MCuFH%a1JZcDBANB`|} z{@vUE?;M2C;f*(L-sGTdTz zvDcIhV-wVj~edl#~l?Q+hMFJ&4Mc!_6Uv*Ipesq}E;4Zu*3zqhd%7z?IKKtg zs}B=m6bNXIHg48VuOLK9_-Uz03Sl=!=n{(I6~s<zTx>-sp84Giu)ny$)hT8e|07 zCr|?-H2vOIu}i?`zlv`#Bk;OgipnyQ2_aRol2pI`oZw1+A%5URbPZXjwdeoc$1btG zL?PmXB;Kk&R-6!|$kNaMQCU1a1k}0sHG}{Q{^M`|3!?qsA-=>WoFXU;kBEqPSn+*n zO2;p!261F&CNM1`31w}Bxc8kBlG_H$;FZFTW7qC|=uW*(W7Yja;t4WjPvoTtMw~kK z!P}^l-w{j%9={5P><@Q;9uzCf$L{f4$&@$TP%!yM%C5z9ui^d74>!YZXFuF@LZd3& zx10{J9%^hB=6IDZoZbFqSK@T1k4mxU3GNJPE^RqcA5NwZVPSg9TFxy7B$-V+vVzc& z(rW-MR2vm?m%sj#<+^twrI@XKZ6)_h_#;~QTix7+7jOwa_*!l(=XGma{mt~Kr)YMz zOVDMq$%4h1yK^_wauDUt1-Jq*EjIrCd<{Ce|K$o`%X|bv5Axy4?u%q{gDEcAFElRY zGQE={+N@~S@ye4;^)ig9<<(BcgzSd?<=pXWS&K4nTLo%GE5dVz5QprO*aHeqB#2CM zm4-$n4D%ipVEhsm4r2(n@$Xz4l^P&pYfPw;e!@%gh15n=wA* z0HM=Z!Ne=o=i)#8vq+yrKr`I?15DzCq{!$~XGe-uMt&4t@M}=nKNaM@OD*tE@Y#$&ax;xnR_zifWJ*PtLAHVt^H%AQ3r_!vKf9Ba134?qa z@_QN@S<8fqlYkeIyl%J$STGLopbJfUK8(P}6;x_{tnbKTMQPzV$tjp}nJq_kQqI2wN z=z4}#nLgYB*?!ywp_=%Jo4W}R`U+|=zB_hgRUvH0QF!mN|7ja}TtZfMuTJXlg<=>o zX+2$}m^WG`NLMB>qxtRIx4#c>*>jS9JsBSU&riG*OXI zFn*b61L!ucaP=-f6K5DyoM%1LPYK`AFqmVqica$G$&-P3vGG-7I+w7q)`QqF%#M_S zWDU*O63*fG;#S9JYGE<@e6q>3lS@|c@@Zi9V}@A-WAgjHngdHlaE%f~4HbikPuy7o z%50Hwwp~;wRuFZ1V@#Y#8LTnnrvvmUbZ6tKcR zB2NxkFS^F;?f{F;bdk)(wuhT`+^!0j;dXg@KW8U(O$CE}7XSQI`>@}j<Fu#*st@yDhbvbJ3PL2H+Ex}}*M_y98Rq{YO< zd>(Owu(a2MC_IXeui82?RwmO?5OxmgeC1{e1m?G zdawk7=(9WaavsTk!2DP!SA*1-``Ke#gFkUmzNo1= zP=C!vq-2zMXUOD~yR7z;;r9FlhMJGsTjcv2@4ok&`QI3>P!?>l*`$=wABY^-N9@ih zvmN$+k5M{$pH9Rv-62mE=O7i)NO=~^XdZDX1@wq$j;Y6Lr3FE#R(;u$FdrrOO0Fhry#>e^y z=7XnMOqLG3k2M7fsm#w#JFPFEv6&r~xu`h8(7`}l9DG0RNA&Q`YKJ&7<62dZE$bO1 z!yoVLn~DvX3olp7FL-%0KbKOm;m$>+M!6FEgA;?zg3f#+Ul{xJ9B7Qc&|1umSGQAU zOr0-=;l1uGivA{zCJlPRq;vv&EOU8l?BADyjWHc9;o@qrL5d4|X@=$d%-6GIx#Bk1 z${N^qJGM8f45RUyXmh2txWRX_BGK{Crnhr(l~;JrLnCh6cPT}uvH7<~d<(OqX1xL2 z25P>#*_*E3y!pLlG-Iw`Ck8}lOGX9=V*AG;=AtAPVrN=d0(XXptqWL~5-Nk?w%l62 z=?63pTlP}GqNOd{b_6_*NND2O+iOQA@VLw0f_Wz4P+A!W2gjBwlP~)nK09$W?XK61 z6+4!}^S|D>mF&RF^dS&r!C&CIKN21LORFJKPbRTGuR2s<{C#5g8^@2Vi8~*<51RKz zB(!}9i+oDOu6A-GrHHLHSEJ!|&4fl{G3;yyi_H_D4buRa)^xidNL zd^gdrW0O+A4N6rm#aK(!i!JyxtL&b!BE@-qIo&McnEv>BPVRiTA-3fU&g;qP7aW$k zuQJDA-_&T<dhKljt<6|NM@A12{p#8Ovrx$TA1mQJxP;FsO; z3h~aacrw&0In6#T;_Z?M5|3}9S0+WGI}NSp^C7w4y573#H1o5+n-A7RBF4%cxB2ix zb#7c+6@cWlpR$GJETn=vDBOk`H#Cly*nTqBnpC^coH1}O>dn&!CpWUuzer}k$!p$_ zDmXnr5vqb^~a50B!)mE88Dw`@Ve*TsOYMmKHFwolB5#U zXjv+@`_!8&{+;PVPq`7p=&@~Y1E<=Yu?Sa-nNll0bl6$~FT_h( zXi~!EvAx8Hcc&uu6sW(FV^tcKvi{B^HB`B0IIuk3(|gTPD@@E9Ce~Z;$LKhCy_dHX zJF~0xEcDr~5vhlMsw|V$A3(151c4y$A8YY4r_bPfeWmkJMeIg=+S;99tUJ2|5*WsFPhuYdXT2gk)XMr0A|W(4-ebOv zhM^>z8OxK$mXf*AgGUNv4-NE(h>q~zFPtci3GZS4; zv@%?TGR%=ZDFWJ=y_xPjnPAsrrTb_(N_|qCpLU|=<0}Tj!r;tRG!_)Z=yn5nAqYe zlAwL@qxy-clbh)@EF@{sDzhn|!ecX&oS08bj2Fm%8Vs6sDw)2paxL7T)1C`hdH;;> zxk}7dMG9>Po0f=h*DPOOU%|n*ys;@=T2-31n*I)7!Xwr8)fbO`w3NR=zk6$Y4OsgJ zHd=xrGB1C)dAbZYN*1nY*DASkbH%gHATYz8)2W*!pZ*FqR8007kvD)LMsQBlhrn`u zt#W?4OG_8}1MUXa_Ah0T5A^pC8-dFQjt_E!r8dv4{g>JmtC22@3=n-gSfT=UT!{9Y zcTWx`8Z}s1GA~D62DO~{@!XMM+sAy$4s`DuWFI{mjyh)SaBy&X)-z?YcB8_>sGgyQ z(4dgEx}+CF;(@@9F;<=LIjXGymg|xiR!F}bE4wUSv2n%df}Fa>1uWCi%0e_(gpq^_ z8rqBRn22p^1$d!nMDQ#?pat^vkkD}dbK`tv?wJEkKqv)St9rql^A}M{(nf_F%VJfg zNoaxCf~LP3Z1cELsfp-UB#x$t(U>*{FL1Zv`{0NCrfMaE|F$lbhHW~$mv>REb-DG!SEH+)Eu&MQ$t(UJ@ zeP(X|QmnhSdK^0GGX7aeDV}e8G+$S7qPe1ser=FxrzA>U6gEEAH4A<20cnp72w8gY zD2BT01PoyQ@mgM6*aSb+s7Z-{)-pI~GU&r`B{m$Jk+1?SK2)|3G4cIRZ$6jLT;qgT z0hUF>3wAV?1E~;|W}qPw?Q_MDBNd{VA?!p7QZOsQr2eX{osAz!b~}uujShcFP@4;f zVA@d#$I4>Esk;aXQMJ^9Byn86K*RF%Fww1KHnR#U+5>l z#>$r@jrYh31Us&knCjz?)B%Na7Od*r7B7jwK_|eosc#t7^25RlwE#YsQ%+%YcCmTv z@q~MWLQ^U-u5+cZ%1KNQb%3ZiXmOhP9-?nbszGhs>~-#Syw%p5&#uL!g{t%iZ^a3e zw%Krn2a5G*Z;PgFJq>_p8&T!GMdhX5p&J|dcF}TaR6ny#jU@3HpOHPZJ_ro9vtV52 z*wmR;g$RqnVca>X-*Fg84k;!+zc(*?79vgGVl=a8Nc9L1(j`K{3THslc+m0@O%@{$ zlr@tsu)aZ{yz>{OTUnI}4GvxWr5Q$*&mQvVNYPp;&!#kAVnBp_;ds50yci9Ld@Bz1 z1e5IEIR>q_QD41rZ7^{sC1!~vQ-2}E5tj@NWqmlJGbxV6zei6w-?9_J?vHm8;Qi{<{ow1 z`;G<(^Kqs2W%)OLraZ*4AI`kE z#=~OZsuF%9BcoG5o&B}OQ8|yLuYUsWFL2>sv;AXrG81;7JrPGiRl6C~)#H?ulp2G9`sh+QXfX15OyhkRC{9PO>BJtB}nlUWIOdCWEVh_1FG3#wUWRttWK<|+)^boD( zkxgexMC|$y`JgR+rU|Nk4|w6olHhpj9m_!TBM@P+a~!ELKwP+fpv7IZ+$TSpQ0ORK z??I7x7*1!*PWQIlRg$|(PDvY=w34mj-0bwI7eW`50h1jEB{qVAV*GA!L4sJmI3NGi zfYaCFiQhmP<;M%-QYSLWb_ zT;Jv;y2lebhgVxyY1W}v*F0NQPb}B`3;4q>hZ->(rWcQvB)2TeREQVs27B}2ZruaT zE6w%Z%*XwJ92~MYgDY%LtAAp)&{oTs&WD@n1)q+sdD`#^gcSQPFitVu=hCdL?PN&H zh#*6UkSf-%XE}NWq6)FH!)qaMntNlzooR?XM8D%n-8*)B$B|PNVSmOzS9$+rwenbg z&H=`?$JHQl(5vD|idt`oVmqo6tbEe`G(=wGQuwAPN4@^ocHm8TXyC@|OH|jvMTv7* zO*}olm_%3#FC9lgtObOdn=MHX-Str=n}g0 zK97gO)V2#ZFt}Yz|Ef#4PwXoU7s5z7}805jF9@nS=O0u|`9*j`YID`P149q55Ml=juHN zl#(tl6qPH;xGAn;J^I@A&QAFfw#Zmgl#*ccab-=KJ1nwByN5H~mg{zuJwhT)c}Qq_ z-=)=8A^dD0X#`QcE6djiG8sI7L93vWIHnhC*Z(PLdZaFPSzf|Lfsm$L#+km$yY2d# zR-}`n23Mj>z)N~RSr*8yRow(G@vZLRlW7j0H>XgOcvvqFo#h?`Ki~~=4|aFZWk#2z z%Fn^xMS5qmR_&xse`E8kQr;rpuLee;~RWm8jBZ-T%dr|n4MTI_HfmjnWE&3(58Rxr*7Z6q z=|29V^=uJm;v2Zu?MX;T$ZJkECQdOw(iNldbG2b;z+<=t`%g{H`i_l7Y}ja*TMz{B zQr2?jbAd4C8>G)Tc?qXhda88V#@(m(zxCx~PpCe1-G36=z;E0cXm?5!;?3Z)JOfD+ z?IG})vemfsmACmUA6=>scW{iDaTt3VXFSawtVIhgV$Zt_`Zd0p9&Kb?E82&-p3S91 z-$5ntks8TsP`>(pO)#b%DpI84enW7NH>=B9u^|N{re*K~P5O|uVa00tvNk>>e_jH5(7)Xe^~&+{J>{3!H|Sj| zvxi?_L}UykTV7Rm9U^gIxExONID!9(RoMmOsuwpUX2(lalbTZP2VXWNhmcZ6L5e|e zxI)SD#2`2udEiaNW~NM3fvVs5H#}F1 z-&L2vhJHE22N$5oxLv)exp@PGSW3{VeMbrri)OIB)GKJJb*JnK+Q4&#Z2k4UE&>jt zJ@qVW*DmBQev%f0v((K{NkrRFafOKCuuBsHB~N>o4de5=8!2*vfn+z;e3WD_n>Y9V zZr-5rqaW>BZuUP~>m0`F02v^S8*m@XNr%p-RD)C-_G~xHm>#KyAXHu4B|hU1Z-Niq z+3JD*kl~ABO$FDt1)_n*JdH?70nz(b5GAcNE@)TxB=KO#-ae}}J5hy%nb>w2Ow8#+UTke?2#?cV@s|fW6b5iKB${-MsTAG4&zN({u;gP=%?U! zl|JL6Mbw8s63u`hC`Wq?&>znlFsu(;h7(K8xs)nUxDXs&)_Z<-QZ;NYX2Pj%8h`xb zf}wutb=o|>+#Z8Rz_}~20FOi$6ajd~?XSq6>?PU&W)@2S&anR_sWyS;xrST}dY@B0 zp}7RT%m$W|10t_pKZAz-#G9nEEuW&!Pa7k#btJw^4}m)qz;#W~a8xd7h09b6V;+P* zszUgJ?Ulk1qC6J}A%;HSLa(dqcY=20J=Y@vLHljwbR)q1m0e+xkvEY*)@1nkvPaTE8_r=P z;rez_Khm{PNuRD&Tb0WSOr;;2_lpL2IS}uG(HVM;FRyk^CT{2df991t`E|_+#cnX8FE6O?~e7@W-tJEnhkH<=$8=#E@;?8 zQYtX|XZ(|IOHLGwk`J}f-pdsQes6&w)Z66vish(AApEh6*~tvMp5*pZ1-EzfL-vUu zAvwfm_1m=IVOx$!>5|wl$f7)Uay7UCP=GSoq&ptG8X297vHHb@_Ev3geN114Z0$6YMJ7U1iu9@wjn1lv0C<0a zyvxhV!BogR_uq?h+M!<>7%=!XS||GxlC1G^{;Err`<9M1sq%!nG-|5|xb5okmvW^# z-!nnDB^~s+YEuoQK)iXYD#Ddw``#pI*fu)b(!NMIE2A5}65Zf5Sd92!{rB7y?a!4d9p337+#aQ~vfyFix;Hbx>{dL!Y*pEV^{+>vY@= zJPn>AJ@iP*<3gHdA&Cc)sGhStZ+STdAD<}@?S2i4aBF+=eX=bHJFqJJG1Svu&uy-@eV~Y|Bl7Hc@tLbmiSGe}PzH)&k(XgAB1EUCoG{_?_Dl z>@+EoIEh`B{Pf6!w$=O)Gk(Zte8MCqhn6!iI3aB76I%$7(} z2(h|9CR^6UUkYiHUoJqaFa`wt28@LJ?aP1VS*Wvs0zBCe*7R zh_es7W;waJ!!LJ^n$T6PKUUy#%I zQHjNeg^z#+G$``ITXN<>Cfp1j+mu>fj=z6)l zM4DuEGhDP3+xJ@2rsnCpvx*%msKZB@4Thu83iqW*{V0za8_lHjZ=?ik*NzQ?Fm0)i zBjjYR`I)7#tq~h4)9;FU)eK3x8%TM8>J_zgV-WDyg)H0lqw3jn*vadjVv-rBI}QpJ zF+j-Gsn@abI)s#;&Olu{Pr%u8XFs1OHJE z8;^}0pgr>@H*2d>*e7W^&Aa3jBXFPZyP6MCT8t2!vv7g{vN`qMYK>{OGXwK!XMqms zBW+p6%MqI}g3%_!t$Vkj`5oxQ)`ocvsmAGf#mAyKT8Q8R!tP z-Jpo%ZV`F$6)9mVVDWp?6_v4t&8ja{V%m^HGbK(nFoYDP{9NT$v6yYo#}zH$>+JLt z{t_lbVvbbsAhF+9?O+n#pwn{Ny#)%Bz9!$KLJT4>w-0)D;^snXhHXAj$$ChK@D2Ai zp5Mo)Sau3b=GdnpE}{@>Jqxz$8we8&2qTUmrMt-1I8~<869u-P>#iH7L-E7u z*H@5a@)id0ifCUX=FqCQZjMF54>?ucc}P5KF0}wL>`xwaC$FG6e7GO-h}~%jSa3aQ zO(QC@_3rl`?$xRn@yRidb@;X33BP!l_{1aUUJ(6br1U8Qi)Fy9h??->JE0bIqZ0)rdmgprvt3DjZ{;{ zC0o* z(<)Yf@|bGLZ6jq-7YY1c_PZH5d$`T|CXthlP?qcoO*rb#CLAD=u~Z00$_wKMzYzSk znF%Q`bTyLs=Bi?INTbpxjA)+=K-`a3BDVh~M3+i)=|L4AQ&vuN@c&%&AP?xJnyET! z$MUB34w{{6e*(8zsVdYVq1sqaw7;NE z*2VjG%fmyWNVkK4Un|&=TzZI^spjE*4qeir_jZ>}!E2w=wn1!$A4h z9)`V%HpL9`c1VCz=dvN=_dYF}NWI*T`Sp3l3Ax=t%^z~Rh}3bDLTZxY!ukoMJ~`6x zgI6GIAVD~_D`S0xz03E^LkK`t#19s^zmZzT(A$z zzN)aLa*%J8gOEJso+Hg?Od+bY{;78^Qmh6s_dkG-i%SB`kOUG^lBPwU`iC9dnfvmK%_q^2_K#C~r&1(XmCM zWm8q>rC>`^`-C`NbLxMg!rH_ZZDw)Rf$N1 zZ8xlirSU?Dy983Rp48@R{L(w;!wQ;^Q9TIJB5rMB@+E$n9_IPr2Sx<^_k{IjEkxyf z$9C{P1IWx5I^Ez3{nN;CnHzo)d-2043MNQ0_j?@6Etd=`k-=hZxB^$-<{^cLNVNio zMv}$8G8Gh-LD=fd*W~sJ{AP2=2Rea7#g(R*IEjLMu{a>L=k5 zv)Q5JQ9sztdi&VASFt{4Xhq~TLdP{9xzoo^O-)o~H1N3iIzq-?wVut@0xD0hLBMq{ zRs(dWzt#Igh`(-h${iz0QkX zqGGr|yp6o*xUw?c^XEV>0$q}|UY(^Aj=P7qc6;nwBNSZVvNz%33y6k(2yD1achZje zTd8VgcfrJP=qU5>`<72D@XyifDbhWf^Z zZd(zi+>_La&y=`?1jT}PAgFupONiRf9$Lb8gq1E4XTrz9naePTO_M$GcFKRb5X@Di zWJG*t!w_Gj$BA14Lan*Y{nXqMRetN_Ti}bCnJK8QulmPyE zk{!sAj#jl(lK4x;cA=27(JD@+rlwV#`Gq*imNUq~qS*ar3rn?H1wujdu`Df-UK=2V zR{(*U5+zR*tS-9jaV|lFCK?V@`}y5Xzk2rU9gV)j)3yYH^h&AkE4G7nXSUGVswr$CF))TeFDSFi}|l zy(&u@e7k3kE;^93^JY#HCwyQOB#~oIlEo)>eWMHeu`u-W)Hz7W zu=G(O1%06BqUVpgIp9KI5fZWao@a~bUf7eLe}lb<9Hdg<&j@z8o*IYg3wJ% z!OozsL!S{reHNnf2Qplyg`GL?r7R=yxL0d$O=M$#0gAg%5GnTcnJ+P-`Gxm?zCc$D zJJP#p-P>*=X#N*w#BM@bap)>nE|gyUbBOVFLJetSb5Gms`w71J@i)?iJ$q)MowMb5RV|%JK^8%Ify^?BHX_j zBwFUSjs|qXR-v)R_!f}+&NcDIgiIeF|38t-VLgLky z=(NMZJ`bq3XWiKHn9i{?JP_^v#jr=Ta$i}{<)a0t?zQ{(uX0a&b8|rQ2E~oHVX2-^ zgk~5HZZ02h@#J;r|FSu4^y{mV+OEV82HM&W9Y-6Con7iI+nq{k zsl_odHJTEC5z9qF?qOY=ZG1ZKs3-HtTj6o;t4RU#97K zDsl5AN25Q8ZZ;;W6!A1K4ou(q`Y%6tkfG7E?lwzaBY;mjzdMCOEbxhM=zrE~!yAT| zD&rzJ>g_;He@Q2gKkw{Uovsn$y&lSd7t2~=?TG0HVOsBA8&M~9LJI~KAH5aGmfhW& zAWl;D#8p6WSkM}|nDd7%0iiH%MyV9HlGt1hXz$(;;x9(4!CVo;*2s%q;&<VE%o@Qv0h zD5%u!e}4G~iX~X)&tP0yzPx3^Vl7r!Js{$({;<-eM_F?2_*J0tO}Bm^V0}_bMCA{+ z2(D+mcfR3w z?{-l6#9zd&1J=K{`iH)gl%x$!6V3*5TJnZImo*A;Dd`@ z>83+(V`8}}Z%cxi7yfVaBT{fOHB$WzdzpQmf#@&qwr!}-#HS{G4cAZibs1vr*?k(Yv% z)t{t(2Qe{7Y&-sn-tZX|V~PkCzyGYw;|AE264##FL@D?mgOBA0y%I0`#sPm(|CRxe zxf+mTfUzasX6Oe_{#_sx{6GWOvJm#DWQxw@SuJux!c(_tvntUp$Yehu%_W8ES1|4@ z1emo5SdnamqiOkb$B==WM7JpHFeY8SQjp_!`swl@>#FI{e2BjfaXVq6?&1nhVtDG< z2MRsUzx#JA+h}B-`io8kjpL}RV_nxq_xKbF5U--&1-y2=C7PZXlM(|Bs5H|AA%rKk zI{5FD1;Rvs$7LXjYGfWWn8$Yg0MRhV60qST`KLr#<^~8`bIIiTQuF`DSVZVdTL8H6 z)v9sgH2aUi@4$eXU%4+q8h;ar0P=exaDLHCQPV#bQs1Dl0{0>M0&^Wf2QStgJgc)k zkKAlg`7%KKW=j&%^w7M3WI@ED+n;nI*JvvCXgSVA(?;-P{#M1a~@W z%aJf@2Pieh6V;A9nwWHv`jT5jS4(_f-Js(PI$^J@BY`o=OF6cz>+Nz2qGxX?jzZK! z?xH1;K4?ptnAqLGEH|ktZ2mD{5M4+n8irS@*hJt?{#%o61Yf(l8e{q&`PxVSP*4z* zF5dkc8@D8)f28C8N2vE#(x$((`MiYZHiA&m0{w?+fUX^Pi-i;robDj4d-> ztCVmMpZ~wjqz2TQAb8yIcaj$z++aP}^B%W>D&8M6;L_Z(yEWf4}3ula)RP z&emJbFHZP7kJSY>@3tFDAeLl@#KE{(M?aCo=LJSB=Y?8;rBxdfVn&$Ul_Sg_k1dOE z{E>K&Z+3$~UNU6&{Eh$*BSe~Xb4uYy>!rj8hD)Ge;d#06E zLE)i#1@R-E3*<|D;Y$%N&RZA^$SRV{`US+M{^v_zLIPbMAD1k7gY7??f)sLfs|EKS zAqbVn&*kb2TLeF(Xmkmfa#8`AGf^hS2b(b0?Xd=qwEt|PgCH7BYqPOIc zT?1`<{oJeHQcg&yzS1){3m*=QD6rv80bX{3wSL>TOesuym-uf{feQGxR*Z!BuOV}C z-d#XKc}*15QR|bKEpv^aJ*UHNAkgrHx}-Z#b4)~tl)sn z=~+(nCqjVdUnjmfIE22m4EhCD#A3_D{;jbH$JtKqVMh8pOZ_>%@vw=Je6)lZC5H7S zP`ku@GAL9%**_nKD9s{_Mz~?#b1FQPA#Zp44*!Mo`UJ>40Rdi3l4nXpozErqnH079 z3EXb28>@%E2?~h4{y~T?f~WuiZ*icWi2qA;IrB?_aF~0Rc$>)se5_%wz(hX=&*qn= z2N@~-oz_zLz!9t)Z_`~dG9W>i^Yq^l%!AnLk(OL178=dr!Rl5IJolRpB&0vcJ)Gax zaN&CZm_J}5&aAIn@#mk%e?E9R#d2RR68#Ymr0<01<_!vbpJ(x7{CNl|#0D}9Zevci9Lyj!rB3eO#{+tOd(E}de*Z8+T41f-N+tq3E8~FTO7mi$g! z<~LR+h1ius{MU)vT0bYbU)dLXAj`I4tN$n^M~x&%@?%u@{sx=>#=hjU16f9e#{P~G z`0F(GKT-b^T>VefFO8i4iTb7G+y6xU()#Ovq8{I5!qs2@6ZK2;)c>sdrEP})S@lcv z)c>sdrS0ec->rHnI`oUEsL*|v*Q80dLh~KN{?WSgh|)Q*`x$z#0kmcYwIaVPg3`}yV8-RGBuHta8uYxW-k2|{$K=S|EvF6 zsL3VO7YFHr1NV_%K=Kh*L4lPOjYgr!J=~=T|80)LD!kaLd@CaVcpDq zu6{}=1e|MA%k@iOZ2_lpl&H^3Vr>DZj1@zYvV_$1_m=`hxEzR($x2e^=(&jh$UPrM z%E8T9P7o#~mo_4oc1v*0sd;1K8l+h{yx@oK>f-G zf%WV}GX}qmgC)r<2ZRRgPFu1YAF%e@gn_r^~w5-E22PcMBa?5BXfB7`zHkuZpx|Zm1h=0mPna99DQ2M+{ zAR@CZMyWI8m1juf)b#k%s(zofn%?QQ^-nve=>ijnV%Gc%((DIv#YBL3Qx7o7o}B0r zD-DinnBMO&{#MZbL9dXxOk>i>ZL5}hbh6@?m;V>SyX-cowfiA8uTQ3rUk)v=IzPHU zA%N>JD#K3NR|4$^(-~Q>!F384wX%*HOwe7KIskf?n_f?1Fxqs zJlAe#Wi@gA2PJYC8sLTq`U}#js@gBkWkp)EvRTRh2exk+QpMT8vPB#2%d8vhw3Lvz zo$FKeEZAbnD)fK0ZPJJ#{Mja^o{aYM#h}@oTUPo#Hd$4)Fk|A?f8z*52<1nY#&=eE z&Idz-GNFY1C~Z>n!17MY2aFG$QgLO+8Hk7I_*qgVM~E=mF*}chdL2`eOY#T{=4tKL zBdEXm8jwb-y^(;%0r-gz!&8q|?qHOKRQt zk>dT`d1bFu?yf(1KCjto;AnlFf}+Z(({qA*SAO3#bF%|NZYh3ytqzLQt4lb#FMby< z7&*{4LVN+nhHj0slQ}~LL82PYLd_p?@1nWHPObd?xd@rNi4&+TMTp`pxTY53j>?Nc zhxvvS-DX1>dhp}p#;_&^49VHe+&;BR5fe;B2nex!S`mI||(w5zL2g!bHv zj0oNM69hNh)uQ{RQj3#oX$a6B?Vp*Odq8cfVa6Dmd~v!={!p}5jg){)ql5!9t$+f` zyEiSiHo%^SZ#d->v(h*VS4AavHxFeM#AH=+W^CW#QRF9tbH}G!8gjP5g z#dp`6-IPD1V<7Oddr=&-vSOD#VoErIZydTMQuj@Lj3huCF*;I-1cX#h2#dHSf|}`= z!BK-v8UR8)oitg``KixVm7IQ^V?|NukJD+Ii!8N>tDo$LTP2EPX6G8{SMQK5y=IH(!znLX<*uHu_%LJXV4u>C z)`}ZLm7+?^vFBI651u)N&~1?99d<;xSQqI#OnIb(aJdv799>XX8-l;~@2@TK!3yaH zRTNW)SC!<87F4cDC!HBT7>Tu**`Oks0aH!}qy;RB89NaGu*d5wsb8MlQ?G_8g~ZPn z)vKv3QliJjCYXx7SKI?sA^G zUu-p&%Dyhk{#Tg~Twh@{hv5WXaq<~vGxu`_1JO)%>mH-5k&~9vN4IKO2QT)WB z>8_3RSF zm$n`~JC#9h)0xJxx%1i;^1F|pU&F?@Y5uWY^7S>Qs~erq+RE;YO5Yv!=VR7C50h=Z zc2(>e7wt8w?T=Q;C<$`})SoVz^xsXF_%Y44t-&YRKtFv@bh6d?0dJ^NNsYBZk540$ z>oQUbUs%uoMN#4Vd#HstR@iW>H( zbD)8aDX0s(OK+^hc`<^N46R1dm?N(u9H8gBc@*(p5X` ze{mjRgaglwzSJKs%CHB5t*xzhjrrKwkJ!C?T(n-&l3;|hl++6J0*|&qE6WZF_Iq2k z4+Er1N6|PqVX^@9tuQNGFVM7{e8(Pb6tl-^16S{?H<1U`4lJ(V*FRapc)pg8(fHVP zoq?~v2pvTJa1ZT*ZUE>Nx`7*Z;5>B#niCdsB%ZIFwNb-jRQ#=83pU!_Wf)On+7VM}M4|2z4yALkpYX8VPgM79Kji@WuD-R*;1KxKBoUbv+Z7=j=$_ zjqks>x|Sdiii-FSnfA9mIcId;>d+C{myri|1zYrK2^3`&A2f|9@1prbv152c@GQ>A z)!bPAQDxCA=hB(`;eX)p$v0M$BGm|rr6q#PTOU7av_yQp<>SNl<@)j;-lM*v40LTr zF2v|o4I6c%-jnx_MU?l&0-{|4H5qb88f-70&cDI=K2(;_w(xTbPKJizUI+3O2q7!e0%0-Ter3znU%|X+3U5j`Sb*!rE+BsCs!GG&k%?=;^g4kgY2jHzM;}m_%LB_vjJEB#2}3N zDj=%#IlUbH#^)$DCj&i(@IN^V68h=T_X?kuC+4s0T2pr-w2B=t*u^U|i-Y`VP)1~q zo5INnHqFhBEJ@t!pqC}ivx{3s@Tu&GtMf94;)7uVf61b>t^>zC)?d2RkSI$vZgEUq zeILq;=DLQcDKE&54|L2PBx5?dS8(vY{}1h!TQw>n8Q;Tv=qj`A;RQES!7%Ir)CrURPVPbC#CU&iBOj z@bXGKpCyF3X$NSjVSmoQ)LDaP?PHrSGL!C-Z1an8T!#)RaG_XIxVNtIZ_;q!3!97 zV40(RWXIl#l6W|jT&Hua3_HPRsLCyS`}Y2k&78Mo9S73{S1V5*#XO4mxcAcHq^<@a zCx_l16RP_&qWR*ZhA{7}(esrDjFjaQA}{O;?(2Q>cRgaSZ@nh0+diXY?hjWgk8I?0><_4Ba z$r-A?(n!pxwo=$KtsT3Gi(}-yg+@0!iN}j`<@7kX2n6aW<5l{saZs0P=j!1w=%fDd6i$c+q?(0Ka z7HR=VQ2`ZC&2V5zWe1sT+s@S!SVtpA|K$pbZK|&=K~aEn%8&e1r-fm7etxq0>52E# zG5$TTEGgKA>hJDh!g+ryDy(>##>=Ad!XIu-sEd?vhzGg+@UhDYtLQfjjwKhy)(CDScZ z)IQPWD^~NkqwJY3kzZJ3xn-pN6c)}~ytcIe0+S%@@ea||X8W%el2RFk6uxb)4|cfb zKq@itXiMIB&fx6*$Lj)%!day;I&qcR8Mv??1sS$nuvZQQJ!=4pZPQ>bzkPF&Gl_pp z;XamN@6$Ni7z3BTVJLD%c?+w_-jbm&8TB+~WPoLw50{bBsq6ZC;KJ`l+cY6sR$kil zD@qBINe*CWVn%e<+pays_Q1a)zaoDP4Z5f-oc-uMDm7;C2KZZU(BbUnH}i8qmMs)` zK|$^!`dP}s(rv*!dPij*nY(aopuj=;aTK*{<|ho+hyaoj=C+T|Do&iI zD44X>fNIK*p>ZtwCGBC1BgqI!hY)nf14CDM^qGDAmp!H`bcf^=Cql^-lgIt8eicji5pN6 z$WNmGHZjhv=fV7XzPM4=<|hYy@$31tuh;CZG{c&QT#Ui)U_%=wY+%QQ2ncVl`RC5t zW|!Arik36-WeM_R4lXOm*(dYpPD;hiMVi2zB0uJ;mb2~S=(@TiY6qEilO8u+J&`9d z!z?5u^wO&Heb{D^c7Mwo*euIZF##i06fT??3-ToKwLKqs&*8H9+)~F>nFIusE0k-t z@$xEF(5=J)ZcdDyT*rh}D6QEFf9&7ID|a(IExKzg;@8t)E8>;E*|N%qi!lya7*Xe- zwNvpbYUk=lLNtnFezvxSj1u*hPG&~IEQdz*4d2H~r^JQbF>=Z{w*Nyn+uhT31E-5$ zNU@!0>}!^kd9-%tJ!S$wVn6yy0-{1_sR^MZl(xLtb!+^7y0{v}t7~?yejV38|ZJIcQ}N3biVC<=Pj$!upM_U z+3?iAtx{i8IBjmmqbYucd==~JPtSRA<^E&DyspoW-C?zA=V}rgLk&%FhVqgT?<^IT zj{F!!pXqKFpP})SipYnPY6(Ug+m)|+&cy`;5o++ z{6X+6CKI{V=0maIkG|hqK;M~$UHLmE`z;LYapaq6j*I`kjQ zPR6BmZ*7wJ$^f<2SVJY6`P3@+#9JMcCM;H%t`GF@mPueMhaF&;22>8*8@gx7K zoenCj9|eRFg`F*xKfKPz!I{obQ=+&+`^XXL1I23F)JJF@?qY0}g7w*tY`~@4d5+U>weQ#uQveSDw$b=rxjZQJXJQ&m5;u zFD3svG0qfL)=ufsj3WuOFSu$}G4fk^>{@*3r=PR{{Ei~Scx#2_ul9ORmYAESl$?(& zxidR?=6dIVB$o_E$J-z%21lE17@0?2 zE}0up->DD7L=LLGHIDbp9a5WFCl#t)Zwm`=!yWCVdgg36uD~+VleE#36WK+yLz{8* z*-vQBLJG{?oyVq?42;g*KAI5|0fu971@_wE!>8Z8t$f*4wp;1*g_BoYv#?Ria=jLr z3}Xitf%D5fskCzRr>b@bTMVY_p8FD%@gVKs5vLeoFc5S0?xFZTv70OCcC z{uATT>yFut)EFGGvEi5W2+_YGg-b}vnc-b)d#{yQet-KXOG#x1e^jj96LB`AADpD> ze5caW(U@grJJa7=KkznGUG~9R2T8C`(n;whzThY<~E|L&1;XXWkB_rN%mbGR1X1O85-b@_?H*HfpI zKC^9?!Z1hR4FcD#DRlH(HxFB6QJ?p72!Gj^Frwyx_O!E(c&n1q*uvi0-m_k@!!KNq zX%W37>+(xVUhJ*4Xr!cGwNJV!$yJ@<0>v)9cR2L#x~!`GIT4&=whIVUjqP#-|M1$x zC&C409w9aB-Zygl>Kf-AScS`~WwC3sOU|%ta}?mMPgYKEVB_YjF-s8zwV;P*@yt<@ zSn8gsb#nB+r1es$(e?*2b$K5OXWZAVjk-Oc3ErN7QUL~!1KlzylhP1PmdP!&4DXj8 z;iiZKO}$S}PEP2;^XDAR(%0Qi(yrcu_gr7FYu%)`*wwqPa_bcyF0)#@S9PbD^vMkk zvhO2y__1{t6sLL`Bz zoZDBuPdagpmUpnr)+T>_Pd+?!&l-#fB|pgYAyYK1)Z%10SP!1M~LP* z5@7n1lnl*sIM9aR!LPJ372>Sx=DJc$`+4Cb*}e{B=$YsYnOgDm6Ne9rB`IvR@&WZ$yz>cu z!oZ!?y8}_kE6!H##?|)3w#6OXOdX5%z*{)7aL$EkLQrSM>KJiz1)tLAdwRqPSh4L}iCZjyUCtE8;^*M?g~uFw@`|HcdxB6p8Uix~$##^_{3}`esM>6R~?N zzOeXY%v?F){PGF!MRe^Wnazt#F!$-|IvFdB&Y6#7G%QBsWn4J2f#AV!|0b~kg8XXZ z2&@rTOdq)Ys~h|eGW3i2M7BO&&v1a<3V4)tY-GGIi$E22d)x|c^}&{#M($2V@?7+ z|Mwj}aRC+k@@4DPU}+VDPXjI+yE11E3sI8b(-}fP)CI-GeCdT-e0+Q!rwif&_D#UJ z*&*(#a+y}UK$N;>2vyhCfn$2#&}?90;wwV-%Rabp_Kj$I&t@*m?8s2h^SaM05v zWA;~&&AAbwo_{m+L%Gq36Wttl*9U1t!MeU8>oQWL!&%n|s)IIDzqccd%599yY8ufF z)fwl{Z|k^-%^LeaYJfv4O>wR-su<K|8cy})PRK<|GkZ97&W`_Q8!I6HoZ3HEu#9qLF*$yGa#tueoOhLg@Va`VkMmFKy4 z{SiJQ5ussP-knRqW~J^wf-@`6PRufQp`C}xl`x}0Yu!;7lDRf4MiyKp$gMJF`a*@D z;N*Ppq&dz|m}PEEfLi+C8c)#=BRAilE{qS5y8VfB{NL@-e5Kks`SE~i+;|v({%Rk1;k^QJ zw00UH3%xtM@~ja9>$mB>ty% z^6HgMsb@JjVFRxF&X#lr{&|Fjs$1JZyRlnztL+#B-VE0dyh{~T--TJUrnv`4209mm@) z?}P2&Nkz6}HSX{fh%UI)wKWXc4*iqmQkRCGht@wQW5X&mBdb`4yNbE>(2%93BYyM! zy*O;WxKf-?c8BI8;TjJ>YF(t`bTFjt#0^-$v##sB&~?(hr5V*!a}DS^9&1pZkj6-ma|eKy&J#rz;~l+-g4 zAZ{OWvQb*CN*)X#k+v6idTqAs{t4u9T<%){@=v*e!+PM2?e(R(<^EXl(D^g*C!Ehk zfD%jDAuED|N<;;oAfHOuoo}R|bK=B(BptDf;qzY#Mz@C`6K`C-c?X~HVcnU*KnpC7 zoYYJ#5-$yOS*rVNsn4RC+|gApi%`lO<5J_|XO2=>y4)?Yf><8gccW`YFb1;vpF_=@ zrghW(`@gP;oM_cI09mQzmq0MvThtgQmS?XSyQIhT#PIrIYHCRjePyc81dsjjFVhLo z_PY04L~-Gm+b5oFK(-rpoWFlaR_=DEfXzLY_z0hk+7P-9Q#IBrN+$&?8vQL6j2qKn zx&k`Oh^On~`ujl_OY`FHq?;O_;o+6AZocJZTQutweZ+5O`{QjF(JWE{{5Z&^-e&h4 z@rzZMsrQp)tUzCCyN%6adSR5im_5cufF+$$vU>VQp)c^OKCx|S#;3b1=hQP+moFdd zdnIcBHJcOJ3?xeM?j|cv@(Du?TKj0<^YwBn_N2KZrmXUs?>S!c4q6UQ2iCEIGh7)W zarFxYv&Yrjp_<>Z=f*@iCg#eSZ&_5`LPt?px>C}kKZ?c(hw_A?= z{uWt%V-Tmv&vg_z?|3njiirgFIU*%?=!r zrht1WJXSq4&06*3N!7Fa+Z$&??(B^WSeF(}xr2g@!wX{fl-E63aCnmX4}S6J)zo2X zOdS%8WHbZiIP~c1NGh2zVnAMsV8bUGzS2= zg^`-9-X*Wc7sdm%M_frw?qMYa`2}LAzq{ZMuO3d`#;vC3jN?op&X}F7t8>0X3H@9i zS}AR!*gjHEq3Tjd#QE{-n+Fo3Y#zc+7z40sgO70)z9f>led@#F&%@ubG5pZW){&8O z=LX}dcUd%Xz#5*w8Zx&&IZR{?D0y&vE1vGdVOjjA7Jj$_l{#7UWrDbQS9lV0gk*%- zScp>!^C)oe)BY&Xxp%^=Y;Of`w~S|e%YC0g|M~4H-5qUm*t@4eeQ@46i<^CSqXxJ= z3;}NOR{mkd&qX3~-XZZypCa;eV}Xji!lh7J88Pu1A0iWO79RV-0jz{gxRxsXoQ!6q zyH2?xdq16q1<8>gQ=5caK>@xnGbMo;0gUXAhL|;f{RE%l(?i`xFI%)9ZOZ5Nj9mAi zv=EG-A(2OcohNplPdMJj*{FGX+_zFG*r2HP_MeF;#ZLH1tN<@nnTk;c_ZkEr6Q6;-zE=2LBr*8v|PIxdWK0ps5oHWQA0 zc(8cyzlfExl)L+*I>Vg?=rSLOvZi0@Ak`j`G<<1rJwzz(RI(5B{({D6|;xg3-Ken26Gl_0csbwv+vb15)+poom@Jv zWfF`r|Hs%{M^)KweWQXPp)`nyv~&qbH`3i5($d{2h)79EcQ3j-1?lbvk#6aRb1k2} z-|hGAan5)C!ZCCV?sc#0n)6q4x(y(4629qwnkF2mjN$Kx`Lo3S)o}j%J^cL_n@}0S zG8r7r(Cs*qyE!$29^8VAD&@6<>FM4A0;pc#+=Etg|A^e=++(R+D(QsVj=NEU4J2OB0VIV0|5E)=uPhgnxBN{-?lSH;4M` z-h6u+1aLTWtK#(k!5H@-3ed59(!cdz_x11Z@}DR0gT}vjHK1gy8` zwO>vZnYfLCh)e`PcwNVCvMIK*-Pg=^^7!MEHZ#$Tz*$o>zG__>`NM{E^dLWhqMC3u zDVtF1S&JR>O_OiAloF`f(+S4f|9LRPAApGJdwQ=Af#xnM9j(%dC0}>>$hx9Tx3blW2S_LR|kzGAT^bb>n~3VK$j2HZC%8sd7YB-`e}S#!tgcE zUcT*_l~wrrH~r^*{Pm~T(gI!-@zK#rC3nCiSgjO7{p-s8xfo5a+xU!lO=){z0`hw$ zL}!-1=nlSaPUJ;v;^*@T@hqcYT#44+gA7wa8Y zd@-{@{jVrRH;Ya}H?v+;sGA^_qFeaMSEzLG#ob?xs0~beA+I_DKqA}5Ay-S5F7LEb zVO_m`re93nnd-sT1p()tzy1?;SN#m<|1$d0lON&xFKD2{;WVsD)Bg1d|Ifqx&tGgY z0Lpy8BK+{buKoaW8QN^ox5jn9m+~`>Ryy@3d(WW=!|Ep#U!XNrf3LazmA|!Zpl@EqNQ?F`ARQ!R@<7RIX}O`1epLJFEhP^2=<3cF-b|O!Q@{_%ph}XLi2y5 ze`=uKF9PMtC%d1sjs-d}0R`M@PNig(r4}OM88Qx7B`<}L34Y|5|NVW~Y7;MrVt3=` zz(~R7z|6zFN1_~Rhr{VY>e>Fkd}3FSloJY&!`O;H>LG~$$3Q(!ee z0FiI@x%CKds{4=x*8lLmXKdE27^u%rnkY&&a1Z^TxWICjh*kaOLTo0_K3B~ePEYhx z?{Di`XtOFg=V$2hkUQ*A!M`3JlN1!S(7jJsU5KV9X3kHr3rax1gLAqzYVGw6SE8OW z%{vpz$ct&hno>oyX`ojHQihlOC4r;cg2Qp9_XudL{9@pS+eknCzkKE`D)23TtrSZ8 zU*9tN?{EQmSY#FT<=-rceItZnO-VkrJF=3)8*7EAMKvunK#rJmoq_|>VAj8UOM-u}k;D2cz#O0tvcm0&( z(0}#;HO0V1AY*5vOlha@)It-=Ym)QLk*FQf;|l&$mORP-ot{_opK^Tz1R5UBhy9l@ z0SAMT$TZ5W;j#2u&#Onr<@gt=JbKCFgz2UJ2CR>&^VE5wNx{fs|H= znV95482{^*qYDu0!n7h_Qv~(=v_@Iq;2X@*bvJL)?jBxlXcb7+s*_QUPn8t|iiVr9 zE4u_c-V1d_O&az)t1!!OvS($2gUWbLCJuNL#TisK++Q<)DY|)GStlG#qW1Eu8i>Vc zP6-NR#EuK){q~?f92=`ZQ-!qs8oA%MY*B)BZ^#aOZ4X_2&m~|6ZH1K zx%In9fpDzV*__H~ifL^`znryYLqo0FUL+;%?S}@>Y`>Jmi3p_4nu9_~0*>$%AOH1S-hcy*v=?E@et_xE$&`i1E;x8NK6O8yrq!C^!USZ}p&+82 zU92kdDfe{6WJ2Gcr}v}%-MD-wOX$9pK?76LutVc^)QOv;+wA^b#9$2shp1s-(N7Z@ z!0ky4w{0c?qaF_bqRvmy2$Snj$C+Ufm8sFU8_WmdnV8MSUgs-xw4m5acgn$9Och01 zls2oj+?~%$zQ&@I#Xj?3At#4tfL`p6a3G?dO6-~lO87h+o7LRwVg84v+w1Ap*v}~V zbE=Fue?aFC2HVpu1w4N5rlT9qg`?jcXdw-hZ9qfdN4nj&@rlN_X=0)aylxV_^T-kn zgYB<29VWzMvy5+gxWCayF&RoWyXF3O$n2J`%%Br_dn_j;J2$u8?{5Js5%H2Ky-cCl z++Hee!`^%INey*eY;aSheK>gKfqTP@6~(&TwC0M9iO{%5HU(uuK}}hmvUPH~q%vZ< zPN2CP5P$6*(l!(s7h5b^&lpH+BSzHEUwy?u zvSq~LS3FwthX2wQwSYWe@Is~NWuQuC;LzXn$)<3rShrklNaC0n8TEj7MAGbWQB%rf z{)e@c(Y2kW8#Bv-BZ~t6`57K5k=JP?#%EUjcDQ`4+LF)B*-&p!z!vJ!4r#L}dO)S` zJ#m2y-rZ2me+~_KndK~V{q1r8dTr}nap+4{$pV$a=X8%mL;n3%;k2PM84Qp3;jvYv zB%%sE*dp;|ZD`E$duu*2F1w50-}b7`*0C?waHT-DT28e3+ZZ<9;cYU^lMgd4YzV7m ztDa%wjTYuV1L2gY=mB_&#BK3|1}|pg6}DNYt@wmrb8#f;@;B6({(qIL*TUevVu3c1 zC?F}1k@pyRvJB|x-u9qUF3m_j&B@8BuvzBQsI^X^(`(UYvz#F|f7}&GCbl+j(;5R_ zQEXv}e#d9~KI%O2!9>;^)8W*y7B7$O(X2qAQX-9|3qF>RtvTGtkFkR)rGC`tX73s> z>%s9}528$sI6Xam56c94?r#7mh)xrkH{O+ArL(&~RY#)X*)6Jk&CRU^E=|!z`W68! z3{>~I3mWS0XCZV?WVg}H=>oIQG&D`}i>9l8iivpgqiO%3$~@p=mC3ya$JTz%EDsN- zpp{WYd}YyPnZDX$x~3Gvd`uO*={eajRRX1tZqPed+Il~CsaGv#G+m;T>FuM;)8M#G zYBgWO3d=L08~L1Gvj;pa6#=*Nw_KSd$+Mlw>X%7hQ^4DV7Jn{a5xsD82C00vv$21I z8Y%D-rgl5V`o&tcY<|d-PdgE5CfvIA_VF*I_Rg}|{%O-q6i||5I=~1Ma3>3)tUc?G zFmc+uX)>DsC`9(`u=4O?m($JIeX_wj5gN6RAA}v?S0!a1P$x$?2_YcR+I?ciY%L(Q zJ=)$f-19V8a_vycrFYN9SyJCFKkLq=!5*aVpMPz>Z*LdUX!UMBs^9O6p$#*=pWK8E z!DTE_&cA+EtCT0(Re85F>vNWQda&4tpSH?ov!rc40m1MPeA z-Q@CZ*h|*29I2K)1klOlc5(GQN#1>a7HKt4(|UK&iL3Xv{izdg=3*M{{Nc2&hfGP` zFXZXKOjyFn4kMGV2`r|4W%?Zh*z{@?iH|R+>7&66%^WDbCI8G-d{OwB8Nv{CvE*@h zIU9!09_w+~tai|JBFmQ1{h{E*Z13@ioAc@R{Kv-#Ybh>EOy{ZtY5aO%KL3Tw=FUVu z8T8UX2VfYU%#Ri2Giz zE|s=z7bZX!?k54KDru=_vy!e>ja0B?6Nc}_Z^k)^G-oFnM&{bhyJXa}wJC4jY-F%%rGPRCV&3x9?I$5aJe=^L^$YL^3w!a~q{aHo+=cJk* z{j|12nB(r0jG~fK);WtJ3?@%T2%G*1JbEyc<2T^~QmprAmZZ3{X$ zI*hrIg0IDW)7#;`caIjugiYGLHQZJPYOP(TcDx5E(|D(&ft_7Bm}vN7U+U^gGQlu+ zS6^YMcmY4z+ylt{4SOA}n(#@(#x$;KeF+p&?`N&xPwWyVa{r;{P{ll^Zd?g-dR zpE}*=pj$m=O0(MK@i?W&fZjY+@U}1tnd7Yr;y554bH?F-Jc5MTcWt!LmoTk}+HUJH z$Je{1arm7R(ZXsxM~+FX#eor}7G+mFJcDsf+qIleig@P?jMqlC9QNZUnIDL>X= zI62?Dc*#yU^?prEqj48Pu;^Hg3PwHFODdS0S|8Tq^kVrRu7)ej#wohFbNISdhHv$p zXN|{-G+2->mc_ccG1{Kgi3ag)N1j7G^uxVQF%*Gv3<{_hJ(SZ{D}kFs^A?G+${~SM zEiMTrz#RLiN-YLVcdi?Qc6P8yu3UNT>veU!emr9s-dm(mnQT)Q{9Kmr%E)m1bA#Pz zm6_#A+9;v#*G*U!1s`GxOQ8=hVA2qUZ<^m&&Ar8AGWaAX+W+QHCtr9D4$=Its!cH% zKjdbXLZC62mh2r+UkL9#57SzIa6-9e$-7g%|%Qx=j1vom@g1q z@38sOM#pJa9xXaQuy2467)>#mul|KeT{V6-oeV{X^WSsqp-*&Npz&R%FL<5|@^~JW zOPCP()+4PZs;&KA>0mbQ!@jyZ^f`2WqhF_i6o6B3M+#zAidmv44$+$(ilc;FD;cgt zvRvJ}C!9GovpU=} zaC~;_(VL#jL)sA;`SLSvcLp{xg^>5Tpm7SHd&k0}<^AS}M#@0vh-l^k1Xli5+oS=V zntleZ{G6MRJWRf7?(FnURZ9knfbqcJzHv^ua z*i4g#O(eO(K6Ys3C*5rMz{`1$=AYDe_xR;(Fg8QBe6vl4w|m9gk{B8p^PDd79ca?V)Hdt>luNB z%(~a#5);iUOoGWJ0UFv^_xB!bghJHAmYX%bhwC`Ew+K>Q?kF4YIECp)HRDIQ8!_Zn8sw&|*Z2_XIE+%)0BH!mu~x1z!PP`U2Rq{;rvs8KS#@?+y6| zCH2;Y)PT6id>Ua1>e<53<V>ZQ!ZK!v4+kfYrKL!it4WQAb_{Q$ki z?y;t17ms-{5IXPNZ!p8@e~04?Hmh_@AO(VFVa?r5?TAt8w~R!TEh$#DxFcM zsYaW@2eTFiVK-Yf-y6*X_whtsPQ^%nF4E6EeT1J|a?sfn@lf3yOVL%Y2ebpzi(6`E z-v>$`q~qTR0-mSkL0em1Gt74*eSNlT+8_#=n9Kyxms|unpynXbqSFhD@LD>2hsRA1 z{6iE3q@g0mk1h#BVQg?>mfzMj;pqp7vsWY6VwX( z%@miue#Tbq{84~O`cAjU$;rq%no&DP6sv9=a0(q+T^1 zdU9o^^ft`#=GKtPb^Q~HbZ-ox73n+CeqID>19W)O$QG1;-3S=#ufFY%2Uk^xIKY+0E$(>%7rCCB52vhTG{Ym{+rWE)7nPlCP#v5aI$K7%lJ#)MPCNn%rpCg1k zatqSa1#+bmNTE$2C(v%+Y*CZ`-)6Y-b9F$;v|SdRGMAL`4$7`Qo)`jFK|Bg;?%?xh zxNdPcy-H3%U*06qjzXx-Ts{L?tnPRFQ>@X+wkin${r9;IV*;SaXrOnh6{(Ye%25qL zNTnf^<2m{iO2n_*es`A|N$WPnAnP?f&>GbZ&dlVVo+XG38JK*!4S za}D6Mv4GysYW@;Xwrl-#LoQ2K)zrj;$7=rV=oo=E z(A_QVI%TX>kDoU0s>L$%JX^RCE$e4J?&{5Y_ah8}HZoW)1nk@#ivH?6wnz&(3z|u# zC`$}_Xd~L}eHv2J+mas(gH2zr{{ELlU)}IgOpM8Zc@{GUx!P}3^u$!Glao)2zn-bWDVJ;>> zCx@YFF&Fr{()AMd;%%_IV#R0E-&UykdG74eYvy$MGljSap-EgPG?U{fo~uD_?zIn* z-t0yLLuQ@!pFj}mV%%*MOw0oEv;KV!^i+#t#pOt*I`c1f~oN2S^vf3m9rJ7||3mQAk)6%H|_d z)1{*a!vW*Ut4X{bHOUS`oL}{QfLg|E)Pp`-W0jP{W`NTDWdoGv9JBN{u$suWypZ*( z5o$Q}FG@eF#$}^(q~bISa>T+3MddTDwL-}3VD}z#h-?h3eDtWK9oczDPjh(`Ok47% zWVXTO(0UICs4>>{wqsFIn6s@ut>u&=`#2i)_Bozc>v6Fc!O&CYyw?yHaz28D*15~) ze>!Xht|nOsmi*Rva5nEBUe1pUi3`r(C3YG#JpZuNbaj;8etPOynWr!W19K2(+xp|9 zv9U6z&%Gxem*WR%M(=nIyG%%2S#EYV$0YCYWD?um z>6uZeVHWW;Hn|bVMxLhYx>vhV`}S{IX9lQCVo1_QSk1FYoQQtKwch5?X;l1B?#S4@ zXRP}PyFFW(H&Lpmw=-Et2`*#zu$o6S+A9v?tE;Q-w5x}WB%9D-yW%~x6&ph(B}_Gv z5{1kY3@|zP7z|EryHZFZSc^+#+3? z34fx(>RWEu-M1Rf&j5f*O?Y{FdRrai_35~rRtfjiveoFTI!b*v8V(qkeYiTp32VtM zFs?g8{Cn8vUWuXg_Bh^LkcHTqBd0FbWowbh>boO#UGR=_z|4-!`1$LztDHLKA z0Udx;mE7vj3F2EkERkuWd$~Y!Ppa=BE;lB>3HB7+%%iR7t82a_>XE*1^ z`yddbt6n8@W6MV?wHForvUl;ZW+I<)N2(_t?7cL-@0y(=gDag-xYfG z@8md`m-YZg7W&M$02Ne3Z+d7&jQ{V7h<@oS1U-WhsvcSI1oWf6G(j}PSIP|{GgxYS z<}FY)$R}%fNCKvEb0HA%RM%ffP*#(b3Smnu#K!}jGOh~HOYKsW!PIPQOFSD2tn5F*KwP0=(?5=84R z^J0de!w@0a@@{4I8c`t<+iDBI2RR)s>8O@y<5l))n5G!>By-#TY>VIhDSQG-D^b_m28^j8CuWBY0Y<=>l6yE=+yyln=hbj%J zDzM0Rbvt-iP~sp!3O7}%$C$$H5*rm26`yD`Rg{3C?0U0bi>3S`I{hrVPS0Sm=og+~ zgPwtk#dxlaeFqAAPkX>q+LVIS4h6>7%B_q*F;O<2)V;o4E|8;J*RUyv*rCEAazFzf zc}A;+YH_~p?%Vmy#{(wzM?qo2@DsCk*w`^W$vKTiL+so+W$NJqT-;qM|2W>?`-0-UJ=S?ybO8js;463s71ngVyn(^NnBHhg{NZ~wp3CI1lxhK5=s>ek0AP^T zfI*H~iEf1_!G1)gdYwEnn6n|R#RZ355Sf1?#ZqEM(&0YkV3N)>p{Y!2KS;~p(-ad! zWE+;jMK251A1u6uBw_9B!`CjkTg)IN_fL%#sxbmJ)ZM{v5r44bOx5eMfG^o=ue`f` zsS2Q}g;z?*Fd$Cy+}0w+ zUenVLeonnr7Wqf)dO^a86W-6zC@mWLf`o>nynt+L1ZL z_FzgfpI56H%~lv6gH;csbsH!I;P8>9kBl0Nt@A;Xh{xHO@>?Au!x4W(7EFpamNRdF ztfltLTn`@SeuKR=lKY^}KfS%~HCfug9j1q^zhmon-0@+4csJSU-!I}^R5viZ9^ zDEMqIZW8ijlAJwDf%gBkLa81aSdnyjb;8*XC-}xku~|cJBYZWHs6)TDy#Efnnz1aNG@wFno>hOH zUg_p=!v2t1YhChb&hvg$jHvf98V|9a_tpAQG<55o7=T(3O#yfe>12*kSHzNEf&wJ8 zU}Ut3Dk3FCCx3pWWwW!~t$f&0_~=*BsA($_nB7_m1>aO^wKe@wM#2e8CfkX&&)r#t zQE!xFSiZ#^AcqnX<)#i<<5@w^M*Ulj~sHJ|uW%QaL=4A`eftg!q+ocbgU z6;%XO?oai;-Y&~4h~ooPY3Wi&VN&XJA}BA}K6oI3MGSaH2Gvhn=!V@-)~lGQ;CN`; zT5Ngq7$RW;=y!8^AD&s05#Y~X)ZWbLh#4y$pk>}qhX*3tc^V6=<(rWV*xfdr9j93Z z@(wel8+z3@`+R;|q%l}ijve={Z^5{6tyIccTp~ZX#_^^zAw1muq+)`1b+fMlyDRg0IwZ;cGcoE~8- z#2y!4X|;_l4-rj_dd+;}-YkUdLP!%EounlASg+-p!Qkje|X*%vJqc6aXhXk?$6JYfq5_wu-Unc%dhN2D)mFe~A_VL}tGM4;{ z+PD9n*KBMrFw!8N6K`wO?rv@I{y-MmP}Ru>P<6wcJX^0Oao^tiBI&51c|zR*=~ z3Jrah@Gs_azM=_{*vGjVPrH8&RFJo@xBl+H_?t*DT_}fUi!^|ep|19PQv*ky(N6xt zv7c}!hr8*+Xu)eRD<$9p<0O+KG`Y_O6bu76oF%Xyjx)s^V>P@D*vgyVObHDi8V4R29qJFNa&!9%g#I0~ za&%jKT5x86XC||jsFWnhiu30E_|aLc|M7e++n0H~066Tqw;wSIg3hnfxmY6^-IN6P zOPh{^0kKpe^!zQjDfj{ABO-tg6+9rJ%noy4vCT$y+AZ_34IcY|SCMZ2!6xMu)t3h= z6Kh#)s{q^)dO&9t7vH(wbxql8)XvRG&$r8n#yp|9k8GS!q~cpp)C9Bz|-!4T96hU~3*t z=+9J-gM7zKWLuSot__CW+<&&`{f-9bOdSbv3+fy?IDivudMn=$B( zB9GZoWRy@~WKgfOC4mXBlTw0#;wQkDFJ;oRvxPw~W=vwYsqy*%^&!Ow-GpU%j)doT zQ6&RF-*n0K2glCy%D#T5pwI}g2Yr~ymPpeyFSZrN>M9rKW;LxUga|_KOZ^1EH7}ls zhGW=@Lkn6Nz?@aTAvMr>8agoQiWuAmM8q72&7sVLq!~BJ-HrM1t&YoLr|uNT`+7Z0 z1l@Qge|yk2HB}f1mCqnI3^a~qAh;r04g4$>Z;F!p6b~l;-O8n+65&2FU*Blhy{9gU z?B@+w81ggeCIthpmShU%(ch@aE0dOj+yU76M!xfKeu5D)zs0%S`(~{9HBh6_iS! zZyNn*A2`Fyop*K)oYueqZ}6GpatiJ?%)-cfmCH1D51~fqeNT4pMuZnewWM_HZh17&~@BsmWks019qJYv7bQ9Ua}< zuB@)i7UdT`z+Dbk%j^O_aJT1cOOt)>ue!SOCMJFaR22;J0)7|4*m5bvceBTGbv|!n z44|=S>pQ6Qo&y|rQN77SqR9(j#BnYi7O>?!oY%IpE*&RCMHyJxOMgQx?zU;U>QayH z6H3@yyg6A;0f^z7k}PMZy1zM}%BA16eaDX<=v05=IPZmg!>A}CsQd){;EJB$m>3)5 z*)VKEG}}sH0z^baG6^XZrkQqV7nTn)EP7dnI6CUSa^9Vei`?-N9kf37)_w>0inHpZ zQx&oq5M;D+K2JP;M$$UlyttU-5w* z%8NGwLwq-uP<6)ufgty6r9_E)H!L#`i`t{FO^}c{A=9 z<}Zg*xWn93E{rB$&>ZA(fDB4D@LtC9E2D{pn45e%?3;*EqOSVU@xRkxj+WCYq$&7@jQ zW>*+KSk+|M{S|CEdZG_VyzldsZ|R^RWIF%;DOkVymD{K*6i;8y19<92AS%}VAah60 z1M*@CZ}f#`j`8sDj)8ph@N~NJwVgOMNi(wrm4p&N+QyZca6`{C>p{p!2g>9nVTtfJDlL$`c9bb`)L)PW-J0}Nosg-hjn%qwM0x%Mb<*XW7&!)82kNX*Gog6Ia z*tsW$OY9Ysa3}n)jR!NsHrJx%*w~mL>~9+CB`(@NK0cn(vI&|~KibYq6WuidmK8gz z=+{?fMUT%sdV>syk~!@cou*X^u2%wyp5wT`pU#+Sb{7yT?!G$N?5-kI(VOUirEye* zSB5N)Hhlgtw=T*H`kHSXAjqf3d!rZWh+qnKS^9=L{Y|PxX$0gtNymzz6?n3*+yq|7 zTJ!~161ewV01(Cw`@19Hve1ILdR9#*da^`^d(Y4AxcWIO`7-Zz zH2G4?62;F72Q^o_!`X#IQ;?~ucKM7&UUBcJT#QfT)_^pI@N+tK`E5bpijP2!u?sko zcda8^LVr|!?{m3=u<9L!ECt=8Sl@;;X|k08hr?k9=w}ypcKS^-E#qRy+1MfJH89S> z9Dl3tb&?=Fp4HOc!ef#h{SJ_T192R11H)U#VIUv99t{Vk7+0oU!MhO&RF!-1Ci9Lp zKds#D*eOM)oC`fml;izfeBiHnH=qmu6yhPY^HSrEux8iz)o6*s#AA#d{lMVB25%*c zGe$58X~)>VmvJr$@s)8xu~^rQytY{Y{DkgsP=0!FXK4~ZqELkKMJ}Nm3<|ka%e~Pu zcZe5P-xe_KtDIVjPqToD#CLyb=mz#+(T58bt2xQ3Hirtqixl9#|KVXns=aKe)2jN4 zCf2E7@WUC`RU`k>4U>5b2-cb37Nvl|2oh5M7gJe(X9h3^mSoozB2WfD5pp=Osn*#! z#Os?4(rvmw8xI=#C!5=JQ#Jkwh|`}N z*ir$aKGKeiu{f%5%C}&3@)6|49j`K5BhLG90oikWbCUd(z{9~{yYgWAvV18^QQwCK z{av%PA}?B{%c1T#&rU)rU<1R5v{m*#-Hwlqkw2e@+nKL0Mw zE3v$|cj^RB*D*#kh(TOj4t1ZH()h>yt4m~eBPvX{;=NUuxt@0u6Z$J_im*lP^?Pc zgYKa9!MmS7&BS+*$3K(LLAlj*!YkPoRcFDFz#p~MA!Wt}Yp~-hTYc$SH1kC@O(`4u zp@oRnuJye~IxI4gR+-p=k567wOVxUr?+}Q|Y)vW&+uN8?dd*GPs<&&Ok!@PXm@V(I ztId$*930Hcr#59Lh`H}-vy<`q;+vm+L?C=acwXk7v9DdaTFNq-gN-3?wh-h26VUwJFv0=Ll~wH0hwBe>zb?&YvC3 zVDRqY<(G4k(r7f6uH~CF3;AhX^U5wDN~|`VBTv5AeLOPSWptLvten=Mt6OKAal0+& z!|ZoE_-d5RxDiJl!uLYeOZB^dB<#%{rYvNGVvM)xUlxLOKQA)NZd(bn35d{o7Rqd! zE4{0GH+a%~u|!KvP0i#~TyW!I?(h-$`!o2T!t)C_yN7Iz2TH21ZXYTy2u4(Krf=|< zvdBa{l@;DN!exOCUBTE)k_zq!3c}^`dmo-%g_Og|2mATKUS|afpwnT)%LsfC6~Q1S z#?0n}&(Gk!EIo|3AdGVu{>I`kRDW@x5fWo>dcJpMGUR4@ID0eiz1F_T4jl8B?AFOu zjNKM@4X+>l&hm{vPB2Z4WN?NNnqe~RqQYpW2sxy|^DNgy(|`HQ;D#qLDfH$^MCq>s z+H*#|7Ei?od|}H5II}wZ*%q(H37!#J-MkN(y_!t0Yv{r&-tEpE4j%%IP^qxl*bCt^T|`Mn_P+4!9;6}bP555xx2zP#cO0u2_0317w9yt96j z-yf(JDEG$Bnf8WtUbZn7DqS+`O1wvFCwz;}(7+lw%re@pGF*!E$ZC)}%3^hq$RqX0 z5`wPay7I*opXsYORTUnBu>s?_%9G&wUwQ|hUr^qzb|Joj4Hcjv9f67tEuGHqgx-8X zwln?ki+i!AMZeF~2kA!2GL0Vt$t1H;dhIcE0F1=k!2%?HoxLA3nT-r8F9|g*U3w#A z_y7S^`{bK2A5Anz&rCo=IcI}AMMzlbPF6e1@zrJ;w>RdjdXDf5zaqkN7~=TyQR(im z^(hRli^Jt*lJzzpQ~aqe)QSN|5#ncl?W61upLZ^aseb5s-FN7_>#w8E+5L3Yv|P-h zXUsHFkrFRGTSU3}a2m)jej#`Mm6+nyLN+XGsp{CY;(?omL;8<6Y56US#_T5{2I@)) z>FCSd#IJ?v^7CKW`F^!w`a#hVs8piOneX*QlBb9sUqq#ZI%!m{q>;)+#M}^vYx_5# z@$7S~CjPufKd|~lRxqjkZtuGZJqFyI9X%uK$b=X8FUc`k7nJ;?(=Db;M2~#S0D0kf z9ReiBoI=Yc+_uF>J^|Jtqz5z>L1Wz0qD^6lPq7>-^!n-IJ{Ql#D4$yd4Y`f9=HUqH z7c*&nc;~!Rxr^ZSr9GK(2QHv(W3dHSVb}b6l12L0&D3-tWHofc{+AI>Z+de_VZtYB zYU7VoPhVREX=c(`Nz~71OBQ;+d97(P!H4d@gq!kca&ONvP#di)i>5xcVCCy;{ud3v zhpL4gEUsOWBoq6Z4cNzZ6yt7HIYx3wxV`oOX+r-Kq-~HNUQvc^hAupT1`~%g z8V(wELv{Kn=AL4GpCTT7!EE&9u-_4nc)r?VQ~3jx#@Mp)rvdGSK#ccrnIVs`eu-Gv zxbkOK6BVJ55&QchE9e8g5$OjD`+}{1=+?JGvW7L*BQGngAAlZ?f-Ll_Ob^CmZXE*K zjG#wB!8o)#!5kLJMKXB!Yn$y#*xv}?1W8VPfJ6oD^QQ|$JkfPc49Zk5;osj{p9B8| zsU}s+e{iwFSgKVCj!!o>9X*)D7aGq0#B@ZTDlTtK0+^4BU&|uw@@f#8$akHFLpCMv zzDuXwp0iaCW(oPNyq{la1ofG(N-_^ZRNT(TiW%qqM;Gi$51&VN)w#5}rhNWX*iGc4 zZ3=N%UNK!#XJS?n5`|m&b(kajIDg=Hz2E7x;%ZkI!9%NThs!MpMLK=v`rh3D_e~Qk zwM0^@N_UfOCv8jOlIC3wDo&q*VrM#LcuV@f~ zzYi!P8?SF0XD13Qg3b;n@}sd#_ch>nMm6MU>gl|Z@N z_+o=tCsq!Jev&{c`_A%-C(2_4)GExRkC!ign5576ZGS!_+ghr3Fr{tiK82s6YR|xu zTQeObO*18(t=OCnw>~n2){Mg43wy1`uuaYj9`WGzWg1V~L@F)|`v>*sWNm-y#5IYp z&D+ZadwY9W4W4^cKgjQvn}cylR8-;K2ul4wwSwq7k_-X52V(28etk3NXIee%_^!pgUiF5MIm$qCNC=vVN zgGY@Qgw~LcVSNgL{S}^!KPR?Xs@^Y#pI-BykBmm=dG&`B`1a)>kM--UIW&BfI%H>4 zJYR`c(1~JdK1NsQe@VW5Uf8b?B$UvymfFYpE+yCdU0^tZVYrY61=VS_v7)~SQ&B;A zv|_Yq`nb!o*X9hlH&RJ+tluH?ni~Ic51Dd{r`xbfA?7GGEbqUw0N8WEfz`%YJ$+|b zHhX7YMbWzqeL75Dp(<{u(!-3j!{>{ImI?ywUKe|_?_5nuO#Azn-hJh9m6c{4ZF0qh z`W7T_Cdi}0nGcvbME6rH$d*Ju`3G^%md3m)r_JA*#!NXZ^OnQ+Yft;SF#<2=jsJXz zr<5EnQ&v8n-+ya_$U^@9SshNhjhI|&hJnw5IHzg*bZ7RHWz;(qm1g2riAV`@sZ%km z5J5$R_)!*S<|uP3QezQ|IFy3$IfTp)i@82(Nb;Q`i%B*u+sXx>zn6|1p}4?RmS|S@ z<-d;q(y43eUCUIgS)F;b+N}gsjcSoT4l7#|D~!8Y#7}YLp(9WBTOZ5pwgAhB5^`Ty z+QKEp+WLJ;{JPCeyV4|VnOrI?4(VG2=8u#YFrviy81G>}eXt@r6stP-uhxfCMt`3v zgj40cP1~?`cH>oC5_yX_qbu$QU!OAgr2Q;T*FC7D<=T9Cyl3W{c%A5p#|m`?s~<6y zHlWd1aKA(d6U^5>A>Ja){sLL$VNOP%#MvUVye6X#K^7#reTK*-s2HR54(2g7ygbU2 zHf};B(j$?#3&AyC{2CpXt#WU_9o0d4SR-Fp2d9tNe8-q2ZZta85t+o@y+PA>iTK{* z4L}fw@C#~NZx@oby%`N;7t4uzMki;7o4lt&ZrDPn&Ag{}1AWI1o75db;V>VNNO=<; z!ZOt_^Tu_3?wmH0Vrr6`ShS^CLgRybdUQ1DvLtBuZOpVwtz z{7}<^AfH40l>Q5=!zZ3&%JVHq(D${Jwbp0N|RQEnW-X4`rZ8saI*QwuX4722p(DYz4z8%mt;z zoFev4ce+O*foWL7ZNMT4;~ze9nB^%IWseD9f(?BHu4gt6EY&T{uMA@RFUb06zL15k zTCE5RCeFB?uLeFrb!D<#H&RB!q{!#cOnAw1V`jU@?sO?7HXI0?`|mmmUZ0?A`CSPR z6A1&?Ph`I-|HsDVce+_i&(TI4J{mBr`qLjR*%b}Hp4a-GV38M*#+t6yJJ-^Bk@bW_ zlz0*y(LWts*uK=cP<9jNZL~+@!xnX7g$XUCcbEHJMG3@EIcmh1cnZSAq=V z9dA6T+nA)rmO5)8I*OS)$qK(r)zz+dHts{s_$MkeJZX6^uHoHe2F#F z;AA0m+XIiQoz5%v?Fnhu2@nTdZt)wgwhDG5d-c>v02o8F=ogKQ#IM$NQ8)T{|LX3TeU5RZAEvwrS@-tWRZK!;*5?yXPHG>>mHZn4o(RgT64^?BNpFGgwSg z?gnCg%B|ja@3E0>pC_O_(Jlzq1h7NoI`LkIO~45#hqAW$%f$G29lVgWUkmD_zX?UH z6PS&wh|lfkCi0cKk(A@vtP=AwidpSS1S#V041bj&h-(Y}uG=rLi^pnMb%V$FSh7Mi z&Kt=hxv@t+_ZTktba$HZjlIxT2EM@I#j7I_&BOTe99G)5?FNG$P90yA_zDB~5gG4_ z03X&v#B~622G{qPGMusUAO^Rrm+C>1-eo#^Aip9|gOdGGyvuT-uDtZh2o?rRCW?Zz zptIlG`=fB555trdtd>~Vxn!IqZ2hztf^qS3H|Q^3L4@SLnAKV@?kK-@Bzq96U|*t- zp;3vNLLa^bQFs}EWOz+Owd}^gm6XBlHmAK{0Wn8UO=_(jC`9^-jzlv5o}-C zM!-;m(bs=U$8ZyuX}g8=N_#+x2Q^$IDH8u8emBfBy94XR#651Fl+{PVHQ`hVd^^Kw zfP74{&c!QXpTNTMpnn_$gIOv%Irm?T+fHI*VshRxOlcTxLR(5XjunOMk16>AWoj_N zQ~SzZsI>is=F>q|#9{QPj$7>S1I3RK{ScnL_}L$;s)2>60TtE0ZJ7X}|7&>H2A@Z< z!rF=STUAHzyB`T~ym=q!DLk+BBX}-lNEO}K>KMr)Xb^>ahoo?%-3USj;t_uyzzYXl zetws1)xdrpSJwLDoFyVUdi6&on2PanPC>RixD*9X+q!#5s?`eQrngXx0POQ(24fI- z_*#n7dGBW~Er0oRtoOre$Pj(7U1lBnfi5P-c07kEg*dHI4~_KJOU8~*gI4btW2r@b zS0DX&5im;j%ulH3WXxV9ns6mUkL3!xss1%4Xy9PPVK*@@W?Fc zEeiyGT^A3RsQF6%)Ku}IuuritaWgu9JlgB>^;y~#fDn;9-Z@JC1A8XB>hU^Tg6N#oY6e5b&K-bV5lqnTS?s$VxV zFC8UTG5UEOHl$wyU3$~OdZz{Cjx>gv-AU&s80Ca3ejYfVXWkA~W7v?n-%AhRRoAg- z`LNg<_IlHg_DbG1BDKce?|l!I2KSoDXI|{x@+{V~0v;(aR|xJk+O&=UndTO}%^d8} z5PYNAuerOLAAzJ72~#=S-@9^z61b708FU&Z^5Y{QPJ1(vKva|N49O!5%Gx3g#ll*X zg-{9L2u{Y(syQ>{%P4b4Gc6eRAeS|O!IUWpbDOy;>KI17RDjq;%b${JGu(rH$+C|w zKxRM;csjXn;_-({0E|_oMb!GXwo;8x{N_jCCdhn2Nvp%mH4032G?WY)BSSqCLs;AnkHM^cCowWedJU&GvN#-A5^ae;vPiWuF? z8-yoh<@rueB!fp#(l4ryc;W$ZAq{+lz$a`W^>5pePtgmpWdVTVyyF!)edv&sk_Ksz7NonoTR>7k>23t11e8XkLAsIL=XmdL z_kDN&0WTN6^UTbr=C*CWVZrzOF#N&~7`ar`!mqXveDRU!zE|pBvg6Y~#2mDaCg<_` zw(~l#Xz*&$P2oz=p)tQ1!-uXm4&f0oRUEQKcIb58q;RgyQAcm86b8O7fJh{K0gNA! z2pCQa9I85RGD!RoCDzNQZbvW?$iZ~-jbzP@91U`eT`_fwCvlxIGwyY_2c94WvEXRm z%^!2x89ZPpaO-e{0ds6>1;Hcn$$Py{aM^DTw~QTswq=Mrg#FrqV*6p5_*t5pUuCc* ze}*kJ#Em>9gPW(5_#V4Qjw{Q+&gc{CXZvt}r()L)zNJk(%E()JUjhWEd+dym_@Mt= z#4E!!Ge&>hgBg5Bf46W9_h@R7dDf2b9>$1iOoUf`=|J+Gil+}R*{cKJ5B95XKil6? z(FcGMoVq#eJoHpr#9%1ReSDV2k;>A8aRax6pY_>lg8n_5@5OV&COakRy~Wi#*M4Gc zfpwH-d67?BsJPTcz}z|Q>1RT9bT`NcP?Q9$i9bJLo*YlkO=r_LxA<1i>Y3ji9^XW7 zu{Tze++Z2NUaLs}r&%Ab_UDFZDEAW#WiuS~q7`8vD5<8i2@mZWBaO+xIrY=ZNb$6~ zGO?NeU5z>^pkPvdZ~*LC2|4Y~;kWlvJ)*SJoxqazkwbb~CI}(?;qXUAnnp5V2 zn5XOTcFP?(qIYK+B}Z_3Pq-cs2#J`gV-5#oiG^haP6v0&bUv?EZ?Sk_JqowRyFprx z(Uv;U2fy9=TwGmKEV5SOd-N;2_=mvSxGSXU&6&+1wiLy?o`0tE``uxrmeD zd8&HTLV_oEhp~0Ti2EJ7&~Qj9Qe*Wvpon|>3wRmv2dJn!x>qP(d^cZZ-naejh%)E+ zWF%0SdsgnM7>SH#6>56v(UjGdgU~U0WWbcf!0FP+K+l53M*T8bjQib%9V+1urpT0w zM3R?(K^bC1HNOlO`b3jmkGUJO4DSoz-Wv0CH=e21t^J~5Vc;zGyo9AHiZmID{fFRF zR@eLd1!&XN=nno++zu7-qRSfTxkpvZTZ#!mULMO9e4?+Sh-y&=^2D8~+e)%4mvwY3 zlpT<)T)ks6B5q46EP6b*z#eVk zs|G2=VRlyOOWeF+ID)1GLX+>E*NR2{UJEp|bp(|?aL?ouy|JJS9CIek7Bb1ToI+47 zy;^TS^Wgp>XJ*^xH>Y{pBdWeQZf`S{C)I+nl!B6S-v`LsI4$pl=qxVaVmTnFJ;&Pl z;_&#lI70(qT-gRN<}p@im(Y0Zs`ja-rXRP(Ynvbo`F+*n;ba@r%H=H9*Ft)!Z0|jb=O<_-xT;C%=R_H|u#^ z!|FsA)dT;jOo=a{(qx#q#_Qas1#p8RQ8Yztf^-Oxc;eWS{#VnDjz;7lt>fiqB*Lxk zYAmfl$q`gBLb>x_e&LR=bxaQK&4KhjaLmQFi@NX4y0qX>@Q-}{vF7vWpEoZxPaQ&X zSOdsej40>uPtkW(imGUSI2U_KFGLe^zSiaqHl~bpRFwWPjj_|oL^A=XE=7#FCIqQ_ zCen}jMegl+XVkq1m|aVOSW6ExD398&+I6$Pv6NHomlUqZI+5Wr<>N)E4rTL?!@e^VJFNo)FBw zK^$4nY?yKHqgxL?hfBa z-1Wwz@%*C?rQKU_6lBLQBL+!SDdFW+fzI$zgyK~zmNk+bu|)oJ<1RSX2mIS2if0b4 z9m_|fnWY)@KD|G?#7Qx{2qbCEr_xF|$kHT-EZS8g84v*vit&Lh9RcBX0fvvq5) zHJW!;KU-*6xA?3uld7MVYDV8LA3m0U?0W{qam#230D~ik2$QbRs`uhd5rP&A=U7>? z!BhgF;Mtdwchu$!0%|68 z594n{pY^VO1{oxl0&(k7EXj^${PCv-J0i7@bqiIpJTps=MBP=|$;x;eKB$Na=jPyw z-F}N0IAy~^%c8nRAnOQPe4IM5fEz&T%=62m>#j}zbXbwL(2vL|&IJG8Cy>z6R^`H0 zn#-M0>sGJe3025)Mm{%2YnPA}1MJzub!bh`G@v)amZU%n32^lB2^Si>k}!duwO$LN zP8j7hhRTUbtJ0K18Evjgq0+UQb_KX7Of1O@ZfgGJX+%hp%Wv0Wz5}`__{XT4h$ArS zt9)>OH6KU*l+8r-9dHtuL0TC1q;N+rfr+QzscOgYYheu?!UdrgnM9WeC)#6>sGKhK zjJPBQr`yzy2JZ}0whM+^>qO}X5fZ@91BfY67^&H72#M*}>Z1UBi{VtQ)Hq{P$hIfr zlU1da_F@JjUAk^KwRki+IVPp_U&6DPS{LH#0zepVB#-QhxUFo~bpkLZfAAdMgVC?x z$l4f59W?+Zu~XrFj8-U(O(IV#H{f9-RBz6}LX@D@t%9ISM&RkTCl5LAL9GQFc<_Mu zrR2*W#nIYu@Z_}bY=QHP@VOxn2OA-(8%y>4^yj!ZpD~&eK5UbExWDYQ{+i6H33jZR z`q?JF-o?fx_bkhec1=d+=>0?NXN$iwjkES7z6v3Q6evU-q5E@-f1j>0Py|FfGlXZ$ zLC)XslQH~{YNH3rzvG|p?1@I?x|d1tr6CAd$oX0W3y4^=98$i1v)YHgr9_Vo*C)oZ z2VcWUbnwR3w;YbX6v<0Gk4>vwz#Q+hqb*Urz?)xicVJ{c0}Hc&uf#SEO+JOZbj?jw z!Fm+2h}o?$0BN9v0i>)2;r=}L9uUr;J>`-BGy*>qBED_KO7mv$HuI|-LLIE03730 zW8NCvdM?K7{ipu+%!nHgLHm)58e+M<4gV?8ZXBv1H%8K{qxfjxvHvV z%-tXnxIDE20meQ(5s>EsgPd8_Bj1j^Nrf3dOS+T`1PO>CYW;aPH?mzZw>XzpudF7- z8j2y@67N|dx;PAY97vHQT=x~7&`?)lz4@$(&^yLGE*_Ue0!93}olmmIOGya{D`gl5 zCMLTvWKX`-=b`t!d)9qbCF9fyrVH_Bi-(J%ONv*jdWMfE*?}NTuxsGIR z{9($ER;#hCxdtsvO;I#eq(hPzo~&k0^*SvD6{# z3QDGN866NEE4cav!C(1$0z5I>v4mba5~(o#y{_u>O2E$KewNV@>nMY*XO+6`816%h z`%p&e=|}K+EH8zgZL5vBHHO4ZzsEGjvwPB)v!cS6V6b-C{s{y$4Oj*=J#P$cHF!e^ z3j_K3_|e9xIBjH3K$iGMJCm6U!!sI>4BquW$C!%li%Bbg(J)tW`%!C#8HKvk*~5mP z5xnC%$!6N>-PMfmPn@!AAD%Ab=#Qj+B|)G(XQx<^^cd-Z20GLW`~k9D^On+ zppX#rGLD63&+X!<&*%zA4@O4DquUQp8el8PNau*3;~_ty--a#kDwCFM{1d2%%uIv( zE!&j9@9+nnEi0&WOfx@fTb(j=ABZN_@i@0hBbsOEcwO<4Vv9&pJk7KDb$Y=Nvx6tQ zjc3|7O-s05nf2Ts(_qh*9+lY_QMA1KrukU*mq2;4==F9XIxZ^#!!IR#WT(L#=Q~5g z2w{G{AOJ9tu7r(&xREy4?XtrntXemJUw-gf1@kaZ0==wnqnG zL@xI}r=!nQCO&hM6B6Fzx=lPo9Du)-kH}}g^yIfc4L$xiIL6A>m#RMoqLdVA+1mlZ z`6{BvVhgK0uTtEU>&||J)%Ns{{PkEn)1q{*p;EFP91XeLngnO{B=qoOI(uh?OgsbO zE1IlvE)(+gz2(kjI$8izKQo|{xJAMs|Bd(C>yHdK8A4lvw|c#eR?5CW1y|xGucqH_S$CeCZ(5!_qa^_B9^Bb!3x6s zg@y;`7<+sbOrehr;!#8VjAs~aYNT&8r-A-asN_vt)_uGgFA3raI22E%4j&(xKQy0a za=m58=*-+2!?jx|>43Oe{Y&ahBUs$^{+LT7t)TeV7v$al#Omeu>hlG3eRif!l^nLZmbTcdGD+xNT3$?rQS>|3wW zZFW7V-fw9ibStN`I#}6+jIM2xo_b_cy-d)a8AOPAN@;1xN-D0%>@P z<_~@4bG`7d=ihI*<(fP%Jb9iiP$N@fb#5qArbO@E=AAHwQp8k>QLQoe)1HGK0Vk*H zRe}p)ANt#pD|G+3O6lZVxRcJgT1&z~?p_08f*@(ozs2Ks6MB{~PKRb^9+U=lfxjaQ zQdUbn`0y5*Asm{6esuUq&b|CG$UJ@H%oVle${DR~0(l$c0qi`3q3_~BXBA%N z5kh()@tgenEBdVLH8_D4!%g-`45XGJ@#k;^$9@kt%Tqu%DFHt7`qg*w6U!BGmEx=U zTSRl-v$#a}yC4_nvkxAl|1N|ge2=niVP%+{+t}ZkKYgpcC;LOVuHG+ZI!qAnA$wOvU}(&TpPB?p9!}BzEq}u%hS+WJ zE)`}zwY?T8AA74tpv-oq5d%kDgU7^z<%#yiI3$nf67O!U_cA5;PUL}fGta3u7H z918p!#rw7Ru@{+qL+1i-eg;33Ak7vWeb7fFLyP=5ytxrRI2o^ln<%L<-pEqj6&iz* z{YxYFnIpBx#jM%)I%|zEb_t>^GhQZXw;B6*{i47at`jwQ%Rc(9M}uF#s)yWV-_wu8 z4+jNevbp%23^C)dmQt7?+r1>pD>Z0sa9mnLHs<(7yhV-lei2O9U04We^eoYprO@|E zE%g$*HU_8Oyt2x&u54Raqj$VnKd)T5sKngnvHTwut&b*!{oK|Hs)^i8O1yid z_hRy{aPhdshH)o0)MDqh=VX_p((>><;n~UWr8?)+@q(&=`!S@D?rsjY@08W(9Xt4G z5qD_S2`{p63HXytVYjXh{OO>h9a?~rBr<`HRs~`L7^ZPe5FgsF@k556d`npPT z)aqqU;$9Q;u<$c2Q|~v$bJZUnFlvZf6ES=_d^CfoGQeaZMm72#GxwHX$JLWRb2|C_ zKU#oY7)JlbFb;EPbAC&AK*AzW-u7~;3%OM*6xzZ?=_UK18HDjV({*3kYsivy%Pk@> zwnhGUDA0d9D1d8z!(E*ypFGKQekWdESPf4Q%M$5h1@FN-I=H~+@tR6GLO<#$(6ICy z>{w9?y2yeR7+p3;cw|&aH5AOB_k0U5Q%?Pk505}4bl=#-<*Ik^g0K3S>)F&MJ5dp{JX59b_ zK|2Wb$thjQK$Op65nmeI41qurDmmyUG35<5tliKtcoImOOSJ>T(rC&Ympk}?p`J~> zul-H@w(gYj_lAuUqM;aj(r`sJHG%-khFccEy3mgMzqkNOn zfriK*QJ}qegYz|wtNLuj$+8v=Jlwj^b;)5H98CaNA%&L*n({CTQdrb_Sl9jiio=Hk zzX^v6&KmXH6J%}e0qy)4)fT|A4NBBvtVuRxw!fr8dc@5w=RZw2@74gd#=wK?!`&t3 znwV05(RMZ}#X1fBUQ+iLl0T(*GeNJYHK3%k!toA}uZm^B&v(iWG*H@JS?%5^Wrjzx zG;E4luDkUnL>!_`s}PDp4!;8CI9FjZ?2rd2x?I!RnkQ@!i4Byt3csJKqI8W zW=3^@3{XBue>UxpUg8?sj&F@)DPA@Y;C!~k{Qz3O60lEyAXMD_QGkbwdH1*UhZ1)= z^<>NOi3(T{ZV4Q)rI{r5SoQfNHs^@{a4@N4Z}(+x^JNI60CSX}H!`ih^7k%yc;5hM zh?x?Ry5j4_q@A2BHXc!dioKah&&Rip(J?^TcVzoV>S@!2wUjEFoNdgUBt^{&q%h8L zbE<$+LZaCAGOVSkBkx^-Ql&S`1tNUzKSWdL5)Kxc)J^mRf33>xT4@NXNmj-fTV(C3 zrEpvuAJyJ-i{WWG9W59UZOE1|oQ=ei zOZgpHu*HhSj8eW#M}{LoYQm>h|D*G6-w~;X(BW@SoQ1-5-@zc@+A_r9dm6x443-4o z%TXhxr+<4ejOYlv8>daBl~QGyoN~?Qs(Yl>;&*#Iumh4|^MOtDnEqiwYJIKDu)Re? z`5=_Sfjjk8j+`K&D2N?x5QH#*$W@i_ZlGFGxUw9cp~dd#k2UPaZ$rCcFE@WxM-wQi zb{r0J0-_w6H&R_(Rn}z#aWN%+8$yr^ER~tnC@>;#*;;%R5?+n?%oup!+XaIaf9)nq zKc9sbQ$_s6H z6ec8VYir8@uv`bSWbb&#X?{NLJyMInkSx9S=y|T)GL8T? zMgRw+K><2g>{eUOXk}dJ?CQJXEz{_{mRops3)=L?zyoQ@uB^^|^z$>B>P*d=H^p`7 zC296?>~jSp^pPYZMIG8H?-fa!f zf5l-;Qz~Hx1bho2`2g1nvH{2u)NHWREi|8sa6M-e59SFcqv0`y(zb*jVF^?77w1`1 z^f$cXdZoPC64s0}G57gc80`*ZHM}_88W)p&Zu;@fVYwdn3z>0{ITt-&XT7%mrSDni zr9ZC|TezPeu!$JB$g94^_a$5;YkB%BuyuU5s#WE6S(Q&B)up-DRKtscsEmAIiW{u3Xxg*L7%OFR*S&@ply8v{E0Cb!av}r?2!p>fO7mF$ zNSr&*Rf{$cL~&1`|Ku;D88!ufxifKp^y7m1@iv-?dEDtxd~j$p0(~?GbK>$-a|VH8 zV)N`-4RZpE7!V+o&=(DbMv+2fVT_9wd*V^P_MejzVzYKTg;{ryM*D_M2xa2-8fuR4 zdNLpz@7;#m@p?&(G2WKAJB}DqNV*x|sgY`A8jG-=^$1pPy$H=$Np32#-Ccm;lt29* z?#_T+OFaMq*}PGyHE-tTk=9$aAp!_vL+19OdJP!be?`^T%a(Db?RRS~n;6o7-qi*; z6O~UDqc1<3N`nCUu#u8 z^bgD4U8<0xJY>vA0?>N?OzrMD@UIE?DgRTKVB83x#hV2V0+$)A6E6Kq0344kH-H`F z3D0{t^S}C+X%`lSFQ7|v#egun=Bt81cbkaN`hw45)IrE~B$tE!9eK;lW2w6;Him_qh6nHL>+xF>6RM%7l`nr>{4F{L3jD?TD1d$fKFtF?zk4;((P9l zJaee+!D90kJ36#v(CCrl9^Rw(~`ghKK0K89y(jS@qlf``dILJ^eTb5MTzN1{nJ1gD_ylG{x~ z2gSnzd^8YQe{{Tp`hfqG?qG~R1`i#hmp5sfrALKGVm7I3% zFZ^Vu(CBlvMrh*P^Fa4ox=6eBwK8*hsr&xC#B+t0TStnjZ-L*Ixd|hJ8Yro6PV5l&1qI#z>k3v-{B-Cco1M`|jLpSMJEU3a zb;51{#+60GCGI8I#TC7yz^+l7xCZvib7E2(sm9W;>jH2pO^r_c9)~}bd&6*<@e)xc zKp?mj$c%M8sKKv0xDfeJAqyLxy}^zmihtY_^X%vL>>8~?f;GMH0H4HrD^V>1{t0sB z!zAs3Amzztx9zB`{do_!mNSgK%e)}hhgF@jS~B@)s||F;@1<%C_;p`97mk#}$C7qV zqofyLWaatXQ451A$8~wjoZ)9xmKsd@@jztE^2m+*ze5nf2mE;vfH|QM1dFi6C6U27 z`-5;>f`hta?0)5FxEsY;BYIIrFA?zTlLnD(#W2(h1J0yf#fe1iSn>G{=rYXl!B^Jc z&*~;8vzVzYtf6fw#>3j;^5siL?5I341wh0ow#5(z%amx}BDwlQLi-oQ774ofY( zz@4+zl z@q2suPOtquUyVHw?`5O68aZ&hXt7&YsUCF{mTdoc+G;%%>XXb)b_b^8K5JnQob{PN zJKM!3C-jImC7aMz{lzu#Ka&E=)B?=$EV8q&l{#_w`46&P&$#UiXKQ@SXI`_-i0ruy zR?R@gCYD4_isl>aZB5Hv%#9E58Ds<=Rw=w6(URJMFw%=fklN*ZaEA9JwRFWNc#G$9 z=>(h%$bJ<7rkp06UIRKgiYOx1f=d0GwOqTyMa_ug?f|pS)C;rAUGb#PkMT0Wmi$nP z!a!Gvh5^50rdVUW9!V1vh{3 z4YHQTl|S

+ Description • + How To Use • + Download • + Credits • + License + Find us on +

xXXN%qSFLK@EM7-u$7r9dlfI8uPjxcZlu-y3S(*rC>C^5xruVtkv~ zluhh25+x@A6^it|H2vM^gVN?oC_<5D;7&H0Etn+E-W~H7>e5H!h7aU0KVLub-Cp@p zND7~Y#?A9y#5E}({|%6ueJ_;7)dLph$9VjA^z{06XWJ8eAmecd8)LZGRYP@#nO>v+ z6vPiP-k!S9v18i|Qne?N7Cf?ALuKm(jgsQf8Hu1tOAbnp7FY$ubDO1tAmF-0&&<0O zgiq!yL)X!jR7*P-Oi;`}BpxcPJJ$SZRP?*_gy0#!iywyv?|Tt10!RVkUEv&bm71jK zcsQ<1OGq%$^HvyM`&)KyU2!yGDu5PrLHkNT-1S7{8N!Uy)=d{8t}4)HN8=F}D;(8~ zrjtyFa=vOh`WOh;e6vRFeX%#E2`s@0q+1>dIcAx^l!@#dd#g@_T#n%Bt=av2o3Fa$ zlb9yJC~ffB9&nvfIG!M>SI(q*e#mwigj~qMh6FTe>CwkudN;}Ku9yNvJNfg-CiFD( zu&YlCem^|QDZ5(!cS5d0;wguem%F(5fg1R`lGBEEfdfR@j;4!h>2|=%#cxLnl(jzA zPL?(V!hhT3y60C8TQFq=-@ICky=Ks#@RE3Eu11!5FpMJOE4@)ha`YQo0*kihZMp?WSjPQ z6>m{XXxYvrX8$H`>h!V4x0akR#N|&5)ts_9T;qwkmkaH(*54LK0W$RsA1TR$k6m_# z9FqP##U=EoUYfF_cS{-&0#JomDC~A2g-oiF9lpIjvxbS$DGN5iL^0cR3q>%&eD9BN z5OK0OS|L)+awvWtE2)n^6adN)QX*QBWlh1zw3zy8f?WC!aXEC|q*AI*C8_hBNwKqE zMa2}zG%f=&hpJx7(M)NB7S{>l{b*t5bu^KyUqNR;&%u;D1svyrJ_f}~WF`-bK6fms zpg%7B(;qiMf7}|nS=Q0`{5alfegIP2!@Ze2G3=gK$H4O3_cxZo_3fkiw!B{-|_5-VXJ;r4c(x3bAPPtK{e6gNuFgZ!laOg@j3aE&mXw<5Xw zthqc?D_e@f7w9rd{E?UhFG1y6@{%pgh&EMbb1t{H~5uz#`cpG@Jvi~oeu?yk8jB1rQ+fBOMru_ zYRVJYxe((Pq%A0e+a|RI<>Bm@NH$z;M+n56uXJqgK?i-d;p$C)bFuGYHwEwl#ucxr zh-^ICw~Rb(AdbLwn4?iHC#KYcS3O)@&h`3uc-c-^w~R*VIb3O|ImkcA*`6tK3@YT1PJ#?OjX2{2aw*0YZtJ`&EuJow!pD!vKu2~)Ue@*Dk{_~Nz3xxrNg;c?HBOjjMO zp2-OCA*FqX0FoxwrEfoOMQa3};z&GO)0&;D;l)joevi^bs`4tQr4~0FlWp);zY2p5 zRlp~^$9e%gA~+di>qAKfH*>Fou$Q6Vwn*~lU~P2T4~427qhr-0Q|ndEk7;j9GayB3!OEM83&Wy zEomsdQ53dc7Rw7Mw=tN-La*LtLxC^St>;+;X&vBcV4H<&EN+~<+TVhv$ohyBBL2iN z_xah4-j>D#iba_fd~L)yjiaDA*PRcu1r1Ip#e4G=C9gtl<*#`Ove)@o8J!^=Ki!}$ ze(6-O$(b|FQZ4PV!sAM{qSNw9RIAlxkBO=vW~c$fA7MhG&`u5(0T|3b8H`S7HBlfP zz#442${-UBoBpgvcT#AgS5twU=L0a<*bFuB41UQ;ip^!RPhLD-NPMhUIH*Ff5!yG5 zYfDw&mU-&~>^I+mGj9eVsR>+nhr5Wb4DAU4TcR6r+*<-dWXETAv*NHp1$0)(E0o(} zn3GsaQ{e59@r*AEJxG#UoxE)@9}t=Q+04Ic54G%?&fc3LpyRp}zNue-6RB4X%DWH6 zyYm5JAiVSLdgWJH=|1XiVYoOi9+9D{K#;qrI)^KL`%;*ST1d&WiJd2rw6dRWLi%}k z)`Z#AJ|zC3FE#kY7Qs2M#bp7VkK)XQu1R-Rz^eTCFE%ADka53c?q443V3@L|ME1$h z%Tq*_t-IMoNVrYzkp){AkO$8>(bJ-LSJDZ704R~r!sDl3hSS5~es~0ZFG|b-;op+9 zkB)6htuX0vkct2%tEbNE0ni6{8F8EdG2qSEa&^6VSTAzo>Vz>-Mo6B|8Gg}#5)0`;Sw9MYRrjGkG~jzm_C(62!XP>UOK-;n{T-&K2n<)u;iZsStH{so0bGZ zdU3QL_u^JG%X)QIE08Av&?m&1=z)^IxE+5!{|!=d9z%R?Er|CL~ucS;6Z@ie93q%&dHP|ZDAgwC(l;)MHT9env1l8PemViA7@-{i+$ z19|ceFwW6k>HPFQRSpyzbhrIpnqbCdlhg2SQ?BtEF)o zKuxokrQKt9Ztl6?Xq=}pB8)}3Vw>5K#Ep!N6$3hB3fOpGX(b>3i8NQr;*W{%dtEqp zq>SX_YcKkwpnh=A(Qdg-P9;zda^^Lb;2qql4|1>@oknzukr4iaAyNJ}hD40iU^gcT ze0vPDO1=xgw?gM5bGf9Xv`wh=w+nCKJ5zPI?Y=DerceO!Bv`bwQUFSK-q6| zfd?>?_sJ8jIJ`@61VDfjxbQPaKre<$sLbAYSd<#%sN-0_FLS^BZk>7R$v=9%Whj(j z_Ds!NZVCgGw)1hd&a&kK?nJMnU&r{mEEU$^WYlMFuSxTfKqugKL`z zZuFm-k=)=q2n$lSlDgHQDl)4e85%~wK;MPMPV6;fQ1E7U^Y!SGyvBnkttOR{(%5>i zW#M*8cw8=%*gf3~*W-1MIIW;W>FX<9KQIIPI%!fl@``J@IjHs9Yk3ymR3L4I?$jMS zhYxAupz@ex@!O-3)E;Ap3bW-sv-;M>*Vg%Uq1lBQI0e_TfBn!wP4VHbEMhr=TzYq5 zq)pShv~6bEmI6f8+sWQbN{1Ruq1*^`3|#>%bdGQ@@}|*B2?ztlHvtqZXFGDsDGGk} zcFt+@!TCGWbvK@}ObA)AGFeOonlv5_CcV%Ek!ZB=s98rPn)P!NDPRrT8 zw;D(xhTW^QE>L7SO%Ws$8Skv;p1kLW%SJYWfcr*HvMu38tWh8GATH*52^)(4{vgrf z<@EkvH-LAYXa8sW!VG}~FN$doI30hJ*cTf{qwKeGe zD$c_9VpG_M)bZ(0ttX+1uA~yV{F`-Tr}PLf&9h30l8A=XV~`1Us8Jl)?s>WHr2FvM z`r_%c7kw}3bENz-1RkrwD?rlt92RwCMOH{DIwJDNxgJ^DswBKhnWezT|L~@k-Cgy( zU0;*YUV073p)VZYvho3d=(L=5=?$`hBx7hr5CniR(ckW$P1V%YP@49D1gtO&VzzZz zqPROQgujB0n6U1O_}sFdbYOr4E0@p&KuBMu{R&GS-=!u_%4ir;-V0!*(3d6ox7@g~ z{w=p(3sA~AaNnya76pTIr5Q%5-%)cvu1oTbyCh_@nBCAT4O*w^*p;AXAD;Dc z6}rlG;k`Qn>9xYkW)7oqqidENhGzDQf;-zblQKghogB@)wr5$p)G2O*AAdme!22oO z=c8uF>oHK{)%#kCZWy3w!#IK!%fP_8Kl`pYd^3sc(%gG1v+ltHH@*_sq8VEef8Hte zRrS4=L+(r^)7)2-*AJ8wABKcn;HK}BC5GgGf_Asnop+Z9KVTL5w5*ZdIY>Hd<{kBf z4WW-q%O+fQ7OI}eOIFi?`it!DmcErLj&9_RwAVlCmNt-gfJi-U>l+1|ZHh{i3yDtXXF9YOToa9e! zh=|#BxL5e!-o=yy7Sg5o_jKjsd6~*(`@g%WP7}DSVktax=h-g-J5~sdzgUBkIi#%5!oIrESlg%mDcB%H(52G-IDppvXU_P zeX*n_$h3|L%l58ooxJ~}1&FftTL7*01%SsOd$l&L;B@8=&?poG3jqH8yAKK}SBFh( z;qvo&YdwX{PGwM4QmH1Bja9x+YJzOqBTBK3N(W$1-T9LuY;|+LCiCp{^Gg!7BsRt( zi~IdnM84H_`^meY1D!f?l=DiX(0M~I_>Cd6*Y5H79e3w1a0FN0n+d)SmJ!DQLVaUG zh?Y3z9Vo4wz~5J>CnwCmgHZX-?DCz9jT%+oLBuxf|rR$Lzs91pfY9-Ea*Iom+Vu5n1n3XP-~IRq2P2?c(6Fj`HH zZrrkK+wL7}SeD*L>02*3)T%IXSa@Tx>GK7>T-MECOBvacQuvL1t`Iz6gRc|~IR~`x~mj4onRW zd`1Dgp(*)Kqm4Q5RAm-y%Y7iRp$bIrIuY~Sw16-)k^3+_nf9)Psooi0YT z)x?8V;Jtc{GWwP&HxE-T?=Ru=G)&jp3pmW z!aFwssD&+V1Kt_!4J91&;&4v#5iqoGfPbCoO6H9r?2sk%@l0iSP+BSs_jFqOq6R4S zhfyNCl|b1g`hPMMJS z%mJBQ&DGmnQ1wKh{xzEyfq{lVJ(l$=5T`s2JzQAG?Kc!aPXd-Kc6A`dk8rhJxegE! zY9P{$ATm?HiT*uaiOp$EQh~z3{tTy9KZ}RozdiC3dhZPVN#phx9d?73WRMN{yR`}9 zEGppNbbD|9WacSo zo0;Bw&%k_3xS_?V6>oT;uI$_xK9)`QTkO=R?rv*)qdF-1=!@QmQoo=YB%r(+eZ*75 zdUrbrG+$!d(w2K5?#vp%26eAT$@MzCn@@qt#YX|7oCud>>BYA10xRps**UO17GS7& zB=JXbEXcYUFwK~&r~VcS-1Y-ve^>~NHOS1AP%3$jdQ0?ew5XcU$>wN<17tHO(4=@I zB#YB4044PCKe+(Q4X|7QG|xZF$|e~Kz8pY!yOVK&6yl#FkI^gW&GcHC2^gg>>v1;9 z9NSl!QaL#a*BU@3^9 zr4F!1smn!|IF0v{=7=UHAi%cN@8RC{hnBV)xG64w%pUa*NZ7>#Lz4Cs4gN9UmImnwAZo0B}MX_-55t@8;dqljPh9{y@bQ&`#hWbWApG zzrQ>t(XcxdytU*SFf(-*1NnfyX zCZ8JlK+X?*yt%Buq~-6DulH!0tqzTENQ8T+A=xPBAh&y@lQ9TZG$uKS2LuL0qv2|}_MrT{9H0&nli5 zJO=k0pHXB=PW zZAara`*oD@8hB24P7T_Fjc$xZ9wZXq>=q5rOj;^3|R=r^lL*LorVqkrd$zo`m z+Lb1O<58XH@#!2$9itrl3`R~|09K5;M8I|nbQ?;+Vf+tM^}+ ze2!Fj!NhWVsKPC8q8VG1Nj)T^n*I!TBHMvPJxpYz(T3@W~Z;0?|DcJx)e2xz-<;K|O|)r>Cr8OUC~LTineP z5b|b2`%C6_O&TScydw614TvvV-t}fOiXpJ|1*2Uc;(r59r1)FRxFZZ`_Z{0%G=C~< z4TI*MpuwGz>H197ltIvf09T$Ic%jN9LooQjrJ5wtY|c08okm3vhQ;m z)PnD!NBKjCc0z)?tktS&_ORGAyxR32!93_i5NR@)i~i{5oD2=1%r}A z^kD-yEEGA1ED!ZMgB6Dpm@G~H=pEu#;Rz^LAo~cC2o`=v|75TlctmOHVKwa z5@}YDAGzZWq6?&)pOpvx=++baoR=1oW@tM(Q==q=h8DZ*CjnWF_tt!PBYuvc zK1jk-3~swU+?z=pU}F;j5~G=aO6NlxtaQRtEH)W4XFDj*J14V)7^pvMltfU!^}rQ* zxb!(Omic7)G675P=_dj$))cY8d1k-lc&I=pB zL}qB5cK|to!d3Wf5?jQ4GjqeaN)4kndfkIN&Xga~=Aur=|1FoG|0x&1h_S<>Ma0&~O&Coq4~&W6VfFH^5S0yL0^@AM$3k(a6mBjUV~r+R+pIyYV6y$6T1j~N|J4e` zI#qFugV_N-g~Q>cCcj z(h~Jp)FHwMb<-1ZfC8k#F80K`KtFUFQULTveYG81c!;06*v^hhM0tLL$ztatvf1tY z)o0J%qx$f(XDcKyic2|1C

`%7!2FE`fay3L}6XS2gw2S)~r36^S71fRvt?F)1iV zd0G)X_TTU}nM+^LL9BX~-6w}AJtf=GsVY?KCqq7*U+rp(O7Dseee0ET%{qnFl@4@A(f8TbLabVB(VFEffe#s4pD-jMJD>y7-R7_O` zPDqiGr=DUararv9|6LN@)Bn4nl=aC}Y_lnP1W@3Yf2<-IwXoF(Iyn3=1_rT@ z1(QkW7;El<@)(8Ad>dzSGJ!p_Ur}zWkYa50Q%@|rV$7@VT=~KreAiH~uil+wkZjf+ zaiOmV(_oiEpVp*jf5TMv4h9Ev6RCk(GkB>W`SyQ(|7QiKnbYh!!wD8PgkAz zLT$x#vesq9Q__#({B9ZQfw8EWB*%O{3$k4M*)@S`Wq_Hsq8g1MBR_ifmG8cgZjQxu z285;0d&hhkgd@cXxo*F~8KEuA6Y~=V0WYs!VU*UtmXjCd5Sy*(fBT2jqyM*ml&$0b5R@Hy9=hLc{!?T+2mGAQ3~xZZ4A|llrJ~oS zk2+dG#zwYdC0H7`-_il}3j~+YOinxzwEyWF?FDaMTI9Vr7=ZcJ zU-Ty-(KvcYLw4WBYCpj6dKwoq8hc@6Omq6V_2)H0+OZIk8E@m(#LSBFS{(#3}k98 z$BSV%C81rz?_UBFFhI*5X_cw>lLzwWS=cIU5qJZNA1v3;e8BXja-I%u+hJA=3~rwT zn3<50B_rc?h4IkHs1J zF*{Tl^wFBPlqU1Z$8aDY2%OPK;kTb(k8lx+C!!Yf&4yj1DG~DeD;@c3Ft0I}x834l z@8(CtkVdD2$&Cs6Y-Xw|+w2nj)GwEqyqI@T#upMRuqYFx*aD6D8r|>CXM{Wdi>|K< zi+X*d6+{I@Ndcw1Te=&iy9A^gl%Z2l>5%RaDe3M|grPx1hVIUB=;nOm-us;Y&AITw zi$Q1R`MtH?wbq-=to>5H_Kgw%4`goC=6D#);8fp1He%?gNb8_S6k@a5 z>E%W(c$jFOb4;=pPi;Tn2mWK90W+j&zi$7O_d~uWse~4J!u92;Ch(vkTfUKb1gFOd z7~nGu>@q%_N#RjS#}1nW_hxdD6VEAx9>kZg1-CUN)~r&SaO)Kwd# z2X4^n;M!F*l*08Mz~i;YhtF(&@Z%nxcV9RltxA_6e}qaXtK_&wKsnHxvL@{RFXlc3 z-ib2SS+poGbE|*S5)b@dvau>INmK8=X5Co`7DlS4@96c1ZKF3h zEw5LGU&uSh^S#_ZckD~l|FZDvw5U|ts?7f~)Paj2xm&!!S*HUM!s`!W(Y1%n1LvUM z;@SRB29*p2&_?qFOaC2UW;u5Q{sz{1U*e8Ars62i9>efYd%F7J6jJY{BeS4Rv__s6 zrUDN%++Y?AK*V*L7V&WO)?Pm?O^aRW&3aM2^9gw&6}YJwHzcnWB3CXRk6-K@#`u&R zPn&~zyzUbT)yq3Nk6zZFe}BFGMecFx8=tU9+(dx5C8l6`>t@cl-g0L0`IDJ}`{3%G z#g5!)A^ue|8ZQCXWdpbc<+-_=9|+3Mfq7)D-=+HnSkGlf*pvN|()xxbXaUycvSSE1 z$gZC{(cdge*YYKw(imKRp9We#C8d;Cm-ifHZ|L7DyIK$|2ei-&)Xu!tK}qr?{@X|NJ*?+SLN-aLFb`%fI520535 zmti~{n-nX_J-u@~{9hoY$mK|fJbn(4u$nHNBDL>S^sUz?GpQ**pD&87f&m8u(~mUU zBm~IBeuo{0nvrl@pi|XT2i#m~Y=88NVa~UB#Q?^e;aMQe*Z2;NF(nSJxCfc})9^Jb z0(`TA?m}joNS_N3qMr$EO>y4Z72B`e09^7_BdLny=}YUNPGXqb08##TWoEVRxPuRY zVJBPhaO__za9?;+RGD2u-h=WI#

J)m63AEt0L?Fw!lqdZBq2eZsk^q6}vQC}ls> zv*G!vw}S;Mg({ax$)%`Z?$!5DF>zL>y0dzvlEN8?LzG=aChDEA50)8eB3{+@M21}w z7bTAyUVAtpm%oy|iQi{e{j%76Env7Z*%=w6-bg(RIFDPiYptSN~75=R|zcf!s$~u5!`hSF!9)aMutqYXA!QT{e0qEy0&dN6JsY2lZYX z9>{xKH}d5K{1LLm)Y!`14{Si1^&a~~kHsm6*q$`MKN`EBp z%07mrnq9jrgXXNKrxl5#j#_E=CwC~K5~zmWi$x+gRBl9m62->VCBx3u0id!8P=3mQ z!z?o10YLs~o$Xk#8ZLMH*EqY)*UgX(mF>|l56bkaI^7yyeySb5l8ln+B zvJRj{U=+3kR{YkKb@tjFekzSwARRJ7leoZNn7!%vElX*@vLcaSv-iM0o)j=AO4 zUh%J&PBm+VwRE}T>rc!YOkiF?saM1&(LBE6F-$(z9Twwg4cZ2ncMDqZZm+Ac6OSH zR{EeU55lHj{R-med;=!R2C)?~=Pg|9?KlN22hsz_S`p#4>#WrZyCy z52TlNAj_)EhuKLx;Z!n$t7qG46E}U4KHTk)ACIqCMM^QoEV^!@rN5Q3o~7_ zmB|WAD^-g;Ae_2GN6(ZXD7SR{f;XtslU8z4_lqkEpo{gKZvpQUNAc{ufLq_V;SUd5 z5>u;SiFcXRGplb(y!F&Mm!%2;qY0S&7)r6doeHFcfw?uL8L!<2`^n9R_;f6_asAH| zJ)Yc8f0_z9%0OvR^WVLdlUp7@SASi#N9n1)b_{GcgKutr3Co#o`bupSb=AB z>Y8-CTxARcf2mRLa0N(E9?L9&=ed^#;Nb^)vUS#g(lwkVI`bmW-5zipAih-K`>VQ- z0De0eiW&{DT-k?dT;}&JEiGRRQ6wpE6=Z}0C*+eNSV%4^V8wQXPu5t+NHf0M5Lf>( z8HUfG0c>x3_u$0@dbqo_|3i2r-ODA`GslxMMEm#?cN|=Xa}Lmvyw@RfLw%d~GS}US z)X~UcI<5qA|KHW|Bv>7{{lC?5;GJ;Bz}=^(FM$vc+_1pJIGxRn0!$4hmpRHr$}Ue7 z)BE9am$g&lDhaF=cEU4&9wqf1nqfNaYb^68l*=0sEHQ3)Q$hQv6i@XbF#W5?178J3 z?j}!!nXZjG4jLDEeOi&{D!3m+ifUd#9}eeiTWE5tT1rO(<)Z%pH)D+^tB%Rk(-d)P8OiqE=+q9X{MZyo^Xq;hYv8K=K&R1V4jA zO>WCifalAKeB^i)^TK}aB>9Zs9U+MnK5C!b z0Ir_3Tt!Z>td#G`IOZv*P7b2!t!`*a&YxXBCLPZA7r!|a9qoW6q%L0=$=5;) zmnp%5yW8m4IG&MDGdM@>$nk+07YdYn=_odOH2YwbEqM3o4%ie383zI-71EW;gJ^Kq@V z?|(ROOAiot@q}6pCW%%-;>`z>Qd6;Bp$b_@Zv`k2LOm=8=HSYkJwwhA%g>K2Bl7+v z`jSY}Fb$dj4qX&qdU7#RV1qn_iH@NNE}OuzVjfIOORU;gIB|Y>-vj4~C1{hFZ#WFrJgU+sg;1XZC$>{ROia4B4|f9UWX@8i&|QGPW8`Wdat*OItc1|1Q- z_bkKZJ8wgX69EsRX**}fFjoK5zqP6sS*!ZzLx+pEeGIJvnp6)c0n5FwMz@p+;2fd2 zz@?c37(uuLCP;WJ0KtiC7>{tKQg%7i7w9zkVlD>1$NZ^g3F+lx^o47DOhsi}u ziGLT~8W^X!K3c5{l^x*T-}m>Kuc3d-6KU*R0v4aYLqzAFy4OyI7ASm=F99tR!{VCp zCgFdKi-{UOqYl)50ART|`QaCt< zHnro2Sr~82IB!-mk0vVokD3P7gT;ThQr8ug%XzymPBNu6TVb=^tTPDVKgyDYygN(i zLi;F&qSU#R+j37oNUEX*>Bfn)fc*;g6S0y=itq5U(!Wf>S~>iteRaJMn@suuqO~9Y zsg&Y1@3SMX$E)CW$Os;BElXx(1NJiBuygMHpiY3^T%15?h4=eTh9CX7X+VO5gRN44 zz*K26Flbz)09M8?Y9%y0j};AVPnSshaA(=jfiVy#dcxkYdaH!-#aZ-AtwINs7X181 zSK96`%xq$ItclU$Xg72dI4Iz0+QnXC{n>+R3~rj)h%}Tqfiul-&K*s-dkVp zKPJz*w>u?>slj6$%`Z4d&c>M&EPpNVZ2<)qQITQ zJaGT{0ZzVrH%lXl_YF9E_0rR%bi1W8@ye0LQ;-!*RpwvK82@eU_(5yeE(~zARf5|$ z_Qk88s7sdvPOJBJ#!KXp{un?e?inunX7Qco2i!0V;2#k7X9Sv=_Gsb5<`pW^Ub{$Y zZ_4C(;XV&dT*>wr1&>u^{Nf5Mh}ww@Q{*8}Ffhk__CD?&U>`}K;YbA95{$UB3=*Oo z>wQE+uSDV$&DcG$H1eBZGARHuwdU|f@TFmd?cc+k9CE~)ssj*D-oJe@+pBF*uQPI= zpZR;(Gu8?KSrN0oMpUi5Kvm3RdPfIsULb{`ZHc1zq3TMn?dMT_X$C+I;RY3PoS>je zijhJ?`^icwB-Vn1-;I<)T~UGP1~lw6zHG7uv~`(>rqgm^Tdx(%ZKCL1<{%@uFr-@ayroEhbhiM| zBn+E;c$=buD|&<%II6k@Ku*^^)C1XBQ{+XgbFzEKNO|bD?y~RmX?%{)0Hw1l`Jyk{ zr@9T&wi_^hMlcoCum*YEk+l2R^OOg-1)RpYHn2L8kpsM^{+y!)vGf2T$qAKteEwRK>3o)ZQ|U%JV{uP32N1*v$0yWi6)|3k5)ZVM-l|9R*8tI=2iddk{-|Pmf@7lC z;>qUUL|fe*U^$D#iZdeKMOiRIr&y6h2SU}Sw#7itZy-e{>NF}(30SqVL>PQ~eZb&V z14u^jn@>Fd{*9h0vJT1|1pS=4EHy<0NsKh zCa&9qY4Q1-8yTnttxdoMXz*6pKOYq5>6`9;iCSE%bP!XIgaQ>wrm*i6XwF>wV0c3m z_nqiRNPU@*7ohA>qzR&Ac4fdAWx15R?{RhZmf_rdce1M7+~T2bI6AxKngUB!$(O$Y zRNS6z0i#RPJWa*z(>98j(C-$~UUy39sog<->R zU&B$Z)u){BJmLV3|bp>hAPBDD`I7 zy6{=s=S=OujGIly{UZndmu?2y06B61nA-y2obXBS;EvED=>B6BZf_=l8Ex_1-j(!HI0aIRU4rzNlDx`eFUfrW5fU2}@ zDFp+Gzy{Q871MhS>q&~#&+ZE98o51b15{G9`MY!f>br9M;w&pE(}#CM#m{QiXB zFtEGeg(&Krt91N-unL3cOquL)(A>`heJt>xqvJ@t3Gjtn-V*n|#sS;4*KbaE9^w;R zNUA!rhGHPZEU&{`a>?U!(5E&H zgLS8-)S?v72j=rX$4cjpbtzyUl6kVrDSo9@`4ODv)UYkhg>k8WdP#8i+VOP{+Q*I@ zW=yT0b$dv_?xE=ei~R7v8t38p!xR&o*OwWpsNijaj!Qxhu;jiq_3rqaNNWgnp)96D ze&$Fb6<%Y=YX+v5b+UOjmDvmamySW$>h)fGfDtgSfTYuc9$P~vLra__FyC~_7xaIH zK>sn7NU7SB{cmVEDgj38L9(ebpgiRQ7yG|*j^rY|4JtR!+nK(S-uunqL`N(RHr-gO zFB)jb@|z$V8UV(%lwmps!;FCI=BLBqE}tJ|qQ}2AKXj(irF_%m zmH(ah0)Jv3Q1&CJPtDP~kd8#eU-V)QA8vdh*G(!|AAbpq1#Ve#Tfs63%>72S{-?1Y zI`?h#qJ?f?>AyKn7x%;|3U7FBWhuoX%~~4X-fRBq&eR{j;jrg_cHa2DJI(RImm>F7 zSF<3g7Q-NTIT9`c93zQLVUGe}*wuoMnHRCV#6>Dd(}<13s~*UbDXcJ>;qTvosJJ52!!ep8Wnq;O@jhjHwW;XA9GyUy*$o3(6O0+W&myjdPU_NXZ6_5esalif2DNV?&*xrLA zusin-6`2NhYMoq}s$TMi`j5b4q%h9|Z5HoA>N~d9F+6u_Yfl!{tkm=K$`0ML`(#{Z zXnufL(%JXM>oIjQxHW1#nJg@WjS?F}#Y~h*-N{V*NepO96Jik^i!2>Bz{=zFH(+oD zk!`|0Rfba zew3w$UKt7B~WtwW8gDTr}oPWpgyKGrq#Zm1sw zTp0^TGQAmcgjp2DzAHuM8pI$=v5@<&0(jIRWT6XctvLYw`4zO}ya_H=*$3c|QU7cM zg1lb`7DCesDUW}wyBr`K+l9+ zwSpfY#off!pd3r9r98gD!&MJb$q31&VXGd|#-tA4S9hFD^@owKd-0GVN#SBA+*lM( z*6Ws>4g<>maFPqmgt5Y;B>AJDIwi@h4=0FDUVk?DS<1M~||2 z8F`#Z)3bYW#M>L9Zf@pK+}2P@1KsM%y~MOx)!%U+;9Or{N+YfE5+FjkrQs-MG{R3n zvqPOf!Ex$AbdVn#ul`koPSo_iT-frGcGzrNc%GyY3DMN z*isV7K>gP$%MdVr{5oeZQ=^T(vw}EjU|{}|nxl5F?3G_?o*KTuj~@UT=gdI~Wl0mq zE+bV7D`#|Ox8b-`7jK1mr49{wgqH*CxuX=~g5xQRD8GZadDZO7RA)Ed|3pr^C)|eP zCcx(uh4+OvJ=WPUmf(XB(kHkwxM;LI6p`rRVViN1IO=L#WvszZZ%ky=)pft2z0~`D zXPLVEq2gKBwJaxPPEbx9Z3!i6+=jTb`3gVJoQbZsuA8ohxZAMDFuSJP&iux_)8)K3 z-0=G5wEC`AL~Y^Ndjum7{Z9~+&Z^KIuogI!%MJ5rKg>)`AKQ^l2Ukft5GNOhBjO#F zWD&G(SHm9d@$SH8v)++ixKdy+S9QiwZtFC6B~jNnHI2lB(8zUk(3}b$hI@p!FtY&c z!wrqSp--*zHdP;>pnhSZlr(L-Xw&UT-x=TjUL1u+HGZ7j^)E*>jnzn1J;6G3)9CbvDZTa%4k> z1-F14$NBQ4MF9TD*;Cp))9w>_hJ2g(^`cvak5M!Dd>b@nb~Ntgf#dJv=@@+pn~EQ# zYbP37*!-rVv?qp@Z&wl;=G_|6NPgy$cy|W{_4*0U1I~s3ev*B8s>iSA7cvmb{V~3) zG*KJh9&)t4QGI~C8a(4!KxQAY7fpS*k$ttw5ak)I!*LLC*(LXZ%wx8ywjO#h79w`^ z`RJ>vU$JGXY>$Qbx-Z~L>92H?l)<>o@O!{*yBR*60y3LIuV}Q7hXZcjVH;dMq+^o_ z_1{n*CU8fCgGB3cN>VM4D82AC-NO-Im+z&ZsEejLt^zc~~-d;2|INodvV8T@6=&3D1J6bF8{l*n$Ly~3$ zlw_;{7_%Ld2Kj$O1@O{oO7GV2L`7! z-PoBr@>3{#*Q8q7Xhnp!swu%T5U2 zLS@KMtAkDVirMX}WTD@ROw4b%wrM0TF>ok<2B5sXbG!RKw{J1`gL>#)o6~ei%@Cg= z92nwiid^p2s<%esBBiYb9vzo+QP%By(ZK$w9q~#S{_vrYqfBp$zE$-J)G8cw0jkY{ zg_|k6#~Br4JQt#;vo%$GHM`XV>(5X#*9B$c%j!yGD&}&@Ei01UytiJqqS5jB?Nb={$7jg>JFhlQd z;#KAj&@q;xpHOs{w-0u1)wY==6e#uiXJfzm6LJ(GE|zhPYW=wM9oolnccYdCBSdGD zN9zh%R5A%$-EWO?9qN4O%^tS@pZ8mb*|)wkKHc;43XkhSF<37iv3OgcuBJUgun!Wa zTzdP(c!iaBv|5Pg~q^*n?PNmTFSaYq*?ZFtT*Tq7s zj=_ON-(Mow`tfA-JBN}U6bbNI75mrk@mBCxdYg3+&OcS`&t=Vd?)w>)G}^!`5^^dP zAuljn&~^`8Fpxi%*5dF_aD?-JGZlHxPs>nxx8kw|bqsi4p0u8ZQal0=rm;DGKnsoY z9n(Z{V(QAbV7vVy`IXCyfo)KV;SOkG?5%$~+xBKL_jP37*~Qw)1wak?sbi&EXyOR` zmmZoZ03tW!D|QbJ`dONNxh>kbF(7J0&1`rTJ>Af)BYs{Xt8}n3WQVv@W5nHa_pv}u zm4u#!BsbpAE;Fl^YIEE_z~gSX?S+Mzu#htK@+`VjG~(w-_vbLbXMrzbaSn!@{UM*< zow%Dfk5RvfJl1>THGi0;yK27n3>7U{LSpd;j%zNx7X9L(`cua*iy~ndD~})uLm|F9 zLc#K{Kj9I$dw-hBFz+&)ZBFg!xx4TlTTH+R=q}lWg@VRuh|OE}>IXz|ym<=;HL9xl zU-gIz-=lcGb6nrh#^$$-pRIs+^L4)mnCfJj-OSnTG2(G{-9>yPG$`61+HCg6BM?*6 z8yB+FUL)K(YeutpbTO@M1T%|>3{>xcUYY-ve|LgUDUm~tx_l>VFQj$kEyKw?V;73+ z#n97{*%eDaWb0#KUsm@Ethp7*7V+Q1X6W$j=PMPeOB?IQpzvH0D|#szVQQ*rP?+QW zFUGP}?6h2!PF#kOH(>G+t(Pn&=Pw89y9@@wLz!-TT&%lBj-3g8HLsVW@?sVL7RQ zdi#nDL~UeR!jGNzKS$>|aZRM`GB!6fXdWCjTBlYmmt`qidqt}ydrlUwGioOa!&AO` zSNKFu0p&qGVq!aVBX%PLqGI5)S66cV=OpJyk0#VQx2mvx4tL~erTb27;g?-_h@o>^ zT@?eX@!}`zXz{A@QIk=M5DI_KnT_VE4r=3<$#pmSu zTjrW|syNQ8VRhkiZQ{Bw)bxT{Xg|_X60(9C7W786-J$AUVcx)FBOb^0i(Jw6Lz{*2 zv4V3Qq1YnMdBWq1i4L){#^rD$QP0RQ%T_l^{{$JF!_$u2>tA2bdH|hx z6n!|l&!FVXU_;`mPoB<`9D_U~eW;^SF3sHl+9W++M0dpOdXv3b#?3l^hbZ@^?h3r`pGrMZJ?HWi=Yfr_yd1z0Z#7N`%U~37A7-okx1glz%E#Mvi1cddtKRzZi*UgQvpQ`@3HKN(~tn7Qs- zg~HSyZT)*rgd-Zw6Zh^L08}&_3xNxkBs*LY>}lflC1WWA)fh!9?^54LF*M{}bLo>6 z>v8NHasMb988AMnmiyH(sg~`5^;E zdGglPR;PqR}%tWZ|;FHq8=`E zy`ScJss~wsjEIiy7@syDfi|=DCr_)D7s7|vSc+gIgW5~4@JqUdiMo3!91iYmFvWE@ z2rmoT47%wU*ygK@+g`#C>9y>$Qq}~ejFwGH(!O8~7@uyA^{pQbdCBhLGF1nB`LkHE z*{O0Ez3xauNWH9IsG2>mt-Sp7br)Wj$B*Rpqx>cqef2PcL1!^U{K}NZ%dCaysGF2+ zKfYl;Gpq(L)W;s{)#73{Y9NYruG zZJTPDWb3e)?GZYc5(*|D$ZCjAM1J(DB^$RCmAqL>@A~Qf%_7p3UMAlSykW4 z2ge@nBXmsT4;>lXlr`=8j|-qKPd1JYHFBS_nrrzq+ z&k(WS=xV*yFR0=qp)&mR7xDLE4GVL!k5t`7(a7Cs`OVBOb0@d&KuXrDUw zMK_HPnA{vFeTQ)cM9Ls2t~ccwG7H^FBGt9uNyg$DbO-NPiB{7yMZ!}&@4iktw~FO+ z_ZhNCPcqM3t{qbu;Dy8M#jab8!6b5AVo+FGJWFj}z>cD?eky6)Qaoee5L>kf+MvJh zxWiZDeCiWA1JqrPpK{cD?VCn+7SRK9<0-S|AqGl147GFhzH%oW~gatdEOV%EKlGCr(x`neQM3#CQ zu6TzozB{Ilcq}hIR8Z4%q;S8Ot+Kmu4w6$5 z#|zW2l&2AKIh-_F*(&iFm8yRZXZZ%wf9|M{T{*uizjz>>MA5=!8y30G6&Ato52#na zM(+KF#$2SN$WnBz(xR$R`2P70MI8dySxtyev@F4FBWIP$s=~@2ROK;cnJgzYgzgk| zD;`vxjva0`x>XTyZU2SB43~OmJ+xI^poVq&`3~6%eNe@hVXi7;zvJ-@L!3y2--zhx z^ZCe|GH1UMbF)rH;dx&i=g0cKh+XW5)5q$C4Nsm|M11_FClHz!Q59&B>*t^p);*}# z6}cFyA~~^ymmWr~*7Vr9w0F9&Dh1yeB?e72wv=;zmK)JR33WxY>^Gxwog6_irY6O$)l5#IYef{G=6u$b2jVpu&4!iaoJBn-bss${!3o z_E*8q^qmoct9P+QJ~_1_phxd1u5u79t(t0bgAvp}pZ7C+Ydv|bmM5m|*yseKQ&e-$ zAW1h`=|*!)p%~r~nA)HTvNJPI=MC*ppcvnJhJl&A7gY>nDq(KuB`#K!(5cyf-|Vj4 zP&Y|!{{5df^-e+*^xXuiY681&?_~NzPJGZj@IA#qzQH>At*Pqh@H}NV)GZxMXN|a; zI3sH_o;K_RA^zCOd{f181eCW)j3mp%`y)TLI!u_$&P4d zbgo!t|1o?Dvrar-?b#Iy1_mCS}G6QC{j0W zU4;O{13OxwR53qq1G6x?tQKVm#kUdvlNth&iWUA#iz>KR9MO@zU->qeg);xnLiY{y zNt%Sm1D35B@GfNr^-48m%p!Fl{LHll8h6aI z-|BL&iz<0XU&j`N7@eSpAcu)#*iqPoxHx19vYzMKE7+mzA#&!qZl57=h6;M3nGi4k z1}(#QSbj2Mwo>0E%Nq0T!urjsXMN=$_kakIF!@OA^11vKEL!lzmDo-~BisMYD}Buz zLKh|bK6Bar7h6!pc(WVMDcq4iW&wU(G$2V>9Sn#0v;>?FnDCu=^eEzpgVW(2iJ>+9 zBQ{xH*IhUEVj+%o4cDOCdkL<1DzE3|d##^kioxgTv9WekPD3Hh*N;h_pN5%pMyMWM z`TK4_+d`YCI9%u^9QZbw8pKMyLZA#XeT&N(F|4f9qJshD{;NqxHO zsyvQToVmZSy+A`H6B&Yj6P4$5EpTwNI9Ow&DeKd(q%xVY>vx#Gpd?TFR%YZP$ElCu zV!W_w5fA$-&ABZZ{#z|B|HK!60rGHkbS&oFlM#Db`rTn?g7STWytzFqXfc-gqg4>E zuP2yrRA8ttPkY2PnOhb~_W;B4(8eJ-ZY$|` z4ibk-N!*LTR-lr#ZYe8v>o4wS2mVBvrd8Mhxn z+-i>(ST$9ZAAjWV{fsc{b~3L0X**~+g_clQ_FuGmx9x_rl-tnG?ACr}jv2GqNP21# zcS@HhJA(sKVZpAV$%V!Mb$@72cpiz*Zk2qG1@I}ynQ*M1vWhz1Ib)9;QZ0+l%}!Ox z?&gLa(d=_>Q>52lxDgz0>H38r+VKb;n^)N31Gq9+@tgMx#0@F*IL>|KM4HK%{&o)T z&)>HEq=GS8r!x^;BysazAz4TFhH7mx6o2A*Tt0CPIk66#28CL?FuDv(Mz#(er4|uj030U(9c;6#&z> zPSmkV3aS>7FH#h7(1s-V??pJBsHToke;HJ{G^mb@@EYmkRtZlqgz|D##8`MC@q&>o z3Zl#vdAw22^B-WRFjv3TLkdx%>9c6?S);Ks2miJzuo3f`@o8In?HS|#I z(y75}A=52Om`%j-WiwbP{Nl5>G^y;@Y==Z(bYZXbI*HlCN?k$6PRNoMRI*%Vk659a zPZB|}_31l}M^IpSRR>#tg*-*6(%+BY$S_7LTK}qgI7?iEfK};zXa=}-1i|PyuUEjE z6UZn%o*;AvpTyL;5*(?u4lu|0CN>{5J`YaAGBQWf5Z0 z)lbsTP_7Tjz4-4Z7&Z7OFo3y!Tf0nCN82g3N+bDQX_y;DS6xYSIhgG6%c=f`3feXm z<>MSZaV!X_#2}5Crh~()j=lPsaFj$}?LqiTsykYK^0kuiz=hQ4xGtyxCLf6W--$idkOMYQ z-g?k~1fa^YgR_*$J9q*5_=XlwRjUxR#+}3}+N}cod}$ePIx)6@f2%T}-k1z9C*sCv zyH$6G#zb^E0V$a>5`k>q%#ARp&)>8z7_+V_<-I<`%5v#vii(oaKJqB!(*0ei*>oe? z0=~_uvW&l}=^DS%SYyzC5~i3dDo^fZAp`~p!yuWU15H&?UN+EZb$@%=Y*$VEp;5MV zb7>YbqD_#|6hk`wvE&_{VK%kGWzeIQkV5ycD-yVp4 zVsAfN-gf#`uv!Y5Rm0MXS|6)P<<4(9+7Vq*;C|F%UKQsYy$A`~sr%jB@`Wb+9pPRs zG5i@*qms*t{Ax2@p|XenmX*`EHWtaC&hSz?rc!kGEHe-!QIM<_8E|4k$R?`x$3t3% z!4=p5gaVijKuJ=>5n-x%$nmhP^%!y*F0p}59M$2esWr-9b-MlF2Xftuo^*TC&@or1 z3qr+6*DMRw8{luX^RacJgmcu5bwq(>RaDgUU0iQts?v@(dvb@s+A>+}_^WC@Sa~wA z0SsTiB<-@5nc=r7X}ZvIjrvgwrN?`2<2T@36mQV%3L6`;*m4_dU)2ur&6JwrI;VY2 zmqq9_#oY;Xx<}m@F#iR>XeVOj44k2$^sn#mhG86f3AP-julG+6>Lt24gkQrN+Q%my z47;taz_4FewN+or|5_I`l3fi%B<1PH7w01ZS zKu?8L{!ZZCl^;LoF}bpdDJYyptl=AqtSkA6v0Zk6VOH*7d|P62%}fR^C#xt8i{(DNXSbxtz-%iagFfZG-#SBG(_w@uRMt_|ohU*|Aqe7l1IN|uwga%$W!Tb~ZbvUa5tzmSE? z@$9hO+KvA1acM7*=#^t|W;|OR%R3BAm$*-3A?OI+>_J}39gFQO*Frqlx z#(8%r#u|z|u~yQoOjB?RHkpdZ@)d5a6i?RXxt@^!S$jx5_-%#H6o(Sa`1x1-s!m6 z$+HaB7ttJSiT=e}JG`ICxdTj~oHHNrLapcB^(MBTKQp}bnW|!W-(Ie*RP`4^{|q4X zC(8v1^?H7zM<4mi6Zj0gVx{;m#%NRvuiM?Mn>W|xF^%B4=l5tCNK4g6cVr{2G&(2q zko)~4yxr*m3M{U-!Z&9tBz=p`g0zgR1w`2M6~Grn&TNPowd#uwE;_06W$1%dMYcMv z{cv{!tc$A+@oZ}005qJ0Dx5dpP#!!dT!Vr2(5xGqizGyfjO0nb!agpx6-|zC5EJma zFwT1^+OiH#Fexrv?|YCq7kyp+?50#eeMUnlkxuf;8z#U{Es=AAidQ+_9-&nkgDOTb z_2-b5eikf7egUs+4F@$hoX|V(_}jLWw*ai+7^c2%1!b-Suse((J#J;m>M06oRXZ-p zZ*WuMR0F@;BTdsE^sa-6G94si#>ml-Yao4h8O6=vO%|8A9KZ6;#k6M;83e(Zb{aaA zj9XdV&s^f_mo3RG0oqNUl7B-OiVWfM{rA=G;{z5bvKLdld-n##BSFW6jzdfUw&~~I zb}1`&((n+aqCsXHjf+9h0a8Fl{pG80ZzOtSU!KfO%beXrmb=!gL>m@->G_z#J`Wr- zJbCqf+tM*5OAoFBX?ONrA+ZJ5rJY-Ua=~Pqjb@6sn_LUMRHo8}g_<6@0O2r2ti+n| z!nq2K+!j#Qa-3mgTvi)*CwH9=fvI}xZ1?u4P@L`6X()prFf=7W(Bhk$dDrpb?ll6e z8%foe(-uz4Zjyd1Xi+YZS1iVp*;B01F$+6@7ZqUs;})zcmOC+&y#?pJ$}D(2{DfrEZ7mt}<9JTaTztO6r7`y_|hvK(hhwe1SSMPPN>3-x!3_fc&4GX0rn1mfwk;aUB~~_VYQ!>9r1Uj>GSO6jqMu`>89|=Uwf=E`t zvf$LAbt+P6l?tPiBMXzC|+2v%fNI7{sIcu)^)}0MTIMrg)G(L#HM>KfuOvq$THwb8S1n z6y=lFiPaO?$*3L_bJEqR0Ql-{m(YL@n226n(v$lFi|RhxcxAq=d(s0wvw+-f3zCI0 z*jgQ4UxE$9k{s%L+p&VShr5)FQewA5o)#2c-y|c?)zH8nLh8|H*;WP z?)}OKaB4V70hnEVyiy58skQfWa0xs6lrnR38gT2;3@p{FB`pO?&RIPHQNfn>NxG1m zU2sXiaQ-GT6Lj>D`{W^4m$o2@*+1o1I|<%Wf%Jih7W9MrEciH)(Ha*MrL&nivN&hR zpe@L|R4AP3bn{%O9A;GWdmNdU&+Z&oub-WL$M*jONJ$mEW=aR-qi_V?R72|;n`4jL zJa_rE!Haf!|Z9-=cgcWUfCYZ2{3WJErV! zVJ`u(ph0Os_n2i@Ey#0xQdv@$JO~KNN12?x@q}?F*~g6+xy!N{QD3_ezs3 zb|xfEIKqx&QXV$U9O8CNs0wZ6O<&DN($XUF1M}<`B{VR0v*>}D>J=65J!+C**0@uvEX*ne!O-wNVA`DzlodN`zp0|Vk$t1Xzohk>ss_n z)7XqZ;-@Awd#(G+Uw*BAcCvQB3;>#|F}VL}i!0#M@$&uY$%TS@K56AZc5+T{&lMZf z9j2|LPv_XU6dcuY=FC!7t6@UKRJ@zV8NR!ZTSv7I?t2hR*#45jj_qF460a5*U}&U&v-gxbp__< z?&xsO& zf`BYL3DSKwLX5>#E+=ZQA>7;cRVSn^t2lKw&!hT98{G4*lJ3BSVHgO zQ%~U?Bb^q9sud6ImK;ess}OR8{7B}@mJ6XJA-$9aU#{m*xjcVcLigaqkyBH*dxGgy zwsta{>Vx6GQAlm>tC(78+H5h6%CS#H`;>=a9LFWs)5`Z1?m8Ctaep*nQ7L|hwKFj+ z@Uq3?peN7|>6I0ut(s^mSa8V4E8Y2E_;r4Jn*CwezSSuheDf{A=ToWcohrZ;&4Ve0 z)C9T`whDjjY7wYuF{`e;SW3zPHcc;anNYN^$?))k>r^{=!A3J+M+i*|tSXvDh+nA(P zsrP53ifGuR(0B><9gT`PeDyxU0LI=hJGKH!_m9m~)C+9rmft;D&eYLEB2 zNvAp;X zal;PQ?CjpAS9}cr1R6}ZR(;^;Ex2uZYUue3<-1p{7{cXx85p#uUJ6J^)l9xSO&$L9 zHpdzGD(-kk?aYB_Nyn5Sn4(-feWpqNK~~yy=TOeuwqfH{^^VO3oLA)H%+JPU#7sh%#ICY9;4LF3lWF2#*X_%{zGmkynv{*4@esmJ` zr_@fizWWK_V?T-=KGGHEzAZg;4cdVG1oayq8OC5`iFxddRW{Hn?|?JvhdnQc0ldtSQ zCdSYnW-j$Nd^L#1X5wFQl??`pp_{RxDwc~{wu~FfPz|GI%U#6CO0T2=x$C}s5A|&u_Pp(-aff|tDU{6?q zjU2`JjRMC!w%YZ$6bv+qdRW#Ryr6IEF)I-`AK%}@a#^31L)5ynp>C+j@GNU{Ka>73d#A$8~nAAY@FtPu`PiAK5KKz<&+;>2|sa!R{Q#1X9oTsS4kF ziVBOYKleI5m=afn@9@vJ3lp0Netipjf}FxD-GtAgn{?QLDVw{=Xi>0KvmuG+PgCWw zPLAS5WJ*4kWZ;%lir-GdIIk9R{HZ*#{Do5cjud=A-XARXG2J&=>RUqOuc0oiy~iIM z|3vmXDEm(uX{@`!%6{nl^!}S56xpPZ8AIDc?{^fO)$qt4>X@l?ilI>!+>0cgvdis{ z{sq~kT@c+dU;|lFX?@_*-`|Y>k({paqqO$;H!3mf7Cx6? z>v`>t8h$SB+WsiQMi8f&D6LXxFjX^T$Hl%#P)(#bo?#REjqO0i29E{z#At#1D`l5B z0$m&1JzO}G#^XDqWx~_rj{0cX7WtBee1dJ~ZopBh&VTpuB_yeC7sjpM&?BdaL{_oz zS*d8G3j*b=&IjyMwMIkJ?y(W*;~%j02*r8wgX1{IV%7!Y_lMfoWUvsil^8QNB;QZ+ zY~O0JUW3jADRmSOL_#ZJyMl>Y67|?~-2Li-_#^uEZw!w@D`M9U!1M}E>%FuBg6W`j z65HY$dDKv0pe?r?-7DACJ>4eZk!IiW)M7HtOHH`zu0-sXxhXfdB&jefaZ7pm&KBzl z?CeBfoO(vRCxDd!x~zWBN|pS3ym^yUnoZIe+E~&K^!ul{_H2AWssyW`GDuWJzn&0= zk!^LRAk;Hf>Eo3mu`eox4~mUlZRzCKv$XVACX!NOW+xyO5Mf<5Xn9-p!~r?g=Jx$p z@S783Pba;+)O&pNYX2Sk!X|4)yWVs8#do9*F-vw)J0-_Fczi8yl%=74x5DD@osp!k zN>E$p3E!eg{-#$ZBk48e_pljWj2`C7I$yIwYYmw^Vp1mJ-h5yY>JpeV;2Qbr%QmFS z;DDH{5z<4g^~nkvw*h;pz0|KEzn`?wrg}_^Wn=etI+*6aji#5LS{d{jb&>??Fbb{E zr(TD&8+I~?&kv}?A`SfU4S04<4TiVdkT-^tR#f3g$1+#hXttG82t zB|Kjw$vuKo5H&4i)I7m z4JTHHw_?w|^og=@4@+u1b8NogO;dv8SQPdl<)|FTd^cLBKHAX#(UVleZ=(u`%{-I7 zE2=~7G;o>fVPPtV(Viz5)jDAcs-Zrqvqi!W`5pG5j2^F778yymhtGvq%6uuJ&F2Y& zFDjY6*(5-Jp3xHMQTT2n)zF;DIbl_)Uzjg~oTFP2^?P;iq1!}=Sz<yjvgGN%T%`h8UJEy})J>0Xy$HWtXGd9NdT0aIFc z3%}q6h%x!!4m|H_5#CVC2xs`2UOK5DXx+bdG88?)xdWuN5JfI~jYqXT`VX<4beUCN z#W%$mQC46?(NrWbLs}MTf~*^e4HxfhA!D#th24~2EgV(EJY|hVd`)2lB~{y;Ni|OD zd+0DLNVUB$s3SI=-mB?@F@buzvE z>vA&P+x!zhBC6HaXyafHY+KFWVu@mau8sPqw7n@epqMt>^CCB&*)r93GLQ>DJ1SFq zst=#v{6UW|9r3f4P3FzF$-&Q_Wk~+s9Y+TDC)Ws7aj_my9GH2}9psK*aJ4pnXQJ2r z(XHUBsW7h9>#m+~YLl$8V5)xXCurG~D}1ID{%3mtqNCi*UyR?0oEgvAMu$assqav5 z53#@5g-@Uw1iAM>ahL#E<&=_?*~*EW>@tIMSkGTES}jV{1T`IYMn-IV!*zmi{+yrn zQYdfg!)XNtykeMN_3i*VeuT?sogm$n50H-@brc3(mPc_l(?Yl!Cj6 zeW8We0Eq5DnVS_x?oaKW0G9sIr8e-yLz^#B%UW5ahhs|=3wKtmfI#AFh$Nm%a>S9W zC0WN|RCD3a97RvS1y0Z`#!(osL?{@1ME>{?PaInE>NXlHI7Eb;e;WW}e7?;2E|FJZ z_P#cAm65A&aeX3`-f;4Wi(@`ne>%d?AMmM4a+S>`-5_VA`uP!AS(dCm+y07_$sU2E z&_)Ayp9)$7hc>AJsm=nv@fl@$25`!VxY}o}huC3sp+R`jK~!&n`?#l=E)1BS#KRwb z8LtcnQ<-jQI)LXlnZc%oX~k(qa_7wWq>ndQrD%pFB;4=5saeJuxCU)Zn|hIVSnRpm zl9@!clWySR*>8QeNcP%MyWWbNw8Sk$Nc><+fp;vN)Kxs*NMxkLbXafv+1srQ)FDbl z$f4Knq)Qj-X=|E0lcR703&>=r@YyzLHzJ0TRwfxJunKYzP_ZV1D`Umcfx=+Y> zQPt2-w*rTb>BsL~B7WhujrC$YvS*9d?vhPdYDW3N_$~7b#ST)zdqn8Y3iwMG`}YH8 zj#>KrXT%x!Od2X^MR`q?g})3HC{2lCPay3>I$#t7uSw3L`;T) zc&VEVmt0ptf#X~3!=!-sdo||cYsmI2;A}Ydi(0<)uL~8>k8xIXLKJWr7Pw&BSkEW< zwD=~Qee#b{7SU6%P+S;9!Wl!`cI;dI0x4w2nmMX>vGp;Q36~NcpnnN{i8ktSK7L3d zwrb%^WksLJWh^2_dE!lH7Zqdi1=?_{;+e(`JLv;*UARnrakp;`2kTMu;hI@rO1$cZ zDqlrvIdHx>8vDvP8k_kckn4r)`K<)tRj;E+r>b1iXRX;j%5Npzpd4XEPQk`bpS3rt zh@cNgDTBgIV{G@WMunNDm;|z|2Nu{FO-xJ&ohThmUcExfME_rFq6Uh|e&YKEb`m z)djk{Iw9NM5Ja#p6j<=BkO{2}w;Z=6v=n$B_bm!cO1GKq5eYXuI1|bJO2BQj)FWOq ztUM0P)%pFLH&(<6$GT3!Rq6U%D~~mA!iGC%7ot0=f{ogy#6A}Lb$H_b_LAc4>FFMfW}LwS4M{?7FK+t~I$ z(YxI8cBd>p4L@u4)J*-T9q$K4zHc1zs)FC7))3x;4givG?k}X9Wc7?4OOqG=(xcGy z%xToQKR$i1)H?a3etAN%siXE0hKvc@^E+bc393ZOxs7^Sb?fu9UdJPv!nfQZ_d45r zk(9v9<0N?)kL?Lj_kJQEt5HEKLK^Vsk%>9hGAuiX2aZ26N?*X$px1q-%|s>E4Jmw0 zcJm^IuYPdhf;ny}Jp}P%hv?4cT4^Z{$AOP-H`wa3amiZuI)nzw$!bONom996)uh=# z^rcSiBK*dgg~w%bc*d%JuwWvFfAlyU^U0$?Jb}S{@?zk%MCe(_eROPbnEFxT2ibq` zz9UXwes|-XnpcjzYI8nqjB>DVrG)*B^ycg8yiN^>_zO=3PML~#-ihP_ZN4~F&`8kc zi->|>^L}8gq$UWPL4iD{Fzj5<&S8r!V)Kgfhv%?kwOm_7Tbi67JJ^+xzxUPn?##Z6 z239v>u#ouC{WX~!ds^95zZPqZTH?1;AzTV7!e+7Xeh z*UfZ|te&|1OYvAHYn_Y~F|2>Mc+h4M{AhmT)90Z~1$7hy{kz@=YCf@DEhs3%^$Cki zNogPKCGc+bLyMAJuK{Wo;&|Vj$WlXmZG}$w^vLdX@p?OGhG=$2UCNuRHJeOqst`RZ z&g*VEo6!J?1#l<=AE!c;Q2cKhj7ctQxm&g)l$8;Dr5F zs_j{UAC#O0M8p_3+G*qewl21F`nuxh&loR^ESdZK%K>P$IvO^{N&;P2PcIYMh9wLx zE@F@opv|K{mE0H0MvG}3$2bqoIK>{uV_#!BUk>k)S3dJS6Tf0lA~utphp00&h=;%Z zQo;PB1G6d_k-AZmB8lif& zsMR5!f*>YwNf4l0=1RZ)0WUNo#eHw@*y+$K_a8inp0-=17RVwwNTSE~by%Yzcb+w_ zfn97sU`jNkV0PplO*6SlUm0YyaEtv0}_BSZ)!bIkTsN+{^qpRo&;7;8OuDq z*$VE1lTioV-Nrtf^_snmc42bQ;h0JsWS6OepbXSQb4Q9Efj*?p%+;18nT#4WTpO`U z5&cMZAm1T)55a`cok2ev_eNW$-X+}oL8=Jq%kWi8d)q@@20lt9ItOiN}@qkpm8l-srLoTYgfzX;VQ`BQ8qI06x_4c?H9iS`^utP%=7CAhkd){U+YUL zk$X|C@oUAC$Kn%_kc!iHHFUO3wWEoN%rxM3yAZhJ{j*cVHr8!B6zILDt@K^c&k?U@ z(do~*oNUE)0|k{jDxtGZISTQ!yE$1-yLTDvbP}kptMXx9U?z9yC`+!2`0cCtvv3X zFqYyC#mTK(T!xV34*}+#|?uyYg^=e?U-x!7;Zk?6W?u#{s&RbY|a2 zs>Di&&D!S-J&moc8l7`-9t>VPTD()!qpkOSjCx0Etqq^N#cH)hk)gHFl3~?wT(hQ` zo|m7$aX;TEx)k%py}%hXTLE|k4`n>^#Ivf6>f z+?1ou4^&+U&yfmKEIZ6JW)A2=Lziva4)fuA9~9q&!6D<+nRa#M0dXkyf}u((Qwl~oj)O6zv&UaGmLn+uc8R-R;G zH*zN=UsqFyh{OOOstUSdwE))LvA0Wt)A-&aU8?rc(gcL#@_>mWxw5~{ej#vN06QNX@9g}H z)Kjd-k(UGssV|}kZ(^>7bouem&-U6hFnFk>h>q~fQCJ8wPP(in0Qcx%I5se`K1 z&WU&};~m?HGnW8@v_avVYq!^$HAL@4xWycOOkBL<7oj55n<1>n2 z3K@HRZ1?{!_&`JlbR(jjL@9?wq)`5FS1Ug85{VyJ<%>6ofM3#|vubPQC|pEP1_cY- z21Iblm>8vz5x4dSgvHU$%Vt`usx@&35zrt^beqA_b@j_6{m8By8aQO3^23}CA{DYn zy#LGV$sDSBAdR0KlL>BTL>If*9spW zQ14Cbfuhfbh~5N3RJ=%-TQZm?^14>&^%p?^BfulUG`yfr?Dl`k4W3g-p{*2Z zi4()L8M`-uJ(Yhe%4N5rGU_iuvPBYgs-;Hs^dWtE%5 z!V~{To6#SJdR5%jY~md@bPGv|8>BbqircK-OYC3jMSIVE@_Nx9C;erZi4Wf`;$-v~ ziO8ZmxU-X>9OtEOt>7x;3Vm7B$YDL6Cx`n5%rXN=OW(Oc#Wt4Q<*jAp1s>JA&3xdPOoeRk zF=9UbJusxRNFE_vq;O<4nF}8w#n^6!=T|e3DP4{2@X^Q>iJN7I-*onS^_BR-re2|p z{DqLvz10%(cPpcv5m`uCP~Ec{UY<*c)zbAguR?@HP&O|*nXs-iqQ+y-y=Iktw<~w# z`O<8j_|hauc!59p_efYvd;NA|FN$!8b=>X-k)dB=+M`+sdO!c7o(r95T3}VJ*6XW8 zWPz)BJEBLZ)fojLp0c-NJ9flHh6KZM+i!3&-Hkp7ND-T5O6(h{*O7tr*e7<|xlg1SE(C^G-T z$&^5T2SidT^LQg1{Gz_JJoI~FgCa{cC*-o?keHTlkV^CWao+E8?Xv@nTT6124dG2c zr39R>xx8j#SmSRs#GM^|N<}SeX7FANGLnre^UueM2Fk?AUPy$Yx0I}3X3!FP{RI$I z<8i1>tBFpG`x0CzZ76$``yP5p59fU*GX)sS(MHrY3unhv~(;jh~R$jbxQO$&g z;kMg7XuM(jx!q&2-;)qI@W=uz5}Wt{aF``Ae~)^FE$o zISK)Tt3LK`b(<6s7I9##zBefnl#4*!pN0U?fDDz2gJx4dFc6uE-F0y?SE~uxKR9D= z=n!5R7YYzL4EgL$u1bXgRoyoO3(kK?$3pwV=C5BG{zo&;0&!s1JIB0R1Z_h=Mq_~a z%`_JT_ExLGHg6pvx4XOz7283V$?6Faq5X8$t{=qgr>GJ=<3|0DgVV-zOP{DO{;};C zMh|CYC5xaWox}Q&Y5RYJ|S%Ler}HlV*P8$`M&G@jm;`{$Q_>Yj%j)# zo+U>Ct&P*Vjf(HN_$_a{$kqM&z5mVH$TO-*!0U!t&alFBpqsmyh<=w2`^@UB{?Nh* z_yFCLRBNe{2dk9m|3G^C&Y+{`%Uzo)gLz|Zu5uq*3U>UDN8AP|PS)!YHmOF_h!a~7 zPj;XrTeJijjLMuuo@yCVZHfsPmnypykCV?D-QO~)vn*bCfDU$ zM=OsXOR|XW*%_*pgC_Z>=u^ibUfbO5UI4eG@hPFEt^Ee@_vj{nf;=11A$XS>=pu-8N*ayIdaVHtJ#}(NlO28*`Eo;Qp)S8 zBZ-5Y8eJK=2evT-daJlqMOc392KrZ4N40=X1#l(2QX`!WL&&L?bLxAa)&C{GztT7= zX!h`2SFatZ)!@zsx@uD|9WqJSWBw2F@iU?keol>;2(pZw{SLlGe1Y0L^WByf(f$E? z5slpcST}!$8~P7WhC{jTkZBebrDfGX>qt%S4M-;Nv$%o<= zszHu|jpJD5?qJimvJMdQpFucx+aITouUdP_+smI6qL_!#ugDh zN}&D!wbIJZ=~NM2OaCmL(JE0eo`y7Ps(3hK2h=w5YoGVQc9Ny|U|vqAtCg!0C$0W9 z0z)4AdXeNv_SWR7kuBoE1}-HG1G6qnWAer+f!zL;&369C<|K!+^zFa4BoPtmkV3iF zQ(BqSO)6r3wSmd*%x=@4aJB2a#rzbE@&+iQ{R|4!LT0YNwbSoAM{oxJ9xPNZKtbPp z%w?(0Bx7=65BoY!Rwt0`vVXq&VZlqwuh-SpTg}?pYV-GSg-yDasXuWD0a)kuw zYiJcYPers6>b%SE`M +# Parameter : start_diamond.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : zas 08.2019 -- Initial release +# V0.2 : ama 02.2023 -- Adapted for Diamond +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; +$| = 1; # forces flush on prints + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{DIAMOND_HOME}\\bin\\nt64\\pnmain.exe"; +$diamondFileSpec = $ARGV[0]; + +#------------------------------------------------------------------------------- +# Launch Diamond +# + +if ($verbose == 1) { + print "$separator\n\n"; + print "Launching Diamond from $designerExe\n"; + print $indent, "* Project file spec: $diamondFileSpec\n\n"; + print "$separator\n\n"; +} + +system("$designerExe $diamondFileSpec"); diff --git a/zz-solutions/02-SplineInterpolator/Scripts/start_libero.pl b/zz-solutions/02-SplineInterpolator/Scripts/start_libero.pl new file mode 100644 index 0000000..3e11301 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/start_libero.pl @@ -0,0 +1,37 @@ +#!/usr/bin/perl +# filename: start_libero.pl +# created by: Corthay Francois & Zahno Silvan +# +#------------------------------------------------------------------------------- +# +# Description: +# Starts Libero in the correct timezone for the Synplify License +# Help Parameter : +# Parameter : start_libero.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : zas 08.2019 -- Initial release +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{LIBERO_HOME}\\Designer\\bin\\libero.exe"; +$liberoFileSpec = $ARGV[0]; + +#------------------------------------------------------------------------------- +# Launch Libero +# + +if ($verbose == 1) { + print "\n"; + print "launching $designerExe\n"; + print $indent, "project file spec: $liberoFileSpec\n"; + print "$separator\n"; +} + +system("$designerExe $liberoFileSpec"); \ No newline at end of file diff --git a/zz-solutions/02-SplineInterpolator/Scripts/trimLibs.pl b/zz-solutions/02-SplineInterpolator/Scripts/trimLibs.pl new file mode 100644 index 0000000..efbff23 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/trimLibs.pl @@ -0,0 +1,163 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# trimLibs +# Comment regular libraries in an concatenated file +# Help Parameter : +# Parameter : trimlibs.pl +# ------------------------------------------------------------------------------ +# Authors: +# cof: [François Corthay](francois.corthay@hevs.ch) +# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +# zas: [Silvan Zahno](silvan.zahno@hevs.ch) +# gal: [Laurent Gauch] +# ------------------------------------------------------------------------------ +# Changelog: +# 2019.08.23 : cof +# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines +# * Seen problems in ELN_Kart +# 2019.06.11 : zas +# * Comment "For All .... work."" instead all "For All" lines +# * Allow Outputfilename as Env var or as Script parameter +# 2015-08-25 : guo +# * added unisim to the list of excluded libraries +# 2015-05-08 : guo +# * added verbosity debug +# * changed this header +# * minor comment modifications +# 2013-08-13 : zas guo +# Handle error if environment variable not found, character'pos('$') -> ') +# was found as env var, added exception +# 2013-06-13 : cof zas guo +# Remove comments from testline +# 2013-01-09 : cof -- +# * Bugfix: no carriage return on commented "use" statements +# * Bugfix: more precise targeting of "library" statement +# * Bugfix: "Library" test after "use" test +# 2012-04-27 : zas +# * Bugfix: on feature added in version 2011-06-10 +# 2012-02-02 : zas +# * Write the output into a new file with the name defined in the +# * $DESIGN_NAME variable +# 2012-01-23 : zas +# * Replaces $env_var_name by the value of the found environmemnt variable. +# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file +# * placed in the Simulation Directory +# 2011-06-10 : zas +# Replaces +# library xxx;use xxx.yyy.all; +# with +# --library xxx; +# use work.yyy.all; +# 2005...2011 : cof +# Improvements +# 2005-01-29 : gal +# initlial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; +$hdlInFileSpec = $ARGV[0]; +if (defined $ARGV[1]) { + $hdlOutFileSpec = $ARGV[1]; +} +else { + $hdlOutFileSpec = 'trimmed.vhd'; +} + +$verbose = 1; +$debug = 0; + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $hdlOutFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + + # remove all comment for the test + my $testline = $line; + $testline =~ s/--.*//; + + # Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim or ecp5u + if ($testline =~ m/use\s.*\.all\s*;/i) { + if ( not($testline =~ m/\bieee\./i) and + not($testline =~ m/\bstd\./i) and + not($testline =~ m/\bunisim\./i) and + not($testline =~ m/\becp5u\./i)) { + # if there is any char before "use" except \s, insert new line \n + if ( ($testline =~ m/[^\s]\s*use/i) ) { + $line =~ s/use\s+.*?\./\nuse work./i; + if ($debug == 1) { + print "TEST0099: ", $testline, "\n" + } + } + else { + $line =~ s/use\s+.*?\./use work./i; + if ($debug == 1) { + print "TEST0105: ", $testline, "\n" + } + } + } + } + + # Comment libraries which aren't ieee or std or unisim or ecp5u + if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) { + if ( not($testline =~ m/ieee/i) and + not($testline =~ m/std/i) and + not($testline =~ m/unisim/i) and + not($testline =~ m/ecp5u/i)) { + $line = '-- ' . $line; + } + } + + # Comment "FOR xxxx : yyy USE ENTITY zzz; + if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) { + $line = '-- ' . $line; + } + + # Search for $Env_Var_Names and replace them by the value of the env_var + if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) { + $envvar = $1; + $envvar =~ s/^.//; + eval { + $line =~ s/\$$envvar/$ENV{$envvar}/; + }; + if ($@) { + print ("WARNING: Environment Variable not found: $envvar \n") + } + + } + + print tempFile ("$line\n"); +} + +close(tempFile); +close(HDLFile); + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($hdlOutFileSpec); +rename($tempFileSpec, $hdlOutFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#if ($verbose == 1) { +# print $indent, "Hit any to continue"; +# $dummy = ; +#} diff --git a/zz-solutions/02-SplineInterpolator/Scripts/update_diamond.pl b/zz-solutions/02-SplineInterpolator/Scripts/update_diamond.pl new file mode 100644 index 0000000..92e9076 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Scripts/update_diamond.pl @@ -0,0 +1,129 @@ +#!/usr/bin/perl +# filename: update_diamond.pl +# created by: Corthay Francois & Zahno Silvan & Amand Axel +# +#------------------------------------------------------------------------------- +# +# Description: +# Updates the file references in the .ldf Lattice project file +# and launches the Diamond project manager +# Help Parameter : +# Parameter : update_diamond.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : cof 12.2013 -- Initial release +# V0.2 : zas 08.2019 -- Changed actel to libero +# V0.3 : ama 02.2023 -- Adapted for diamond +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; +$| = 1; # forces flush on prints + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{DIAMOND_HOME}\\bin\\nt64\\pnmain.exe"; +$diamondFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$lpfFileSpec = $ARGV[2]; + +use File::Basename; +$diamond_dir = dirname(dirname($vhdlFileSpec)) . '/diamond/'; # get up concat and into diamond +$projectTitle = basename($diamondFileSpec, ".ldf"); + + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * diamondFileSpec: $diamondFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * lpfFileSpec: $lpfFileSpec\n"; + print " * Project title: $projectTitle\n" +} + + +#------------------------------------------------------------------------------- +# Project variables +# + +$diamondWorkFileSpec = $diamondFileSpec . '.tmp'; + # source directory +my $sourceDir = "$ENV{CONCAT_DIR}"; +my $projectDir = "$ENV{DIAMOND_WORK_DIR}"; + +#------------------------------------------------------------------------------- +# Update paths in the project file +# + +if ($verbose == 1) { + print "\n$separator\n\n"; + print "Updating file specifications in $diamondFileSpec\n"; + print $indent, "temporary file spec: $diamondWorkFileSpec\n"; +} +my $line; +if ( !open(DiamondFile, $diamondFileSpec) ){ + print("\nCould not open $diamondFileSpec !\nExiting (failure)\n\n"); + die "\nCould not open $diamondFileSpec !\nExiting (failure)\n\n"; +} + +open(workFile, ">$diamondWorkFileSpec"); +while (chop($line = )) { + + # replace title + if ($line =~ m/ +# Parameter : update_ise.pl +# ------------------------------------------------------------------------------ +# Changelog: +# 2019-06-12 : zas +# * All parameters given with agruments instead of env variables +# 2015-05-26 : guo +# * update to environment from HELS v.15.0526 +# 2012-05-27 : cof +# * Initial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; + +$iseFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$ucfFileSpec = $ARGV[2]; + +$verbose = 1; + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * iseFileSpec: $iseFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * ucfFileSpec: $ucfFileSpec\n"; +} + + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $iseFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $iseFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + # replace VHDL files + if ($line =~ m/FILE_VHDL/i) { + $line =~ s/ +# Parameter : update_libero.pl <^PDC File Spec> +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : cof 12.2013 -- Initial release +# V0.2 : zas 08.2019 -- Changed actel to libero +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{LIBERO_HOME}\\Designer\\bin\\libero.exe"; +$liberoFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$pdcFileSpec = $ARGV[2]; + + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * liberoFileSpec: $liberoFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * pdcFileSpec: $pdcFileSpec\n"; +} + + + +#------------------------------------------------------------------------------- +# Project variables +# +$liberoWorkFileSpec = $liberoFileSpec . '.tmp'; + # source directory +my $sourceDir = "$ENV{CONCAT_DIR}"; +my $projectDir = "$ENV{LIBERO_WORK_DIR}"; + +#------------------------------------------------------------------------------- +# Update paths in the project file +# + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $liberoFileSpec\n"; + print $indent, "temporary file spec: $liberoWorkFileSpec\n"; +} +my $line; + +open(LiberoFile, $liberoFileSpec) || die "couldn't open $HDLFileSpec!"; +open(workFile, ">$liberoWorkFileSpec"); +while (chop($line = )) { + # replace source path + if ($line =~ m/DEFAULT_IMPORT_LOC/i) { + $line =~ s/".*"/"$sourceDir"/; + } + # replace project path + if ($line =~ m/ProjectLocation/i) { + $line =~ s/".*"/"$projectDir"/; + } + # replace VHDL file spec + if ($line =~ m/VALUE\s".*,hdl"/i) { + $line =~ s/".*"/"$vhdlFileSpec,hdl"/; + } + # replace PDC file spec + if ($line =~ m/VALUE\s".*\.pdc,pdc"/i) { + $line =~ s/".*"/"$pdcFileSpec,pdc"/; + } + + print workFile ("$line\n"); +} + +close(workFile); +close(LiberoFile); + + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($liberoFileSpec); +rename($liberoWorkFileSpec, $liberoFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#------------------------------------------------------------------------------- +# Launch Libero +# + +#if ($verbose == 1) { +# print "\n"; +# print "launching $designerExe\n"; +# print $indent, "project file spec: $liberoFileSpec\n"; +# print "$separator\n"; +#} + +#system("$designerExe $liberoFileSpec"); diff --git a/zz-solutions/02-SplineInterpolator/Simulation/coefficients_sinus.pdf b/zz-solutions/02-SplineInterpolator/Simulation/coefficients_sinus.pdf new file mode 100644 index 0000000000000000000000000000000000000000..6e26f5d2176009db1b04e1ab747f296ff42ed173 GIT binary patch literal 20972 zcmeIacUV(P*FGFVkrI$5T?kD;N)k#4Nbk~{N|P2sk=~2+j)o>xL8>4M2r3E+Ql$tA zg3_CG5D}2xza2d1c-s4WpXxA<&P4BVlS# zQz#OF1V#|oWn{=PE;axca_GPOkdyj(U~F-c5J+-T_-{YJ)YBH!<&Qpbaq)ljp~OV~ z-iLsT{9`TxfsptIo!CF=L`32Lpc4a(`})gZ&;-|1GL=#h7lSJaiwG+#D#{~NkYb7mq=X_uLP1y*g+wZfiONVR ziz%ZNR8UA|aU}(15m6Bd1#tyMWo0qAiio_3h?uer&e5cvUREAnKRpU3C*|f=)=?oR z{kz-ANre$V0}B^d7#BM)d#E@HrsCk_h4Fx?I9YjNlrU&l8w~6+R00JA8%h{v02M*W z$as2rV62>hm>IFQwETjxv>a&$5%_39NbXRcqw|g zx+%E&nZkh{F$pmtVPPmrRQNhD*8}6?^&3}3R~Ikfou?_{ln)dkjAIAIg%a-NR1GNN z)OEm``Pq5uQz!x_N&h${o-hc*XJlo#N+QoLxA{zgzaZM4<0FOu@<%gM$wy z@8MwOq-6-KB#+-<6A^|fy8uhc!Nm@M&qdzF)8UWzf584H2mNDRB2aLVe_5HomZDl* z>a|x1EaH?O7WgGo@eQ98M?(B;-Px*41zZurtql}tV(bseK zsG_aLoO^ioEfzl+rRpYF zpB??jr|+LE-fCf`4GbeY4g{(4;$PLh>cW?l{AqJ_GQ%|^aj@%P`5cAt^@i*7e6{78 zvTP^aDQ8=YUZi)szy6-I4hg9U89&~0_ZM%;&3!TnYcL=EeoOZe{cV=D&>zKT%?dO| z`5}=Uig`f^d3+VYo*N3OUCyMGbAxf#VU$4&U7rR%mfnvvzq~bZO*NA2v1|gv;C|)J z*v@DwiUXF$B!0IZB*&SBdX4YAy0htfONHF3_kE_oigNB6YZl#Ko%kXpy#r#^qbVso zL3!_#GpRP1Ypm+0U)rABo>PejDDf}yyNp6IwC_7@xGn9ceAkTn+AGCBSf_7vo+aMZ zM%_2oDvX0pHuWQAi%PR2S$nyiwFKQkoyXX2Cz3Lal#^u})R+|U%sE-dxpwCfK${`+ zKqsBW+uCQZSSBmUV53T~@ww-*mB(utKW+HE>T9Yq%V*lb-fP)cb z8$MUJ$Iokor<(r|B)fMdBM+^spLq5o=6wA2_Mv0l(MJj|kv3<3@8fuLNy*gjmT}aN zDI$mwM3O`d(No|)<_*OZ7Dnr)cU;xwKI~i)&f(*N-@-UMfjE-9ovDim5zg%_!}xNaL68b1uEUSUE&%hHva#sqpT~ z8F?>a@Csh!lpU=jYAD(Kp;18PsCMl~t5*3e?uT@h*4`kcXwc&zBFhrvUZ!sE*@3w9 zoaN#aU4uIYZt+6fkNA3Tu*X6a^UxrxJ;w0>%RrykMePmFtpsTl=MJRv_)8uxfU8XB znxC641$;5y>f3s>W*J$V`vP*_rz6XB{c>Y6b>N1eNS&Y8+tF+CSHcqHFH1|01zSvT zn2{7~GLU6Bx3u7Uycode@gXfeoj=yL*&DReU)T>{y|iJatZYi~f-aWVmslx={qYP-%i7Hd zUd{&XRNVM`9fGY&WgUdYWsfs*P7HYG7bw&3C(q{FUhygCa{K^@uvPR3$W+%tSdah| zHpF-UY*vGTQ7o}^ca{l^Z>O(Ri7?+W5H;3vlsxx#x3#E7=U>RqElEkX3!9OAz zD=*K~ca$@ACO68bOQZ;2q$C)@CvNExU_FcNby@%T!|BqBLJTtI z#>TuZncvnTC>DZecmQeCeO}s*pIBibor=oRR?tG8@hbU%$N%|9uL31wv|Kx=`G;dh zq9;kk;p;g?jT(nm0!)^i=|JL>yqp}ek1m5}rEXRSj~zJeZz%>LO}%cLa5qa`${kwo zll)TV7B+ANBTDhkC}SoYR<8KkO=ac_7VheeZ_hHOEX)*R(=lyxzAs7yXwB;Ln9U>! zW%r`E6t~YWpSDnFV}tuj@e#FZDl@u_b!kR1UlkbRBSfyUmClP%eCJ?{SH!G+w>+ac{M3iX7y-syXbL;~R&z?){+Ab;|=zME8AkV#XxK%0n$R@Xq8x!td zAJEKHuCDc&6n$k=%*xOu?g7|`WxaT+BF6u?tl2n-Ej97k{-EreAIE!>lZhd$A%W7H zB9HFm$|f|tetBjtuyk;0Z|m_nS+<6y$%f;g!#%NFaD-`^eL}jLvVTMbraW8Xu2)_q zLF*uCtZlr()588X+Q~{i{7U6(W08Z}On$?>j-Z~s2Oi;5**zDFQXCjv)mYY5uADKa8%&Do65&-~P5K}&BWC{&dk+%rp3abI-J!!RFY zPOLpubhc@uTwFtx=_+d45f3jT<;NUNO(EWt=2Pk?xtmM^@>3D?<;gw9Rq3vE#sy7Y zQJiDMP%~n^rL#jN=9N*r!~60TP19fBK%A5ZvnVd`7f*OCKY*z$9nqS<;Jj9~wRQ3i zACUU~0ST6Z$*h&tS3067X>KM>VT#%1jy-Rg+goNlylbPRvMwrw2Nd7!PEDxc)deF7P3v1NU$~iL5(QI- zs;ZVsz1G;A=%1Ju)C~*GBH))Qhy)Qn5d*?6Zz~tJRH|s0ITA}gwRAe4xtM-5eMX4k zM~OV@CBAxNfSh2Zr2rGTy}hn=Av;NHf>r<4_#pAZ`4-g51%;url9Vvw)KKy;6U%lZ zQAtWi92N20y{AEq#O~Kc-V+crD=bW%%ja%O_-N9W{?y)!b-+H(ksNQgsX#uI=e9~V zNqhRoFh8xlw?i}~_;m5g1sjzF+)uc)jPx^JZ5v0g z`)h($W|Ah~ka1|8_qdvnn$!@Tq_zdU$@>EZ$1mP!szvzqyw+=A@Jd8{#Lz%|p~>dk zwq*s?sh}|GO1SPBCzj_*z}Rvy;+j)LY=QR|wa+rB@ zb|~&$7hn~)wb-FB5YLpUtyXuUQiUK*S2~QVzUl^Xq0ew51)cXFXK$RjsT!2!SUY@a z79q6{|IA4wTST-rtDK(?0i=i$WUbBKpjp~~#9q^y>b{($`X)1GWiz%(>k%G{zuqEB zKt3)$`U_1$-M9p?E5ZgH!GGuMx2pBZEPbi>RbmiDb6QCXjjFdV0GjB)2-kHq`Wb>| z((O}Qi*3N$7L7-&-~6Z+Ma$&k97!(6_yoqd_@E05vlbgQn6;|=I0<-)0^l>sc`aUq zx5TN#wKLb_Rb3G?1xEyD%t!2Br|EZSk8}>-%GgfmJ1`C8hBiJpHwiT@LorIfF^o<` zQorowB%%jcOdIDFR)V>a)ORT2cj?Bzy_KQoUuwKY663r@977J9bM|@i(C?+yJ+q;; z`|s%4UIv#@dPj2wW>SBV>MdpHePg|M%oR?j?qo7@c&=yUEEYA;MJui%kQg=`^MQq= zlJN#!sfnm0Jh>X(OYk)v(-+}5pGD5nSR{(?+V*&i6fez%3n@vpL?!qQ4fK61ULd+T&uDoyU$fNy3i{-nHggR;Y4=HGdj_LnEP)}a-s@Ui zUZ-(^!|W@L+$%Qqne-%udq3g`92jJ;$bm0@o#5e5k6duPNd3U-A^7Hx6Jq*JfhWGx zm&f*J%qVp(mPMEP=eVgiTqeM@$RvO1lMA0OIe1nUN?`bzS^ugo*r*arUD$kg{@x&o z`1Pk^R0%tqLdg%wK2smoc7|`An9pp@_>J8x08#7eRV0@gQL{dw<1$ZNH~~H;(aqr_ z__xa&?zH{4%Nyd~KFRs>dC@6DgL(`_t+*o-rPD}x@>yb@Y39K75?^Yj+|?DPvpu~O zMJYD@`h72s2L|+e_Et|$3<*zIu%g8bpwW&cR%>D?y66!R2>U8rzA@hXllSv=Jhnom z!b9PJ&Ksac26+bA{ku07SQ&%(<)LzILG?8t1~KBTTDI+w`Qwz{1!@AYjp6QoB0S;FyQv_CB7z7Iqo9}=$kI%Z zfuMuI&IJ6v!`DPWZTq+^!Dh3NAS{%*>jHb*nZ^=+V7z4YGi_rBjuN%}(2{vs0@Xn& z*QTjV4q~{u)C%Zyq^*UAeTh^5z$qWhP(%o@e;IHCBo#eiaa|UFqpJ4qe3A)>QDhu2 zXpx(NAfZ>t^tE~$nPuuZ2-qf7L=X?|Wc3*;r=oF%Jk%LmrS#?7k=h&2L~MN`loE=* zZ$%QScMUKk*?H;hE{NeJ{01eoF#8e7Q1tzN4!7<4++=qZ*RBFEp0Lv$Ktzdr$>f{s zrmG9{*kdLDG!-pHfNfP(Is>?4alw%+6cGUr*{oN{?yaUG0J|90is884w0aAWO5~b? zuq=^>g*!)6bqDtbDCI$noH(ekI91C;5P0wa%6;F-z#vp%1vfE4N2RwOJ}6o`uOFB! zOG8r`|I9ampnKxr*iEH@UK?=Ekl+)*yQlEv^t>g&X(tX~YGW8hH_*V_(EeN36+r#>B4T*9W;?-+ck)BAm(BtG z?*Xe^1Wey_0?s}SwfzJw;ednuQb4l+rc)O_t*zKmG#D8lkrjSLCl@Vvn+XK}rdrGd z=(E627Wx!shagTublcGZqPuaT=~RHokRaYGUEL;S+j%7GUhP>S(4YMX=HR`2LQf2- z%}mU{yPxjztpdy-O?;#(+f(@)mTUK^KrL6Vkb_;mdHUQ5tvh&1pBB*lc~FEG2oNnC z!XXb^8IL{&1Xgd)tacl67FM6T5(Z-2VN3&}nlK`i8B@VT(y_R*F7(FktMdm#(2&aH zHA!Z02g7O^sxa52XLUe4K_sBI`wH|+wDhPdHy-1g>+^j#i|ey5lY}O#!ikfn9C<96 zw=FavcsB37yys@6W-UyDdcde87#bC>aYq-OA-J#B7bazLlr@cNB3#$HlG9C`+MDSA^`_sP#h%k zH*E1h(MM9c09M;2$B%!cVk8NhXDs1slNbX&Js%vL~ts9Tqt%T zNA}c{almd7t{c2L6L_P0v4Yo3Ha@FfG|YJjW=Ms~t)EYIt)S}dE_h-0b$PE7Y>9

y#Lty$YoWOXRu>&gA@#S=lq)sxUd@M#$hM{U}(vVZm1gq zwn4vzw~R+DBR7jDj`1e$5`q{G-qHZr9!aK9gV1aNzca0wuZ&r*DW%9)C$P>9?Nk-bB-3OehymURuju+k_-h0*$T#2LQZY^cG7Siu= z-j1|lr@Etg(6nTVm;@BxznT`5*rcOc2L@l{?fsz=3R05rU{@gIRv#y(47FFa0-7EZ zK(i)+E>>4Qj#eB@z+-|6z?VaBi61m+wfBREm`X#o$w7FrU-y3a?e5iF+-HcGTy;v$ zQ|e*H3#U1fqNdW&EohAgDE#bh6L5be`g}%q@=>$V(U>@h0Q$A}F2L6i;0xWqkDyMC z_2-mxnNqnd?|DD!i_7ao1WB^YV0W`2ZsWP^PW<9PZp)-e7gVU*(zf9nS_Fz93i&}V10IMlSkCkeX-N-z~?5% z^%|YDaws2*Z4(6x^p@uY2*4^`5ZkYl44~PN;6X@WsLL@B4{C~|l`>^5Wy5Kb3%v&A zb#DXoBOZ1jc<;As#bWsd4GC^AP!6Y-b|oOzp55rCBT=uar-Fjm9c%#PZ{VEJ;oGKW z^p-+ucV|07cYJJko8d5clbTZ3iprg58ecDbp$ok=2jZSYuhH0$5pd5Q+5q;=?zaLE zMdDcX0$*|Rx&zAK#gg?AHbc8-I+c>XM4DAQw_es&NbtTO2b!KxWGJ1yh=Yqc`j8P8 z@;tmKF*qlT_Xe@Wo!j0~qTi22kZUbNGB|T1~o`*spUb zDTnyg4GSgUE_Dcp@g1J`Gjr`963+&QVZ-v?)?`uQfhkwi0N3CIc)`@t+NZlto+NW5*;0L4*I=@%XTtMYf-qI!iJs+nvT99YjJlFZzrH@8qq zztqiNc1f45Tr`#Q?O=#Z!_o4JYwkq%HRhDEHuOZIkNd>~1M6SaL#$o6-{r#)TSkPp;+`etTg>iUd z?>#AvSluR);ji>Zoz&S3f|>O`Byv?R23-rvT`Fyv+7BQN1CfHp*K(qY-@R_LIL@{C zARB6vwz1{hmA<>Ig>HBg>C2J@sMfhmP~KcGppKi9eFs0cLKUBrA|a?EHPzEz~-ZL1-4JtXI15&M;?z>c(3 zyZm8TlilRTS7m@O&V3<&)f7zWJpRh1pxkuWB#djEcUnrbrd>^6iT?N&iJYi}9L^BF z0;Ps$1U!8;0-R3?av#y^75NKR-FW=I6@k8p!}Yb>(ddPFs-^cs*> zUH#`C?l+lfF?pP0+fJ)ulsTj6=UcEYVHr-NpY27F`6Y4@_n#lydU+v~L?)V+${XO6 z#8Kel45qwa`+`JUjY_W?2_T@XG(JMmeIqOiAlfuxyk6>`bb4-6V3Z(=!4CqgBCPx*c&2gQ%m-wk*{BGbdANJn7%-k+&3 zZuhAuAH+fZtm$L5>wS2Z;W}^1=p*qkZ{RKso(JMhaV8H&@$;UBy#CB37D5w9@aX)F zz6&V!vtp+&FBG*#2%wVU4m98IUVZh(AO&fqky4i#z279I#k8?mdH;dp<%$@#f!*<= z?(#_jo>@@{J&36mCM*_AbGTf@rJ-oqCZixUmZRmSa3f~qIf(dn!&^-^v< zFn0~_saN{Gbph1-Xs`lMK<(?+h25QMwKu`7GU2HdVi>?RBTdD1);mRBC45<+x5`@n ztvf8WsoD`1M(gS94%az?;qo-a*Mzuu`>!RLe*XGI@(j&H40Df7#*?jj)9}~FLorXb8S66fSu6w@`vimsb zUaRkI-W4}f@BQ$RI=|L@2fetC-u3nkh$NSsO6mM;Z?BZtf?l}9Os%w_bN>nC$%}6l zCnRuCxuS^pzg^dHUwi-cx{eb6-#G%^$vsTppCRx4M@hcbD#Ay>4+4 zQZPUI`3EA`S9G|}~u$NQ(EK{m=x#+XA?7bbt zf=1lt_dv*=Xsi8((0pM0hD{%>0$p(Yjc3SIr`1n=n)j?bdJLXBFzdfeL(Xv-Q@pCa zT${NOB;_(w$9u?H*k5at4Zj`i*~+&<%Wuk1|c>Jp^bCeJ`KmuP9!W8 z$8v{rbGx=MQD-KEWTk^neynV*Y>lp-9PMplg7=Tx_j?8h*EYH)GbYW2*sC5jFFjei z;#@mh^Qj`yJ)0)TjKyxhp7#k^kTq>fzSHFmlKtx9J%S^RPl3_L{j(y66vOkQ+h8r$ z`c+y{i!aNXwkL%3KP*N2v*fcT;e6TqHMU$x!=2&4hgo5SHF%@e z;)7j=8EoIKuBee=6E7Lvlo0roJ;YkIxH{AVM#DB2=Gs`xA8ZE{-M$?O7RMefX}t3i zNfhje_Zpg+A?h}Zxg9RM;XJu|ZnyvbKbA$%9}<(m%1yv7LK;AVk%6`XfolJ z^V@3=!o;q9xs%Jb7Tv?_>c)8Z_076MX+RjsCu}t)TG7tuB>~E7M*G^+<}C)+G*b() z`JFO`i9{A>Yrh-k7$72Mw+nqyz|9WC^Ca;R<>6B;K|+zjk%yc_Y1d&$&v z;-;gK<@^4#TPfo0{BgA}r&j_VC>#$+JQe&do0Uu_b zy)b!5<++2P2&0MM&I2EB>gUix211eB#z|J1;o4|wFP1{fc?6kok{+DqE+OMPu0>5- z`DkHM+l$YkXhy>OT`bpJ2Q+Qz3d9|4MaZPG5y($>30ZqAC9jA(`LfR;y{qnh+U79$ z9@y11sqm7UH#_y?yT}1)S>b%o;bRZU{3Cta6EcfwUWUL%>K10jvq&y!UDVPP%LUX{ z(YV-yUUoVbh(p8Y$I-My$gZaGeq1N=N z9F@(M9UsGw)W~QPO#MQ3Qi%6zxv4IdAL*BlP$ewx>WJczl)<@#t1K^#foD?ax^p9v zKi!SrCSrIhGFnmf_9Q{Sn&6%6|t!Sap-HahFcrZ8b;z`)--a z#l6q;8r*I|o*|*?7qOduY-wEP58r-xmQPI=sjdq*Y!25YAyMb%;!Vr5EQut{r>2FZ zRYDCF!u3hW6u5aAE?UW#5D*qoCzOgimPAG^eqg$2750b#t;j6^PiuTJAYk>)-Ki_J zmna+Q7yq>9TWR_VOC~9;wz^Cg9_bO)g)o}bX^E8GBAWy0wW<+xi-wUUrQ@QUPZc34 zk92kev4t|RFBQptOE^Bqx<>i5s+&V1xu8`T`;&b9(PTQ_>`k|0Dfj#40*kItp)Stg zM^_Gs1+2=-ln6Ub70nH1T>-2K|Q@#+J7QXav&OYO$K zfgYT8j91q+o=O)LOB;4Fu|9m$wZSl{Pxn1AuWYxwTeUMFIQV61f8XaB{8U0u$45JQ zSJN%*hXt5Bt>t;i2o;S5h%w1|smkH{bfnSGj3_%5nsn*N6pXoeF;AhXQQ`Rlbdk?= zbvvhP0-giou@hxLPv$c?GPM)VQR0y9_=5KzY_QIjam)S!vt{M4y!W>DGzt< z9C6w%v0W2mxP)7$aWLQW6O`Bpgj7Auu;2@7(^9c7B65bc+X~|5)_MIw6vfjop;d?a zUv(uY30uO9tc^St<)f?408Xn@Y`^o6o>QU@bGgd|fRmhrq`*>*QUjtzAw86|2}EX$ z=PpRApe5{rpz~hQRWU9BweV-qG+v$4ap+V{jOU{NkJOrvQ;jLgWl!UGFWpE{l zDofcuaMTRlL@sBi!6HXUwUR@A7wpC3^F#_*a!TtctImAF(J4BH+$y(Y7J~`*cnVF2 zcU`y@6s>TJko1vU$&Z&!TOoKvAUzK$)W2PvaSu8D_2Mjn5dL4k>+DK6FGd-?vq5V| zavN1|@sjul_^vdEeXLvx0Uq`AihHe@_@!|PyNHsIuhR{q50`J3P8f!C$z&S3ROq<0 zePCissGhiq4R-%h*qSNVB<7z#L8o?EJZ$d;4)t$eV5G;7`B zrf2`XY`ZY6>IEI?nVC<%bL}JbA;&XI4;L_f4-qYGtT)jS%&LqEm-{!lWK1uA;No*5 z6IB$HtFg~N+G?hYlsyp)DU{p4x%2)0c1&T1<-38mZO4zbiX)GlY+}fu#bEF4JNHRe z!hG=wc~<8aZ%XwClEtxI?kdify>nG7)T?)MWwIe8cyIT|-a?2>-O+gc(czEPTnqD) zgTpx*?Z;w~z9KiyIAi(Nrk>WW{zV{F5i>{hOCtmHa(*^GLFv5_1$TWwmr=-a`f*yu@Op zZ;oEmw0PMz<)J-0Wf#qiy7)${zqd6u%d@QtWv6+Q z#@alKVP+sQGvh*AjfkBlKMh)EvW{~;D$c!7%j<2G5aN9&D@+6X=2?3w^~@_i_F3h) z^|6$RbbR000+w*!0nyCW!}Vuxg_hV#x%gEA^%{NOCJy>Mh}WP_9QAcqRmk28e<3hI zDy_^i_Q{qoUle#=g~7G3yuC_65Gu0@E%zUEB{o@(n)`7agCdKpW-&4P7N<<&^0-iE zj#5FOn9d#bboM2yWsE6yw;#VlDQ)O( zT|^aqVu)bWnQ!u8&lbK~>wIkXN^x+7uorc|nRc@7brmdT{tBPoAnG(*;eR4+?Lw>O z`NZE@o1|ZC~iM4OseS6j@C{ zBomuLmF`4de6B2Jt;}q{Qzn5hpc=ue+h%5rMF?rJ1&;*?U)ArIg@s8v-gbzR1WXPS z9_(T|8q2#vp1jw?LE#z(&qEh?cnhZ2lQaJYt7>474}lUNZmjr4 zh0`laeGlcun<|)cUANYSz9R>_v9$wpWzN?0=z)E1DYy`@hNHS&DzlE^r{IjBtr^N5N_tP7syZ& zY|F=i+RM){6|h3hHgze)E|~|5HBEOXxFC2d&mK|kXoWSoro$y&q(7eB%53&Oi&?`9 zAc(NYZ?BWI*;G_~8w`aX%17n3mlN*i(?PSVKOTQS)3M}=-(homn`iOt3iMcBVE61r z0y!7Kj}$LMcMGC^_{u7Vzq!w@p*E5uCs!2#L7~GNjpZP(#NVPV8F^` ziVlbN1g-tnxJ9>Yl%)%&VBDR6&pbaAv7**tYPwLk<8r7^3BX7?RW`~v14^=u?DVL& z2wBmA_iZZ15+G>$1S~s8B=gSSKtye@cIFn8T{?75nltluS#OUOJ)&ff&%`77L5OxH z|48l)nyQQP;bB~6_2svg$ZDC^2K9MG1d1wzsV2dQ9UVh$EAijb3#MLDHu62(S#+O#3rlV`SGv!&vYQH6B$P%HL3G)BZcBLlxZ6cB1D~Wr04H_%glxZ9?Z$ zZ!a%@n7Hk*b=Oei)ev=+~?pN0Xn_%CHR9OYs`M zzHA$&8Gy7W5HI!*mFu}ar|Bi+>}Y@>>Hx#=P^99 zQ!OG63o{(9_@1uq_2pj+3JdVx3-lSUO<6zIea{rpl!8$54x`ZDA1Y1injy=pV=+lO z*KPV{HT>e6k;-P^T7as(H($FV+9qHhk7%4hC`I+Yn2`=JRGU0|B1`z}ETN=MjNBEJ z%M1Q8|N0M%4`?AmA?jXEW35iUVK<415@V9K`V}e$*h*H{p4O{Za2ik6^&bfFFrJyi ztPZS8KFPG0|Kv33E8$6;kPBh|s^(WtL-G)4vncIcX8Zm*S5|0}+7(k8o?YCF7@Jk5EL8HVj zqKb*~y8J#whvoi6k4^IM2I|$PvJ>eBU0V-^X}}=(;rgABn_!MIy3nA6NIVc0(sjnN zL9CGygxx!%7q&H>K@{rz;7E-W4yhmy!RYb`k`p=EaL?WjH0H-577DKcEVgL`K+N(a zQ`rxL`Ov{{vwh7;K#jzeBOnUJVx3n()IOg*2Ml3-;7~nPoiY%!#6|o@WC`BAQ7#ZO zkm>_`!B|P$NF?^G4+J7QDo-N9M>-i2f#5$z1o1lDJ{Zy})om;<#%68|^W!xlFRnzw zi7Yt{F(44<`|By8@y9=?0OEo5lsx7wKle~}5JRh<1KhHZEx-x{D*S*WF-ilz-E_XM zKr*zZ#|Uc7E94<3iedls(9DGSWnm6~u}v}^2=euNQBx@S{s9j;s4F&|;yMToMh0F1 zSRVp<4CUc-l7lSY&cK$kh{ijLN%3ax_gvt9@A-Z&H4Oy9zD8<*kP24-sB|Mtq7Wcv zFUtpbAV`CcR;bIzS`%W1)j$)|XvD%&r5YZHp(>@%vQb4>0kyF7Tr7hb-91`H0J8jO zq3-}+Bsy{}ZwA1xx@rnS-lFbQ4rXJ=O0Yer203wlyr#&2U)g&W3kQWx4SJ9@MjM3J zcC2nhxc3;i-j9NU7>1Pq(>bpJ;1oVNPZJvdAkwS5Jtz=9FLlJ840vj>MlAx5`Lmn` zfV#sxylxXLR->o%)0ZPdK4cK$|xfY8DN??EnpUYlrD2mkoey49R5 zvcBlCDUcVcH)^9RuOegH%2;P)_T9nz#m(l9FMOKdb2givhQ*;&eKxxJD#YE-Lm~Ba zU1BskLPmJ4@Mn%67>c2VEYGJQ=7LXls_vtwAvrBv0A=d=+g#9ycAHnW(^J{0e3rYy zjD|`1j&`@KI&@CwycT<2iCH7D_!yiJC*ntvA{WK9G$zsgh0plOT|ci!0d%^J#2Dcc zC?^}hydDXN94r@Ug%>QVd?~A|wM7ZE`40R{rVA>2s=H(c%VN!25 zk25I)x;I`viV5TW>Q1PX-_W+1gx&NruH?P+Cda2{BI{l<4hD@K&VUHT35xqsf)PBo zKS{52+vzYcN=07IQ;5Had+22Mp1`1{86EI2a4Y=KCj#0+Ycq@1&Tevi2CxWt52!Ab z?s!3nj9QwE*YYir(XgcV5W(C1WhUDautSmuL&`9d2PZmb)4`8?UOCq^=&sLOTyhGO z3gyR zQ0Zrlfdu7#ppuygM+x#)-Q9g^Wq?=s;9XugWSEcBiBakdfK|nTS20*cGew?|u{~Xj z5KDO>f>dmlbt3*LJ$yFw8KBRDAYB6l7OBmP)Rb3|ux(?ky8z5{6|(IClQM8?5}msd z+0Tf_X!b-l+VKKwcO0NjW~5tF*ItQ4M8)$;bEf+_qUTzYV1}G-GX9(0zOAu&Vn$_m z{iJfA@mZ!`=B1aawLuB{-M~fO5Bhk(*Y@sp56AmE85qZ^0eHz@saC6lg=@xYAppSX zoPfh2h1e{5W?VdZ0`YWv?p|D1NaJ^7IXrZ>UmM_%x||eYLah5DV+5&iGeQ6WxdRP= z?9tDR2XueIb$23Q-CriGLv$|1t8aYq4?OqGl2q*0HzO`Q#=3KWeTfSXH1W_Z>1_a7 zA}CzYz!E||1bluPj{QJ5YP)di%11k8iRm`Rp31sU zBSMHx!nx!1;9ZA_nlO=f`$;{bMq}E}&Bahf@iy98DqBu7Mvtf$M5GMf)L+k6cr?kd zBJ9@2E`*T^dpQE?r2)>yP7ic8r#H&?E2#Io%xCJD+>n`!^X8JthlGL zw%eoC1|^XivnS+(no_-$B@8MIzK(#yqw6+A$V`b`TY=32BVA_);CBzFQn+k!E2Ejq z0^o9?#0uOR>_|z1)qFFtNr2?^aRJsz0~>@C5rAZdYYWaboT&g*^2aKPcT5hj}t$q62gA-How<2M|h*gb~?FOnGoV1Vgh)3b{_*cZnm}y z5T=JS+o%h-i9K}JLty*2Y#;_D?1L*aaarK#x`A?FDJ)R96J?x5wE)^jAp*ND<*uDC zU&aM=*TdX%z&;?}4unBkBLkp%sWmPn?w__NACEKe`k?8A{0j9Ph!USuRzP0e@I`+k z#C`-o6E2|8+~{t3+d|kQinwTr&5A?dLdwW^=tnnCATAJ}yX`#^r*q10L$Py`bX+=1 zbC%xh$@6hwi*BnKVgNY&K=7G;NA+hz+wFCpjE35(5wUaw}v^O=kG~PAX zqjT26TqY!F=b1poM7@->fNV&BdGP*zU0KljQB(Vw1|iw{0JG-TFEPf!z$vO_I>Tb3 zo_;XoV0Un3YsPs`Cln7vKFOZ{Zx_g4Dar<380V`{6mVVz@?f>CoH4(0VSx?DUwI;FXWaj>)Zf{Mc7xP)^%Af;OvhD$%j z1|&2C8Mp{I9C;lk|C3Sz4qT4`0V-C`4o?2Or}?*hFhwgjwV&+8frp`uert;%#C~Rs z<0kydbcS69^2Z&}@-B8x7%2Q#D(-3a^v_Szb#%3W+6p?lP+Kb}PYle}?YG8h0`DJL z*DwS5-}()-pl~6$@GoA!vU;_>ojrl{^V5{_KUHSHz5gl80H*Y7-mj;-6)@&y4e5Gk!gH_j`i3k(TD~IsPJIBH}+e{GL?*>&`_=*3Zof?TGP$ zT4U@ST%&7PDvos-o_h^ z@sN>6d$?L#c|nyNJiQ#8fHDtIaUr;n7?c-C?6z_B_2iSnG5JORn{+7{PNhGocxwOu z57qsw-2TAmFRB9rfa>tSst$BYDgxCSfLac~pA4{eP=auzAY8%_fs#asNFs#!;lh$| zxD@Pfl)pfe`eVe^!_d{$Nk-S;v^;>Akd2cQ^eV=~69+g@MnOpUPh5VZ{TmxCAP3&o z|36XXPn7@H2-=|k0MO0b!|61{Y|t=_6UG@=@c{r4@mCOS(2};eJ;boXW4(9dMf*Ga{ez@gO=7G?)=yF;OzWYZvx@0r6sB4 ziuT62`?8XZx3`0hBpiXU5*HV-7PPU&SPO~@TZ;%7mk_i;SPNUDfO0y*!ZyG2 z{nOn4XUzXZ57;(=trzyk-un-4;ezh}{O6a!|L3fKG5oKPUs(JHt^dOHAAt0W+}ZHPm|{G1A! z0JxRK-H-DsN#YI%a3ov;0Tj$TJs0r6;XHgWd2cU!R}Ws`o)0)v|GZt~{hyBje7Krq zrza)e|LLS;_WM!EjE@fn+~5Ac#T(-8qb8l R>ixMU9|Bio@wcjc{~vDTuQvby literal 0 HcmV?d00001 diff --git a/zz-solutions/02-SplineInterpolator/Simulation/sineGen.do b/zz-solutions/02-SplineInterpolator/Simulation/sineGen.do new file mode 100644 index 0000000..df337bb --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Simulation/sineGen.do @@ -0,0 +1,36 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /sinegen_tb/reset +add wave -noupdate /sinegen_tb/clock +add wave -noupdate -format Analog-Step -height 30 -max 1300.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/phase +add wave -noupdate -divider {generator signals} +add wave -noupdate -format Analog-Step -height 40 -max 66000.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/sawtooth +add wave -noupdate -format Analog-Step -height 40 -max 66000.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/square +add wave -noupdate -format Analog-Step -height 40 -max 66000.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/triangle +add wave -noupdate -divider sinewave +add wave -noupdate -format Analog-Step -height 80 -max 43200.0 -min -32800.0 -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/sineSamples +add wave -noupdate /sinegen_tb/I_DUT/newPolynom +add wave -noupdate -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/a +add wave -noupdate -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/b +add wave -noupdate -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/c +add wave -noupdate -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/d +add wave -noupdate -format Analog-Step -height 80 -max 76000.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/sine +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ns} 0} +quietly wave cursor active 0 +configure wave -namecolwidth 250 +configure wave -valuecolwidth 52 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ns} {52589 ns} +run 50 us diff --git a/zz-solutions/02-SplineInterpolator/Simulation/waveformGen.do b/zz-solutions/02-SplineInterpolator/Simulation/waveformGen.do new file mode 100644 index 0000000..ce4b33d --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/Simulation/waveformGen.do @@ -0,0 +1,30 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /waveformgen_tb/reset +add wave -noupdate /waveformgen_tb/clock +add wave -noupdate /waveformgen_tb/en +add wave -noupdate -divider {generator signals} +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/sawtooth +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/square +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/triangle +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/polygon +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/sine +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ns} 0} +quietly wave cursor active 0 +configure wave -namecolwidth 272 +configure wave -valuecolwidth 89 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ns} {525 us} +run 500 us diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCalculatePolynom_masterVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCalculatePolynom_masterVersion.vhd new file mode 100644 index 0000000..2bc35ac --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCalculatePolynom_masterVersion.vhd @@ -0,0 +1,56 @@ +ARCHITECTURE masterVersion OF interpolatorCalculatePolynom IS + + constant additionalBitNb: positive := 1; + constant internalsBitNb: positive := signalBitNb + 3*oversamplingBitNb + 1 + + additionalBitNb; + signal x: signed(internalsBitNb-1 downto 0); + signal u: signed(internalsBitNb-1 downto 0); + signal v: signed(internalsBitNb-1 downto 0); + signal w: signed(internalsBitNb-1 downto 0); + +BEGIN + + iterativePolynom: process(reset, clock) + begin + if reset = '1' then + x <= (others => '0'); + u <= (others => '0'); + v <= (others => '0'); + w <= (others => '0'); + sampleOut <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + if restartPolynom = '1' then + x <= shift_left(resize(2*d, x'length), 3*oversamplingBitNb); + u <= resize(a, u'length) + + shift_left(resize(b, u'length), oversamplingBitNb) + + shift_left(resize(c, u'length), 2*oversamplingBitNb); + v <= resize(6*a, v'length) + + shift_left(resize(2*b, v'length), oversamplingBitNb); + w <= resize(6*a, w'length); + sampleOut <= resize(d, sampleOut'length); + else + x <= x + u; + u <= u + v; + v <= v + w; + sampleOut <= resize( + shift_right(x, 3*oversamplingBitNb+1), sampleOut'length + ); + -- limit overflow + if x(x'high downto x'high-additionalBitNb) = "01" then + sampleOut <= not shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + -- limit underflow + if x(x'high downto x'high-additionalBitNb) = "10" then + sampleOut <= shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + end if; + end if; + end if; + end process iterativePolynom; + +END ARCHITECTURE masterVersion; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCalculatePolynom_studentVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCalculatePolynom_studentVersion.vhd new file mode 100644 index 0000000..775bf7a --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCalculatePolynom_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF interpolatorCalculatePolynom IS +BEGIN + sampleOut <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCoefficients_masterVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCoefficients_masterVersion.vhd new file mode 100644 index 0000000..53cd473 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCoefficients_masterVersion.vhd @@ -0,0 +1,28 @@ +ARCHITECTURE masterVersion OF interpolatorCoefficients IS +BEGIN + + calcCoeffs: process(interpolateLinear, sample1, sample2, sample3, sample4) + begin + if interpolateLinear = '1' then + a <= (others => '0'); + b <= (others => '0'); + c <= resize(2*sample3, c'length) + - resize(2*sample2, c'length); + d <= resize( sample2, d'length); + else + a <= resize( sample4, a'length) + - resize(3*sample3, a'length) + + resize(3*sample2, a'length) + - resize( sample1, a'length); + b <= resize(2*sample1, b'length) + - resize(5*sample2, b'length) + + resize(4*sample3, b'length) + - resize( sample4, b'length); + c <= resize( sample3, c'length) + - resize( sample1, c'length); + d <= resize( sample2, d'length); + end if; + end process calcCoeffs; + +END ARCHITECTURE masterVersion; + diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCoefficients_studentVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCoefficients_studentVersion.vhd new file mode 100644 index 0000000..f213fce --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCoefficients_studentVersion.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE studentVersion OF interpolatorCoefficients IS +BEGIN + a <= (others => '0'); + b <= (others => '0'); + c <= (others => '0'); + d <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorShiftRegister_masterVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorShiftRegister_masterVersion.vhd new file mode 100644 index 0000000..cb4d6b8 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorShiftRegister_masterVersion.vhd @@ -0,0 +1,40 @@ +ARCHITECTURE masterVersion OF interpolatorShiftRegister IS + + -- signal sample4_int: signed(sampleIn'range); + -- signal sample3_int: signed(sampleIn'range); + -- signal sample2_int: signed(sampleIn'range); + -- signal sample1_int: signed(sampleIn'range); + + type samplesArray is array(3 downto 0) of signed(sampleIn'range); + signal samples: samplesArray; + +begin + + shiftThem: process(reset, clock) + begin + if reset = '1' then + samples <= (others=>(others=>'0')); + -- sample1_int <= (others => '0'); + -- sample2_int <= (others => '0'); + -- sample3_int <= (others => '0'); + -- sample4_int <= (others => '0'); + elsif rising_edge(clock) then + if shiftSamples = '1' then + -- sample1_int <= sample2_int; + -- sample2_int <= sample3_int; + -- sample3_int <= sample4_int; + -- sample4_int <= sampleIn; + samples(0) <= samples(1); + samples(1) <= samples(2); + samples(2) <= samples(3); + samples(3) <= sampleIn; + end if; + end if; + end process shiftThem; + + sample4 <= samples(3); + sample3 <= samples(2); + sample2 <= samples(1); + sample1 <= samples(0); + +END ARCHITECTURE masterVersion; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorShiftRegister_studentVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorShiftRegister_studentVersion.vhd new file mode 100644 index 0000000..428b0ab --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorShiftRegister_studentVersion.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE studentVersion OF interpolatorShiftRegister IS +BEGIN + sample1 <= (others => '0'); + sample2 <= (others => '0'); + sample3 <= (others => '0'); + sample4 <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorTrigger_masterVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorTrigger_masterVersion.vhd new file mode 100644 index 0000000..8c10d84 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorTrigger_masterVersion.vhd @@ -0,0 +1,27 @@ +ARCHITECTURE masterVersion OF interpolatorTrigger IS + + signal triggerCounter: unsigned(counterBitNb-1 downto 0); + +BEGIN + + count: process(reset, clock) + begin + if reset = '1' then + triggerCounter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + triggerCounter <= triggerCounter + 1; + end if; + end if; + end process count; + + trig: process(triggerCounter, en) + begin + if triggerCounter = 0 then + triggerOut <= en; + else + triggerOut <= '0'; + end if; + end process trig; + +END ARCHITECTURE masterVersion; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorTrigger_studentVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorTrigger_studentVersion.vhd new file mode 100644 index 0000000..9dd7350 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorTrigger_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF interpolatorTrigger IS +BEGIN + triggerOut <= '0'; +END ARCHITECTURE studentVersion; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorcalculatepolynom_entity.vhg b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorcalculatepolynom_entity.vhg new file mode 100644 index 0000000..b9fb637 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorcalculatepolynom_entity.vhg @@ -0,0 +1,34 @@ +-- VHDL Entity SplineInterpolator.interpolatorCalculatePolynom.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCalculatePolynom IS + GENERIC( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + restartPolynom : IN std_ulogic; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorCalculatePolynom ; + diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorcoefficients_entity.vhg b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorcoefficients_entity.vhg new file mode 100644 index 0000000..ce5e957 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorcoefficients_entity.vhg @@ -0,0 +1,33 @@ +-- VHDL Entity SplineInterpolator.interpolatorCoefficients.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:20 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCoefficients IS + GENERIC( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + +-- Declarations + +END interpolatorCoefficients ; + diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorshiftregister_entity.vhg b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorshiftregister_entity.vhg new file mode 100644 index 0000000..e4bedbe --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorshiftregister_entity.vhg @@ -0,0 +1,31 @@ +-- VHDL Entity SplineInterpolator.interpolatorShiftRegister.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:24 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorShiftRegister IS + GENERIC( + signalBitNb : positive := 16 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + shiftSamples : IN std_ulogic; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END interpolatorShiftRegister ; + diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatortrigger_entity.vhg b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatortrigger_entity.vhg new file mode 100644 index 0000000..b2c73f5 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/interpolatortrigger_entity.vhg @@ -0,0 +1,27 @@ +-- VHDL Entity SplineInterpolator.interpolatorTrigger.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:28 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorTrigger IS + GENERIC( + counterBitNb : positive := 4 + ); + PORT( + triggerOut : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorTrigger ; + diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/offsetToUnsigned_masterVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/offsetToUnsigned_masterVersion.vhd new file mode 100644 index 0000000..76ace80 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/offsetToUnsigned_masterVersion.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE masterVersion OF offsetToUnsigned IS + +BEGIN + + unsignedOut <= not(signedIn(signedIn'high)) & unsigned(signedIn(signedIn'high-1 downto 0)); + +END ARCHITECTURE masterVersion; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/offsetToUnsigned_studentVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/offsetToUnsigned_studentVersion.vhd new file mode 100644 index 0000000..360c161 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/offsetToUnsigned_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF offsetToUnsigned IS +BEGIN + unsignedOut <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/offsettounsigned_entity.vhg b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/offsettounsigned_entity.vhg new file mode 100644 index 0000000..9ddaacc --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/offsettounsigned_entity.vhg @@ -0,0 +1,25 @@ +-- VHDL Entity SplineInterpolator.offsetToUnsigned.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:32 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY offsetToUnsigned IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END offsetToUnsigned ; + diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/resizer_entity.vhg b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/resizer_entity.vhg new file mode 100644 index 0000000..0915fa0 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/resizer_entity.vhg @@ -0,0 +1,26 @@ +-- VHDL Entity SplineInterpolator.resizer.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:36 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY resizer IS + GENERIC( + inputBitNb : positive := 16; + outputBitNb : positive := 16 + ); + PORT( + resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0); + resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END resizer ; + diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/resizer_masterVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/resizer_masterVersion.vhd new file mode 100644 index 0000000..de8388e --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/resizer_masterVersion.vhd @@ -0,0 +1,33 @@ +ARCHITECTURE masterVersion OF resizer IS + +BEGIN + + outGtIn: if resizeOut'length > resizeIn'length generate + begin + resizeOut <= shift_left( + resize( + resizeIn, + resizeOut'length + ), + resizeOut'length-resizeIn'length + ); + end generate outGtIn; + + outEqIn: if resizeOut'length = resizeIn'length generate + begin + resizeOut <= resizeIn; + end generate outEqIn; + + outLtIn: if resizeOut'length < resizeIn'length generate + begin + resizeOut <= resize( + shift_right( + resizeIn, + resizeIn'length-resizeOut'length + ), + resizeOut'length + ); + end generate outLtIn; + +END ARCHITECTURE masterVersion; + diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/resizer_studentVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/resizer_studentVersion.vhd new file mode 100644 index 0000000..3d675a6 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/resizer_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF resizer IS +BEGIN + resizeOut <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sineTable_masterVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sineTable_masterVersion.vhd new file mode 100644 index 0000000..9285888 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sineTable_masterVersion.vhd @@ -0,0 +1,57 @@ +ARCHITECTURE masterVersion OF sineTable IS + + signal changeSign : std_uLogic; + signal flipPhase : std_uLogic; + signal phaseTableAddress1 : unsigned(tableAddressBitNb-1 downto 0); + signal phaseTableAddress2 : unsigned(phaseTableAddress1'range); + signal quarterSine : signed(sine'range); + + signal shiftPhase : std_uLogic := '0'; -- can be used to build a cosine + +begin + + changeSign <= phase(phase'high); + flipPhase <= phase(phase'high-1); + + phaseTableAddress1 <= phase(phase'high-2 downto phase'high-2-tableAddressBitNb+1); + + checkPhase: process(flipPhase, shiftPhase, phaseTableAddress1) + begin + if (flipPhase xor shiftPhase) = '0' then + phaseTableAddress2 <= phaseTableAddress1; + else + phaseTableAddress2 <= 0 - phaseTableAddress1; + end if; + end process checkPhase; + + + quarterTable: process(phaseTableAddress2, flipPhase, shiftPhase) + begin + case to_integer(phaseTableAddress2) is + when 0 => if (flipPhase xor shiftPhase) = '0' then + quarterSine <= to_signed(16#0000#, quarterSine'length); + else + quarterSine <= to_signed(16#7FFF#, quarterSine'length); + end if; + when 1 => quarterSine <= to_signed(16#18F9#, quarterSine'length); + when 2 => quarterSine <= to_signed(16#30FB#, quarterSine'length); + when 3 => quarterSine <= to_signed(16#471C#, quarterSine'length); + when 4 => quarterSine <= to_signed(16#5A82#, quarterSine'length); + when 5 => quarterSine <= to_signed(16#6A6D#, quarterSine'length); + when 6 => quarterSine <= to_signed(16#7641#, quarterSine'length); + when 7 => quarterSine <= to_signed(16#7D89#, quarterSine'length); + when others => quarterSine <= (others => '-'); + end case; + end process quarterTable; + + checkSign: process(changeSign, flipPhase, shiftPhase, quarterSine) + begin + if (changeSign xor (flipPhase and shiftPhase)) = '0' then + sine <= quarterSine; + else + sine <= 0 - quarterSine; + end if; + end process checkSign; + +END ARCHITECTURE masterVersion; + diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sineTable_studentVersion.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sineTable_studentVersion.vhd new file mode 100644 index 0000000..3d6518d --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sineTable_studentVersion.vhd @@ -0,0 +1,27 @@ +ARCHITECTURE studentVersion OF sineTable IS + + signal phaseTableAddress : unsigned(tableAddressBitNb-1 downto 0); + signal quarterSine : signed(sine'range); + +BEGIN + + phaseTableAddress <= phase(phase'high-2 downto phase'high-2-tableAddressBitNb+1); + + quarterTable: process(phaseTableAddress) + begin + case to_integer(phaseTableAddress) is + when 0 => quarterSine <= to_signed(16#0000#, quarterSine'length); + when 1 => quarterSine <= to_signed(16#18F9#, quarterSine'length); + when 2 => quarterSine <= to_signed(16#30FB#, quarterSine'length); + when 3 => quarterSine <= to_signed(16#471C#, quarterSine'length); + when 4 => quarterSine <= to_signed(16#5A82#, quarterSine'length); + when 5 => quarterSine <= to_signed(16#6A6D#, quarterSine'length); + when 6 => quarterSine <= to_signed(16#7641#, quarterSine'length); + when 7 => quarterSine <= to_signed(16#7D89#, quarterSine'length); + when others => quarterSine <= (others => '-'); + end case; + end process quarterTable; + + sine <= (others => '0'); + +END ARCHITECTURE studentVersion; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sinegen_entity.vhg b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sinegen_entity.vhg new file mode 100644 index 0000000..5a4c177 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sinegen_entity.vhg @@ -0,0 +1,31 @@ +-- VHDL Entity SplineInterpolator.sineGen.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:40 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sineGen IS + GENERIC( + signalBitNb : positive := 16; + phaseBitNb : positive := 10 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sineGen ; + diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sinegen_struct.vhg b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sinegen_struct.vhg new file mode 100644 index 0000000..035490a --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sinegen_struct.vhg @@ -0,0 +1,307 @@ +-- +-- VHDL Architecture SplineInterpolator.sineGen.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:42:04 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY SplineInterpolator; +LIBRARY WaveformGenerator; + +ARCHITECTURE struct OF sineGen IS + + -- Architecture declarations + constant tableAddressBitNb : positive := 3; + constant sampleCountBitNb : positive := phaseBitNb-2-tableAddressBitNb; + constant coeffBitNb : positive := signalBitNb+4; + + -- Internal signal declarations + SIGNAL a : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL b : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL c : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL d : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_ulogic; + SIGNAL newPolynom : std_ulogic; + SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL sample1 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample2 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample3 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample4 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sineSamples : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sineSigned : signed(signalBitNb-1 DOWNTO 0); + + -- Implicit buffer signal declarations + SIGNAL sawtooth_internal : unsigned (signalBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT interpolatorCalculatePolynom + GENERIC ( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + restartPolynom : IN std_ulogic ; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorCoefficients + GENERIC ( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT ( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorShiftRegister + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + shiftSamples : IN std_ulogic ; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT interpolatorTrigger + GENERIC ( + counterBitNb : positive := 4 + ); + PORT ( + triggerOut : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT offsetToUnsigned + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT resizer + GENERIC ( + inputBitNb : positive := 16; + outputBitNb : positive := 16 + ); + PORT ( + resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0); + resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sineTable + GENERIC ( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT ( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothGen + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT sawtoothToSquare + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + square : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothToTriangle + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + triangle : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : interpolatorCalculatePolynom USE ENTITY SplineInterpolator.interpolatorCalculatePolynom; + FOR ALL : interpolatorCoefficients USE ENTITY SplineInterpolator.interpolatorCoefficients; + FOR ALL : interpolatorShiftRegister USE ENTITY SplineInterpolator.interpolatorShiftRegister; + FOR ALL : interpolatorTrigger USE ENTITY SplineInterpolator.interpolatorTrigger; + FOR ALL : offsetToUnsigned USE ENTITY SplineInterpolator.offsetToUnsigned; + FOR ALL : resizer USE ENTITY SplineInterpolator.resizer; + FOR ALL : sawtoothGen USE ENTITY WaveformGenerator.sawtoothGen; + FOR ALL : sawtoothToSquare USE ENTITY WaveformGenerator.sawtoothToSquare; + FOR ALL : sawtoothToTriangle USE ENTITY WaveformGenerator.sawtoothToTriangle; + FOR ALL : sineTable USE ENTITY SplineInterpolator.sineTable; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 2 eb2 + logic1 <= '1'; + + -- HDL Embedded Text Block 3 eb3 + logic0 <= '0'; + + + -- Instance port mappings. + I_spline : interpolatorCalculatePolynom + GENERIC MAP ( + signalBitNb => signalBitNb, + coeffBitNb => coeffBitNb, + oversamplingBitNb => sampleCountBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + restartPolynom => newPolynom, + d => d, + sampleOut => sineSigned, + c => c, + b => b, + a => a, + en => logic1 + ); + I_coeffs : interpolatorCoefficients + GENERIC MAP ( + bitNb => signalBitNb, + coeffBitNb => coeffBitNb + ) + PORT MAP ( + sample1 => sample1, + sample2 => sample2, + sample3 => sample3, + sample4 => sample4, + a => a, + b => b, + c => c, + d => d, + interpolateLinear => logic0 + ); + I_shReg : interpolatorShiftRegister + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + shiftSamples => newPolynom, + sampleIn => sineSamples, + sample1 => sample1, + sample2 => sample2, + sample3 => sample3, + sample4 => sample4 + ); + I_trig : interpolatorTrigger + GENERIC MAP ( + counterBitNb => sampleCountBitNb + ) + PORT MAP ( + triggerOut => newPolynom, + clock => clock, + reset => reset, + en => logic1 + ); + I_unsigned : offsetToUnsigned + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + unsignedOut => sine, + signedIn => sineSigned + ); + I_size : resizer + GENERIC MAP ( + inputBitNb => phaseBitNb, + outputBitNb => signalBitNb + ) + PORT MAP ( + resizeOut => sawtooth_internal, + resizeIn => phase + ); + I_sin : sineTable + GENERIC MAP ( + inputBitNb => phaseBitNb, + outputBitNb => signalBitNb, + tableAddressBitNb => tableAddressBitNb + ) + PORT MAP ( + sine => sineSamples, + phase => phase + ); + I_saw : sawtoothGen + GENERIC MAP ( + bitNb => phaseBitNb + ) + PORT MAP ( + sawtooth => phase, + clock => clock, + reset => reset, + step => step, + en => logic1 + ); + I_square : sawtoothToSquare + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + square => square, + sawtooth => sawtooth_internal + ); + I_tri : sawtoothToTriangle + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + triangle => triangle, + sawtooth => sawtooth_internal + ); + + -- Implicit buffered output assignments + sawtooth <= sawtooth_internal; + +END struct; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sinetable_entity.vhg b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sinetable_entity.vhg new file mode 100644 index 0000000..d3abaef --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hdl/sinetable_entity.vhg @@ -0,0 +1,27 @@ +-- VHDL Entity SplineInterpolator.sineTable.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:46 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sineTable IS + GENERIC( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sineTable ; + diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.cache.dat b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.cache.dat new file mode 100644 index 0000000000000000000000000000000000000000..d5f763433f8d945d11b5e5fdaf2aa9d44b25ad03 GIT binary patch literal 8272 zcmcgxPj4GV6yG{-<0etu#4XUYQG;6AM6^khQY3D65|dh_O|ebdBE)KKkL{J#yY22e zReGv8a^lVrA;gJ0CoV|v6}Z7S;J}^t#yjiTc=tJuCmG4>+1WSm_x{bBx3ik2%|F_% zELmpFHQd9cx>0X44cgqs{q;{)HNlJ2l5z^=e2w&3@E>*EI77=ucK&Jl@>f<->S^ zh5-DMx`#b%;ZXmM_uz6XitMmv)2y4!_Puz}Cd?Td^mYW)Ynx3!@3NNZfvI9)8~>_+ zy%B-+U9;6nz}x>z1>UlZ7dkcYsSY+a{lnFRb_=k;sXyPmt7++{(9iA^pA^f5YH?fN zf3!V@bJt`6wnS7t?FE(IG0@)o%=Jvi200o%Ie2hoFodTNU9T3OSNRjXKnsKESn+kA z6v6Ym4X{JJ4BEGVrb32DjMt?QPw)X+T$v9(j2J)$r|dt0i(7^6cN5`h+yAKu{{tDH zuXM4{EDF z2rO#rN&TwGc-I6P?W?XLxSR*ocD}{zHP3gub$_)c6*T8wmjGsVb9Zm+Gkv>M*eMqt z!+5m(#}vk~#?nIL8Z#9+%o-~}bX zg4MBkJUKEZZpl1Fsgn^Lf`3XK5` z?|~l*Y4XAWpC>SmZn}ev=3we1GJ3kk z;f8|7`(;k}rRr27FfCX3*7!GrG z(k?NmHhxT;F6rX%5AG" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "interpolatorCalculatePolynom" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@calculate@polynom/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorCalculatePolynom/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:14" +) +(vvPair +variable "unit" +value "interpolatorCalculatePolynom" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,24625,37000,25375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "38000,24400,41400,25600" +st "clock" +blo "38000,25400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,21000,11900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*77 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,26625,37000,27375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "38000,26400,41300,27600" +st "reset" +blo "38000,27400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,21000,12800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*78 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,18625,37000,19375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +font "courier,9,0" +) +xt "38000,18400,47100,19600" +st "restartPolynom" +blo "38000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,21000,13700" +st "restartPolynom : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "restartPolynom" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*79 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 140,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,16625,37000,17375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +font "courier,9,0" +) +xt "38000,16400,39300,17600" +st "d" +blo "38000,17400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,30500,14600" +st "d : IN signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*80 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 110,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,10625,53750,11375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,9,0" +) +xt "45800,10400,52000,11600" +st "sampleOut" +ju 2 +blo "52000,11400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,31000,15500" +st "sampleOut : OUT signed (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleOut" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*81 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 126,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,14625,37000,15375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "38000,14400,39300,15600" +st "c" +blo "38000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,30500,16400" +st "c : IN signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*82 (CptPort +uid 130,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,12625,37000,13375" +) +tg (CPTG +uid 132,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 133,0 +va (VaSet +font "courier,9,0" +) +xt "38000,12400,39300,13600" +st "b" +blo "38000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,30500,17300" +st "b : IN signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*83 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,10625,37000,11375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +font "courier,9,0" +) +xt "38000,10400,39300,11600" +st "a" +blo "38000,11400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17300,30500,18200" +st "a : IN signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +*84 (CptPort +uid 141,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 142,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,22625,37000,23375" +) +tg (CPTG +uid 143,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 144,0 +va (VaSet +font "courier,9,0" +) +xt "38000,22400,39900,23600" +st "en" +blo "38000,23400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 145,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18200,20000,19100" +st "en : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,7000,53000,30000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "37600,29800,47100,30700" +st "SplineInterpolator" +blo "37600,30500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "37600,30700,52100,31600" +st "interpolatorCalculatePolynom" +blo "37600,31400" +) +) +gi *85 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "37000,34000,53000,38500" +st "Generic Declarations + +signalBitNb positive 16 +coeffBitNb positive 16 +oversamplingBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "coeffBitNb" +type "positive" +value "16" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*86 (Grouping +uid 16,0 +optionalChildren [ +*87 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*92 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *97 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*99 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,37,1394,976" +viewArea "-1062,-1062,74600,50259" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *100 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *101 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,19100,2500,20000" +st "User:" +blo "0,19800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20000,2000,20000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 250,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/interpolator@coefficients/symbol.sb b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/interpolator@coefficients/symbol.sb new file mode 100644 index 0000000..b687437 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/interpolator@coefficients/symbol.sb @@ -0,0 +1,1933 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2009,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 220,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 8,0 +) +) +uid 221,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 222,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 223,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 7,0 +) +) +uid 224,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 225,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 226,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 227,0 +) +*9 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 228,0 +) +*10 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +uid 229,0 +) +*11 (RefLabelRowHdr +) +*12 (TitleRowHdr +) +*13 (FilterRowHdr +) +*14 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*15 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*16 (GroupColHdr +tm "GroupColHdrMgr" +) +*17 (NameColHdr +tm "NameColHdrMgr" +) +*18 (ModeColHdr +tm "ModeColHdrMgr" +) +*19 (TypeColHdr +tm "TypeColHdrMgr" +) +*20 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*21 (InitColHdr +tm "InitColHdrMgr" +) +*22 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 230,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 155,0 +optionalChildren [ +*25 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 158,0 +) +*26 (MRCItem +litem &12 +pos 1 +dimension 23 +uid 160,0 +) +*27 (MRCItem +litem &13 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*28 (MRCItem +litem &2 +pos 6 +dimension 20 +uid 181,0 +) +*29 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 182,0 +) +*30 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 183,0 +) +*31 (MRCItem +litem &5 +pos 7 +dimension 20 +uid 184,0 +) +*32 (MRCItem +litem &6 +pos 8 +dimension 20 +uid 185,0 +) +*33 (MRCItem +litem &7 +pos 2 +dimension 20 +uid 186,0 +) +*34 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 187,0 +) +*35 (MRCItem +litem &9 +pos 5 +dimension 20 +uid 188,0 +) +*36 (MRCItem +litem &10 +pos 4 +dimension 20 +uid 189,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*37 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 164,0 +) +*38 (MRCItem +litem &16 +pos 1 +dimension 50 +uid 168,0 +) +*39 (MRCItem +litem &17 +pos 2 +dimension 100 +uid 170,0 +) +*40 (MRCItem +litem &18 +pos 3 +dimension 50 +uid 172,0 +) +*41 (MRCItem +litem &19 +pos 4 +dimension 100 +uid 174,0 +) +*42 (MRCItem +litem &20 +pos 5 +dimension 100 +uid 176,0 +) +*43 (MRCItem +litem &21 +pos 6 +dimension 50 +uid 178,0 +) +*44 (MRCItem +litem &22 +pos 7 +dimension 80 +uid 180,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 154,0 +vaOverrides [ +] +) +] +) +uid 219,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 232,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +*57 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 215,0 +) +*58 (LogGeneric +generic (GiElement +name "coeffBitNb" +type "positive" +value "16" +) +uid 216,0 +) +] +) +pdm (PhysicalDM +uid 233,0 +optionalChildren [ +*59 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *60 (MRCItem +litem &45 +pos 2 +dimension 20 +) +uid 191,0 +optionalChildren [ +*61 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 194,0 +) +*62 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 196,0 +) +*63 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 198,0 +) +*64 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 217,0 +) +*65 (MRCItem +litem &58 +pos 1 +dimension 20 +uid 218,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 192,0 +optionalChildren [ +*66 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 200,0 +) +*67 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 204,0 +) +*68 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 206,0 +) +*69 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 208,0 +) +*70 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 210,0 +) +*71 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 212,0 +) +*72 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 214,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 190,0 +vaOverrides [ +] +) +] +) +uid 231,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@coefficients/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@coefficients/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@coefficients" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorCoefficients" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "interpolatorCoefficients" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "interpolatorCoefficients" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@coefficients/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorCoefficients/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:20" +) +(vvPair +variable "unit" +value "interpolatorCoefficients" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*73 (SymbolBody +uid 8,0 +optionalChildren [ +*74 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 145,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,14625,33000,15375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +font "courier,9,0" +) +xt "34000,14400,39000,15600" +st "sample1" +blo "34000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,29500,11900" +st "sample1 : IN signed (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*75 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 146,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,16625,33000,17375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,9,0" +) +xt "34000,16400,39000,17600" +st "sample2" +blo "34000,17400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,29500,12800" +st "sample2 : IN signed (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*76 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 147,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,18625,33000,19375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +font "courier,9,0" +) +xt "34000,18400,39000,19600" +st "sample3" +blo "34000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,29500,13700" +st "sample3 : IN signed (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*77 (CptPort +uid 119,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 148,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +) +tg (CPTG +uid 121,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 122,0 +va (VaSet +font "courier,9,0" +) +xt "34000,20400,39000,21600" +st "sample4" +blo "34000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 123,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,29500,14600" +st "sample4 : IN signed (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*78 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 126,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,14625,49750,15375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "46700,14400,48000,15600" +st "a" +ju 2 +blo "48000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,32000,15500" +st "a : OUT signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*79 (CptPort +uid 130,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,16625,49750,17375" +) +tg (CPTG +uid 132,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 133,0 +va (VaSet +font "courier,9,0" +) +xt "46700,16400,48000,17600" +st "b" +ju 2 +blo "48000,17400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,32000,16400" +st "b : OUT signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*80 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,20625,49750,21375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +font "courier,9,0" +) +xt "46700,20400,48000,21600" +st "d" +ju 2 +blo "48000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17300,32000,18200" +st "d : OUT signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 7,0 +) +) +) +*81 (CptPort +uid 140,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 141,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,18625,49750,19375" +) +tg (CPTG +uid 142,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 143,0 +va (VaSet +font "courier,9,0" +) +xt "46700,18400,48000,19600" +st "c" +ju 2 +blo "48000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 144,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,32000,17300" +st "c : OUT signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 8,0 +) +) +) +*82 (CptPort +uid 149,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 150,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,22625,33000,23375" +) +tg (CPTG +uid 151,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 152,0 +va (VaSet +font "courier,9,0" +) +xt "34000,22400,43900,23600" +st "interpolateLinear" +blo "34000,23400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 153,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18200,21500,19100" +st "interpolateLinear : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,11000,49000,27000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "33600,26800,43100,27700" +st "SplineInterpolator" +blo "33600,27500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "33600,27700,46100,28600" +st "interpolatorCoefficients" +blo "33600,28400" +) +) +gi *83 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "33000,30800,45500,34400" +st "Generic Declarations + +bitNb positive 16 +coeffBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +(GiElement +name "coeffBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*84 (Grouping +uid 16,0 +optionalChildren [ +*85 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*90 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *95 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*97 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,38,1394,1005" +viewArea "-1062,-1062,74600,52062" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *98 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *99 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,19100,2500,20000" +st "User:" +blo "0,19800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20000,2000,20000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 256,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/interpolator@shift@register/symbol.sb b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/interpolator@shift@register/symbol.sb new file mode 100644 index 0000000..afcf1da --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/interpolator@shift@register/symbol.sb @@ -0,0 +1,1846 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 188,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 189,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 190,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +uid 191,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 192,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 193,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 194,0 +) +*8 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +uid 195,0 +) +*9 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +uid 196,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 197,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 126,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 129,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 131,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 133,0 +) +*27 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 152,0 +) +*28 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 153,0 +) +*29 (MRCItem +litem &4 +pos 7 +dimension 20 +uid 154,0 +) +*30 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 155,0 +) +*31 (MRCItem +litem &6 +pos 5 +dimension 20 +uid 156,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 20 +uid 157,0 +) +*33 (MRCItem +litem &8 +pos 6 +dimension 20 +uid 158,0 +) +*34 (MRCItem +litem &9 +pos 4 +dimension 20 +uid 159,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 127,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 135,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 139,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 141,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 143,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 145,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 147,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 149,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 151,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 125,0 +vaOverrides [ +] +) +] +) +uid 187,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 199,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 185,0 +) +] +) +pdm (PhysicalDM +uid 200,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *57 (MRCItem +litem &43 +pos 1 +dimension 20 +) +uid 161,0 +optionalChildren [ +*58 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 164,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 166,0 +) +*60 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 168,0 +) +*61 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 186,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 162,0 +optionalChildren [ +*62 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 170,0 +) +*63 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 174,0 +) +*64 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 176,0 +) +*65 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 178,0 +) +*66 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 180,0 +) +*67 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 182,0 +) +*68 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 184,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 160,0 +vaOverrides [ +] +) +] +) +uid 198,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@shift@register/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@shift@register/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@shift@register" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorShiftRegister" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "interpolatorShiftRegister" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:24" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "interpolatorShiftRegister" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@shift@register/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorShiftRegister/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:24" +) +(vvPair +variable "unit" +value "interpolatorShiftRegister" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "36000,18400,39400,19600" +st "clock" +blo "36000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,20000,11900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,20625,35000,21375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "36000,20400,39300,21600" +st "reset" +blo "36000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,20000,12800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*72 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,14625,35000,15375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +font "courier,9,0" +) +xt "36000,14400,43900,15600" +st "shiftSamples" +blo "36000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,20000,13700" +st "shiftSamples : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*73 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,12625,35000,13375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +font "courier,9,0" +) +xt "36000,12400,41400,13600" +st "sampleIn" +blo "36000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,30000,14600" +st "sampleIn : IN signed (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*74 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,12625,51750,13375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +font "courier,9,0" +) +xt "45000,12400,50000,13600" +st "sample1" +ju 2 +blo "50000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,30000,15500" +st "sample1 : OUT signed (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*75 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 110,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,14625,51750,15375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,9,0" +) +xt "45000,14400,50000,15600" +st "sample2" +ju 2 +blo "50000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,30000,16400" +st "sample2 : OUT signed (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*76 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,16625,51750,17375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +font "courier,9,0" +) +xt "45000,16400,50000,17600" +st "sample3" +ju 2 +blo "50000,17400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,30000,17300" +st "sample3 : OUT signed (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*77 (CptPort +uid 119,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 120,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,18625,51750,19375" +) +tg (CPTG +uid 121,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 122,0 +va (VaSet +font "courier,9,0" +) +xt "45000,18400,50000,19600" +st "sample4" +ju 2 +blo "50000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 123,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17300,29000,18200" +st "sample4 : OUT signed (signalBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,9000,51000,23000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "35600,22800,45100,23700" +st "SplineInterpolator" +blo "35600,23500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "35600,23700,48600,24600" +st "interpolatorShiftRegister" +blo "35600,24400" +) +) +gi *78 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,26600,48000,29300" +st "Generic Declarations + +signalBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*79 (Grouping +uid 16,0 +optionalChildren [ +*80 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*85 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *90 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*92 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,28,1396,982" +viewArea "-1060,-1060,74589,51129" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *93 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *94 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,18200,2500,19100" +st "User:" +blo "0,18900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19100,2000,19100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 223,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/interpolator@trigger/symbol.sb b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/interpolator@trigger/symbol.sb new file mode 100644 index 0000000..5a5f514 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/interpolator@trigger/symbol.sb @@ -0,0 +1,1583 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 159,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 160,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 161,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 162,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 100,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 103,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 105,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 126,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 127,0 +) +*25 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 128,0 +) +*26 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 109,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 113,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 115,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 117,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 119,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 121,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 123,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "4" +) +uid 155,0 +) +] +) +pdm (PhysicalDM +uid 166,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 131,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 134,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 136,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 156,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 140,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 144,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 146,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 148,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 150,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 152,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@trigger/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@trigger/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@trigger" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorTrigger" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "interpolatorTrigger" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "interpolatorTrigger" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@trigger/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorTrigger/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:28" +) +(vvPair +variable "unit" +value "interpolatorTrigger" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,9625,48750,10375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "40400,9400,47000,10600" +st "triggerOut" +ju 2 +blo "47000,10400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,19000,11900" +st "triggerOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,36400,14600" +st "clock" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,19000,12800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,15625,32000,16375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "33000,15400,36300,16600" +st "reset" +blo "33000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,19000,13700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*65 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,9625,32000,10375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +font "courier,9,0" +) +xt "33000,9400,34900,10600" +st "en" +blo "33000,10400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,18000,14600" +st "en : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,6000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,42100,18700" +st "SplineInterpolator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,42600,19600" +st "interpolatorTrigger" +blo "32600,19400" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,21600,45000,24300" +st "Generic Declarations + +counterBitNb positive 4 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "4" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 16,0 +optionalChildren [ +*68 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*73 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1,43,1395,998" +viewArea "-1060,-1060,74589,51194" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14600,2500,15500" +st "User:" +blo "0,15300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 189,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/offset@to@unsigned/symbol.sb b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/offset@to@unsigned/symbol.sb new file mode 100644 index 0000000..af025f3 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/offset@to@unsigned/symbol.sb @@ -0,0 +1,1465 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 146,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 147,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 148,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 149,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 90,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 93,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 103,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 105,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 107,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 109,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 111,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 113,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 145,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 143,0 +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 119,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 122,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 124,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 144,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 132,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 134,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 136,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 138,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 150,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/offset@to@unsigned/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/offset@to@unsigned/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/offset@to@unsigned" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/offsetToUnsigned" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "offsetToUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:32" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "offsetToUnsigned" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/offset@to@unsigned/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/offsetToUnsigned/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:32" +) +(vvPair +variable "unit" +value "offsetToUnsigned" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "39200,13400,47000,14600" +st "unsignedOut" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,27500,11900" +st "unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*59 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,38100,14600" +st "signedIn" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,25500,12800" +st "signedIn : IN signed (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,42100,18700" +st "SplineInterpolator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,41100,19600" +st "offsetToUnsigned" +blo "32600,19400" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,21800,42500,24500" +st "Generic Declarations + +bitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*61 (Grouping +uid 16,0 +optionalChildren [ +*62 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*64 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*67 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *72 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*74 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "1,33,1390,980" +viewArea "-1067,-1067,74651,51029" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *75 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *76 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 175,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/resizer/symbol.sb b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/resizer/symbol.sb new file mode 100644 index 0000000..18ecf86 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/resizer/symbol.sb @@ -0,0 +1,1486 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 148,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "resizeIn" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 149,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "resizeOut" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 150,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 151,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 90,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 93,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 103,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 105,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 107,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 109,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 111,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 113,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 147,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "inputBitNb" +type "positive" +value "16" +) +uid 143,0 +) +*44 (LogGeneric +generic (GiElement +name "outputBitNb" +type "positive" +value "16" +) +uid 144,0 +) +] +) +pdm (PhysicalDM +uid 154,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 119,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 122,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 124,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*50 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 145,0 +) +*51 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 128,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 132,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 134,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 136,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 138,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 140,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 152,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/resizer/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/resizer/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/resizer" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/resizer" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "resizer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:36" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "resizer" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/resizer/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/resizer/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:36" +) +(vvPair +variable "unit" +value "resizer" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "41400,13400,47000,14600" +st "resizeOut" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,29500,11900" +st "resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "resizeOut" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,37800,14600" +st "resizeIn" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,28000,12800" +st "resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "resizeIn" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,42100,18700" +st "SplineInterpolator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,36100,19600" +st "resizer" +blo "32600,19400" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,21800,45000,25400" +st "Generic Declarations + +inputBitNb positive 16 +outputBitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "16" +) +(GiElement +name "outputBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*63 (Grouping +uid 16,0 +optionalChildren [ +*64 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*67 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*69 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *74 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*76 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2,37,1393,981" +viewArea "-1064,-1064,74593,50708" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 200,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/struct.bd b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/struct.bd new file mode 100644 index 0000000..9351e5b --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/struct.bd @@ -0,0 +1,7266 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_square" +duLibraryName "WaveformGenerator" +duName "sawtoothToSquare" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 2908,0 +) +(Instance +name "I_tri" +duLibraryName "WaveformGenerator" +duName "sawtoothToTriangle" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 2925,0 +) +(Instance +name "I_size" +duLibraryName "SplineInterpolator" +duName "resizer" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 3584,0 +) +(Instance +name "I_sin" +duLibraryName "SplineInterpolator" +duName "sineTable" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "tableAddressBitNb" +) +] +mwi 0 +uid 3601,0 +) +(Instance +name "I_saw" +duLibraryName "WaveformGenerator" +duName "sawtoothGen" +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 3673,0 +) +(Instance +name "I_trig" +duLibraryName "SplineInterpolator" +duName "interpolatorTrigger" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 3698,0 +) +(Instance +name "I_shReg" +duLibraryName "SplineInterpolator" +duName "interpolatorShiftRegister" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 3739,0 +) +(Instance +name "I_coeffs" +duLibraryName "SplineInterpolator" +duName "interpolatorCoefficients" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +mwi 0 +uid 3784,0 +) +(Instance +name "I_spline" +duLibraryName "SplineInterpolator" +duName "interpolatorCalculatePolynom" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 3829,0 +) +(Instance +name "I_unsigned" +duLibraryName "SplineInterpolator" +duName "offsetToUnsigned" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 3846,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds\\sine@gen\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds\\sine@gen\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds\\sine@gen" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds\\sineGen" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineGen" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:42:04" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "sineGen" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds\\sine@gen\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds\\sineGen\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:42:04" +) +(vvPair +variable "unit" +value "sineGen" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "1000,51625,2500,52375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "2500,52000,3000,52000" +pts [ +"2500,52000" +"3000,52000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-3800,51300,0,52700" +st "clock" +ju 2 +blo "0,52500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,7400,9300,8400" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "1000,53625,2500,54375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "2500,54000,3000,54000" +pts [ +"2500,54000" +"3000,54000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-4100,53300,0,54700" +st "reset" +ju 2 +blo "0,54500" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,8300,9300,9300" +st "reset : std_ulogic" +) +) +*5 (Grouping +uid 51,0 +optionalChildren [ +*6 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,98000,123000,99000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,98500,106200,98500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "123000,94000,127000,95000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "123200,94500,123200,94500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,96000,123000,97000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,96500,106200,96500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "102000,96000,106000,97000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "102200,96500,102200,96500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "123000,95000,143000,99000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "123200,95200,137300,96400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*11 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "127000,94000,143000,95000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "127200,94500,127200,94500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "102000,94000,123000,96000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "107350,94400,117650,95600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "102000,97000,106000,98000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "102200,97500,102200,97500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "102000,98000,106000,99000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "102200,98500,102200,98500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,97000,123000,98000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,97500,106200,97500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "102000,94000,143000,99000" +) +oxt "14000,66000,55000,71000" +) +*16 (Net +uid 412,0 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +declText (MLText +uid 413,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,10100,21100,11100" +st "sawtooth : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*17 (Net +uid 422,0 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 4,0 +) +declText (MLText +uid 423,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,12800,20400,13800" +st "triangle : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*18 (Net +uid 478,0 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 5,0 +) +declText (MLText +uid 479,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,11900,20700,12900" +st "square : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*19 (Net +uid 568,0 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +declText (MLText +uid 569,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,11000,20200,12000" +st "sine : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*20 (PortIoOut +uid 609,0 +shape (CompositeShape +uid 610,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 611,0 +sl 0 +ro 270 +xt "91500,17625,93000,18375" +) +(Line +uid 612,0 +sl 0 +ro 270 +xt "91000,18000,91500,18000" +pts [ +"91000,18000" +"91500,18000" +] +) +] +) +tg (WTG +uid 613,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 614,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,17300,120400,18700" +st "sawtooth : (signalBitNb-1 DOWNTO 0)" +blo "94000,18500" +tm "WireNameMgr" +) +) +) +*21 (PortIoOut +uid 615,0 +shape (CompositeShape +uid 616,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 617,0 +sl 0 +ro 270 +xt "91500,29625,93000,30375" +) +(Line +uid 618,0 +sl 0 +ro 270 +xt "91000,30000,91500,30000" +pts [ +"91000,30000" +"91500,30000" +] +) +] +) +tg (WTG +uid 619,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 620,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,29300,118800,30700" +st "square : (signalBitNb-1 DOWNTO 0)" +blo "94000,30500" +tm "WireNameMgr" +) +) +) +*22 (PortIoOut +uid 629,0 +shape (CompositeShape +uid 630,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 631,0 +sl 0 +ro 270 +xt "91500,45625,93000,46375" +) +(Line +uid 632,0 +sl 0 +ro 270 +xt "91000,46000,91500,46000" +pts [ +"91000,46000" +"91500,46000" +] +) +] +) +tg (WTG +uid 633,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 634,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,45300,119200,46700" +st "triangle : (signalBitNb-1 DOWNTO 0)" +blo "94000,46500" +tm "WireNameMgr" +) +) +) +*23 (PortIoOut +uid 649,0 +shape (CompositeShape +uid 650,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 651,0 +sl 0 +ro 270 +xt "139500,37625,141000,38375" +) +(Line +uid 652,0 +sl 0 +ro 270 +xt "139000,38000,139500,38000" +pts [ +"139000,38000" +"139500,38000" +] +) +] +) +tg (WTG +uid 653,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 654,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "142000,37300,165000,38700" +st "sine : (signalBitNb-1 DOWNTO 0)" +blo "142000,38500" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 726,0 +decl (Decl +n "phase" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 15 +suid 7,0 +) +declText (MLText +uid 727,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,24500,24000,25500" +st "SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*25 (Net +uid 779,0 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +declText (MLText +uid 780,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,9200,20400,10200" +st "step : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*26 (Net +uid 1102,0 +decl (Decl +n "sineSamples" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 20 +suid 9,0 +) +declText (MLText +uid 1103,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,29000,23700,30000" +st "SIGNAL sineSamples : signed(signalBitNb-1 DOWNTO 0)" +) +) +*27 (Net +uid 1277,0 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 16 +suid 10,0 +) +declText (MLText +uid 1278,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,25400,23300,26400" +st "SIGNAL sample1 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*28 (Net +uid 1285,0 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 17 +suid 11,0 +) +declText (MLText +uid 1286,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,26300,23300,27300" +st "SIGNAL sample2 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*29 (Net +uid 1293,0 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 18 +suid 12,0 +) +declText (MLText +uid 1294,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,27200,23300,28200" +st "SIGNAL sample3 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*30 (Net +uid 1301,0 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 19 +suid 13,0 +) +declText (MLText +uid 1302,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,28100,23300,29100" +st "SIGNAL sample4 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*31 (Net +uid 1658,0 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 14 +suid 14,0 +) +declText (MLText +uid 1659,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,23600,14200,24600" +st "SIGNAL newPolynom : std_ulogic" +) +) +*32 (Net +uid 1701,0 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 15,0 +) +declText (MLText +uid 1702,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,18200,21900,19200" +st "SIGNAL a : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*33 (Net +uid 1709,0 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 9 +suid 16,0 +) +declText (MLText +uid 1710,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,19100,21900,20100" +st "SIGNAL b : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*34 (Net +uid 1717,0 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 10 +suid 17,0 +) +declText (MLText +uid 1718,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,20000,21800,21000" +st "SIGNAL c : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*35 (Net +uid 1725,0 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 11 +suid 18,0 +) +declText (MLText +uid 1726,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,20900,21900,21900" +st "SIGNAL d : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*36 (Net +uid 2227,0 +decl (Decl +n "sineSigned" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 21 +suid 19,0 +) +declText (MLText +uid 2228,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,29900,23300,30900" +st "SIGNAL sineSigned : signed(signalBitNb-1 DOWNTO 0)" +) +) +*37 (HdlText +uid 2375,0 +optionalChildren [ +*38 (EmbeddedText +uid 2380,0 +commentText (CommentText +uid 2381,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2382,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "12000,79000,26000,81000" +) +oxt "0,0,18000,5000" +text (MLText +uid 2383,0 +va (VaSet +) +xt "12200,79200,20400,80400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 2376,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "11000,78000,27000,82000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2377,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 2378,0 +va (VaSet +) +xt "11400,82000,14000,83200" +st "eb2" +blo "11400,83000" +tm "HdlTextNameMgr" +) +*40 (Text +uid 2379,0 +va (VaSet +) +xt "11400,83000,12800,84200" +st "2" +blo "11400,84000" +tm "HdlTextNumberMgr" +) +] +) +) +*41 (Net +uid 2447,0 +decl (Decl +n "logic1" +t "std_ulogic" +o 13 +suid 20,0 +) +declText (MLText +uid 2448,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,22700,12500,23700" +st "SIGNAL logic1 : std_ulogic" +) +) +*42 (HdlText +uid 2562,0 +optionalChildren [ +*43 (EmbeddedText +uid 2567,0 +commentText (CommentText +uid 2568,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2569,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "68000,85000,82000,87000" +) +oxt "0,0,18000,5000" +text (MLText +uid 2570,0 +va (VaSet +) +xt "68200,85200,76400,86400" +st " +logic0 <= '0'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 2563,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "67000,84000,83000,88000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2564,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 2565,0 +va (VaSet +) +xt "67400,88000,70000,89200" +st "eb3" +blo "67400,89000" +tm "HdlTextNameMgr" +) +*45 (Text +uid 2566,0 +va (VaSet +) +xt "67400,89000,68800,90200" +st "3" +blo "67400,90000" +tm "HdlTextNumberMgr" +) +] +) +) +*46 (Net +uid 2579,0 +decl (Decl +n "logic0" +t "std_ulogic" +o 12 +suid 21,0 +) +declText (MLText +uid 2580,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,21800,12500,22800" +st "SIGNAL logic0 : std_ulogic" +) +) +*47 (PortIoIn +uid 2666,0 +shape (CompositeShape +uid 2667,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2668,0 +sl 0 +ro 270 +xt "1000,45625,2500,46375" +) +(Line +uid 2669,0 +sl 0 +ro 270 +xt "2500,46000,3000,46000" +pts [ +"2500,46000" +"3000,46000" +] +) +] +) +tg (WTG +uid 2670,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2671,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-23400,45300,0,46700" +st "step : (phaseBitNb-1 DOWNTO 0)" +ju 2 +blo "0,46500" +tm "WireNameMgr" +) +) +) +*48 (SaComponent +uid 2908,0 +optionalChildren [ +*49 (CptPort +uid 2900,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2901,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,29625,83750,30375" +) +tg (CPTG +uid 2902,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2903,0 +va (VaSet +) +xt "77900,29400,82000,30600" +st "square" +ju 2 +blo "82000,30400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*50 (CptPort +uid 2904,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2905,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,29625,67000,30375" +) +tg (CPTG +uid 2906,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2907,0 +va (VaSet +) +xt "68000,29400,73200,30600" +st "sawtooth" +blo "68000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 2909,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "67000,26000,83000,34000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 2910,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 2911,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,33800,79100,35000" +st "WaveformGenerator" +blo "67600,34800" +tm "BdLibraryNameMgr" +) +*52 (Text +uid 2912,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,35000,78200,36200" +st "sawtoothToSquare" +blo "67600,36000" +tm "CptNameMgr" +) +*53 (Text +uid 2913,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,36200,72600,37400" +st "I_square" +blo "67600,37200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2914,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2915,0 +text (MLText +uid 2916,0 +va (VaSet +font "Verdana,8,0" +) +xt "67000,37600,82600,38600" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*54 (SaComponent +uid 2925,0 +optionalChildren [ +*55 (CptPort +uid 2917,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2918,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,45625,83750,46375" +) +tg (CPTG +uid 2919,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2920,0 +va (VaSet +) +xt "77500,45400,82000,46600" +st "triangle" +ju 2 +blo "82000,46400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*56 (CptPort +uid 2921,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2922,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,45625,67000,46375" +) +tg (CPTG +uid 2923,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2924,0 +va (VaSet +) +xt "68000,45400,73200,46600" +st "sawtooth" +blo "68000,46400" +) +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 2926,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "67000,42000,83000,50000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 2927,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 2928,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,49800,79100,51000" +st "WaveformGenerator" +blo "67600,50800" +tm "BdLibraryNameMgr" +) +*58 (Text +uid 2929,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,51000,78800,52200" +st "sawtoothToTriangle" +blo "67600,52000" +tm "CptNameMgr" +) +*59 (Text +uid 2930,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,52200,70500,53400" +st "I_tri" +blo "67600,53200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2931,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2932,0 +text (MLText +uid 2933,0 +va (VaSet +font "Verdana,8,0" +) +xt "67000,53600,82600,54600" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*60 (SaComponent +uid 3584,0 +optionalChildren [ +*61 (CptPort +uid 3576,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3577,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,45625,51750,46375" +) +tg (CPTG +uid 3578,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3579,0 +va (VaSet +) +xt "44400,45400,50000,46600" +st "resizeOut" +ju 2 +blo "50000,46400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "resizeOut" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*62 (CptPort +uid 3580,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3581,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,45625,35000,46375" +) +tg (CPTG +uid 3582,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3583,0 +va (VaSet +) +xt "36000,45400,40800,46600" +st "resizeIn" +blo "36000,46400" +) +) +thePort (LogicalPort +decl (Decl +n "resizeIn" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 3585,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,42000,51000,50000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 3586,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +uid 3587,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,49800,46000,51000" +st "SplineInterpolator" +blo "35600,50800" +tm "BdLibraryNameMgr" +) +*64 (Text +uid 3588,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,50700,39500,51900" +st "resizer" +blo "35600,51700" +tm "CptNameMgr" +) +*65 (Text +uid 3589,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,51600,39200,52800" +st "I_size" +blo "35600,52600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3590,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3591,0 +text (MLText +uid 3592,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,53800,53800,55800" +st "inputBitNb = phaseBitNb ( positive ) +outputBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*66 (SaComponent +uid 3601,0 +optionalChildren [ +*67 (CptPort +uid 3593,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3594,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,61625,51750,62375" +) +tg (CPTG +uid 3595,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3596,0 +va (VaSet +) +xt "47200,61400,50000,62600" +st "sine" +ju 2 +blo "50000,62400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*68 (CptPort +uid 3597,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3598,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,61625,35000,62375" +) +tg (CPTG +uid 3599,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3600,0 +va (VaSet +) +xt "36000,61400,39700,62600" +st "phase" +blo "36000,62400" +) +) +thePort (LogicalPort +decl (Decl +n "phase" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 3602,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,58000,51000,66000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 3603,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +uid 3604,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,65800,46000,67000" +st "SplineInterpolator" +blo "35600,66800" +tm "BdLibraryNameMgr" +) +*70 (Text +uid 3605,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,66700,40900,67900" +st "sineTable" +blo "35600,67700" +tm "CptNameMgr" +) +*71 (Text +uid 3606,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,67600,38700,68800" +st "I_sin" +blo "35600,68600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3607,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3608,0 +text (MLText +uid 3609,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,70000,59000,73000" +st "inputBitNb = phaseBitNb ( positive ) +outputBitNb = signalBitNb ( positive ) +tableAddressBitNb = tableAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "tableAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*72 (SaComponent +uid 3673,0 +optionalChildren [ +*73 (CptPort +uid 3653,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3654,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,51625,11000,52375" +) +tg (CPTG +uid 3655,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3656,0 +va (VaSet +) +xt "12000,51400,15400,52600" +st "clock" +blo "12000,52400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*74 (CptPort +uid 3657,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3658,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27000,45625,27750,46375" +) +tg (CPTG +uid 3659,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3660,0 +va (VaSet +) +xt "20800,45400,26000,46600" +st "sawtooth" +ju 2 +blo "26000,46400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*75 (CptPort +uid 3661,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3662,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,53625,11000,54375" +) +tg (CPTG +uid 3663,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3664,0 +va (VaSet +) +xt "12000,53400,15300,54600" +st "reset" +blo "12000,54400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*76 (CptPort +uid 3665,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3666,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,45625,11000,46375" +) +tg (CPTG +uid 3667,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3668,0 +va (VaSet +) +xt "12000,45400,14900,46600" +st "step" +blo "12000,46400" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*77 (CptPort +uid 3669,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3670,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,49625,11000,50375" +) +tg (CPTG +uid 3671,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3672,0 +va (VaSet +) +xt "12000,49400,13900,50600" +st "en" +blo "12000,50400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 3674,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "11000,42000,27000,56000" +) +oxt "32000,8000,48000,22000" +ttg (MlTextGroup +uid 3675,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 3676,0 +va (VaSet +font "Verdana,9,1" +) +xt "11600,55800,23100,57000" +st "WaveformGenerator" +blo "11600,56800" +tm "BdLibraryNameMgr" +) +*79 (Text +uid 3677,0 +va (VaSet +font "Verdana,9,1" +) +xt "11600,56700,19500,57900" +st "sawtoothGen" +blo "11600,57700" +tm "CptNameMgr" +) +*80 (Text +uid 3678,0 +va (VaSet +font "Verdana,9,1" +) +xt "11600,57600,15300,58800" +st "I_saw" +blo "11600,58600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3679,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3680,0 +text (MLText +uid 3681,0 +va (VaSet +font "Verdana,8,0" +) +xt "11000,59600,26700,60600" +st "bitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*81 (SaComponent +uid 3698,0 +optionalChildren [ +*82 (CptPort +uid 3682,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3683,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,79625,51750,80375" +) +tg (CPTG +uid 3684,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3685,0 +va (VaSet +) +xt "43400,79400,50000,80600" +st "triggerOut" +ju 2 +blo "50000,80400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*83 (CptPort +uid 3686,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3687,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,83625,35000,84375" +) +tg (CPTG +uid 3688,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3689,0 +va (VaSet +) +xt "36000,83400,39400,84600" +st "clock" +blo "36000,84400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*84 (CptPort +uid 3690,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3691,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,85625,35000,86375" +) +tg (CPTG +uid 3692,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3693,0 +va (VaSet +) +xt "36000,85400,39300,86600" +st "reset" +blo "36000,86400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*85 (CptPort +uid 3694,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3695,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,79625,35000,80375" +) +tg (CPTG +uid 3696,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3697,0 +va (VaSet +) +xt "36000,79400,37900,80600" +st "en" +blo "36000,80400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 3699,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,76000,51000,88000" +) +oxt "32000,6000,48000,18000" +ttg (MlTextGroup +uid 3700,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 3701,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,87800,46000,89000" +st "SplineInterpolator" +blo "35600,88800" +tm "BdLibraryNameMgr" +) +*87 (Text +uid 3702,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,88700,46300,89900" +st "interpolatorTrigger" +blo "35600,89700" +tm "CptNameMgr" +) +*88 (Text +uid 3703,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,89600,39100,90800" +st "I_trig" +blo "35600,90600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3704,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3705,0 +text (MLText +uid 3706,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,91600,57100,92600" +st "counterBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*89 (SaComponent +uid 3739,0 +optionalChildren [ +*90 (CptPort +uid 3707,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3708,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,67625,67000,68375" +) +tg (CPTG +uid 3709,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3710,0 +va (VaSet +) +xt "68000,67400,71400,68600" +st "clock" +blo "68000,68400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*91 (CptPort +uid 3711,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3712,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,69625,67000,70375" +) +tg (CPTG +uid 3713,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3714,0 +va (VaSet +) +xt "68000,69400,71300,70600" +st "reset" +blo "68000,70400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*92 (CptPort +uid 3715,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3716,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,63625,67000,64375" +) +tg (CPTG +uid 3717,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3718,0 +va (VaSet +) +xt "68000,63400,75900,64600" +st "shiftSamples" +blo "68000,64400" +) +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*93 (CptPort +uid 3719,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3720,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,61625,67000,62375" +) +tg (CPTG +uid 3721,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3722,0 +va (VaSet +) +xt "68000,61400,73400,62600" +st "sampleIn" +blo "68000,62400" +) +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*94 (CptPort +uid 3723,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3724,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,61625,83750,62375" +) +tg (CPTG +uid 3725,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3726,0 +va (VaSet +) +xt "77000,61400,82000,62600" +st "sample1" +ju 2 +blo "82000,62400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*95 (CptPort +uid 3727,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3728,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,63625,83750,64375" +) +tg (CPTG +uid 3729,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3730,0 +va (VaSet +) +xt "77000,63400,82000,64600" +st "sample2" +ju 2 +blo "82000,64400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*96 (CptPort +uid 3731,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3732,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,65625,83750,66375" +) +tg (CPTG +uid 3733,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3734,0 +va (VaSet +) +xt "77000,65400,82000,66600" +st "sample3" +ju 2 +blo "82000,66400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*97 (CptPort +uid 3735,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3736,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,67625,83750,68375" +) +tg (CPTG +uid 3737,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3738,0 +va (VaSet +) +xt "77000,67400,82000,68600" +st "sample4" +ju 2 +blo "82000,68400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 3740,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "67000,58000,83000,72000" +) +oxt "35000,9000,51000,23000" +ttg (MlTextGroup +uid 3741,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +uid 3742,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,71800,78000,73000" +st "SplineInterpolator" +blo "67600,72800" +tm "BdLibraryNameMgr" +) +*99 (Text +uid 3743,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,72700,82100,73900" +st "interpolatorShiftRegister" +blo "67600,73700" +tm "CptNameMgr" +) +*100 (Text +uid 3744,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,73600,72300,74800" +st "I_shReg" +blo "67600,74600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3745,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3746,0 +text (MLText +uid 3747,0 +va (VaSet +font "Verdana,8,0" +) +xt "67000,75600,85400,76600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*101 (SaComponent +uid 3784,0 +optionalChildren [ +*102 (CptPort +uid 3748,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3749,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "90250,61625,91000,62375" +) +tg (CPTG +uid 3750,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3751,0 +va (VaSet +) +xt "92000,61400,97000,62600" +st "sample1" +blo "92000,62400" +) +) +thePort (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*103 (CptPort +uid 3752,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3753,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "90250,63625,91000,64375" +) +tg (CPTG +uid 3754,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3755,0 +va (VaSet +) +xt "92000,63400,97000,64600" +st "sample2" +blo "92000,64400" +) +) +thePort (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*104 (CptPort +uid 3756,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3757,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "90250,65625,91000,66375" +) +tg (CPTG +uid 3758,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3759,0 +va (VaSet +) +xt "92000,65400,97000,66600" +st "sample3" +blo "92000,66400" +) +) +thePort (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*105 (CptPort +uid 3760,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3761,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "90250,67625,91000,68375" +) +tg (CPTG +uid 3762,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3763,0 +va (VaSet +) +xt "92000,67400,97000,68600" +st "sample4" +blo "92000,68400" +) +) +thePort (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*106 (CptPort +uid 3764,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3765,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "107000,61625,107750,62375" +) +tg (CPTG +uid 3766,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3767,0 +va (VaSet +) +xt "104700,61400,106000,62600" +st "a" +ju 2 +blo "106000,62400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*107 (CptPort +uid 3768,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3769,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "107000,63625,107750,64375" +) +tg (CPTG +uid 3770,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3771,0 +va (VaSet +) +xt "104700,63400,106000,64600" +st "b" +ju 2 +blo "106000,64400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*108 (CptPort +uid 3772,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3773,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "107000,67625,107750,68375" +) +tg (CPTG +uid 3774,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3775,0 +va (VaSet +) +xt "104700,67400,106000,68600" +st "d" +ju 2 +blo "106000,68400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 7,0 +) +) +) +*109 (CptPort +uid 3776,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3777,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "107000,65625,107750,66375" +) +tg (CPTG +uid 3778,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3779,0 +va (VaSet +) +xt "104700,65400,106000,66600" +st "c" +ju 2 +blo "106000,66400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 8,0 +) +) +) +*110 (CptPort +uid 3780,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3781,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "90250,69625,91000,70375" +) +tg (CPTG +uid 3782,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3783,0 +va (VaSet +) +xt "92000,69400,101900,70600" +st "interpolateLinear" +blo "92000,70400" +) +) +thePort (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 3785,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "91000,58000,107000,74000" +) +oxt "33000,11000,49000,27000" +ttg (MlTextGroup +uid 3786,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +uid 3787,0 +va (VaSet +font "Verdana,9,1" +) +xt "91600,73800,102000,75000" +st "SplineInterpolator" +blo "91600,74800" +tm "BdLibraryNameMgr" +) +*112 (Text +uid 3788,0 +va (VaSet +font "Verdana,9,1" +) +xt "91600,74700,105500,75900" +st "interpolatorCoefficients" +blo "91600,75700" +tm "CptNameMgr" +) +*113 (Text +uid 3789,0 +va (VaSet +font "Verdana,9,1" +) +xt "91600,75600,96500,76800" +st "I_coeffs" +blo "91600,76600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3790,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3791,0 +text (MLText +uid 3792,0 +va (VaSet +font "Verdana,8,0" +) +xt "91000,77800,109100,79800" +st "bitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*114 (SaComponent +uid 3829,0 +optionalChildren [ +*115 (CptPort +uid 3793,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3794,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,75625,115000,76375" +) +tg (CPTG +uid 3795,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3796,0 +va (VaSet +) +xt "116000,75400,119400,76600" +st "clock" +blo "116000,76400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*116 (CptPort +uid 3797,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3798,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,77625,115000,78375" +) +tg (CPTG +uid 3799,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3800,0 +va (VaSet +) +xt "116000,77400,119300,78600" +st "reset" +blo "116000,78400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*117 (CptPort +uid 3801,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3802,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,69625,115000,70375" +) +tg (CPTG +uid 3803,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3804,0 +va (VaSet +) +xt "116000,69400,125100,70600" +st "restartPolynom" +blo "116000,70400" +) +) +thePort (LogicalPort +decl (Decl +n "restartPolynom" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*118 (CptPort +uid 3805,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3806,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,67625,115000,68375" +) +tg (CPTG +uid 3807,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3808,0 +va (VaSet +) +xt "116000,67400,117300,68600" +st "d" +blo "116000,68400" +) +) +thePort (LogicalPort +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*119 (CptPort +uid 3809,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3810,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "131000,61625,131750,62375" +) +tg (CPTG +uid 3811,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3812,0 +va (VaSet +) +xt "123800,61400,130000,62600" +st "sampleOut" +ju 2 +blo "130000,62400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleOut" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*120 (CptPort +uid 3813,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3814,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,65625,115000,66375" +) +tg (CPTG +uid 3815,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3816,0 +va (VaSet +) +xt "116000,65400,117300,66600" +st "c" +blo "116000,66400" +) +) +thePort (LogicalPort +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*121 (CptPort +uid 3817,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3818,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,63625,115000,64375" +) +tg (CPTG +uid 3819,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3820,0 +va (VaSet +) +xt "116000,63400,117300,64600" +st "b" +blo "116000,64400" +) +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*122 (CptPort +uid 3821,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3822,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,61625,115000,62375" +) +tg (CPTG +uid 3823,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3824,0 +va (VaSet +) +xt "116000,61400,117300,62600" +st "a" +blo "116000,62400" +) +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +*123 (CptPort +uid 3825,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3826,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,73625,115000,74375" +) +tg (CPTG +uid 3827,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3828,0 +va (VaSet +) +xt "116000,73400,117900,74600" +st "en" +blo "116000,74400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 3830,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "115000,58000,131000,81000" +) +oxt "37000,7000,53000,30000" +ttg (MlTextGroup +uid 3831,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +uid 3832,0 +va (VaSet +font "Verdana,9,1" +) +xt "115600,80800,126000,82000" +st "SplineInterpolator" +blo "115600,81800" +tm "BdLibraryNameMgr" +) +*125 (Text +uid 3833,0 +va (VaSet +font "Verdana,9,1" +) +xt "115600,81700,132300,82900" +st "interpolatorCalculatePolynom" +blo "115600,82700" +tm "CptNameMgr" +) +*126 (Text +uid 3834,0 +va (VaSet +font "Verdana,9,1" +) +xt "115600,82600,120200,83800" +st "I_spline" +blo "115600,83600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3835,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3836,0 +text (MLText +uid 3837,0 +va (VaSet +font "Verdana,8,0" +) +xt "115000,85000,139500,88000" +st "signalBitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) +oversamplingBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*127 (SaComponent +uid 3846,0 +optionalChildren [ +*128 (CptPort +uid 3838,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3839,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "131000,37625,131750,38375" +) +tg (CPTG +uid 3840,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3841,0 +va (VaSet +) +xt "122200,37400,130000,38600" +st "unsignedOut" +ju 2 +blo "130000,38400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*129 (CptPort +uid 3842,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3843,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,37625,115000,38375" +) +tg (CPTG +uid 3844,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3845,0 +va (VaSet +) +xt "116000,37400,121100,38600" +st "signedIn" +blo "116000,38400" +) +) +thePort (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 3847,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "115000,34000,131000,42000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 3848,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*130 (Text +uid 3849,0 +va (VaSet +font "Verdana,9,1" +) +xt "115600,41800,126000,43000" +st "SplineInterpolator" +blo "115600,42800" +tm "BdLibraryNameMgr" +) +*131 (Text +uid 3850,0 +va (VaSet +font "Verdana,9,1" +) +xt "115600,42700,125700,43900" +st "offsetToUnsigned" +blo "115600,43700" +tm "CptNameMgr" +) +*132 (Text +uid 3851,0 +va (VaSet +font "Verdana,9,1" +) +xt "115600,43600,122300,44800" +st "I_unsigned" +blo "115600,44600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3852,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3853,0 +text (MLText +uid 3854,0 +va (VaSet +font "Verdana,8,0" +) +xt "115000,45800,130600,46800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*133 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "3000,52000,10250,52000" +pts [ +"3000,52000" +"10250,52000" +] +) +start &1 +end &73 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,50600,6800,52000" +st "clock" +blo "3000,51800" +tm "WireNameMgr" +) +) +on &2 +) +*134 (Wire +uid 237,0 +shape (OrthoPolyLine +uid 238,0 +va (VaSet +vasetType 3 +) +xt "3000,54000,10250,54000" +pts [ +"3000,54000" +"10250,54000" +] +) +start &3 +end &75 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 243,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 244,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,52600,7100,54000" +st "reset" +blo "3000,53800" +tm "WireNameMgr" +) +) +on &4 +) +*135 (Wire +uid 414,0 +optionalChildren [ +*136 (BdJunction +uid 476,0 +ps "OnConnectorStrategy" +shape (Circle +uid 477,0 +va (VaSet +vasetType 1 +) +xt "30600,45600,31400,46400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 415,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "27750,46000,34250,46000" +pts [ +"27750,46000" +"34250,46000" +] +) +start &74 +end &62 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 416,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 417,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,44600,32700,46000" +st "phase" +blo "28000,45800" +tm "WireNameMgr" +) +) +on &24 +) +*137 (Wire +uid 424,0 +shape (OrthoPolyLine +uid 425,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83750,46000,91000,46000" +pts [ +"83750,46000" +"91000,46000" +] +) +start &55 +end &22 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 428,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 429,0 +va (VaSet +font "Verdana,12,0" +) +xt "87000,44600,92600,46000" +st "triangle" +blo "87000,45800" +tm "WireNameMgr" +) +) +on &17 +) +*138 (Wire +uid 472,0 +shape (OrthoPolyLine +uid 473,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "31000,46000,34250,62000" +pts [ +"31000,46000" +"31000,62000" +"34250,62000" +] +) +start &136 +end &68 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 474,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 475,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "29000,43600,33700,45000" +st "phase" +blo "29000,44800" +tm "WireNameMgr" +) +) +on &24 +) +*139 (Wire +uid 480,0 +shape (OrthoPolyLine +uid 481,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83750,30000,91000,30000" +pts [ +"83750,30000" +"91000,30000" +] +) +start &49 +end &21 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 484,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 485,0 +va (VaSet +font "Verdana,12,0" +) +xt "87000,28600,92200,30000" +st "square" +blo "87000,29800" +tm "WireNameMgr" +) +) +on &18 +) +*140 (Wire +uid 562,0 +shape (OrthoPolyLine +uid 563,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "131750,38000,139000,38000" +pts [ +"131750,38000" +"139000,38000" +] +) +start &128 +end &23 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 566,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 567,0 +va (VaSet +font "Verdana,12,0" +) +xt "135000,36600,138400,38000" +st "sine" +blo "135000,37800" +tm "WireNameMgr" +) +) +on &19 +) +*141 (Wire +uid 601,0 +optionalChildren [ +*142 (BdJunction +uid 862,0 +ps "OnConnectorStrategy" +shape (Circle +uid 863,0 +va (VaSet +vasetType 1 +) +xt "58600,45600,59400,46400" +radius 400 +) +) +*143 (BdJunction +uid 891,0 +ps "OnConnectorStrategy" +shape (Circle +uid 892,0 +va (VaSet +vasetType 1 +) +xt "58600,29600,59400,30400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 602,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,18000,91000,46000" +pts [ +"51750,46000" +"59000,46000" +"59000,18000" +"91000,18000" +] +) +start &61 +end &20 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 605,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 606,0 +va (VaSet +font "Verdana,12,0" +) +xt "85000,16600,91800,18000" +st "sawtooth" +blo "85000,17800" +tm "WireNameMgr" +) +) +on &16 +) +*144 (Wire +uid 781,0 +shape (OrthoPolyLine +uid 782,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "3000,46000,10250,46000" +pts [ +"10250,46000" +"3000,46000" +] +) +start &76 +end &47 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 785,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 786,0 +va (VaSet +font "Verdana,12,0" +) +xt "7000,43600,10600,45000" +st "step" +blo "7000,44800" +tm "WireNameMgr" +) +) +on &25 +) +*145 (Wire +uid 858,0 +shape (OrthoPolyLine +uid 859,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,46000,66250,46000" +pts [ +"59000,46000" +"66250,46000" +] +) +start &142 +end &56 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 860,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 861,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "55250,60600,62050,62000" +st "sawtooth" +blo "55250,61800" +tm "WireNameMgr" +) +) +on &16 +) +*146 (Wire +uid 887,0 +shape (OrthoPolyLine +uid 888,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,30000,66250,30000" +pts [ +"59000,30000" +"66250,30000" +] +) +start &143 +end &50 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 889,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 890,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "55250,28600,62050,30000" +st "sawtooth" +blo "55250,29800" +tm "WireNameMgr" +) +) +on &16 +) +*147 (Wire +uid 985,0 +shape (OrthoPolyLine +uid 986,0 +va (VaSet +vasetType 3 +) +xt "31000,84000,34250,84000" +pts [ +"31000,84000" +"34250,84000" +] +) +end &83 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 991,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 992,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,82600,33800,84000" +st "clock" +blo "30000,83800" +tm "WireNameMgr" +) +) +on &2 +) +*148 (Wire +uid 993,0 +shape (OrthoPolyLine +uid 994,0 +va (VaSet +vasetType 3 +) +xt "31000,86000,34250,86000" +pts [ +"31000,86000" +"34250,86000" +] +) +end &84 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 999,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1000,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,84600,34100,86000" +st "reset" +blo "30000,85800" +tm "WireNameMgr" +) +) +on &4 +) +*149 (Wire +uid 1096,0 +shape (OrthoPolyLine +uid 1097,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,62000,66250,62000" +pts [ +"51750,62000" +"66250,62000" +] +) +start &67 +end &93 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1100,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1101,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,60600,64500,62000" +st "sineSamples" +blo "55000,61800" +tm "WireNameMgr" +) +) +on &26 +) +*150 (Wire +uid 1106,0 +optionalChildren [ +*151 (BdJunction +uid 1834,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1835,0 +va (VaSet +vasetType 1 +) +xt "58600,79600,59400,80400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1107,0 +va (VaSet +vasetType 3 +) +xt "51750,64000,66250,80000" +pts [ +"51750,80000" +"59000,80000" +"59000,64000" +"66250,64000" +] +) +start &82 +end &92 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1110,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1111,0 +va (VaSet +font "Verdana,12,0" +) +xt "54000,78600,63600,80000" +st "newPolynom" +blo "54000,79800" +tm "WireNameMgr" +) +) +on &31 +) +*152 (Wire +uid 1220,0 +shape (OrthoPolyLine +uid 1221,0 +va (VaSet +vasetType 3 +) +xt "63000,70000,66250,70000" +pts [ +"63000,70000" +"66250,70000" +] +) +end &91 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1226,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1227,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,68600,66100,70000" +st "reset" +blo "62000,69800" +tm "WireNameMgr" +) +) +on &4 +) +*153 (Wire +uid 1228,0 +shape (OrthoPolyLine +uid 1229,0 +va (VaSet +vasetType 3 +) +xt "63000,68000,66250,68000" +pts [ +"63000,68000" +"66250,68000" +] +) +end &90 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1234,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1235,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,66600,65800,68000" +st "clock" +blo "62000,67800" +tm "WireNameMgr" +) +) +on &2 +) +*154 (Wire +uid 1279,0 +shape (OrthoPolyLine +uid 1280,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83750,62000,90250,62000" +pts [ +"83750,62000" +"90250,62000" +] +) +start &94 +end &102 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1283,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1284,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,60600,90100,62000" +st "sample1" +blo "84000,61800" +tm "WireNameMgr" +) +) +on &27 +) +*155 (Wire +uid 1287,0 +shape (OrthoPolyLine +uid 1288,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83750,64000,90250,64000" +pts [ +"83750,64000" +"90250,64000" +] +) +start &95 +end &103 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1291,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1292,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,62600,90100,64000" +st "sample2" +blo "84000,63800" +tm "WireNameMgr" +) +) +on &28 +) +*156 (Wire +uid 1295,0 +shape (OrthoPolyLine +uid 1296,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83750,66000,90250,66000" +pts [ +"83750,66000" +"90250,66000" +] +) +start &96 +end &104 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1299,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1300,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,64600,90100,66000" +st "sample3" +blo "84000,65800" +tm "WireNameMgr" +) +) +on &29 +) +*157 (Wire +uid 1303,0 +shape (OrthoPolyLine +uid 1304,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83750,68000,90250,68000" +pts [ +"83750,68000" +"90250,68000" +] +) +start &97 +end &105 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1307,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1308,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,66600,90100,68000" +st "sample4" +blo "84000,67800" +tm "WireNameMgr" +) +) +on &30 +) +*158 (Wire +uid 1703,0 +shape (OrthoPolyLine +uid 1704,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107750,62000,114250,62000" +pts [ +"107750,62000" +"114250,62000" +] +) +start &106 +end &122 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1707,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1708,0 +va (VaSet +font "Verdana,12,0" +) +xt "109750,60600,111350,62000" +st "a" +blo "109750,61800" +tm "WireNameMgr" +) +) +on &32 +) +*159 (Wire +uid 1711,0 +shape (OrthoPolyLine +uid 1712,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107750,64000,114250,64000" +pts [ +"107750,64000" +"114250,64000" +] +) +start &107 +end &121 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1715,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1716,0 +va (VaSet +font "Verdana,12,0" +) +xt "109750,62600,111350,64000" +st "b" +blo "109750,63800" +tm "WireNameMgr" +) +) +on &33 +) +*160 (Wire +uid 1719,0 +shape (OrthoPolyLine +uid 1720,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107750,66000,114250,66000" +pts [ +"107750,66000" +"114250,66000" +] +) +start &109 +end &120 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1723,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1724,0 +va (VaSet +font "Verdana,12,0" +) +xt "109750,64600,111150,66000" +st "c" +blo "109750,65800" +tm "WireNameMgr" +) +) +on &34 +) +*161 (Wire +uid 1727,0 +shape (OrthoPolyLine +uid 1728,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107750,68000,114250,68000" +pts [ +"107750,68000" +"114250,68000" +] +) +start &108 +end &118 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1731,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1732,0 +va (VaSet +font "Verdana,12,0" +) +xt "109750,66600,111350,68000" +st "d" +blo "109750,67800" +tm "WireNameMgr" +) +) +on &35 +) +*162 (Wire +uid 1814,0 +shape (OrthoPolyLine +uid 1815,0 +va (VaSet +vasetType 3 +) +xt "111000,76000,114250,76000" +pts [ +"111000,76000" +"114250,76000" +] +) +end &115 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1820,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1821,0 +va (VaSet +font "Verdana,12,0" +) +xt "110000,74600,113800,76000" +st "clock" +blo "110000,75800" +tm "WireNameMgr" +) +) +on &2 +) +*163 (Wire +uid 1822,0 +shape (OrthoPolyLine +uid 1823,0 +va (VaSet +vasetType 3 +) +xt "111000,78000,114250,78000" +pts [ +"111000,78000" +"114250,78000" +] +) +end &116 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1828,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1829,0 +va (VaSet +font "Verdana,12,0" +) +xt "110000,76600,114100,78000" +st "reset" +blo "110000,77800" +tm "WireNameMgr" +) +) +on &4 +) +*164 (Wire +uid 1830,0 +shape (OrthoPolyLine +uid 1831,0 +va (VaSet +vasetType 3 +) +xt "59000,70000,114250,80000" +pts [ +"59000,80000" +"109000,80000" +"109000,70000" +"114250,70000" +] +) +start &151 +end &117 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1832,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1833,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "71000,80600,80600,82000" +st "newPolynom" +blo "71000,81800" +tm "WireNameMgr" +) +) +on &31 +) +*165 (Wire +uid 2219,0 +shape (OrthoPolyLine +uid 2220,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107000,38000,139000,62000" +pts [ +"131750,62000" +"139000,62000" +"139000,50000" +"107000,50000" +"107000,38000" +"114250,38000" +] +) +start &119 +end &129 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2225,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2226,0 +va (VaSet +font "Verdana,12,0" +) +xt "133000,60600,141500,62000" +st "sineSigned" +blo "133000,61800" +tm "WireNameMgr" +) +) +on &36 +) +*166 (Wire +uid 2386,0 +shape (OrthoPolyLine +uid 2387,0 +va (VaSet +vasetType 3 +) +xt "27000,80000,34250,80000" +pts [ +"34250,80000" +"27000,80000" +] +) +start &85 +end &37 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2390,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2391,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,78600,34400,80000" +st "logic1" +blo "30000,79800" +tm "WireNameMgr" +) +) +on &41 +) +*167 (Wire +uid 2394,0 +shape (OrthoPolyLine +uid 2395,0 +va (VaSet +vasetType 3 +) +xt "111000,74000,114250,74000" +pts [ +"114250,74000" +"111000,74000" +] +) +start &123 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2398,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2399,0 +va (VaSet +font "Verdana,12,0" +) +xt "110000,72600,114400,74000" +st "logic1" +blo "110000,73800" +tm "WireNameMgr" +) +) +on &41 +) +*168 (Wire +uid 2449,0 +shape (OrthoPolyLine +uid 2450,0 +va (VaSet +vasetType 3 +) +xt "3000,50000,10250,50000" +pts [ +"10250,50000" +"3000,50000" +] +) +start &77 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2455,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2456,0 +va (VaSet +font "Verdana,12,0" +) +xt "6000,48600,10400,50000" +st "logic1" +blo "6000,49800" +tm "WireNameMgr" +) +) +on &41 +) +*169 (Wire +uid 2571,0 +shape (OrthoPolyLine +uid 2572,0 +va (VaSet +vasetType 3 +) +xt "83000,70000,90250,86000" +pts [ +"90250,70000" +"87000,70000" +"87000,86000" +"83000,86000" +] +) +start &110 +end &42 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2578,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,84600,88400,86000" +st "logic0" +blo "84000,85800" +tm "WireNameMgr" +) +) +on &46 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *170 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*171 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,0,3900,1000" +st "Package List" +blo "-3000,800" +) +*172 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*173 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*174 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*175 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*176 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*177 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*178 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*179 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-5150,-2146,185748,101574" +cachedDiagramExtent "-21700,0,164400,99000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 50 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 3983,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*180 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*181 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*182 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*183 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*184 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*185 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*186 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*187 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*188 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*189 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*190 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*191 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*192 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*193 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*194 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*195 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*196 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*197 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*198 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*199 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*200 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,5600,4000,6600" +st "Declarations" +blo "-3000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,6500,400,7500" +st "Ports:" +blo "-3000,7300" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,13700,1800,14700" +st "Pre User:" +blo "-3000,14500" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,14600,31300,17600" +st "constant tableAddressBitNb : positive := 3; +constant sampleCountBitNb : positive := phaseBitNb-2-tableAddressBitNb; +constant coeffBitNb : positive := signalBitNb+4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,17300,6000,18300" +st "Diagram Signals:" +blo "-3000,18100" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-3000,5600,3000,6600" +st "Post User:" +blo "-3000,6400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-3000,5600,-3000,5600" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 22,0 +usingSuid 1 +emptyRow *201 (LEmptyRow +) +uid 2778,0 +optionalChildren [ +*202 (RefLabelRowHdr +) +*203 (TitleRowHdr +) +*204 (FilterRowHdr +) +*205 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*206 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*207 (GroupColHdr +tm "GroupColHdrMgr" +) +*208 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*209 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*210 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*211 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*212 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*213 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*214 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 2733,0 +) +*215 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 2735,0 +) +*216 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 2737,0 +) +*217 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +uid 2739,0 +) +*218 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 5,0 +) +) +uid 2741,0 +) +*219 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 2743,0 +) +*220 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "phase" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 15 +suid 7,0 +) +) +uid 2745,0 +) +*221 (LeafLogPort +port (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +uid 2747,0 +) +*222 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sineSamples" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 20 +suid 9,0 +) +) +uid 2749,0 +) +*223 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 16 +suid 10,0 +) +) +uid 2751,0 +) +*224 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 17 +suid 11,0 +) +) +uid 2753,0 +) +*225 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 18 +suid 12,0 +) +) +uid 2755,0 +) +*226 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 19 +suid 13,0 +) +) +uid 2757,0 +) +*227 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 14 +suid 14,0 +) +) +uid 2759,0 +) +*228 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 15,0 +) +) +uid 2761,0 +) +*229 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 9 +suid 16,0 +) +) +uid 2763,0 +) +*230 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 10 +suid 17,0 +) +) +uid 2765,0 +) +*231 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 11 +suid 18,0 +) +) +uid 2767,0 +) +*232 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sineSigned" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 21 +suid 19,0 +) +) +uid 2769,0 +) +*233 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_ulogic" +o 13 +suid 20,0 +) +) +uid 2771,0 +) +*234 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic0" +t "std_ulogic" +o 12 +suid 21,0 +) +) +uid 2773,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 2791,0 +optionalChildren [ +*235 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *236 (MRCItem +litem &201 +pos 21 +dimension 20 +) +uid 2793,0 +optionalChildren [ +*237 (MRCItem +litem &202 +pos 0 +dimension 20 +uid 2794,0 +) +*238 (MRCItem +litem &203 +pos 1 +dimension 23 +uid 2795,0 +) +*239 (MRCItem +litem &204 +pos 2 +hidden 1 +dimension 20 +uid 2796,0 +) +*240 (MRCItem +litem &214 +pos 0 +dimension 20 +uid 2734,0 +) +*241 (MRCItem +litem &215 +pos 1 +dimension 20 +uid 2736,0 +) +*242 (MRCItem +litem &216 +pos 2 +dimension 20 +uid 2738,0 +) +*243 (MRCItem +litem &217 +pos 3 +dimension 20 +uid 2740,0 +) +*244 (MRCItem +litem &218 +pos 4 +dimension 20 +uid 2742,0 +) +*245 (MRCItem +litem &219 +pos 5 +dimension 20 +uid 2744,0 +) +*246 (MRCItem +litem &220 +pos 7 +dimension 20 +uid 2746,0 +) +*247 (MRCItem +litem &221 +pos 6 +dimension 20 +uid 2748,0 +) +*248 (MRCItem +litem &222 +pos 8 +dimension 20 +uid 2750,0 +) +*249 (MRCItem +litem &223 +pos 9 +dimension 20 +uid 2752,0 +) +*250 (MRCItem +litem &224 +pos 10 +dimension 20 +uid 2754,0 +) +*251 (MRCItem +litem &225 +pos 11 +dimension 20 +uid 2756,0 +) +*252 (MRCItem +litem &226 +pos 12 +dimension 20 +uid 2758,0 +) +*253 (MRCItem +litem &227 +pos 13 +dimension 20 +uid 2760,0 +) +*254 (MRCItem +litem &228 +pos 14 +dimension 20 +uid 2762,0 +) +*255 (MRCItem +litem &229 +pos 15 +dimension 20 +uid 2764,0 +) +*256 (MRCItem +litem &230 +pos 16 +dimension 20 +uid 2766,0 +) +*257 (MRCItem +litem &231 +pos 17 +dimension 20 +uid 2768,0 +) +*258 (MRCItem +litem &232 +pos 18 +dimension 20 +uid 2770,0 +) +*259 (MRCItem +litem &233 +pos 19 +dimension 20 +uid 2772,0 +) +*260 (MRCItem +litem &234 +pos 20 +dimension 20 +uid 2774,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2797,0 +optionalChildren [ +*261 (MRCItem +litem &205 +pos 0 +dimension 20 +uid 2798,0 +) +*262 (MRCItem +litem &207 +pos 1 +dimension 50 +uid 2799,0 +) +*263 (MRCItem +litem &208 +pos 2 +dimension 100 +uid 2800,0 +) +*264 (MRCItem +litem &209 +pos 3 +dimension 50 +uid 2801,0 +) +*265 (MRCItem +litem &210 +pos 4 +dimension 100 +uid 2802,0 +) +*266 (MRCItem +litem &211 +pos 5 +dimension 100 +uid 2803,0 +) +*267 (MRCItem +litem &212 +pos 6 +dimension 50 +uid 2804,0 +) +*268 (MRCItem +litem &213 +pos 7 +dimension 80 +uid 2805,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 2792,0 +vaOverrides [ +] +) +] +) +uid 2777,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *269 (LEmptyRow +) +uid 2807,0 +optionalChildren [ +*270 (RefLabelRowHdr +) +*271 (TitleRowHdr +) +*272 (FilterRowHdr +) +*273 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*274 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*275 (GroupColHdr +tm "GroupColHdrMgr" +) +*276 (NameColHdr +tm "GenericNameColHdrMgr" +) +*277 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*278 (InitColHdr +tm "GenericValueColHdrMgr" +) +*279 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*280 (EolColHdr +tm "GenericEolColHdrMgr" +) +*281 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 2854,0 +) +*282 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "10" +) +uid 2856,0 +) +] +) +pdm (PhysicalDM +uid 2819,0 +optionalChildren [ +*283 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *284 (MRCItem +litem &269 +pos 2 +dimension 20 +) +uid 2821,0 +optionalChildren [ +*285 (MRCItem +litem &270 +pos 0 +dimension 20 +uid 2822,0 +) +*286 (MRCItem +litem &271 +pos 1 +dimension 23 +uid 2823,0 +) +*287 (MRCItem +litem &272 +pos 2 +hidden 1 +dimension 20 +uid 2824,0 +) +*288 (MRCItem +litem &281 +pos 0 +dimension 20 +uid 2853,0 +) +*289 (MRCItem +litem &282 +pos 1 +dimension 20 +uid 2855,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2825,0 +optionalChildren [ +*290 (MRCItem +litem &273 +pos 0 +dimension 20 +uid 2826,0 +) +*291 (MRCItem +litem &275 +pos 1 +dimension 50 +uid 2827,0 +) +*292 (MRCItem +litem &276 +pos 2 +dimension 100 +uid 2828,0 +) +*293 (MRCItem +litem &277 +pos 3 +dimension 100 +uid 2829,0 +) +*294 (MRCItem +litem &278 +pos 4 +dimension 50 +uid 2830,0 +) +*295 (MRCItem +litem &279 +pos 5 +dimension 50 +uid 2831,0 +) +*296 (MRCItem +litem &280 +pos 6 +dimension 80 +uid 2832,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 2820,0 +vaOverrides [ +] +) +] +) +uid 2806,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/symbol.sb b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/symbol.sb new file mode 100644 index 0000000..48f42cf --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/symbol.sb @@ -0,0 +1,1809 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 198,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +uid 200,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 201,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 202,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 4,0 +) +) +uid 203,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 204,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 205,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +uid 206,0 +) +*9 (RefLabelRowHdr +) +*10 (TitleRowHdr +) +*11 (FilterRowHdr +) +*12 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*13 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*14 (GroupColHdr +tm "GroupColHdrMgr" +) +*15 (NameColHdr +tm "NameColHdrMgr" +) +*16 (ModeColHdr +tm "ModeColHdrMgr" +) +*17 (TypeColHdr +tm "TypeColHdrMgr" +) +*18 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*19 (InitColHdr +tm "InitColHdrMgr" +) +*20 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 207,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 134,0 +optionalChildren [ +*23 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 137,0 +) +*24 (MRCItem +litem &10 +pos 1 +dimension 23 +uid 139,0 +) +*25 (MRCItem +litem &11 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +*26 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 161,0 +) +*27 (MRCItem +litem &3 +pos 4 +dimension 20 +uid 162,0 +) +*28 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 163,0 +) +*29 (MRCItem +litem &5 +pos 5 +dimension 20 +uid 164,0 +) +*30 (MRCItem +litem &6 +pos 1 +dimension 20 +uid 165,0 +) +*31 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 166,0 +) +*32 (MRCItem +litem &8 +pos 6 +dimension 20 +uid 167,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*33 (MRCItem +litem &12 +pos 0 +dimension 20 +uid 143,0 +) +*34 (MRCItem +litem &14 +pos 1 +dimension 50 +uid 147,0 +) +*35 (MRCItem +litem &15 +pos 2 +dimension 100 +uid 149,0 +) +*36 (MRCItem +litem &16 +pos 3 +dimension 50 +uid 151,0 +) +*37 (MRCItem +litem &17 +pos 4 +dimension 100 +uid 153,0 +) +*38 (MRCItem +litem &18 +pos 5 +dimension 100 +uid 155,0 +) +*39 (MRCItem +litem &19 +pos 6 +dimension 50 +uid 157,0 +) +*40 (MRCItem +litem &20 +pos 7 +dimension 80 +uid 159,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 133,0 +vaOverrides [ +] +) +] +) +uid 197,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 209,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 193,0 +) +*54 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "10" +) +uid 194,0 +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*55 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *56 (MRCItem +litem &41 +pos 2 +dimension 20 +) +uid 169,0 +optionalChildren [ +*57 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 172,0 +) +*58 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 174,0 +) +*59 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 176,0 +) +*60 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 195,0 +) +*61 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 196,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*62 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 178,0 +) +*63 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 182,0 +) +*64 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 184,0 +) +*65 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 186,0 +) +*66 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 188,0 +) +*67 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 190,0 +) +*68 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 192,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 168,0 +vaOverrides [ +] +) +] +) +uid 208,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sineGen" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineGen" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:40" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "sineGen" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sineGen/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:40" +) +(vvPair +variable "unit" +value "sineGen" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,25625,32000,26375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,25400,36400,26600" +st "clock" +blo "33000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,18000,11900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,27625,32000,28375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +font "courier,9,0" +) +xt "33000,27400,36300,28600" +st "reset" +blo "33000,28400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,18000,12800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*72 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,19625,48750,20375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +font "courier,9,0" +) +xt "41800,19400,47000,20600" +st "sawtooth" +ju 2 +blo "47000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 102,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,29000,14600" +st "sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +*73 (CptPort +uid 103,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,25625,48750,26375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 106,0 +va (VaSet +font "courier,9,0" +) +xt "44200,25400,47000,26600" +st "sine" +ju 2 +blo "47000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,29000,15500" +st "sine : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 4,0 +) +) +) +*74 (CptPort +uid 118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,23625,48750,24375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 121,0 +va (VaSet +font "courier,9,0" +) +xt "42500,23400,47000,24600" +st "triangle" +ju 2 +blo "47000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,28000,17300" +st "triangle : OUT unsigned (signalBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +) +*75 (CptPort +uid 108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,21625,48750,22375" +) +tg (CPTG +uid 110,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 111,0 +va (VaSet +font "courier,9,0" +) +xt "42900,21400,47000,22600" +st "square" +ju 2 +blo "47000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,29000,16400" +st "square : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*76 (CptPort +uid 128,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 129,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 130,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 131,0 +va (VaSet +font "courier,9,0" +) +xt "33000,19400,35900,20600" +st "step" +blo "33000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 132,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,28500,13700" +st "step : IN unsigned (phaseBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,16000,48000,30000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,29800,42100,30700" +st "SplineInterpolator" +blo "32600,30500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,30700,36100,31600" +st "sineGen" +blo "32600,31400" +) +) +gi *77 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,33600,45000,37200" +st "Generic Declarations + +signalBitNb positive 16 +phaseBitNb positive 10 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "phaseBitNb" +type "positive" +value "10" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*78 (Grouping +uid 16,0 +optionalChildren [ +*79 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*84 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *89 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*91 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2,34,1397,984" +viewArea "-1061,-1061,74571,50904" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *92 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *93 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,17300,2500,18200" +st "User:" +blo "0,18000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18200,2000,18200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 532,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@gen_tester/interface b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@gen_tester/interface new file mode 100644 index 0000000..95ac7cb --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@gen_tester/interface @@ -0,0 +1,1738 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 86,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 88,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 90,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 92,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +uid 94,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 96,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +uid 98,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 117,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 87,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 89,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 91,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 93,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 95,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 97,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 145,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 146,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 147,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 150,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 151,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 152,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 153,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 154,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 155,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sineGen_tester" +) +(vvPair +variable "date" +value "01/17/19" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineGen_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "01/17/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "11:13:06" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "sineGen_tester" +) +(vvPair +variable "month" +value "Jan" +) +(vvPair +variable "month_long" +value "January" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sineGen_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "11:13:06" +) +(vvPair +variable "unit" +value "sineGen_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "clock" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5400,60000,6300" +st "clock : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,9500" +st "reset" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,60000,7200" +st "reset : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "60550,7000,61450,11000" +st "sawtooth" +ju 2 +blo "61250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,71000,2700" +st "sawtooth : IN unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "54550,7000,55450,9000" +st "sine" +ju 2 +blo "55250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,71000,3600" +st "sine : IN unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "58550,7000,59450,10000" +st "square" +ju 2 +blo "59250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,71000,4500" +st "square : IN unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*71 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9000" +st "step" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7200,69500,8100" +st "step : OUT unsigned (phaseBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*72 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "56550,7000,57450,11000" +st "triangle" +ju 2 +blo "57250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,71000,5400" +st "triangle : IN unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,69000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "37250,9100,46750,10000" +st "SplineInterpolator" +blo "37250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "37250,10000,44750,10900" +st "sineGen_tester" +blo "37250,10700" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "15000,6000,25500,6900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*74 (Grouping +uid 16,0 +optionalChildren [ +*75 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,45700,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,62700,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,42200,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*87 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,15500,3600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "SplineInterpolator_test" +entityName "sineGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,8100,44500,9000" +st "User:" +blo "42000,8800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9000,44000,9000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 156,0 +activeModelName "Symbol:CDM" +) diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@table/symbol.sb b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@table/symbol.sb new file mode 100644 index 0000000..cb86774 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/sine@table/symbol.sb @@ -0,0 +1,1506 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 156,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 157,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "phase" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 158,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 95,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 98,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 100,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 121,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 122,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 104,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 108,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 110,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 112,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 114,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 116,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 118,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 120,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 94,0 +vaOverrides [ +] +) +] +) +uid 155,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 162,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "inputBitNb" +type "positive" +value "16" +) +uid 149,0 +) +*44 (LogGeneric +generic (GiElement +name "outputBitNb" +type "positive" +value "16" +) +uid 150,0 +) +*45 (LogGeneric +generic (GiElement +name "tableAddressBitNb" +type "positive" +value "3" +) +uid 151,0 +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *47 (MRCItem +litem &31 +pos 3 +dimension 20 +) +uid 125,0 +optionalChildren [ +*48 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 128,0 +) +*49 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 130,0 +) +*50 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 132,0 +) +*51 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 152,0 +) +*52 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 153,0 +) +*53 (MRCItem +litem &45 +pos 2 +dimension 20 +uid 154,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 126,0 +optionalChildren [ +*54 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 134,0 +) +*55 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 138,0 +) +*56 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 140,0 +) +*57 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 142,0 +) +*58 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 144,0 +) +*59 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 146,0 +) +*60 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 148,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 124,0 +vaOverrides [ +] +) +] +) +uid 161,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@table/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@table/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@table" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sineTable" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineTable" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:46" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "sineTable" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@table/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sineTable/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:46" +) +(vvPair +variable "unit" +value "sineTable" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "44200,13400,47000,14600" +st "sine" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,26500,11900" +st "sine : OUT signed (outputBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,36700,14600" +st "phase" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,26000,12800" +st "phase : IN unsigned (inputBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "phase" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,42100,18700" +st "SplineInterpolator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,37100,19600" +st "sineTable" +blo "32600,19400" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,22000,48000,26500" +st "Generic Declarations + +inputBitNb positive 16 +outputBitNb positive 16 +tableAddressBitNb positive 3 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "16" +) +(GiElement +name "outputBitNb" +type "positive" +value "16" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "3" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*65 (Grouping +uid 16,0 +optionalChildren [ +*66 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*67 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*71 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *76 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2,32,1395,973" +viewArea "-1063,-1063,74582,50419" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 209,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sineGen_tester_test.vhd b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sineGen_tester_test.vhd new file mode 100644 index 0000000..16292e5 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sineGen_tester_test.vhd @@ -0,0 +1,19 @@ +ARCHITECTURE test OF sineGen_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + +BEGIN + + ------------------------------------------------------------------------------ + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + + reset <= '1', '0' after 2*clockPeriod; + + ------------------------------------------------------------------------------ + -- controls + step <= to_unsigned(1, step'length); + +END ARCHITECTURE test; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sinegen_tb_entity.vhg b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sinegen_tb_entity.vhg new file mode 100644 index 0000000..844b740 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sinegen_tb_entity.vhg @@ -0,0 +1,15 @@ +-- VHDL Entity SplineInterpolator_test.sineGen_tb.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:04 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- + + +ENTITY sineGen_tb IS +-- Declarations + +END sineGen_tb ; + diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sinegen_tb_struct.vhg b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sinegen_tb_struct.vhg new file mode 100644 index 0000000..66bab33 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sinegen_tb_struct.vhg @@ -0,0 +1,108 @@ +-- +-- VHDL Architecture SplineInterpolator_test.sineGen_tb.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:41:39 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +LIBRARY SplineInterpolator; +LIBRARY SplineInterpolator_test; + +ARCHITECTURE struct OF sineGen_tb IS + + -- Architecture declarations + constant signalBitNb: positive := 16; + constant phaseBitNb: positive := 10; + constant clockFrequency: real := 60.0E6; + --constant clockFrequency: real := 66.0E6; + + -- Internal signal declarations + SIGNAL clock : std_ulogic; + SIGNAL reset : std_ulogic; + SIGNAL sawtooth : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL sine : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL square : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL step : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL triangle : unsigned(signalBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT sineGen + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 10 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sineGen_tester + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 10; + clockFrequency : real := 60.0E6 + ); + PORT ( + sawtooth : IN unsigned (signalBitNb-1 DOWNTO 0); + sine : IN unsigned (signalBitNb-1 DOWNTO 0); + square : IN unsigned (signalBitNb-1 DOWNTO 0); + triangle : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : OUT std_ulogic ; + reset : OUT std_ulogic ; + step : OUT unsigned (phaseBitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : sineGen USE ENTITY SplineInterpolator.sineGen; + FOR ALL : sineGen_tester USE ENTITY SplineInterpolator_test.sineGen_tester; + -- pragma synthesis_on + + +BEGIN + + -- Instance port mappings. + I_DUT : sineGen + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + step => step, + sawtooth => sawtooth, + sine => sine, + square => square, + triangle => triangle + ); + I_tb : sineGen_tester + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb, + clockFrequency => clockFrequency + ) + PORT MAP ( + sawtooth => sawtooth, + sine => sine, + square => square, + triangle => triangle, + clock => clock, + reset => reset, + step => step + ); + +END struct; diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sinegen_tester_entity.vhg b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sinegen_tester_entity.vhg new file mode 100644 index 0000000..dfc1ae3 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hdl/sinegen_tester_entity.vhg @@ -0,0 +1,32 @@ +-- VHDL Entity SplineInterpolator_test.sineGen_tester.interface +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:41:39 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +ENTITY sineGen_tester IS + GENERIC( + signalBitNb : positive := 16; + phaseBitNb : positive := 10; + clockFrequency : real := 60.0E6 + ); + PORT( + sawtooth : IN unsigned (signalBitNb-1 DOWNTO 0); + sine : IN unsigned (signalBitNb-1 DOWNTO 0); + square : IN unsigned (signalBitNb-1 DOWNTO 0); + triangle : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : OUT std_ulogic; + reset : OUT std_ulogic; + step : OUT unsigned (phaseBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sineGen_tester ; + diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.cache.dat b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.cache.dat new file mode 100644 index 0000000000000000000000000000000000000000..5726ca0ac59c307e2f453c6bb746df61dda84999 GIT binary patch literal 1571 zcmcgs%}(1u5H@K;Dnc8e5<)#i>IJGckfqpQo?Dd}CvrVxhL6j^y#|&g^{ir_nTRVaMoJea{K)a9nk5_f%>`^NqFoX01_O zZjL;^UtM3pbf}rjn0^5^jhVBQpOokbzLnYNW!Et7=Xk znzFnQKQ-+KEZ;QS2V1+su=G|(-(xKp5u7qBe1Zf@ukKGQ5t~FCpE*On7CF!+XyysY zrS9=w^PtVwU_`0UKZ1F8pA_XT!&MLU_-4CYxCF|+BENHSV%C1o>}=~>Ca}t2M1P0L zsl{~^GEklx*S?<);HNAv-+v@`A4smW%zd+?_e?_^?if!74`bYXV|>7eINhSW;Pg|$&f6_mJReaj|603r-rxy<-}Nu zyAN$TusHmOXc#8L5Z$yTjIZ1YMDzCHI)eSHq<)YmgQkn(FEESt(VhCSrIyo*2Og&` t$WxACF}oS#K&FA2A@-Fy=ZQH@ncQ>Pt(t9DykPSpdu;a8sI}z1b_)(V`~Cm` literal 0 HcmV?d00001 diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sineGen_tester_test.vhd._fpf b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sineGen_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sineGen_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_entity.vhg._fpf b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_struct.vhg._fpf b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tester_entity.vhg._fpf b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.xrf/sinegen_tb_entity.xrf b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.xrf/sinegen_tb_entity.xrf new file mode 100644 index 0000000..2db81c2 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.xrf/sinegen_tb_entity.xrf @@ -0,0 +1,12 @@ +DESIGN sine@gen_tb +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN sine@gen_tb +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN sine@gen_tb +VIEW symbol.sb +GRAPHIC 1,0 11 0 +DESIGN sine@gen_tb +VIEW symbol.sb +GRAPHIC 1,0 12 0 diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.xrf/sinegen_tb_struct.xrf b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.xrf/sinegen_tb_struct.xrf new file mode 100644 index 0000000..41cdaa3 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.xrf/sinegen_tb_struct.xrf @@ -0,0 +1,153 @@ +DESIGN sine@gen_tb +VIEW struct.bd +NO_GRAPHIC 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 142,0 9 0 +DESIGN sine@gen_tb +VIEW struct.bd +NO_GRAPHIC 12 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 0,0 16 2 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 1,0 19 0 +DESIGN sine@gen_tb +VIEW struct.bd +NO_GRAPHIC 19 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 53,0 25 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 45,0 26 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 933,0 27 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 909,0 28 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 925,0 29 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 996,0 30 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 917,0 31 0 +DESIGN sine@gen_tb +VIEW struct.bd +NO_GRAPHIC 32 +DESIGN sine@gen_tb +VIEW struct.bd +NO_GRAPHIC 33 +LIBRARY SplineInterpolator +DESIGN sine@gen +VIEW struct +GRAPHIC 1519,0 35 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 14,0 36 1 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 52,0 41 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 88,0 42 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 128,0 43 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 98,0 44 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 103,0 45 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 108,0 46 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 118,0 47 0 +LIBRARY SplineInterpolator_test +DESIGN sine@gen_tester +VIEW test +GRAPHIC 421,0 50 0 +DESIGN sine@gen_tester +VIEW interface +GRAPHIC 14,0 51 1 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 935,0 57 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 911,0 58 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 927,0 59 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 919,0 60 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 55,0 61 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 47,0 62 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 998,0 63 0 +LIBRARY SplineInterpolator_test +DESIGN sine@gen_tb +VIEW struct.bd +NO_GRAPHIC 66 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 1519,0 69 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 421,0 70 0 +DESIGN sine@gen_tb +VIEW struct.bd +NO_GRAPHIC 73 +DESIGN sine@gen_tb +VIEW struct.bd +NO_GRAPHIC 75 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 1519,0 77 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 1526,0 78 1 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 55,0 83 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 47,0 84 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 998,0 85 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 935,0 86 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 911,0 87 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 927,0 88 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 919,0 89 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 421,0 91 0 +DESIGN sine@gen_tb +VIEW struct.bd +GRAPHIC 428,0 92 1 +DESIGN sine@gen_tb +VIEW struct.bd +NO_GRAPHIC 107 diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.xrf/sinegen_tester_entity.xrf b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.xrf/sinegen_tester_entity.xrf new file mode 100644 index 0000000..8ae96cb --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/.xrf/sinegen_tester_entity.xrf @@ -0,0 +1,36 @@ +DESIGN sine@gen_tester +VIEW interface +NO_GRAPHIC 0 +DESIGN sine@gen_tester +VIEW interface +GRAPHIC 50,0 8 0 +DESIGN sine@gen_tester +VIEW interface +GRAPHIC 13,0 13 1 +DESIGN sine@gen_tester +VIEW interface +GRAPHIC 409,0 19 0 +DESIGN sine@gen_tester +VIEW interface +GRAPHIC 414,0 20 0 +DESIGN sine@gen_tester +VIEW interface +GRAPHIC 419,0 21 0 +DESIGN sine@gen_tester +VIEW interface +GRAPHIC 429,0 22 0 +DESIGN sine@gen_tester +VIEW interface +GRAPHIC 399,0 23 0 +DESIGN sine@gen_tester +VIEW interface +GRAPHIC 404,0 24 0 +DESIGN sine@gen_tester +VIEW interface +GRAPHIC 424,0 25 0 +DESIGN sine@gen_tester +VIEW interface +GRAPHIC 1,0 28 0 +DESIGN sine@gen_tester +VIEW interface +GRAPHIC 1,0 29 0 diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tb._epf b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tb._epf new file mode 100644 index 0000000..5d5516c --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom sine@gen_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tester._epf b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tester._epf new file mode 100644 index 0000000..9adfb0b --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom sineGen_tester_test.vhd diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/struct.bd b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/struct.bd new file mode 100644 index 0000000..0e7d699 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/struct.bd @@ -0,0 +1,3042 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "SplineInterpolator_test" +duName "sineGen_tester" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 421,0 +) +(Instance +name "I_DUT" +duLibraryName "SplineInterpolator" +duName "sineGen" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 1519,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sineGen_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineGen_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:41:39" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "sineGen_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sineGen_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:41:39" +) +(vvPair +variable "unit" +value "sineGen_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Net +uid 45,0 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 46,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,50000,14600,51000" +st "SIGNAL reset : std_ulogic +" +) +) +*2 (Net +uid 53,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +declText (MLText +uid 54,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,49000,14600,50000" +st "SIGNAL clock : std_ulogic +" +) +) +*3 (Grouping +uid 110,0 +optionalChildren [ +*4 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,54000,61000,55000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,54500,44200,54500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,50000,65000,51000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,50500,61200,50500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,52000,61000,53000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,52500,44200,52500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,52000,44000,53000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,52500,40200,52500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,51000,81000,55000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,51200,75300,52400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*9 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,50000,81000,51000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,50500,65200,50500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,50000,61000,52000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "45350,50400,55650,51600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,53000,44000,54000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,53500,40200,53500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,54000,44000,55000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,54500,40200,54500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,53000,61000,54000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,53500,44200,53500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "40000,50000,81000,55000" +) +oxt "14000,66000,55000,71000" +) +*14 (Blk +uid 421,0 +shape (Rectangle +uid 422,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "15000,28000,69000,36000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 423,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 424,0 +va (VaSet +) +xt "15700,36200,29500,37400" +st "SplineInterpolator_test" +blo "15700,37200" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 425,0 +va (VaSet +) +xt "15700,37400,24800,38600" +st "sineGen_tester" +blo "15700,38400" +tm "BlkNameMgr" +) +*17 (Text +uid 426,0 +va (VaSet +) +xt "15700,38600,18500,39800" +st "I_tb" +blo "15700,39600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 427,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 428,0 +text (MLText +uid 429,0 +va (VaSet +) +xt "28000,36000,54200,39600" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) +clockFrequency = clockFrequency ( real ) +" +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +) +*18 (Net +uid 909,0 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +declText (MLText +uid 910,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,52000,25500,53000" +st "SIGNAL sine : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*19 (Net +uid 917,0 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +declText (MLText +uid 918,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,55000,25700,56000" +st "SIGNAL triangle : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*20 (Net +uid 925,0 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +declText (MLText +uid 926,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,53000,26000,54000" +st "SIGNAL square : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*21 (Net +uid 933,0 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 7,0 +) +declText (MLText +uid 934,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,51000,26400,52000" +st "SIGNAL sawtooth : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*22 (Net +uid 996,0 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 8,0 +) +declText (MLText +uid 997,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,54000,25700,55000" +st "SIGNAL step : unsigned(phaseBitNb-1 DOWNTO 0) +" +) +) +*23 (SaComponent +uid 1519,0 +optionalChildren [ +*24 (CptPort +uid 1491,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1492,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,15625,35000,16375" +) +tg (CPTG +uid 1493,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1494,0 +va (VaSet +) +xt "36000,15400,39400,16600" +st "clock" +blo "36000,16400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*25 (CptPort +uid 1495,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1496,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,17625,35000,18375" +) +tg (CPTG +uid 1497,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1498,0 +va (VaSet +) +xt "36000,17400,39300,18600" +st "reset" +blo "36000,18400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*26 (CptPort +uid 1499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1500,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,9625,51750,10375" +) +tg (CPTG +uid 1501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1502,0 +va (VaSet +) +xt "44800,9400,50000,10600" +st "sawtooth" +ju 2 +blo "50000,10400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +*27 (CptPort +uid 1503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1504,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,15625,51750,16375" +) +tg (CPTG +uid 1505,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1506,0 +va (VaSet +) +xt "47200,15400,50000,16600" +st "sine" +ju 2 +blo "50000,16400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 4,0 +) +) +) +*28 (CptPort +uid 1507,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1508,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,13625,51750,14375" +) +tg (CPTG +uid 1509,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1510,0 +va (VaSet +) +xt "45500,13400,50000,14600" +st "triangle" +ju 2 +blo "50000,14400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +) +*29 (CptPort +uid 1511,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1512,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,11625,51750,12375" +) +tg (CPTG +uid 1513,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1514,0 +va (VaSet +) +xt "45900,11400,50000,12600" +st "square" +ju 2 +blo "50000,12400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*30 (CptPort +uid 1515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,9625,35000,10375" +) +tg (CPTG +uid 1517,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1518,0 +va (VaSet +) +xt "36000,9400,38900,10600" +st "step" +blo "36000,10400" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 1520,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,6000,51000,20000" +) +oxt "32000,16000,48000,30000" +ttg (MlTextGroup +uid 1521,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*31 (Text +uid 1522,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,19800,46000,21000" +st "SplineInterpolator" +blo "35600,20800" +tm "BdLibraryNameMgr" +) +*32 (Text +uid 1523,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,20700,40100,21900" +st "sineGen" +blo "35600,21700" +tm "CptNameMgr" +) +*33 (Text +uid 1524,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,21600,39300,22800" +st "I_DUT" +blo "35600,22600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1525,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1526,0 +text (MLText +uid 1527,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,23600,54200,25600" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*34 (Wire +uid 47,0 +shape (OrthoPolyLine +uid 48,0 +va (VaSet +vasetType 3 +) +xt "31000,18000,34250,28000" +pts [ +"31000,28000" +"31000,18000" +"34250,18000" +] +) +start &14 +end &25 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 51,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 52,0 +va (VaSet +font "Verdana,12,0" +) +xt "31000,16600,35100,18000" +st "reset" +blo "31000,17800" +tm "WireNameMgr" +) +) +on &1 +) +*35 (Wire +uid 55,0 +shape (OrthoPolyLine +uid 56,0 +va (VaSet +vasetType 3 +) +xt "29000,16000,34250,28000" +pts [ +"29000,28000" +"29000,16000" +"34250,16000" +] +) +start &14 +end &24 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 59,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 60,0 +va (VaSet +font "Verdana,12,0" +) +xt "31000,14600,34800,16000" +st "clock" +blo "31000,15800" +tm "WireNameMgr" +) +) +on &2 +) +*36 (Wire +uid 911,0 +shape (OrthoPolyLine +uid 912,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,16000,55000,28000" +pts [ +"51750,16000" +"55000,16000" +"55000,28000" +] +) +start &27 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 915,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 916,0 +va (VaSet +font "Verdana,12,0" +) +xt "53750,14600,57150,16000" +st "sine" +blo "53750,15800" +tm "WireNameMgr" +) +) +on &18 +) +*37 (Wire +uid 919,0 +shape (OrthoPolyLine +uid 920,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,14000,57000,28000" +pts [ +"51750,14000" +"57000,14000" +"57000,28000" +] +) +start &28 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 923,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 924,0 +va (VaSet +font "Verdana,12,0" +) +xt "53750,12600,59350,14000" +st "triangle" +blo "53750,13800" +tm "WireNameMgr" +) +) +on &19 +) +*38 (Wire +uid 927,0 +shape (OrthoPolyLine +uid 928,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,12000,59000,28000" +pts [ +"51750,12000" +"59000,12000" +"59000,28000" +] +) +start &29 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 931,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 932,0 +va (VaSet +font "Verdana,12,0" +) +xt "53750,10600,58950,12000" +st "square" +blo "53750,11800" +tm "WireNameMgr" +) +) +on &20 +) +*39 (Wire +uid 935,0 +shape (OrthoPolyLine +uid 936,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,10000,61000,28000" +pts [ +"51750,10000" +"61000,10000" +"61000,28000" +] +) +start &26 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 939,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 940,0 +va (VaSet +font "Verdana,12,0" +) +xt "53750,8600,60550,10000" +st "sawtooth" +blo "53750,9800" +tm "WireNameMgr" +) +) +on &21 +) +*40 (Wire +uid 998,0 +shape (OrthoPolyLine +uid 999,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23000,10000,34250,28000" +pts [ +"34250,10000" +"23000,10000" +"23000,28000" +] +) +start &30 +end &14 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1002,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1003,0 +va (VaSet +font "Verdana,12,0" +) +xt "30250,8600,33850,10000" +st "step" +blo "30250,9800" +tm "WireNameMgr" +) +) +on &22 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *41 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*43 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*45 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*46 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*47 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*48 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*49 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*50 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1194,-1194,105025,56517" +cachedDiagramExtent "0,0,81000,56000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 90 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 1601,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*52 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*53 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*55 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*56 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*58 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*59 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*61 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*62 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*64 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*65 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*67 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*69 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*71 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,41000,7000,42000" +st "Declarations" +blo "0,41800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,42000,3400,43000" +st "Ports:" +blo "0,42800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,43000,4800,44000" +st "Pre User:" +blo "0,43800" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,44000,21800,48000" +st "constant signalBitNb: positive := 16; +constant phaseBitNb: positive := 10; +constant clockFrequency: real := 60.0E6; +--constant clockFrequency: real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,48000,9000,49000" +st "Diagram Signals:" +blo "0,48800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,41000,6000,42000" +st "Post User:" +blo "0,41800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,41000,0,41000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *72 (LEmptyRow +) +uid 1087,0 +optionalChildren [ +*73 (RefLabelRowHdr +) +*74 (TitleRowHdr +) +*75 (FilterRowHdr +) +*76 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*77 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*78 (GroupColHdr +tm "GroupColHdrMgr" +) +*79 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*80 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*81 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*82 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*83 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*84 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*85 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 1070,0 +) +*86 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 1072,0 +) +*87 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 1076,0 +) +*88 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +uid 1078,0 +) +*89 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 1080,0 +) +*90 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 7,0 +) +) +uid 1082,0 +) +*91 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 8,0 +) +) +uid 1084,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1100,0 +optionalChildren [ +*92 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *93 (MRCItem +litem &72 +pos 7 +dimension 20 +) +uid 1102,0 +optionalChildren [ +*94 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 1103,0 +) +*95 (MRCItem +litem &74 +pos 1 +dimension 23 +uid 1104,0 +) +*96 (MRCItem +litem &75 +pos 2 +hidden 1 +dimension 20 +uid 1105,0 +) +*97 (MRCItem +litem &85 +pos 0 +dimension 20 +uid 1071,0 +) +*98 (MRCItem +litem &86 +pos 1 +dimension 20 +uid 1073,0 +) +*99 (MRCItem +litem &87 +pos 2 +dimension 20 +uid 1077,0 +) +*100 (MRCItem +litem &88 +pos 3 +dimension 20 +uid 1079,0 +) +*101 (MRCItem +litem &89 +pos 4 +dimension 20 +uid 1081,0 +) +*102 (MRCItem +litem &90 +pos 5 +dimension 20 +uid 1083,0 +) +*103 (MRCItem +litem &91 +pos 6 +dimension 20 +uid 1085,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1106,0 +optionalChildren [ +*104 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 1107,0 +) +*105 (MRCItem +litem &78 +pos 1 +dimension 50 +uid 1108,0 +) +*106 (MRCItem +litem &79 +pos 2 +dimension 100 +uid 1109,0 +) +*107 (MRCItem +litem &80 +pos 3 +dimension 50 +uid 1110,0 +) +*108 (MRCItem +litem &81 +pos 4 +dimension 100 +uid 1111,0 +) +*109 (MRCItem +litem &82 +pos 5 +dimension 100 +uid 1112,0 +) +*110 (MRCItem +litem &83 +pos 6 +dimension 50 +uid 1113,0 +) +*111 (MRCItem +litem &84 +pos 7 +dimension 80 +uid 1114,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1101,0 +vaOverrides [ +] +) +] +) +uid 1086,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *112 (LEmptyRow +) +uid 1116,0 +optionalChildren [ +*113 (RefLabelRowHdr +) +*114 (TitleRowHdr +) +*115 (FilterRowHdr +) +*116 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*117 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*118 (GroupColHdr +tm "GroupColHdrMgr" +) +*119 (NameColHdr +tm "GenericNameColHdrMgr" +) +*120 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*121 (InitColHdr +tm "GenericValueColHdrMgr" +) +*122 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*123 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 1128,0 +optionalChildren [ +*124 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *125 (MRCItem +litem &112 +pos 0 +dimension 20 +) +uid 1130,0 +optionalChildren [ +*126 (MRCItem +litem &113 +pos 0 +dimension 20 +uid 1131,0 +) +*127 (MRCItem +litem &114 +pos 1 +dimension 23 +uid 1132,0 +) +*128 (MRCItem +litem &115 +pos 2 +hidden 1 +dimension 20 +uid 1133,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1134,0 +optionalChildren [ +*129 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 1135,0 +) +*130 (MRCItem +litem &118 +pos 1 +dimension 50 +uid 1136,0 +) +*131 (MRCItem +litem &119 +pos 2 +dimension 100 +uid 1137,0 +) +*132 (MRCItem +litem &120 +pos 3 +dimension 100 +uid 1138,0 +) +*133 (MRCItem +litem &121 +pos 4 +dimension 50 +uid 1139,0 +) +*134 (MRCItem +litem &122 +pos 5 +dimension 50 +uid 1140,0 +) +*135 (MRCItem +litem &123 +pos 6 +dimension 80 +uid 1141,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1129,0 +vaOverrides [ +] +) +] +) +uid 1115,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/symbol.sb b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/symbol.sb new file mode 100644 index 0000000..f8469bf --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/symbol.sb @@ -0,0 +1,1244 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds/sine@gen_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds/sine@gen_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds/sine@gen_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds/sineGen_tb" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineGen_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:04" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "sineGen_tb" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds/sine@gen_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds/sineGen_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:04" +) +(vvPair +variable "unit" +value "sineGen_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "22600,14800,34600,15700" +st "SplineInterpolator_test" +blo "22600,15500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "22600,15700,28100,16600" +st "sineGen_tb" +blo "22600,16400" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tester/interface b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tester/interface new file mode 100644 index 0000000..bb632a7 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tester/interface @@ -0,0 +1,1807 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 28,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 22,0 +) +) +uid 434,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 23,0 +) +) +uid 436,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 24,0 +) +) +uid 438,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 25,0 +) +) +uid 440,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 26,0 +) +) +uid 442,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 27,0 +) +) +uid 444,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 28,0 +) +) +uid 446,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 117,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 435,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 437,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 439,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 441,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 443,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 445,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 447,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 180,0 +) +*54 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "10" +) +uid 182,0 +) +*55 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +uid 374,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *57 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 145,0 +optionalChildren [ +*58 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 146,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 147,0 +) +*60 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +*61 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 181,0 +) +*62 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 183,0 +) +*63 (MRCItem +litem &55 +pos 2 +dimension 20 +uid 375,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*64 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 150,0 +) +*65 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 151,0 +) +*66 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 152,0 +) +*67 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 153,0 +) +*68 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 154,0 +) +*69 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 155,0 +) +*70 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sineGen_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineGen_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:41:39" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "sineGen_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sineGen_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:41:39" +) +(vvPair +variable "unit" +value "sineGen_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 399,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 400,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 401,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 402,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10800" +st "clock" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 403,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 22,0 +) +) +) +*73 (CptPort +uid 404,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 405,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 406,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 407,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,11100" +st "reset" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 408,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,61000,6800" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 23,0 +) +) +) +*74 (CptPort +uid 409,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 410,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 411,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 412,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,13800" +st "sawtooth" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 413,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,72500,2800" +st "sawtooth : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 24,0 +) +) +) +*75 (CptPort +uid 414,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 415,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 416,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 417,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "54300,7000,55700,10400" +st "sine" +ju 2 +blo "55500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 418,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,72500,3600" +st "sine : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 25,0 +) +) +) +*76 (CptPort +uid 419,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 420,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 421,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 422,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,12200" +st "square" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 423,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,72500,4400" +st "square : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 26,0 +) +) +) +*77 (CptPort +uid 424,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 425,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 426,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 427,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10600" +st "step" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 428,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,71000,7600" +st "step : OUT unsigned (phaseBitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 27,0 +) +) +) +*78 (CptPort +uid 429,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 430,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 431,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 432,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,12600" +st "triangle" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 433,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,72500,5200" +st "triangle : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 28,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,69000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,9000,48400,10000" +st "SplineInterpolator_test" +blo "35600,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,10000,43700,11000" +st "sineGen_tester" +blo "35600,10800" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "15000,6000,29400,11000" +st "Generic Declarations + +signalBitNb positive 16 +phaseBitNb positive 10 +clockFrequency real 60.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "phaseBitNb" +type "positive" +value "10" +) +(GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*80 (Grouping +uid 16,0 +optionalChildren [ +*81 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,50000,46600,51000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,46000,52900,47000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,48000,44700,49000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,48000,30800,49000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,47200,60800,48200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "53200,46000,55100,47000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "33350,46400,43650,47600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,49000,30900,50000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,50000,31600,51000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,49000,43200,50000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *91 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*93 (MLText +uid 50,0 +va (VaSet +font "Verdana,8,0" +) +xt "0,900,13600,3900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "59,33,1075,723" +viewArea "-500,-500,85152,57543" +cachedDiagramExtent "0,0,72500,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "200,200,2500,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "SplineInterpolator_test" +entityName "sineGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,49000,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,1000,45400,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,7600,45000,8600" +st "User:" +blo "42000,8400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49600,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,8600,44000,8600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 447,0 +activeModelName "Symbol:GEN" +) diff --git a/zz-solutions/02-SplineInterpolator/splineInterpolator.bash b/zz-solutions/02-SplineInterpolator/splineInterpolator.bash new file mode 100644 index 0000000..933ab09 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/splineInterpolator.bash @@ -0,0 +1,32 @@ +#!/bin/bash + +#=============================================================================== +# waveformGenerator.bash +# Starts HDL designer based on the generic hdlDesigner.bash +# + +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +hdl_script_name="$design_directory/Scripts/hdlDesigner.bash" + +verbose=1 +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + + +#------------------------------------------------------------------------------- +# Main script +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "Launching HDL Designer" + echo "${INDENT}Design name is $design_name" + echo "${INDENT}Start directory is $design_directory" + echo "${INDENT}HDL designer script is $hdl_script_name" +fi + +#------------------------------------------------------------------------------- +# Launch application +# +$hdl_script_name -v -d $design_directory -n $design_name -m hds.hdp diff --git a/zz-solutions/02-SplineInterpolator/splineInterpolator.bat b/zz-solutions/02-SplineInterpolator/splineInterpolator.bat new file mode 100644 index 0000000..bc1b2a4 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/splineInterpolator.bat @@ -0,0 +1,83 @@ +::============================================================================== +:: eln_labs.bat +:: Starts HDL designer based on the generic hdlDesigner.bat +:: +@echo off + +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: TO BE MODIFIED +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: Define environment variables to be modified +:: You can change one or more values as needed +:: +set VERBOSE=1 + +:: Define required Tools to be present +set REQUIRE_LIBS=0 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 + +:: Set project name +set design_name=%~n0 + +:: Manually override Library & Tools Folder location +::set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + +::set HDS_HOME=C:\eda\MentorGraphics\HDS +set HDS_HOME=C:\MentorGraphics\HDS_2019.2 +set MODELSIM_HOME=C:\modeltech64_2021.3\win64 +::set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +::set ISE_VERSION=14.7 +::set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +::set LIBERO_HOME=C:\eda\Microsemi\Libero + +set LM_LICENSE_FILE=27001@mentorlm.hevs.ch + +::set SCRATCH_DIR=C:\temp\eda\%username% +:: +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% + +::------------------------------------------------------------------------------ +:: Static environment variables +:: +set SEPARATOR="--------------------------------------------------------------------------------" +set INDENT=" " +set design_directory=%~dp0 +::remove trailing backslash +if %design_directory:~-1%==\ set design_directory=%design_directory:~0,-1% +pushd %design_directory% +set hdl_script_name="%design_directory:"=%\Scripts\hdlDesigner.bat" + +::------------------------------------------------------------------------------ +:: Main script +:: +if %VERBOSE% == 1 ( + echo "%SEPARATOR:"=%" + echo "-- HDL Designer Poject" + echo "%INDENT:"=%Design name is %design_name:"=%" + echo "%INDENT:"=%Start directory is %design_directory:"=%" + echo "%INDENT:"=%HDL designer script is %hdl_script_name:"=%" + echo. +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +if %VERBOSE% == 1 ( + set command=%hdl_script_name% -v -n %design_name% -d %design_directory% +) else ( + set command=%hdl_script_name% -n %design_name% -d %design_directory% +) +echo "Launch HDL Designer Script" +echo "%INDENT:"=%%command:"=%" +echo. +call %command% + +:end +popd +echo. +echo -- %~nx0 Finished! +echo %SEPARATOR% +echo. +if not %errorlevel% lss 1 pause +goto:eof diff --git a/zz-solutions/02-SplineInterpolator/splineInterpolator.bat.bak b/zz-solutions/02-SplineInterpolator/splineInterpolator.bat.bak new file mode 100644 index 0000000..efe9b97 --- /dev/null +++ b/zz-solutions/02-SplineInterpolator/splineInterpolator.bat.bak @@ -0,0 +1,79 @@ +::============================================================================== +:: eln_labs.bat +:: Starts HDL designer based on the generic hdlDesigner.bat +:: +@echo off + +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: TO BE MODIFIED +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: Define environment variables to be modified +:: You can change one or more values as needed +:: +set VERBOSE=1 + +:: Define required Tools to be present +set REQUIRE_LIBS=0 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 + +:: Set project name +set design_name=%~n0 + +:: Manually override Library & Tools Folder location +::set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + +::set HDS_HOME=C:\eda\MentorGraphics\HDS +::set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +::set ISE_VERSION=14.7 +::set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +::set LIBERO_HOME=C:\eda\Microsemi\Libero + +::set SCRATCH_DIR=C:\temp\eda\%username% +:: +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% + +::------------------------------------------------------------------------------ +:: Static environment variables +:: +set SEPARATOR="--------------------------------------------------------------------------------" +set INDENT=" " +set design_directory=%~dp0 +::remove trailing backslash +if %design_directory:~-1%==\ set design_directory=%design_directory:~0,-1% +pushd %design_directory% +set hdl_script_name="%design_directory:"=%\Scripts\hdlDesigner.bat" + +::------------------------------------------------------------------------------ +:: Main script +:: +if %VERBOSE% == 1 ( + echo "%SEPARATOR:"=%" + echo "-- HDL Designer Poject" + echo "%INDENT:"=%Design name is %design_name:"=%" + echo "%INDENT:"=%Start directory is %design_directory:"=%" + echo "%INDENT:"=%HDL designer script is %hdl_script_name:"=%" + echo. +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +if %VERBOSE% == 1 ( + set command=%hdl_script_name% -v -n %design_name% -d %design_directory% +) else ( + set command=%hdl_script_name% -n %design_name% -d %design_directory% +) +echo "Launch HDL Designer Script" +echo "%INDENT:"=%%command:"=%" +echo. +call %command% + +:end +popd +echo. +echo -- %~nx0 Finished! +echo %SEPARATOR% +echo. +if not %errorlevel% lss 1 pause +goto:eof diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order1_masterVersion.vhd b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order1_masterVersion.vhd new file mode 100644 index 0000000..3265bf1 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order1_masterVersion.vhd @@ -0,0 +1,29 @@ +ARCHITECTURE masterVersion OF DAC IS + + signal parallelIn1: unsigned(parallelIn'range); + signal integrator: unsigned(parallelIn'high+1 downto 0); + signal quantized: std_ulogic; + +BEGIN + +-- parallelIn1 <= parallelIn; + parallelIn1 <= parallelIn/2 + 2**(parallelIn'length-2); + + integrate: process(reset, clock) + begin + if reset = '1' then + integrator <= (others => '0'); + elsif rising_edge(clock) then + if quantized = '0' then + integrator <= integrator + parallelIn1; + else + integrator <= integrator + parallelIn1 - 2**parallelIn'length; + end if; + end if; + end process integrate; + + quantized <= integrator(integrator'high); + + serialOut <= quantized; + +END ARCHITECTURE masterVersion; diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order1_studentVersion.vhd b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order1_studentVersion.vhd new file mode 100644 index 0000000..c03bc9a --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order1_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF DAC IS +BEGIN + serialOut <= '0'; +END ARCHITECTURE studentVersion; diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order2_masterVersion.vhd b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order2_masterVersion.vhd new file mode 100644 index 0000000..c012da9 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order2_masterVersion.vhd @@ -0,0 +1,59 @@ +ARCHITECTURE order2_masterVersion OF DAC IS + + constant attenuationShift: positive := 3; + constant acc1BitNb: positive := parallelIn'length+5; + constant acc2BitNb: positive := parallelIn'length+5; + signal parallelIn1, parallelIn2: signed(parallelIn'high downto 0); + signal acc1: signed(acc1BitNb-1 downto 0); + signal acc2: signed(acc2BitNb-1 downto 0); + constant c1: signed(acc1'range) + := shift_left(to_signed(1, acc1'length), parallelIn'length-1); + constant c2: signed(acc2'range) + := resize(shift_left(c1, 4), acc2'length); + signal quantized: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- offset input to signed values + + parallelIn1(parallelIn1'high) <= not parallelIn(parallelIn'high); + parallelIn1(parallelIn1'high-1 downto 0) <= + signed(parallelIn(parallelIn'high-1 downto 0)); + -- attenuate signal + parallelIn2 <= parallelIn1 - shift_right(parallelIn1, attenuationShift); + + ------------------------------------------------------------------------------ + -- SD integrators + integrate1: process(reset, clock) + begin + if reset = '1' then + acc1 <= (others => '0'); + elsif rising_edge(clock) then + if quantized = '1' then + acc1 <= acc1 + resize(parallelIn2, acc1'length) - c1; + else + acc1 <= acc1 + resize(parallelIn2, acc1'length) + c1; + end if; + end if; + end process integrate1; + + integrate2: process(reset, clock) + begin + if reset = '1' then + acc2 <= (others => '0'); + elsif rising_edge(clock) then + if quantized = '1' then + acc2 <= acc2 + resize(acc1, acc2'length) - c2; + else + acc2 <= acc2 + resize(acc1, acc2'length) + c2; + end if; + end if; + end process integrate2; + + ------------------------------------------------------------------------------ + -- test last integrator output + quantized <= '1' when acc2 >= 0 else '0'; + serialOut <= quantized; + +END ARCHITECTURE order2_masterVersion; + diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order2_studentVersion.vhd b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order2_studentVersion.vhd new file mode 100644 index 0000000..fb41871 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order2_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE order2_studentVersion OF DAC IS +BEGIN + serialOut <= '0'; +END ARCHITECTURE order2_studentVersion; diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/dac_entity.vhg b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/dac_entity.vhg new file mode 100644 index 0000000..5266b9c --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/dac_entity.vhg @@ -0,0 +1,27 @@ +-- VHDL Entity DigitalToAnalogConverter.DAC.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:06:08 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY DAC IS + GENERIC( + signalBitNb : positive := 16 + ); + PORT( + serialOut : OUT std_ulogic; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic + ); + +-- Declarations + +END DAC ; + diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order1_masterVersion.vhd._fpf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order1_masterVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order1_masterVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order1_studentVersion.vhd._fpf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order1_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order1_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order2_masterVersion.vhd._fpf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order2_masterVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order2_masterVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order2_studentVersion.vhd._fpf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order2_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order2_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhd._fpf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhg._fpf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.xrf/dac_entity.xrf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.xrf/dac_entity.xrf new file mode 100644 index 0000000..c6e4223 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.xrf/dac_entity.xrf @@ -0,0 +1,27 @@ +DESIGN @d@a@c +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 67,0 17 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 57,0 18 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 52,0 19 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 76,0 20 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 1,0 23 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 1,0 24 0 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/@d@a@c/symbol.sb b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/@d@a@c/symbol.sb new file mode 100644 index 0000000..70f78a1 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/@d@a@c/symbol.sb @@ -0,0 +1,1585 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 141,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 142,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 143,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 144,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 145,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 146,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 83,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 86,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 88,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 90,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 109,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 111,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 112,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 84,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 92,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 96,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 98,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 100,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 102,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 104,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 106,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 82,0 +vaOverrides [ +] +) +] +) +uid 140,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 148,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 138,0 +) +] +) +pdm (PhysicalDM +uid 149,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 114,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 117,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 119,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 121,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 139,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 115,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 123,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 127,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 129,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 131,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 135,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 137,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 113,0 +vaOverrides [ +] +) +] +) +uid 147,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds/@d@a@c/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds/@d@a@c/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds/@d@a@c" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds/DAC" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DAC" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:06:08" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "DigitalToAnalogConverter" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/DigitalToAnalogConverter" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "DAC" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds/@d@a@c/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds/DAC/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "digitalToAnalogConverter" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:06:08" +) +(vvPair +variable "unit" +value "DAC" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,21625,32000,22375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,21400,36400,22600" +st "clock" +blo "33000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,19000,13700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "33000,17400,39200,18600" +st "parallelIn" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,30000,12800" +st "parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,9,0" +) +xt "41601,17400,47001,18600" +st "serialOut" +ju 2 +blo "47001,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,19000,11900" +st "serialOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*65 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,23625,32000,24375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "courier,9,0" +) +xt "33000,23400,36300,24600" +st "reset" +blo "33000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,18000,14600" +st "reset : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,14000,48000,26000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,25800,45100,26700" +st "DigitalToAnalogConverter" +blo "32600,26500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,26700,34100,27600" +st "DAC" +blo "32600,27400" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,29600,45000,32300" +st "Generic Declarations + +signalBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 16,0 +optionalChildren [ +*68 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*73 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,47,1395,986" +viewArea "-1061,-1061,74571,50196" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14600,2500,15500" +st "User:" +blo "0,15300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 172,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/_dac._epf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/_dac._epf new file mode 100644 index 0000000..0c52b64 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/_dac._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom masterVersion +DEFAULT_FILE atom DAC_order1_masterVersion.vhd diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/DAC_tester_test.vhd b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/DAC_tester_test.vhd new file mode 100644 index 0000000..843d64b --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/DAC_tester_test.vhd @@ -0,0 +1,38 @@ +library ieee; + use ieee.math_real.all; + +ARCHITECTURE test OF DAC_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + + signal sineFrequency: real := 20.0E3; + signal tReal: real := 0.0; + signal outAmplitude: real := 1.0; + signal outReal: real := 0.0; + signal outUnsigned: unsigned(parallelIn'range); + +BEGIN + ------------------------------------------------------------------------------ + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + reset <= '1', '0' after 2*clockPeriod; + + ------------------------------------------------------------------------------ + -- time signals + process(sClock) + begin + if rising_edge(sClock) then + tReal <= tReal + 1.0/clockFrequency; + end if; + end process; + + outReal <= outAmplitude * ( sin(2.0*math_pi*sineFrequency*tReal) + 1.0) / 2.0; + + outUnsigned <= to_unsigned(integer(outReal * real(2**(outUnsigned'length)-1)), outUnsigned'length); + parallelIn <= outUnsigned; +-- parallelIn <= shift_left(to_unsigned(1, parallelIn'length), parallelIn'length-1); +-- parallelIn <= shift_left(to_unsigned(3, parallelIn'length), parallelIn'length-2); + +END ARCHITECTURE test; diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/dac_tb_entity.vhg b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/dac_tb_entity.vhg new file mode 100644 index 0000000..ad0a660 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/dac_tb_entity.vhg @@ -0,0 +1,15 @@ +-- VHDL Entity DigitalToAnalogConverter_test.DAC_tb.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:05:57 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- + + +ENTITY DAC_tb IS +-- Declarations + +END DAC_tb ; + diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/dac_tb_struct.vhg b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/dac_tb_struct.vhg new file mode 100644 index 0000000..0d2aa5a --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/dac_tb_struct.vhg @@ -0,0 +1,122 @@ +-- +-- VHDL Architecture DigitalToAnalogConverter_test.DAC_tb.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:43:18 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +LIBRARY DigitalToAnalogConverter; +LIBRARY DigitalToAnalogConverter_test; +LIBRARY WaveformGenerator; + +ARCHITECTURE struct OF DAC_tb IS + + -- Architecture declarations + constant signalBitNb: positive := 16; + constant lowpassShiftBitNb: positive := 8; + constant clockFrequency: real := 60.0E6; + --constant clockFrequency: real := 66.0E6; + + -- Internal signal declarations + SIGNAL clock : std_ulogic; + SIGNAL lowpassIn : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL lowpassOut : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL parallelIn : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL reset : std_ulogic; + SIGNAL serialOut : std_ulogic; + + + -- Component Declarations + COMPONENT DAC + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + serialOut : OUT std_ulogic ; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic + ); + END COMPONENT; + COMPONENT DAC_tester + GENERIC ( + signalBitNb : positive := 16; + clockFrequency : real := 60.0E6 + ); + PORT ( + lowpassOut : IN unsigned (signalBitNb-1 DOWNTO 0); + serialOut : IN std_ulogic ; + clock : OUT std_ulogic ; + parallelIn : OUT unsigned (signalBitNb-1 DOWNTO 0); + reset : OUT std_ulogic + ); + END COMPONENT; + COMPONENT lowpass + GENERIC ( + signalBitNb : positive := 16; + shiftBitNb : positive := 12 + ); + PORT ( + lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic ; + lowpassIn : IN unsigned (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : DAC USE ENTITY DigitalToAnalogConverter.DAC; + FOR ALL : DAC_tester USE ENTITY DigitalToAnalogConverter_test.DAC_tester; + FOR ALL : lowpass USE ENTITY WaveformGenerator.lowpass; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + LowpassIn <= (others => serialOut); + + + -- Instance port mappings. + I_DUT : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => serialOut, + parallelIn => parallelIn, + clock => clock, + reset => reset + ); + I_tester : DAC_tester + GENERIC MAP ( + signalBitNb => signalBitNb, + clockFrequency => clockFrequency + ) + PORT MAP ( + lowpassOut => lowpassOut, + serialOut => serialOut, + clock => clock, + parallelIn => parallelIn, + reset => reset + ); + I_filt : lowpass + GENERIC MAP ( + signalBitNb => signalBitNb, + shiftBitNb => lowpassShiftBitNb + ) + PORT MAP ( + lowpassOut => lowpassOut, + clock => clock, + reset => reset, + lowpassIn => lowpassIn + ); + +END struct; diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/dac_tester_entity.vhg b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/dac_tester_entity.vhg new file mode 100644 index 0000000..9a28e7d --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/dac_tester_entity.vhg @@ -0,0 +1,29 @@ +-- VHDL Entity DigitalToAnalogConverter_test.DAC_tester.interface +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:43:18 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +ENTITY DAC_tester IS + GENERIC( + signalBitNb : positive := 16; + clockFrequency : real := 60.0E6 + ); + PORT( + lowpassOut : IN unsigned (signalBitNb-1 DOWNTO 0); + serialOut : IN std_ulogic; + clock : OUT std_ulogic; + parallelIn : OUT unsigned (signalBitNb-1 DOWNTO 0); + reset : OUT std_ulogic + ); + +-- Declarations + +END DAC_tester ; + diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_DAC_tester_test.vhd._fpf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_DAC_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_DAC_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_entity.vhg._fpf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_struct.vhg._fpf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tester_entity.vhg._fpf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.xrf/dac_tb_entity.xrf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.xrf/dac_tb_entity.xrf new file mode 100644 index 0000000..2c6c191 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.xrf/dac_tb_entity.xrf @@ -0,0 +1,12 @@ +DESIGN @d@a@c_tb +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN @d@a@c_tb +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN @d@a@c_tb +VIEW symbol.sb +GRAPHIC 1,0 11 0 +DESIGN @d@a@c_tb +VIEW symbol.sb +GRAPHIC 1,0 12 0 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.xrf/dac_tb_struct.xrf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.xrf/dac_tb_struct.xrf new file mode 100644 index 0000000..6424012 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.xrf/dac_tb_struct.xrf @@ -0,0 +1,172 @@ +DESIGN @d@a@c_tb +VIEW struct.bd +NO_GRAPHIC 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 142,0 9 0 +DESIGN @d@a@c_tb +VIEW struct.bd +NO_GRAPHIC 12 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 0,0 17 2 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1,0 20 0 +DESIGN @d@a@c_tb +VIEW struct.bd +NO_GRAPHIC 20 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 53,0 26 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1091,0 27 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1081,0 28 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 362,0 29 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 45,0 30 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 364,0 31 0 +DESIGN @d@a@c_tb +VIEW struct.bd +NO_GRAPHIC 32 +DESIGN @d@a@c_tb +VIEW struct.bd +NO_GRAPHIC 33 +LIBRARY DigitalToAnalogConverter +DESIGN @d@a@c +VIEW master@version +GRAPHIC 1298,0 35 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 14,0 36 1 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 67,0 40 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 57,0 41 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 52,0 42 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 76,0 43 0 +LIBRARY DigitalToAnalogConverter_test +DESIGN @d@a@c_tester +VIEW test +GRAPHIC 421,0 46 0 +DESIGN @d@a@c_tester +VIEW interface +GRAPHIC 14,0 47 1 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1083,0 52 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 366,0 53 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 55,0 54 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 63,0 55 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 47,0 56 0 +LIBRARY WaveformGenerator +DESIGN lowpass +VIEW master@version +GRAPHIC 1056,0 59 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 14,0 60 1 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 57,0 65 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 52,0 66 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 76,0 67 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 83,0 68 0 +LIBRARY DigitalToAnalogConverter_test +DESIGN @d@a@c_tb +VIEW struct.bd +NO_GRAPHIC 71 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1298,0 74 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 421,0 75 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1056,0 76 0 +DESIGN @d@a@c_tb +VIEW struct.bd +NO_GRAPHIC 79 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1099,0 82 0 +DESIGN @d@a@c_tb +VIEW struct.bd +NO_GRAPHIC 84 +DESIGN @d@a@c_tb +VIEW struct.bd +NO_GRAPHIC 85 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1298,0 87 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1305,0 88 1 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 366,0 92 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 63,0 93 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 55,0 94 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 47,0 95 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 421,0 97 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 428,0 98 1 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1056,0 109 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1063,0 110 1 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1083,0 115 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1073,0 116 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1065,0 117 0 +DESIGN @d@a@c_tb +VIEW struct.bd +GRAPHIC 1093,0 118 0 +DESIGN @d@a@c_tb +VIEW struct.bd +NO_GRAPHIC 121 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.xrf/dac_tester_entity.xrf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.xrf/dac_tester_entity.xrf new file mode 100644 index 0000000..706d84d --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.xrf/dac_tester_entity.xrf @@ -0,0 +1,30 @@ +DESIGN @d@a@c_tester +VIEW interface +NO_GRAPHIC 0 +DESIGN @d@a@c_tester +VIEW interface +GRAPHIC 50,0 8 0 +DESIGN @d@a@c_tester +VIEW interface +GRAPHIC 13,0 13 1 +DESIGN @d@a@c_tester +VIEW interface +GRAPHIC 360,0 18 0 +DESIGN @d@a@c_tester +VIEW interface +GRAPHIC 375,0 19 0 +DESIGN @d@a@c_tester +VIEW interface +GRAPHIC 355,0 20 0 +DESIGN @d@a@c_tester +VIEW interface +GRAPHIC 365,0 21 0 +DESIGN @d@a@c_tester +VIEW interface +GRAPHIC 370,0 22 0 +DESIGN @d@a@c_tester +VIEW interface +GRAPHIC 1,0 25 0 +DESIGN @d@a@c_tester +VIEW interface +GRAPHIC 1,0 26 0 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/struct.bd b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/struct.bd new file mode 100644 index 0000000..0e8b820 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/struct.bd @@ -0,0 +1,3271 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "DigitalToAnalogConverter_test" +duName "DAC_tester" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 421,0 +) +(Instance +name "I_filt" +duLibraryName "WaveformGenerator" +duName "lowpass" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +mwi 0 +uid 1056,0 +) +(Instance +name "I_DUT" +duLibraryName "DigitalToAnalogConverter" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 1298,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\DAC_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DAC_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:43:18" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "DigitalToAnalogConverter_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/DigitalToAnalogConverter_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "DAC_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\DAC_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:43:18" +) +(vvPair +variable "unit" +value "DAC_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Net +uid 45,0 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 1,0 +) +declText (MLText +uid 46,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17000,15200,18000" +st "SIGNAL reset : std_ulogic" +) +) +*2 (Net +uid 53,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +declText (MLText +uid 54,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13000,15200,14000" +st "SIGNAL clock : std_ulogic" +) +) +*3 (Grouping +uid 110,0 +optionalChildren [ +*4 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,54000,61000,55000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,54500,44200,54500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,50000,65000,51000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,50500,61200,50500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,52000,61000,53000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,52500,44200,52500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,52000,44000,53000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,52500,40200,52500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,51000,81000,55000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,51200,75300,52400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*9 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,50000,81000,51000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,50500,65200,50500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,50000,61000,52000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "45350,50400,55650,51600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,53000,44000,54000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,53500,40200,53500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,54000,44000,55000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,54500,40200,54500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,53000,61000,54000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,53500,44200,53500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "40000,50000,81000,55000" +) +oxt "14000,66000,55000,71000" +) +*14 (Net +uid 362,0 +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +declText (MLText +uid 363,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16000,26400,17000" +st "SIGNAL parallelIn : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*15 (Net +uid 364,0 +decl (Decl +n "serialOut" +t "std_ulogic" +o 6 +suid 4,0 +) +declText (MLText +uid 365,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18000,15500,19000" +st "SIGNAL serialOut : std_ulogic" +) +) +*16 (Blk +uid 421,0 +shape (Rectangle +uid 422,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "1000,40000,79000,48000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 423,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*17 (Text +uid 424,0 +va (VaSet +) +xt "1700,48200,19500,49400" +st "DigitalToAnalogConverter_test" +blo "1700,49200" +tm "BdLibraryNameMgr" +) +*18 (Text +uid 425,0 +va (VaSet +) +xt "1700,49400,9100,50600" +st "DAC_tester" +blo "1700,50400" +tm "BlkNameMgr" +) +*19 (Text +uid 426,0 +va (VaSet +) +xt "1700,50600,6500,51800" +st "I_tester" +blo "1700,51600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 427,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 428,0 +text (MLText +uid 429,0 +va (VaSet +) +xt "2000,52000,28200,54400" +st "signalBitNb = signalBitNb ( positive ) +clockFrequency = clockFrequency ( real ) +" +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +) +*20 (SaComponent +uid 1056,0 +optionalChildren [ +*21 (CptPort +uid 1040,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1041,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50250,27625,51000,28375" +) +tg (CPTG +uid 1042,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1043,0 +va (VaSet +) +xt "52000,27400,55400,28600" +st "clock" +blo "52000,28400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*22 (CptPort +uid 1044,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1045,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67000,23625,67750,24375" +) +tg (CPTG +uid 1046,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1047,0 +va (VaSet +) +xt "58700,23400,66000,24600" +st "lowpassOut" +ju 2 +blo "66000,24400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*23 (CptPort +uid 1048,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1049,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50250,29625,51000,30375" +) +tg (CPTG +uid 1050,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1051,0 +va (VaSet +) +xt "52000,29400,55300,30600" +st "reset" +blo "52000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*24 (CptPort +uid 1052,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1053,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50250,23625,51000,24375" +) +tg (CPTG +uid 1054,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1055,0 +va (VaSet +) +xt "52000,23400,57800,24600" +st "lowpassIn" +blo "52000,24400" +) +) +thePort (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 1057,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "51000,20000,67000,32000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 1058,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 1059,0 +va (VaSet +font "Verdana,9,1" +) +xt "51600,31800,63100,33000" +st "WaveformGenerator" +blo "51600,32800" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 1060,0 +va (VaSet +font "Verdana,9,1" +) +xt "51600,33000,56200,34200" +st "lowpass" +blo "51600,34000" +tm "CptNameMgr" +) +*27 (Text +uid 1061,0 +va (VaSet +font "Verdana,9,1" +) +xt "51600,34200,54900,35400" +st "I_filt" +blo "51600,35200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1062,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1063,0 +text (MLText +uid 1064,0 +va (VaSet +font "Verdana,8,0" +) +xt "51000,35600,71800,37600" +st "signalBitNb = signalBitNb ( positive ) +shiftBitNb = lowpassShiftBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*28 (Net +uid 1081,0 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +declText (MLText +uid 1082,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15000,27300,16000" +st "SIGNAL lowpassOut : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*29 (Net +uid 1091,0 +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 9,0 +) +declText (MLText +uid 1092,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14000,27000,15000" +st "SIGNAL lowpassIn : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*30 (HdlText +uid 1099,0 +optionalChildren [ +*31 (EmbeddedText +uid 1104,0 +commentText (CommentText +uid 1105,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1106,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "35000,13000,51000,15000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1107,0 +va (VaSet +) +xt "35200,13200,50300,14400" +st " +LowpassIn <= (others => serialOut); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1100,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "35000,12000,51000,16000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1101,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 1102,0 +va (VaSet +) +xt "35400,16000,38000,17200" +st "eb1" +blo "35400,17000" +tm "HdlTextNameMgr" +) +*33 (Text +uid 1103,0 +va (VaSet +) +xt "35400,17000,36800,18200" +st "1" +blo "35400,18000" +tm "HdlTextNumberMgr" +) +] +) +) +*34 (SaComponent +uid 1298,0 +optionalChildren [ +*35 (CptPort +uid 1282,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1283,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,27625,19000,28375" +) +tg (CPTG +uid 1284,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1285,0 +va (VaSet +) +xt "20000,27400,23400,28600" +st "clock" +blo "20000,28400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*36 (CptPort +uid 1286,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1287,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,23625,19000,24375" +) +tg (CPTG +uid 1288,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1289,0 +va (VaSet +) +xt "20000,23400,26200,24600" +st "parallelIn" +blo "20000,24400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*37 (CptPort +uid 1290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35000,23625,35750,24375" +) +tg (CPTG +uid 1292,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1293,0 +va (VaSet +) +xt "28601,23400,34001,24600" +st "serialOut" +ju 2 +blo "34001,24400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*38 (CptPort +uid 1294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1295,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,29625,19000,30375" +) +tg (CPTG +uid 1296,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1297,0 +va (VaSet +) +xt "20000,29400,23300,30600" +st "reset" +blo "20000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 1299,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "19000,20000,35000,32000" +) +oxt "32000,14000,48000,26000" +ttg (MlTextGroup +uid 1300,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 1301,0 +va (VaSet +font "Verdana,9,1" +) +xt "19600,31800,34300,33000" +st "DigitalToAnalogConverter" +blo "19600,32800" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 1302,0 +va (VaSet +font "Verdana,9,1" +) +xt "19600,32700,22300,33900" +st "DAC" +blo "19600,33700" +tm "CptNameMgr" +) +*41 (Text +uid 1303,0 +va (VaSet +font "Verdana,9,1" +) +xt "19600,33600,23300,34800" +st "I_DUT" +blo "19600,34600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1304,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1305,0 +text (MLText +uid 1306,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,35600,37400,36600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*42 (Wire +uid 47,0 +shape (OrthoPolyLine +uid 48,0 +va (VaSet +vasetType 3 +) +xt "15000,30000,18250,40000" +pts [ +"15000,40000" +"15000,30000" +"18250,30000" +] +) +start &16 +end &38 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 51,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 52,0 +va (VaSet +font "Verdana,12,0" +) +xt "15000,28600,19100,30000" +st "reset" +blo "15000,29800" +tm "WireNameMgr" +) +) +on &1 +) +*43 (Wire +uid 55,0 +shape (OrthoPolyLine +uid 56,0 +va (VaSet +vasetType 3 +) +xt "13000,28000,18250,40000" +pts [ +"13000,40000" +"13000,28000" +"18250,28000" +] +) +start &16 +end &35 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 59,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 60,0 +va (VaSet +font "Verdana,12,0" +) +xt "15000,26600,18800,28000" +st "clock" +blo "15000,27800" +tm "WireNameMgr" +) +) +on &2 +) +*44 (Wire +uid 63,0 +shape (OrthoPolyLine +uid 64,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "9000,24000,18250,40000" +pts [ +"9000,40000" +"9000,24000" +"18250,24000" +] +) +start &16 +end &36 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 67,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 68,0 +va (VaSet +font "Verdana,12,0" +) +xt "9000,22600,16500,24000" +st "parallelIn" +blo "9000,23800" +tm "WireNameMgr" +) +) +on &14 +) +*45 (Wire +uid 366,0 +optionalChildren [ +*46 (BdJunction +uid 1114,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1115,0 +va (VaSet +vasetType 1 +) +xt "38600,23600,39400,24400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 367,0 +va (VaSet +vasetType 3 +) +xt "35750,24000,43000,40000" +pts [ +"35750,24000" +"43000,24000" +"43000,40000" +] +) +start &37 +end &16 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 370,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 371,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,22600,43500,24000" +st "serialOut" +blo "37000,23800" +tm "WireNameMgr" +) +) +on &15 +) +*47 (Wire +uid 1065,0 +shape (OrthoPolyLine +uid 1066,0 +va (VaSet +vasetType 3 +) +xt "47000,30000,50250,30000" +pts [ +"47000,30000" +"50250,30000" +] +) +end &23 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1071,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1072,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,28600,51100,30000" +st "reset" +blo "47000,29800" +tm "WireNameMgr" +) +) +on &1 +) +*48 (Wire +uid 1073,0 +shape (OrthoPolyLine +uid 1074,0 +va (VaSet +vasetType 3 +) +xt "47000,28000,50250,28000" +pts [ +"47000,28000" +"50250,28000" +] +) +end &21 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1079,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1080,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,26600,50800,28000" +st "clock" +blo "47000,27800" +tm "WireNameMgr" +) +) +on &2 +) +*49 (Wire +uid 1083,0 +shape (OrthoPolyLine +uid 1084,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67750,24000,71000,40000" +pts [ +"67750,24000" +"71000,24000" +"71000,40000" +] +) +start &22 +end &16 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1087,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1088,0 +va (VaSet +font "Verdana,12,0" +) +xt "69750,22600,78850,24000" +st "lowpassOut" +blo "69750,23800" +tm "WireNameMgr" +) +) +on &28 +) +*50 (Wire +uid 1093,0 +shape (OrthoPolyLine +uid 1094,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "47000,16000,50250,24000" +pts [ +"50250,24000" +"47000,24000" +"47000,16000" +] +) +start &24 +end &30 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1097,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1098,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,22600,51300,24000" +st "lowpassIn" +blo "44000,23800" +tm "WireNameMgr" +) +) +on &29 +) +*51 (Wire +uid 1108,0 +shape (OrthoPolyLine +uid 1109,0 +va (VaSet +vasetType 3 +) +xt "39000,16000,39000,24000" +pts [ +"39000,24000" +"39000,16000" +] +) +start &46 +end &30 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1113,0 +ro 270 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "37600,18000,39000,24500" +st "serialOut" +blo "38800,24500" +tm "WireNameMgr" +) +) +on &15 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *52 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*54 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*56 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*57 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*58 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*59 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*60 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*61 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1193,-1193,104962,56484" +cachedDiagramExtent "0,0,81000,55000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 90 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 1552,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*63 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*64 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*66 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*67 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*69 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*70 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*72 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*73 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*75 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*76 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*78 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*80 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*82 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,5000,7000,6000" +st "Declarations" +blo "0,5800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6000,3400,7000" +st "Ports:" +blo "0,6800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7000,4800,8000" +st "Pre User:" +blo "0,7800" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8000,21800,12000" +st "constant signalBitNb: positive := 16; +constant lowpassShiftBitNb: positive := 8; +constant clockFrequency: real := 60.0E6; +--constant clockFrequency: real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12000,9000,13000" +st "Diagram Signals:" +blo "0,12800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,5000,6000,6000" +st "Post User:" +blo "0,5800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,5000,0,5000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *83 (LEmptyRow +) +uid 727,0 +optionalChildren [ +*84 (RefLabelRowHdr +) +*85 (TitleRowHdr +) +*86 (FilterRowHdr +) +*87 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*88 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*89 (GroupColHdr +tm "GroupColHdrMgr" +) +*90 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*91 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*92 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*93 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*94 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*95 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 1,0 +) +) +uid 714,0 +) +*97 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 716,0 +) +*98 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 718,0 +) +*99 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "serialOut" +t "std_ulogic" +o 6 +suid 4,0 +) +) +uid 720,0 +) +*100 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +uid 1089,0 +) +*101 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 9,0 +) +) +uid 1116,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 740,0 +optionalChildren [ +*102 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *103 (MRCItem +litem &83 +pos 6 +dimension 20 +) +uid 742,0 +optionalChildren [ +*104 (MRCItem +litem &84 +pos 0 +dimension 20 +uid 743,0 +) +*105 (MRCItem +litem &85 +pos 1 +dimension 23 +uid 744,0 +) +*106 (MRCItem +litem &86 +pos 2 +hidden 1 +dimension 20 +uid 745,0 +) +*107 (MRCItem +litem &96 +pos 0 +dimension 20 +uid 715,0 +) +*108 (MRCItem +litem &97 +pos 1 +dimension 20 +uid 717,0 +) +*109 (MRCItem +litem &98 +pos 2 +dimension 20 +uid 719,0 +) +*110 (MRCItem +litem &99 +pos 3 +dimension 20 +uid 721,0 +) +*111 (MRCItem +litem &100 +pos 4 +dimension 20 +uid 1090,0 +) +*112 (MRCItem +litem &101 +pos 5 +dimension 20 +uid 1117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 746,0 +optionalChildren [ +*113 (MRCItem +litem &87 +pos 0 +dimension 20 +uid 747,0 +) +*114 (MRCItem +litem &89 +pos 1 +dimension 50 +uid 748,0 +) +*115 (MRCItem +litem &90 +pos 2 +dimension 100 +uid 749,0 +) +*116 (MRCItem +litem &91 +pos 3 +dimension 50 +uid 750,0 +) +*117 (MRCItem +litem &92 +pos 4 +dimension 100 +uid 751,0 +) +*118 (MRCItem +litem &93 +pos 5 +dimension 100 +uid 752,0 +) +*119 (MRCItem +litem &94 +pos 6 +dimension 50 +uid 753,0 +) +*120 (MRCItem +litem &95 +pos 7 +dimension 80 +uid 754,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 741,0 +vaOverrides [ +] +) +] +) +uid 726,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *121 (LEmptyRow +) +uid 756,0 +optionalChildren [ +*122 (RefLabelRowHdr +) +*123 (TitleRowHdr +) +*124 (FilterRowHdr +) +*125 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*126 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*127 (GroupColHdr +tm "GroupColHdrMgr" +) +*128 (NameColHdr +tm "GenericNameColHdrMgr" +) +*129 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*130 (InitColHdr +tm "GenericValueColHdrMgr" +) +*131 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*132 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 768,0 +optionalChildren [ +*133 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *134 (MRCItem +litem &121 +pos 0 +dimension 20 +) +uid 770,0 +optionalChildren [ +*135 (MRCItem +litem &122 +pos 0 +dimension 20 +uid 771,0 +) +*136 (MRCItem +litem &123 +pos 1 +dimension 23 +uid 772,0 +) +*137 (MRCItem +litem &124 +pos 2 +hidden 1 +dimension 20 +uid 773,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 774,0 +optionalChildren [ +*138 (MRCItem +litem &125 +pos 0 +dimension 20 +uid 775,0 +) +*139 (MRCItem +litem &127 +pos 1 +dimension 50 +uid 776,0 +) +*140 (MRCItem +litem &128 +pos 2 +dimension 100 +uid 777,0 +) +*141 (MRCItem +litem &129 +pos 3 +dimension 100 +uid 778,0 +) +*142 (MRCItem +litem &130 +pos 4 +dimension 50 +uid 779,0 +) +*143 (MRCItem +litem &131 +pos 5 +dimension 50 +uid 780,0 +) +*144 (MRCItem +litem &132 +pos 6 +dimension 80 +uid 781,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 769,0 +vaOverrides [ +] +) +] +) +uid 755,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/symbol.sb b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/symbol.sb new file mode 100644 index 0000000..8d6c107 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/symbol.sb @@ -0,0 +1,1244 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds/@d@a@c_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds/@d@a@c_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds/@d@a@c_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds/DAC_tb" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DAC_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:05:57" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "DigitalToAnalogConverter_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/DigitalToAnalogConverter_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "DAC_tb" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds/@d@a@c_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds/DAC_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "digitalToAnalogConverter" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:05:57" +) +(vvPair +variable "unit" +value "DAC_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "22600,14800,37600,15700" +st "DigitalToAnalogConverter_test" +blo "22600,15500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "22600,15700,25600,16600" +st "DAC_tb" +blo "22600,16400" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tester/interface b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tester/interface new file mode 100644 index 0000000..cee3114 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tester/interface @@ -0,0 +1,1653 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 20,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 16,0 +) +) +uid 380,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 17,0 +) +) +uid 382,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 18,0 +) +) +uid 384,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 19,0 +) +) +uid 386,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "serialOut" +t "std_ulogic" +o 6 +suid 20,0 +) +) +uid 388,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 103,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 381,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 383,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 385,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 387,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 389,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 166,0 +) +*50 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +uid 330,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 132,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 133,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 167,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 331,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 138,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 139,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 140,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 141,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\DAC_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DAC_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:43:18" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "DigitalToAnalogConverter_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/DigitalToAnalogConverter_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "DAC_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\DAC_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:43:18" +) +(vvPair +variable "unit" +value "DAC_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 355,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 356,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 357,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 358,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,10800" +st "clock" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 359,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,62000,4400" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 16,0 +) +) +) +*67 (CptPort +uid 360,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 361,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84625,5250,85375,6000" +) +tg (CPTG +uid 362,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 363,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "84300,7000,85700,16100" +st "lowpassOut" +ju 2 +blo "85500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 364,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,73500,2800" +st "lowpassOut : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 17,0 +) +) +) +*68 (CptPort +uid 365,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 366,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 367,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 368,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,14500" +st "parallelIn" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 369,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,73500,5200" +st "parallelIn : OUT unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 18,0 +) +) +) +*69 (CptPort +uid 370,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 371,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 372,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 373,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,11100" +st "reset" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 374,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 19,0 +) +) +) +*70 (CptPort +uid 375,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 376,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 377,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 378,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,13500" +st "serialOut" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 379,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,62000,3600" +st "serialOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "serialOut" +t "std_ulogic" +o 6 +suid 20,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,93000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "45950,9000,62050,10000" +st "DigitalToAnalogConverter_test" +blo "45950,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "45950,10000,52350,11000" +st "DAC_tester" +blo "45950,10800" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "27000,6000,41400,10000" +st "Generic Declarations + +signalBitNb positive 16 +clockFrequency real 60.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 16,0 +optionalChildren [ +*73 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,50000,46600,51000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,46000,52900,47000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,48000,44700,49000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,48000,30800,49000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,47200,60800,48200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "53200,46000,55100,47000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "33350,46400,43650,47600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,49000,30900,50000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,50000,31600,51000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,49000,46300,50000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*85 (MLText +uid 50,0 +va (VaSet +font "Verdana,8,0" +) +xt "0,900,13600,3900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "59,6,1075,696" +viewArea "-500,-500,85152,57543" +cachedDiagramExtent "0,0,93000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "200,200,2500,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "DigitalToAnalogConverter_test" +entityName "DAC_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,49000,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,1000,45400,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,6000,45000,7000" +st "User:" +blo "42000,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49600,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,7000,44000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 389,0 +activeModelName "Symbol:GEN" +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tb._epf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tb._epf new file mode 100644 index 0000000..066bc61 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom @d@a@c_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tester._epf b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tester._epf new file mode 100644 index 0000000..2a4c41f --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom DAC_tester_test.vhd diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds.hdp b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds.hdp new file mode 100644 index 0000000..8d4c2df --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds.hdp @@ -0,0 +1,31 @@ +[Concat] +[ModelSim] +DigitalToAnalogConverter = $SCRATCH_DIR/DigitalToAnalogConverter +DigitalToAnalogConverter_test = $SCRATCH_DIR/DigitalToAnalogConverter_test +SplineInterpolator = $SCRATCH_DIR/SplineInterpolator +SplineInterpolator_test = $SCRATCH_DIR/SplineInterpolator_test +WaveformGenerator = $SCRATCH_DIR/WaveformGenerator +WaveformGenerator_test = $SCRATCH_DIR/WaveformGenerator_test +[hdl] +DigitalToAnalogConverter = $HDS_PROJECT_DIR/../DigitalToAnalogConverter/hdl +DigitalToAnalogConverter_test = $HDS_PROJECT_DIR/../DigitalToAnalogConverter_test/hdl +ieee = $HDS_HOME/hdl_libs/ieee/hdl +SplineInterpolator = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator/hdl +SplineInterpolator_test = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator_test/hdl +std = $HDS_HOME/hdl_libs/std/hdl +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hdl +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hdl +[hds] +DigitalToAnalogConverter = $HDS_PROJECT_DIR/../DigitalToAnalogConverter/hds +DigitalToAnalogConverter_test = $HDS_PROJECT_DIR/../DigitalToAnalogConverter_test/hds +ieee = $HDS_HOME/hdl_libs/ieee/hds +SplineInterpolator = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator/hds +SplineInterpolator_test = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator_test/hds +std = $HDS_HOME/hdl_libs/std/hds +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hds +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hds +[library_type] +ieee = standard +std = standard +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/shared.hdp b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/shared.hdp new file mode 100644 index 0000000..41c9387 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/shared.hdp @@ -0,0 +1,23 @@ +[hds_settings] +version = 1 +project_description = The standard HDS shared project +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +synopsys = $HDS_HOME/hdl_libs/synopsys/hds +verilog = $HDS_HOME/hdl_libs/verilog/hds +vital2000 = $HDS_HOME/hdl_libs/vital2000/hds + +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +synopsys = $HDS_HOME/hdl_libs/synopsys/hdl +verilog = $HDS_HOME/hdl_libs/verilog/hdl +vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl + +[library_type] +ieee = standard +std = standard +synopsys = standard +verilog = standard +vital2000 = standard diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/hds_team_prefs b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/title_block.tmpl b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/hds_team_prefs b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/title_block.tmpl b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/hds_team_prefs b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/title_block.tmpl b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/hds_user_prefs b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/hds_user_prefs new file mode 100644 index 0000000..c09cedf --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/hds_user_prefs @@ -0,0 +1,6092 @@ +version "49.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Atom" +second "atom %(p):%(l)" +) +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl %(p):%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl '%(p)':%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Sublime" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Favorites/ElN_local/Labs/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs_SI/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/04-Lissajous/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/03-DigitalToAnalogConverter/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"\"USER:Xilinx Project Navigator\"" +] +tasksMenubarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +"\"USER:Prepare for Synthesis\"" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" \"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Update Project\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "899x918--1+57" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "DigitalToAnalogConverter" +showingHierarchy 0 +openLibs [ +"DigitalToAnalogConverter" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "DigitalToAnalogConverter_test" +showingHierarchy 0 +openLibs [ +"DigitalToAnalogConverter_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 1739 +yPos 57 +width 1066 +height 937 +activeSidePanelTab 2 +activeLibraryTab 2 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserXpos 59 +componentBrowserYpos 18 +componentBrowserWidth 413 +componentBrowserHeight 846 +componentBrowserLibraryNames [ +"PHA" +"gates" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk new file mode 100644 index 0000000..0b7cc38 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..f0a0260 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/DAC.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..4eeef16 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..6cd6247 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,114 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "/usr/opt/HDS/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update Project" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilinx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$SYNTHESIS_BASE_DIR/../../Scripts/update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR/$DESIGN_NAME.vhd $CONCAT_DIR/$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$SYNTHESIS_HOME/bin/lin64/ise" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exePath" +"/usr/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/hds_user_prefs b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/hds_user_prefs new file mode 100644 index 0000000..9186cf1 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/hds_user_prefs @@ -0,0 +1,6831 @@ +version "48.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "FinePrint" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Letter Small" +type 2 +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A4 Small" +type 10 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "Folio" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "Quarto" +type 15 +width 780 +height 997 +) +(PageSizeInfo +name "10x14" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Note" +type 18 +width 783 +height 1013 +) +(PageSizeInfo +name "Envelope #9" +type 19 +width 357 +height 817 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope #11" +type 21 +width 414 +height 956 +) +(PageSizeInfo +name "Envelope #12" +type 22 +width 437 +height 1013 +) +(PageSizeInfo +name "Envelope #14" +type 23 +width 460 +height 1059 +) +(PageSizeInfo +name "C size sheet" +type 24 +width 1566 +height 2027 +) +(PageSizeInfo +name "D size sheet" +type 25 +width 2027 +height 3133 +) +(PageSizeInfo +name "E size sheet" +type 26 +width 3133 +height 4055 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope C3" +type 29 +width 1175 +height 1661 +) +(PageSizeInfo +name "Envelope C4" +type 30 +width 830 +height 1175 +) +(PageSizeInfo +name "Envelope C6" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Envelope C65" +type 32 +width 413 +height 830 +) +(PageSizeInfo +name "Envelope B4" +type 33 +width 907 +height 1280 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope B6" +type 35 +width 453 +height 638 +) +(PageSizeInfo +name "Envelope Italy" +type 36 +width 399 +height 834 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "6 3/4 Envelope" +type 38 +width 333 +height 599 +) +(PageSizeInfo +name "US Std Fanfold" +type 39 +width 1013 +height 1370 +) +(PageSizeInfo +name "German Std Fanfold" +type 40 +width 783 +height 1105 +) +(PageSizeInfo +name "German Legal Fanfold" +type 41 +width 783 +height 1198 +) +(PageSizeInfo +name "B4 (ISO)" +type 42 +width 907 +height 1280 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "9x11" +type 44 +width 829 +height 1013 +) +(PageSizeInfo +name "10x11" +type 45 +width 921 +height 1013 +) +(PageSizeInfo +name "11x15" +type 46 +width 1013 +height 1382 +) +(PageSizeInfo +name "Envelope Invite" +type 47 +width 798 +height 798 +) +(PageSizeInfo +name "Letter Extra" +type 50 +width 875 +height 1105 +) +(PageSizeInfo +name "Legal Extra" +type 51 +width 875 +height 1382 +) +(PageSizeInfo +name "Tabloid Extra" +type 52 +width 1077 +height 1658 +) +(PageSizeInfo +name "A4 Extra" +type 53 +width 854 +height 1169 +) +(PageSizeInfo +name "Letter Transverse" +type 54 +width 783 +height 1013 +) +(PageSizeInfo +name "A4 Transverse" +type 55 +width 761 +height 1077 +) +(PageSizeInfo +name "Letter Extra Transverse" +type 56 +width 875 +height 1105 +) +(PageSizeInfo +name "SuperA/SuperA/A4" +type 57 +width 823 +height 1291 +) +(PageSizeInfo +name "SuperB/SuperB/A3" +type 58 +width 1106 +height 1767 +) +(PageSizeInfo +name "Letter Plus" +type 59 +width 783 +height 1169 +) +(PageSizeInfo +name "A4 Plus" +type 60 +width 761 +height 1197 +) +(PageSizeInfo +name "A5 Transverse" +type 61 +width 536 +height 761 +) +(PageSizeInfo +name "B5 (JIS) Transverse" +type 62 +width 660 +height 932 +) +(PageSizeInfo +name "A3 Extra" +type 63 +width 1168 +height 1614 +) +(PageSizeInfo +name "A5 Extra" +type 64 +width 631 +height 852 +) +(PageSizeInfo +name "B5 (ISO) Extra" +type 65 +width 729 +height 1001 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "A3 Transverse" +type 67 +width 1077 +height 1523 +) +(PageSizeInfo +name "A3 Extra Transverse" +type 68 +width 1168 +height 1614 +) +(PageSizeInfo +name "FinePrint 2 Seiten Letter" +type 257 +width 783 +height 1210 +) +(PageSizeInfo +type 256 +width 0 +height 0 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x867+1228+98" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 297 +yPos 173 +width 1070 +height 838 +activeSidePanelTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/generate.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..4490ea2 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR\\IND.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs new file mode 100644 index 0000000..63ce98f --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs @@ -0,0 +1,6842 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +pageSizes [ +(PageSizeInfo +name "12\" x 18\"" +type 512 +width 1106 +height 1658 +) +(PageSizeInfo +name "11\" x 17\"" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal (8,5\" x 14\")" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Letter (8,5\" x 11\")" +width 783 +height 1013 +) +(PageSizeInfo +name "Executive (7,25\"x10,5\")" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "5,5\" x 8,5\"" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "A3 (297 x 420 mm)" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4 (210 x 297 mm)" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5 (148 x 210 mm)" +type 11 +width 538 +height 761 +) +(PageSizeInfo +name "A6 (105 x 148 mm)" +type 70 +width 380 +height 538 +) +(PageSizeInfo +name "B4 JIS (257 x 364 mm)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 JIS (182 x 257 mm)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "B6 JIS (128 x 182 mm)" +type 88 +width 464 +height 660 +) +(PageSizeInfo +name "8\" x 13\"" +type 518 +width 737 +height 1198 +) +(PageSizeInfo +name "8,25\" x 13\"" +type 519 +width 760 +height 1198 +) +(PageSizeInfo +name "8,5\" x 13\"" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "8.5\" x 13.4\"" +type 551 +width 783 +height 1235 +) +(PageSizeInfo +name "Com10 Env.(4,125\"x9,5\")" +type 20 +width 380 +height 875 +) +(PageSizeInfo +name "Env.Monar.(3,875\"x7,5\")" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Env. DL (110 x 220 mm)" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Env. C6 (114 x 162 mm)" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Env. C5 (162 x 229 mm)" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "8K (267 x 390 mm)" +type 520 +width 968 +height 1415 +) +(PageSizeInfo +name "16K (195 x 267 mm)" +type 521 +width 707 +height 968 +) +(PageSizeInfo +name "8,25\" x 14\"" +type 522 +width 760 +height 1290 +) +(PageSizeInfo +name "11\" x 14\"" +type 524 +width 1013 +height 1290 +) +(PageSizeInfo +name "13\" x 19,2\"" +type 525 +width 1198 +height 1769 +) +(PageSizeInfo +name "13\" x 19\"" +type 526 +width 1198 +height 1751 +) +(PageSizeInfo +name "12,6\" x 19,2\"" +type 527 +width 1161 +height 1769 +) +(PageSizeInfo +name "12,6\" x 18,5\"" +type 528 +width 1161 +height 1704 +) +(PageSizeInfo +name "13\" x 18\"" +type 529 +width 1198 +height 1658 +) +(PageSizeInfo +name "10\" x 14\"" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "10\" x 15\"" +type 546 +width 921 +height 1382 +) +(PageSizeInfo +name "11\" x 15\"" +type 539 +width 1013 +height 1382 +) +(PageSizeInfo +name "SRA3 (320 x 450 mm)" +type 530 +width 1161 +height 1632 +) +(PageSizeInfo +name "SRA4 (225 x 320 mm)" +type 531 +width 816 +height 1161 +) +(PageSizeInfo +name "Format papier personnalisé" +type 256 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size1(215,9 x 279,4 mm)" +type 257 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size2(215,9 x 279,4 mm)" +type 258 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size3(215,9 x 279,4 mm)" +type 259 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size4(215,9 x 279,4 mm)" +type 260 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size5(215,9 x 279,4 mm)" +type 261 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size6(215,9 x 279,4 mm)" +type 262 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size7(215,9 x 279,4 mm)" +type 263 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size8(215,9 x 279,4 mm)" +type 264 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size9(215,9 x 279,4 mm)" +type 265 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size10(215,9 x 279,4 mm)" +type 266 +width 783 +height 1013 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "1040x667+-1326+141" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "DigitalToAnalogConverter" +showingHierarchy 0 +openLibs [ +"DigitalToAnalogConverter" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "DigitalToAnalogConverter_test" +showingHierarchy 0 +openLibs [ +"DigitalToAnalogConverter_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1936 +height 1056 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk new file mode 100644 index 0000000..43e7dbe --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Diamond Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Diamond synthesis Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.ldf" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Diamond project .prjx file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_diamond.pl $DESIGN_NAME.ldf $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.lpf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$DIAMOND_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Diamond Project IDE" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Diamond tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\start_diamond.pl $DESIGN_NAME.ldf" +"captureOutput" +"1" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$LIBERO_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/generate.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..f0a0260 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/DAC.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/.gitlab-ci.yml b/zz-solutions/03-DigitalToAnalogConverter/Scripts/.gitlab-ci.yml new file mode 100644 index 0000000..9d1d7af --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/.gitlab-ci.yml @@ -0,0 +1,53 @@ +stages: + - deploy + +image: alpine:latest + +scripts: + stage: deploy + rules: + - if: '$CI_COMMIT_BRANCH == $CI_DEFAULT_BRANCH' + changes: + - deployScriptsAll.bash + - deployScripts.bash + - /*.{pl} + - when: manual + + before_script: + - echo "install additional packages" + - apk update + - apk upgrade + - echo "Install Bash" + - apk add bash + - echo "Install Core Utils" + - apk add coreutils + - echo "Install Git" + - apk add git + - echo "Set Git credentials" + - git config --global user.email "silvan.zahno@hevs.ch" + - git config --global user.name "Silvan Zahno" + - echo "Setting up deploy-eda_scripts environment" + + script: + - bash ./deployScriptsAll.bash + +libs_trigger: + stage: deploy + rules: + - if: '$CI_COMMIT_BRANCH == $CI_DEFAULT_BRANCH' + changes: + - deployLibsAll.bash + - deployLibs.bash + - when: manual + variables: + GIT_CHECKOUT: "false" + + before_script: + - echo "install additional packages" + - apk update + - apk upgrade + - echo "Install Curl" + - apk add curl + + script: + - 'curl -X POST --fail -F token=glptt-0235c37f03e3060733df7d1151555fd0c63d0adb -F ref=master "https://gitlab.hevs.ch/api/v4/projects/762/trigger/pipeline"' diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/LICENSE b/zz-solutions/03-DigitalToAnalogConverter/Scripts/LICENSE new file mode 100644 index 0000000..fdf8bfa --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/LICENSE @@ -0,0 +1,11 @@ +All Rights Reserved + +Copyright (c) 2019 - HES-SO Valais Wallis + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN +THE SOFTWARE. \ No newline at end of file diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/README.md b/zz-solutions/03-DigitalToAnalogConverter/Scripts/README.md new file mode 100644 index 0000000..618a38f --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/README.md @@ -0,0 +1,238 @@ +

+
+ EDA scripts Logo +
+ Hevs EDA Scripts +
+

+ +

Common Files and Scripts for ELN Laboratories practical sessions.

+ +[![pipeline status](https://gitlab.hevs.ch/course/ElN/eda_scripts/badges/master/pipeline.svg)](https://gitlab.hevs.ch/course/ElN/eda_scripts/commits/master) +[![coverage report](https://gitlab.hevs.ch/course/ElN/eda_scripts/badges/master/coverage.svg)](https://gitlab.hevs.ch/course/ElN/eda_scripts/commits/master) + +# Table of contents +
+ +## Description +[(Back to top)](#table-of-contents) + +These scripts can be used as for: +**Git Repos** +* [EDA_libs](https://gitlab.hevs.ch/course/ElN/eda_libs.git) +* [ELN_labs](https://gitlab.hevs.ch/course/ElN/eln_labs.git) +* [SEm_exams](https://gitlab.hevs.ch/course/SEm/exams.git) +* [ELN_chrono](https://gitlab.hevs.ch/course/ElN/eln_chrono.git) +* [ELN_cursor](https://gitlab.hevs.ch/course/ElN/eln_cursor.git) +* [ELN_kart](https://gitlab.hevs.ch/course/ElN/eln_kart.git) +* [ELN_inverter](https://gitlab.hevs.ch/course/ElN/eln_inverter.git) +* [ELN_synchro](https://gitlab.hevs.ch/course/ElN/eln_synchro.git) +* [SEm_labs](https://gitlab.hevs.ch/course/SEm/sem_labs.git) +* [ELN-kart](https://gitlab.hevs.ch/course/ElN/eln_kart_sodimm200.git) +* [ELN-display](https://gitlab.hevs.ch/course/ElN/eln_display.git) + +**SVN Repos** +* [CanSat](https://repos.hevs.ch/svn/eda/VHDL/labs/CanSat) (TODO) :rotating_light: +* [ELN_support](https://repos.hevs.ch/svn/eda/VHDL/labs/ELN_support) (TODO) :rotating_light: +* [EPTM_AudioAmp](https://repos.hevs.ch/svn/eda/VHDL/labs/AudioAmp) (TODO) :rotating_light: +* [EPTM_Radio](https://repos.hevs.ch/svn/eda/VHDL/labs/EPTM_radio) (TODO) :rotating_light: +* ... + +### Files +**Linux** +* ``changeDefaultViews.bash`` - Changes RTL <=> studentVersion + * Usage master => student: ``changeDefaultViews.bash -v -a masterVersion -n studentVersion`` + * Usage master => student: ``changeDefaultViews.bash -v -a master@version -n student@version`` + * Usage student => master: ``changeDefaultViews.bash -v -a studentVersion -n masterVersion`` + * Usage student => master: ``changeDefaultViews.bash -v -a student@version -n master@version`` + * Note: use the ``-r`` otion to also delete the specified actual_view +* ``cleanGenerated.bash`` - Deletes all intermediate files + * Usage: ``./cleanGenerated.bash`` +* ``hdlDesigner.bash`` - Main script for starting HDL-Designer +* ``deployLibs.bash`` - Execution from eln_libs repo, copy required libraries to student repo and commit/push it. + * Usage: ``./Scripts/deployLibs.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git`` +* ``deployLibsAll.bash`` - Execution from eln_libs repo, copy required libraries to all student repo's and commit/push it. + * Usage: ``./Scripts/deployLibsAll.bash`` +* ``deployLab.bash`` - Execution from Laborepo, copy required laboratory files to student repo and commits/push it. + * Usage: ``./Scripts/deployLab.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git`` +* ``deployScripts.bash`` - Copy required scripts to student repo and commits/push it. + * Usage: ``./deployScripts.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git`` +* ``deployScriptsAll.bash`` - Execution from eln_scripts repo, copy required scripts to all student repo's and commit/push it. + * Usage: ``./deployScriptsAll.bash`` + +**Windows** +* ``cleanGenerated.bat`` - Deletes all intermediate files + * Usage: ``./cleanGenerated.bat`` +* ``cleanScratch.bat`` - Delete scratch directory + * Usage: +``` bash +set SCRATCH_DIR=C:\temp\eda\%username% +./cleanScratch.bat +``` + +* ``hdlDesigner.bat`` - Main script for starting HDL-Designer +* ``searchPaths.bat`` - Search for required Libraries and tools + * Usage: +``` bash +:: Define required Tools to be present +set REQUIRE_LIBS=1 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 +set REQUIRE_ISE=1 +set REQUIRE_LIBERO=0 +set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries +set HDS_HOME=C:\eda\MentorGraphics\HDS +set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +set ISE_VERSION=14.7 +set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +set LIBERO_HOME=C:\eda\Microsemi\Libero +set design_name=eln_labs +./searchPaths.bat +``` + +**Perl Scripts** +Perl scripts are used to launch different tasks from HDL-Designer +* ``trimLibs.pl`` - Comment regular libraries in an concatenated file + * Parameter : ``trimlibs.pl `` +* ``update_ise.pl`` - + * Parameter : ``update_ise.pl `` +* ``update_libero.pl`` - + * Parameter : ``update_libero.pl `` +* ``start_libero.pl`` - + * Parameter : ``start_libero.pl `` + +## How To Use +[(Back to top)](#table-of-contents) + +To clone and run this application, you'll need [Git](https://git-scm.com) installed on your computer. +This repo is normally used as submodule to the laboratories and projects. + +To deploy the studentVersion to github cd to root of Labor master repo: +```bash +cd eln_labs +./Scripts/deployLab.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git +./Scripts/deployLab.bash -v -p ete_eln_labs -r https://github.com/hei-ete-8132-eln/eln_labs.git +./Scripts/deployLab.bash -v -p isc-eln-labs -r https://github.com/hei-isc-eln/eln-labs.git + +cd sem_labs +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 01-WaveformGenerator +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 02-SplineInterpolator +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 03-DigitalToAnalogConverter +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 04-Lissajous +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 05-Morse +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 06-07-08-09-SystemOnChip +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 10-PipelinedOperators + +cd eln_chrono +./Scripts/deployLab.bash -v -p eln_chrono -r https://github.com/hei-synd-2131-eln/eln_chrono.git + +cd eln_cursor +./Scripts/deployLab.bash -v -p eln_cursor -r https://github.com/hei-synd-2131-eln/eln_cursor.git + +cd eln-display +./Scripts/deployLab.bash -v -p eln-display -r https://github.com/hei-isc-eln/eln-display.git + +cd eln-kart +./Scripts/deployLab.bash -v -p eln-kart -r https://github.com/hei-synd-2131-eln/eln-kart.git +``` + +To deploy the Libraries to github cd to root of Libs master repo: +```bash +./Scripts/deployLibs.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git +./Scripts/deployLibs.bash -v -p ete_eln_labs -r https://github.com/hei-ete-8132-eln/eln_labs.git +./Scripts/deployLibs.bash -v -p isc_eln_labs -r https://github.com/hei-isc-eln/eln-labs.git +./Scripts/deployLibs.bash -v -p sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git +./Scripts/deployLibs.bash -v -p eln_chrono -r https://github.com/hei-synd-2131-eln/eln_chrono.git +./Scripts/deployLibs.bash -v -p eln_cursor -r https://github.com/hei-synd-2131-eln/eln_cursor.git +./Scripts/deployLibs.bash -v -p eln_kart -r https://github.com/hei-synd-2131-eln/eln_kart.git +./Scripts/deployLibs.bash -v -p eln_inverter -r https://github.com/hei-synd-2131-eln/eln_inverter.git +./Scripts/deployLibs.bash -v -p eln_synchro -r https://github.com/hei-synd-2131-eln/eln_synchro.git +./Scripts/deployLibs.bash -v -p eln-kart -r https://github.com/hei-synd-2131-eln/eln-kart.git +./Scripts/deployLibs.bash -v -p eln-display -r https://github.com/hei-isc-eln/eln-display.git +# or +./Scripts/deployLibsAll.bash +``` + +To deploy the Scripts to github cd root of Scripts master Repo +```bash +./deployScripts.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git +./deployScripts.bash -v -p ete_eln_labs -r https://github.com/hei-ete-8132-eln/eln_labs.git +./deployScripts.bash -v -p isc_eln_labs -r https://github.com/hei-isc-eln/eln-labs.git +./deployScripts.bash -v -p sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git +./deployScripts.bash -v -p eln_chrono -r https://github.com/hei-synd-2131-eln/eln_chrono.git +./deployScripts.bash -v -p eln_cursor -r https://github.com/hei-synd-2131-eln/eln_cursor.git +./deployScripts.bash -v -p eln_kart -r https://github.com/hei-synd-2131-eln/eln_kart.git +./deployScripts.bash -v -p eln_inverter -r https://github.com/hei-synd-2131-eln/eln_inverter.git +./deployScripts.bash -v -p eln_synchro -r https://github.com/hei-synd-2131-eln/eln_synchro.git +./deployScripts.bash -v -p eln-kart -r https://github.com/hei-synd-2131-eln/eln-display.git +./deployScripts.bash -v -p eln-display -r https://github.com/hei-isc-eln/eln-display.git +# or +./deployScriptsAll.bash +``` + +
+![eln labs deployment](img/eln_labs_deployment-staff.png) +
+ +### Download +```bash +# Clone repo including submodules +git clone --recursive +``` + +### Pull changes repo and submodules +```bash +# Pull all changes in the repo including changes in the submodules (of given commit) +git pull --recurse-submodules +``` + +#### Update to latest commit +Update submodule to latest commit and update parentrepo +```bash +# Update submodule to latest commit +git submodule update --remote --merge + +# Afterwared you need to commit in the parentrepo the new pointer to the new commit in the submodule +git commit -am "Update submodule to latest commit" +``` + +### Add submodule +If the folder ``Scripts`` already exists, delete it and commit these changes. +Add submodule and define the master branch as the one you want to track +```bash +git submodule add -b master +git submodule add -b master https://gitlab.hevs.ch/course/ElN/eda_scripts.git Scripts +git submodule add -b master https://gitlab.hevs.ch/course/ElN/eda_libs.git Libs + +git submodule init + +git submodule update +``` + +## Credits +[(Back to top)](#table-of-contents) +* COF +* PRC +* ZAS +* AMA + +## License +[(Back to top)](#table-of-contents) + +:copyright: [All rights reserved](LICENSE) + +--- + +## Find us on +> Website [hevs.ch](https://www.hevs.ch)  ·  +> LinkedIn [HES-SO Valais-Wallis](https://www.linkedin.com/groups/104343/)  ·  +> Youtube [HES-SO Valais-Wallis](https://www.youtube.com/user/HESSOVS) +> Twitter [@hessovalais](https://twitter.com/hessovalais)  ·  +> Facebook [@hessovalais](https://www.facebook.com/hessovalais)  ·  \ No newline at end of file diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/changeDefaultViews.bash b/zz-solutions/03-DigitalToAnalogConverter/Scripts/changeDefaultViews.bash new file mode 100644 index 0000000..be6fa62 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/changeDefaultViews.bash @@ -0,0 +1,94 @@ +#!/bin/bash + +#================================================================================ +# changeDefaultViews.bash - change HDL Project views +# * Usage master => student: ``changeDefaultViews.bash -v -a masterVersion -n studentVersion`` +# * Usage student => master: ``changeDefaultViews.bash -v -a studentVersion -n masterVersion`` +# * Usage: add ``-r`` for deleting the specified actual view **dangerous** +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +project_directory='' +actual_view='masterVersion' +new_view='studentVersion' + +usage='Usage: changeDefaultViews.bash [-p projectDir] [-r] [-v] [-h]' +while getopts 'p:a:n:rvh' options; do + case $options in + p ) project_directory=$OPTARG;; + a ) actual_view=$OPTARG;; + n ) new_view=$OPTARG;; + r ) delete_actual_view=1;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done +if [ -z "$project_directory" ]; then + project_directory="$base_directory" +else + project_directory="$base_directory/$project_directory" +fi + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "Changing default views for HDL Designer" + echo "${INDENT}in $project_directory" + echo "${INDENT}from $actual_view to $new_view" + if [ -n "$delete_actual_view" ] ; then + echo "Delete all $actual_view in $project_directory" + fi +fi + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +./cleanGenerated.bash + +#------------------------------------------------------------------------------- +# Change views in configuration files +# +find $project_directory -type f -name '*._epf' \ + | xargs sed -i "s/$actual_view/$new_view/g" + +#------------------------------------------------------------------------------- +# Remove all acutal views File +# +if [ -n "$delete_actual_view" ] ; then + project_directory=`realpath $project_directory` + echo $project_directory + echo "Delete $actual_view" + find $project_directory/ -type f -iname "*$actual_view*" -exec echo "rm {}" \; + find $project_directory/ -type f -iname "*$actual_view*" -exec rm {} \; + # For HDL Designer file naming convention + # making uppercase letters to @lowercase masterVersion = master@version + actual_view="$(sed -E s/\([A-Z]\)/@\\L\\1/g <<< $actual_view)" + find $project_directory/ -type f -name "*$actual_view*" -exec echo "rm {}" \; + find $project_directory/ -type f -name "*$actual_view*" -exec rm {} \; + +fi + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bash b/zz-solutions/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bash new file mode 100644 index 0000000..ca088c6 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bash @@ -0,0 +1,38 @@ +#!/bin/bash + +#================================================================================ +# cleanGenerated.bash - Clean intermediate files form folder +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +find $base_directory -type f -name '.cache.dat' | xargs -r rm -v +find $base_directory -type f -name '*.bak' | xargs -r rm -v +find $base_directory -type f -name '*.lck' | xargs -r rm -v +find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v +find $base_directory -type f -name 'default_view' | xargs -r rm -v +find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v +find $base_directory -type f -name '*.vhg' | xargs -r rm -v +find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v +find $base_directory -type d -name '.xrf' | xargs -r rm -Rv + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bat b/zz-solutions/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bat new file mode 100644 index 0000000..0084edb --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bat @@ -0,0 +1,42 @@ +::============================================================================== +:: cleanGenerated.bat +:: Clean intermediate files from folder +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. +::------------------------------------------------------------------------------ +:: Delete intermediate files +:: +set base_directory="%cmd_location:"=%.." + +echo "Delete intermediate files in: %base_directory%" +del /f /s /a %base_directory%\*cache.dat +del /f /s /a %base_directory%\*.bak +del /f /s /a %base_directory%\*.lck +del /f /s /a %base_directory%\*.vhd.info +del /f /s /a %base_directory%\default_view +del /f /s /a %base_directory%\*_entity.vhd +del /f /s /a %base_directory%\*_struct.vhd +del /f /s /a %base_directory%\*_fsm.vhd +del /f /s /a %base_directory%\*.vhg +del /f /s /a %base_directory%\*.DS_Store + +echo "Delete intermediate directories in: %base_directory%" +for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a" + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/cleanScratch.bat b/zz-solutions/03-DigitalToAnalogConverter/Scripts/cleanScratch.bat new file mode 100644 index 0000000..098bf97 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/cleanScratch.bat @@ -0,0 +1,39 @@ +::============================================================================== +:: cleanScratch.bat +:: Clean scratch directory +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +if "%SCRATCH_DIR%" == "" ( + set SCRATCH_DIR=C:\temp\eda\ +) + +echo Delete scratch directory %SCRATCH_DIR% +if exist %SCRATCH_DIR% ( + echo Scratch directory found, deleting! + rmdir /S /Q "%SCRATCH_DIR%" +) else ( + echo Scratch directory not found! +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof \ No newline at end of file diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployLab.bash b/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployLab.bash new file mode 100644 index 0000000..10652b0 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployLab.bash @@ -0,0 +1,559 @@ +#!/bin/bash + +#================================================================================ +# deployLab.bash - updates github repos for individual labos +# Example usage 01: ./Scripts/deployLab.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +# Example usage 02: ./Scripts/deployLab.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +# Example usage 03: ./Scripts/deployLab.bash -v -p isc-did-labs -r https://github.com/hei-isc-eln/did-labs.git +# Example usage 04: ./Scripts/deployLab.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +# Example usage 05: ./Scripts/deployLab.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +# Example usage 06: ./Scripts/deployLab.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +# Example usage 07: ./Scripts/deployLab.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +# Example usage 08: ./Scripts/deployLab.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +# Example usage 09: ./Scripts/deployLab.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git + +# Example usage 10: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 01-WaveformGenerator +# Example usage 11: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 01-WaveformGenerator +# Example usage 12: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 02-SplineInterpolator +# Example usage 13: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 03-DigitalToAnalogConverter +# Example usage 14: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 04-Lissajous +# Example usage 15: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 05-Morse +# Example usage 16: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 06-07-08-09-SystemOnChip +# Example usage 17: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 10-PipelinedOperators + +# Example usage 18: ./Scripts/deployLab.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git -s 1 +# Example usage 19: ./Scripts/deployLab.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Parse command line options +# +project='synd-did-labs' +repo='https://github.com/hei-synd-did/did-labs.git' +dir='01-StepperMotor' +sourceDirUp=0 + +usage='Usage: deployLab.bash [-p projectName] [-r repourl] [-d directory] [-s sourceDirUp] [-v] [-h]' +while getopts 'p:r:d:s:vh' options; do + case $options in + p ) project=$OPTARG;; + r ) repo=$OPTARG;; + d ) dir=$OPTARG;; + s ) sourceDirUp=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "-- $DATE: Deploy Laboratory for Students" + echo "${INDENT}for $project" + echo "${INDENT}to $repo" + echo "${INDENT}in $dir" + echo "" +fi + +#------------------------------------------------------------------------------- +# Clean current repo +# +echo "Clean parent repo from intermediate files" +./cleanGenerated.bash + +#------------------------------------------------------------------------------- +# Clone student repo +# +# Create a tmp subdirectory if it doesn't exist +echo "Create tmp folder" +mkdir -p tmp +cd tmp + +# Get repo +echo "Clone student repo $project" +# Add login and access token to url +repo_access=$(echo $repo | sed 's/https\?:\/\///') +github_username=tschinz +github_accesstoken=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 +repo_access="https://$github_username:$github_accesstoken@${repo_access}" +git clone $repo_access +if [ "$project" == "synd-did-labs" ]; then + cd did-labs +elif [ "$project" == "ete-did-labs" ]; then + cd did-labs +elif [ "$project" == "isc-did-labs" ]; then + cd did-labs +else + cd $project +fi + +repo_dest=`realpath "./"` +repo_source="./" +# If Scripts folder is at root of project, needs to get up 3 times (scripts, project name, tmp) +# If more is needed (i.e. see car-labs structure), can be added with -s switch +for ((i=0;i<$sourceDirUp+3;i++)); do + repo_source="$repo_source/.." +done +repo_source=`realpath "$repo_source"` + +echo "Update files in student repo $project" +# Copy needed files per project +if [ "$project" == "synd-did-labs" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + rm -v -f ./Num/hdl/sinewaveGenerator_comb.vhd + +elif [ "$project" == "ete-did-labs" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + rm -v -f ./Num/hdl/sinewaveGenerator_comb.vhd + +elif [ "$project" == "isc-did-labs" ]; then + # copy root files except some specific ones + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + # iterate over folders to choose what to copy + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + rm -v -f ./Num/hdl/sinewaveGenerator_comb.vhd + +elif [ "$project" == "car-labs" ]; then + # bem + echo "copy $repo_source/bem" + cp -ar "$repo_source/bem" ./ + # isa + echo "copy $repo_source/isa" + cp -ar "$repo_source/isa" ./ + # heirv32_sc + mkdir -p heirv32_sc + # copy root files except some specific ones + find $repo_source/hdl -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest/heirv32_sc \; + # iterate over folders to choose what to copy + for folder in $(find $repo_source/hdl -maxdepth 1 -type d ) + do + if [[ "$repo_source/hdl" != "$folder" && "$repo_source/hdl/.git" != "$folder" && "$repo_source/hdl/Libs" != "$folder" && "$repo_source/hdl/Scripts" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder heirv32_sc/ + else + echo "skip $folder" + fi + done + + rm -v -f heirv32_sc/Board/concat/concatenated.vhd + rm -v -f heirv32_sc/Board/concat/car-labs.vhd + + rm -v -f heirv32_sc/HEIRV32/hdl/ALU_rtl.vhd + rm -v -f heirv32_sc/HEIRV32/hdl/extend_rtl.vhd + rm -v -f heirv32_sc/HEIRV32/hdl/aludecoder_entity.vhg + rm -v -f heirv32_sc/HEIRV32/hdl/aluDecoder_rtl.vhd + rm -v -f -r heirv32_sc/HEIRV32/hds/alu@decoder + rm -v -f heirv32_sc/HEIRV32/hds/_aludecoder._epf + + rm -v -f heirv32_sc/HEIRV32/SingleCycle/hdl/mainDecoder_entity.vhg + rm -v -f heirv32_sc/HEIRV32/SingleCycle/hdl/mainDecoder_rtl.vhd + rm -v -f -r heirv32_sc/HEIRV32/SingleCycle/hds/main@decoder + rm -v -f heirv32_sc/HEIRV32/SingleCycle/hds/_maindecoder._epf + + rm -v -f heirv32_sc/Simulation/empty_ram.txt + +elif [ "$project" == "car-heirv" ]; then + # heirv32_mc + # copy root files except some specific ones + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + # iterate over folders to choose what to copy + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source/" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + + rm -v -f ./Board/concat/concatenated.vhd + rm -v -f ./Board/concat/car-labs.vhd + + rm -v -f ./HEIRV32/hdl/ALU_rtl.vhd + rm -v -f ./HEIRV32/hdl/extend_rtl.vhd + rm -v -f ./HEIRV32/hdl/aludecoder_entity.vhg + rm -v -f ./HEIRV32/hdl/aluDecoder_rtl.vhd + rm -v -f -r ./HEIRV32/hds/alu@decoder + rm -v -f ./HEIRV32/hds/_aludecoder._epf + + rm -v -f ./HEIRV32/MultiCycle/hdl/instrdecoder_entity.vhg + rm -v -f ./HEIRV32/MultiCycle/hdl/instrDecoder_rtl.vhd + rm -v -f ./HEIRV32/MultiCycle/hdl/mainfsm_entity.vhg + rm -v -f ./HEIRV32/MultiCycle/hdl/mainfsm_fsm.vhg + rm -v -f -r ./HEIRV32/MultiCycle/hds/instr@decoder + rm -v -f -r ./HEIRV32/MultiCycle/hds/main@f@s@m + rm -v -f ./HEIRV32/MultiCycle/hds/_instrdecoder._epf + rm -v -f ./HEIRV32/MultiCycle/hds/_mainfsm._epf + + rm -v -f ./HEIRV32_test/hdl/universalTester_test.vhd + rm -v -f -r ./HEIRV32_test/hds/universal@tester + rm -v -f ./HEIRV32_test/hds/_universaltester._epf + + rm -v -f ./Simulation/code_mc_disassembled.svg + rm -v -f ./Simulation/code_mc_disassembled_labels.svg + rm -v -f ./Simulation/code_mc_ghidra_labels.png + rm -v -f ./Simulation/empty_ram.txt + + #search='design_root = Board.ebs2_sc(struct)ebs2_sc/struct.bd' + #replace='design_root = Board.ebs2_mc(struct)ebs2_mc/struct.bd' + #sed -i "s/$search/$replace" Prefs/hds.hdp + +elif [ "$project" == "ele_labs" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "sem-labs" ]; then + mkdir -p $dir + repo_dest=`realpath "./$dir/"` + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/01-WaveformGenerator/Scripts" != "$folder" && "$repo_source/02-SplineInterpolator/Scripts" != "$folder" && "$repo_source/03-DigitalToAnalogConverter/Scripts" != "$folder" && "$repo_source/04-Lissajous/Scripts" != "$folder" && "$repo_source/05-Morse/Scripts" != "$folder" && "$repo_source/06-07-08-09-SystemOnChip/Scripts" != "$folder" && "$repo_source/10-PipelinedOperators/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder $repo_dest + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for sem-labs $dir" + + if [ "$dir" == "01-WaveformGenerator" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "02-SplineInterpolator" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "03-DigitalToAnalogConverter" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "04-Lissajous" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "05-Morse" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "06-07-08-09-SystemOnChip" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "10-PipelinedOperators" ]; then + echo "Info: Nothing to be deleted" + fi + +elif [ "$project" == "did-cursor" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-cursor" + rm -v -f ./Cursor/hdl/amplitudeControl_RTL.vhd + rm -v -f ./Cursor/hdl/bridgeControl_RTL.vhd + rm -v -f ./Cursor/hdl/decelerationPositions_RTL.vhd + rm -v -f ./Cursor/hdl/divider_RTL.vhd + rm -v -f ./Cursor/hdl/findDistance_RTL1.vhd + rm -v -f ./Cursor/hdl/positionCounter_RTL.vhd + rm -v -f ./Cursor/hdl/pulseWidthModulator_RTL.vhd + rm -v -f ./Cursor/hds/_amplitudecontrol._epf + rm -v -f ./Cursor/hds/_bridgecontrol._epf + rm -v -f ./Cursor/hds/_control._epf + rm -v -f ./Cursor/hds/_decelerationpositions._epf + rm -v -f ./Cursor/hds/_divider._epf + rm -v -f ./Cursor/hds/_positioncounter._epf + rm -v -f ./Cursor/hds/_pulsewidthmodulator._epf + rm -v -f ./Cursor/hds/.hdlsidedata/_amplitudecontrol_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_amplitudeControl_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_bridgecontrol_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_bridgeControl_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_control_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_control_fsm.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_decelerationpositions_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_decelerationPositions_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_divider_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_divider_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_findDistance_RTL1.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_positioncounter_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_positionCounter_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_pulsewidthmodulator_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_pulseWidthModulator_RTL.vhd._fpf + rm -v -f -r ./Cursor/hds/amplitude@control + rm -v -f -r ./Cursor/hds/bridge@control + rm -v -f -r ./Cursor/hds/control + rm -v -f -r ./Cursor/hds/deceleration@positions + rm -v -f -r ./Cursor/hds/divider + rm -v -f -r ./Cursor/hds/position@counter + rm -v -f -r ./Cursor/hds/pulse@width@modulator + rm -v -f -r ./Cursor/hds/rising@detector + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-cursor.vhd + +elif [ "$project" == "did-chrono" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-chrono" + rm -v -f ./Chronometer/hdl/coilControl_RTL.vhd + rm -v -f ./Chronometer/hdl/divider1Hz_RTL.vhd + rm -v -f ./Chronometer/hdl/tickLengthCounter_RTL.vhd + rm -v -f ./Chronometer/hdl/lcdDisplay_masterVersion.vhd + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/_control._epf + rm -v -f ./Chronometer/hds/_divider1hz._epf + rm -v -f ./Chronometer/hds/_ticklengthcounter._epf + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/.hdlsidedata/_coilcontrol_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_coilControl_RTL.vhd._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_control_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_control_fsm.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_divider1hz_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_divider1Hz_RTL.vhd._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_ticklengthcounter_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_tickLengthCounter_RTL.vhd._fpf + rm -v -f -r ./Chronometer/hds/coil@control/ + rm -v -f -r ./Chronometer/hds/control/ + rm -v -f -r ./Chronometer/hds/divider1@hz/ + rm -v -f -r ./Chronometer/hds/rising@detector/ + rm -v -f -r ./Chronometer/hds/tick@length@counter/ + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-chrono.vhd + +elif [ "$project" == "did-kart-ebs2" ]; then + mkdir -p $dir + repo_dest=`realpath "./$dir/"` + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder $repo_dest + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-kart-ebs2 $dir" + + if [ "$dir" == "01-StepperMotor" ]; then + rm -v -f ./01-StepperMotor/StepperMotor/hdl/angleDifference_RTL.vhd + rm -v -f ./01-StepperMotor/StepperMotor/hdl/coilControl_RTL.vhd + rm -v -f ./01-StepperMotor/StepperMotor/hdl/stepperCounter_RTL.vhd + rm -v -f ./01-StepperMotor/StepperMotor/hds/angle@control/master@version.bd + rm -v -f ./01-StepperMotor/StepperMotor/hds/coil@control/master@version_counter@demux.bd + rm -v -f ./01-StepperMotor/StepperMotor/hds/coil@control/master@version_shift@reg.bd + elif [ "$dir" == "02-DcMotor" ]; then + rm -v -f ./02-DcMotor/DcMotor/hdl/dcMotorPwm_RTL.vhd + elif [ "$dir" == "03-Sensors" ]; then + rm -v -f ./03-Sensors/Sensors/hdl/hallCounters_RTL.vhd + rm -v -f ./03-Sensors/Sensors/hdl/ultrasoundRanger_RTL.vhd + elif [ "$dir" == "04-Controller" ]; then + echo "Info: Nothing to be deleted" + fi + +elif [ "$project" == "did-kart-ebs3" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-kart-ebs3" + rm -v -f ./DcMotor/hdl/dcMotorPwm_RTL.vhd + rm -v -f ./Kart_test/hdl/txFIFO_tester_test.vhd + rm -v -f -r ./Kart_test/hds/tx@f@i@f@o_tb/ + rm -v -f -r ./Kart_test/hds/tx@f@i@f@o_tester/ + rm -v -f ./Kart_test/hds/_txfifo_tb._epf + rm -v -f ./Kart_test/hds/_txfifo_tester._epf + rm -v -f -r ./Kart_test/hds/kart@controller_full_tb/ + rm -v -f ./Kart_test/hds/_kartcontroller_full_tb._epf + rm -v -f ./Sensors/hdl/hallCounters_RTL.vhd + rm -v -f ./Sensors/hdl/ultrasoundRanger_RTL.vhd + rm -v -f ./Stepper/hdl/angleDifference_RTL.vhd + rm -v -f ./Stepper/hdl/coilControl_RTL.vhd + rm -v -f ./Stepper/hdl/stepperCounter_RTL.vhd + rm -v -f ./Stepper/hds/angle@control/master@version.bd + rm -v -f ./Stepper/hds/coil@control/master@version_counter@demux.bd + rm -v -f ./Stepper/hds/coil@control/master@version_shift@reg.bd + rm -v -f ./Stepper_test/hdl/stepperMotorRegisters_tester_test.vhd + rm -v -f -r ./Stepper_test/hds/stepper@motor@registers_tb/ + rm -v -f -r ./Stepper_test/hds/stepper@motor@registers_tester/ + rm -v -f ./Stepper_test/hds/_steppermotorregisters_tb._epf + rm -v -f ./Simulation/Kart/UVM/uvmCommands.txt + rm -v -f ./Simulation/Kart/kartUVM.do + rm -v -f ./Simulation/Kart/txFIFO.do + rm -v -f ./Simulation/Stepper/stepperMotorRegisters.do + rm -v -f -r ./Simulation/UART/ + rm -v -f -r ./UART_test/ + + rm -v -f ./Board/concat/*.vhd + find ./Board/libero/designer/ ! \( -name "*.prjx" -o -name "*.adb" -o -name "*.ide_des" -o -name "*.pdb" -o -name "*_syn.prj" \) -type f -exec rm -v -f -r {} + + rm -v -f -r ./Documentation/ + rm -v -f -r ./CommandInterpreter/src/ + +elif [ "$project" == "did-synchro" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-synchro" + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-synchro.vhd + +elif [ "$project" == "did-inverter" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-inverter" + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-inverter.vhd + +elif [ "$project" == "did-display" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-display" + find ./Board/ise/ -name "*.mcs" -type f -exec rm -v -f {} + + rm -v -f -r ./Display/concat/ + find ./Display/hdl/ -name "*.vhg" -type f -exec rm -v -f {} + + rm -v -f ./Display/hdl/test_pattern_calculated_rtl.vhd + rm -v -f ./Display/hdl/vgaDataCreator_rgb.vhd + rm -v -f ./Display/hdl/vgaDataSelector_rtl.vhd + rm -v -f ./Display/hds/display@circuit/master@version.bd + rm -v -f -r ./Display/hds/vga@data@calculated/ + rm -v -f -r ./Display/hds/vga@data@selector/ + + rm -v -f -r ./Display_test/concat/ + + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-display.vhd + +elif [ "$project" == "eln_support" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "eptm_radio" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "eptm_audioamp" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "cansat" ]; then + echo "Error: Not implemented yet" +fi + +echo "Source taken from $repo_source to $repo_dest" + +#------------------------------------------------------------------------------- +# change from masterVersion to studentVersion and delete all masterVersion +# +if [ "$project" == "synd-did-labs" ]; then + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a master@version -n student@version -r +elif [ "$project" == "ete-did-labs" ]; then + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a master@version -n student@version -r +elif [ "$project" == "isc-did-labs" ]; then + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a master@version -n student@version -r +else + ./../../changeDefaultViews.bash -p "Scripts/tmp/$project" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/$project" -a master@version -n student@version -r +fi + +# add/commit/push changes to student repo +git add -A +git commit -a -m "$DATE: Automatic Laboratory Update with ``deployLab.bash`` :shipit:" +git push origin main +cd .. + +# Delete tmp directory +echo "Delete tmp directory" +cd .. +pwd +rm -rf "./tmp" + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: $project updated at $repo" +echo "$SEPARATOR" +popd diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployLibs.bash b/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployLibs.bash new file mode 100644 index 0000000..3498c2b --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployLibs.bash @@ -0,0 +1,320 @@ +#!/bin/bash + +#================================================================================ +# deployLibs.bash - updates github repos for individual labos +# indend to push libs from [DiD-libs](https://gitlab.hevs.ch/course/did/did-libs.git) +# Example usage 1: ./Scripts/deployLibs.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +# Example usage 2: ./Scripts/deployLibs.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +# Example usage 3: ./Scripts/deployLibs.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +# Example usage 4: ./Scripts/deployLibs.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +# Example usage 5: ./Scripts/deployLibs.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +# Example usage 6: ./Scripts/deployLibs.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +# Example usage 7: ./Scripts/deployLibs.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +# Example usage 8: ./Scripts/deployLibs.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +# Example usage 9: ./Scripts/deployLibs.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +# Example usage 10: ./Scripts/deployLibs.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +# Example usage 11: ./Scripts/deployLibs.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git +# Example usage 12: ./Scripts/deployLibs.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Parse command line options +# +project='did-labs' +repo='https://github.com/hei-synd-did/did-labs.git' + +usage='Usage: deployLibs.bash [-p projectName] [-r repourl] [-v] [-h]' +while getopts 'p:r:vh' options; do + case $options in + p ) project=$OPTARG;; + r ) repo=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "-- $DATE: Deploy Libraries for Students" + echo "${INDENT}for $project" + echo "${INDENT}to $repo" + echo "" +fi + +#------------------------------------------------------------------------------- +# Clean current repo +# +echo "Clean parent repo from intermediate files" +./cleanGenerated.bash + +#------------------------------------------------------------------------------- +# Clone student repo +# +# Create a tmp subdirectory if it doesn't exist +echo "Create tmp folder" +mkdir -p tmp +cd tmp + +# Get repo +echo "Clone student repo $project" +# Add login and access token to url +repo_access=$(echo $repo | sed 's/https\?:\/\///') +github_username=tschinz +github_accesstoken=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 +repo_access="https://$github_username:$github_accesstoken@${repo_access}" +git clone $repo_access +if [ "$project" == "synd-did-labs" ]; then + cd did-labs +elif [ "$project" == "ete-did-labs" ]; then + cd did-labs +elif [ "$project" == "isc-did-labs" ]; then + cd did-labs +else + cd $project +fi + +library_dest=`realpath "./Libs"` +library_source=`realpath "./../../.."` +mkdir -p $library_dest + +# Copy needed libraries per project +echo "Update files in student repo $project" +if [ "$project" == "synd-did-labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, NanoBlaze" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/IO/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/NanoBlaze/" "$library_dest/" +elif [ "$project" == "ete-did-labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Operators, Common, NanoBlaze" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/IO/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" + cp -arf "$library_source/Operators/" "$library_dest/" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/NanoBlaze/" "$library_dest/" +elif [ "$project" == "isc-did-labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, NanoBlaze" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/IO/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/NanoBlaze/" "$library_dest/" +elif [ "$project" == "car-labs" ]; then + echo " Copy libraries: Common, Gates, Memory, Operators, Sequential" + library_dest=`realpath "./heirv32_sc/Libs"` + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -arf "$library_source/Memory/" "$library_dest/" + cp -arf "$library_source/Operators/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" +elif [ "$project" == "car-heirv" ]; then + echo " Copy libraries: Common, Gates, Memory, Operators, Sequential" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -arf "$library_source/Memory/" "$library_dest/" + cp -arf "$library_source/Operators/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" +elif [ "$project" == "ele_labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Operators, Common, Memory, Modulation, NanoBlaze" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Operators" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/Modulation" "$library_dest/" + cp -ar "$library_source/Modulation_test" "$library_dest/" + cp -ar "$library_source/NanoBlaze" "$library_dest/" + cp -ar "$library_source/NanoBlaze_test" "$library_dest/" +elif [ "$project" == "sem-labs" ]; then + echo " Copy libraries: Common, RS232, AhbLite, Memory, RiscV, NanoBlaze" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" + cp -ar "$library_source/AhbLite" "$library_dest/" + cp -ar "$library_source/AhbLite_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RiscV" "$library_dest/" + cp -ar "$library_source/RiscV_test" "$library_dest/" + cp -ar "$library_source/NanoBlaze" "$library_dest/" + cp -ar "$library_source/NanoBlaze_test" "$library_dest/" +elif [ "$project" == "did-cursor" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Lcd" "$library_dest/" + cp -ar "$library_source/Lcd_test" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" +elif [ "$project" == "did-chrono" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory, RS232" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Lcd" "$library_dest/" + cp -ar "$library_source/Lcd_test" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + #cp -ar "$library_source/RS232" "$library_dest/" + #cp -ar "$library_source/RS232_test" "$library_dest/" +elif [ "$project" == "did-kart-ebs2" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory, RS232" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/I2C" "$library_dest/" + cp -ar "$library_source/I2C_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" +elif [ "$project" == "did-kart-ebs3" ]; then + echo " Copy libraries: Common, Gates, I2C, Memory, RS232, IO, Sequential, UVM" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/I2C" "$library_dest/" + cp -ar "$library_source/I2C_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/UVM" "$library_dest/" + cp -ar "$library_source/UVM_test" "$library_dest/" +elif [ "$project" == "did-synchro" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Cordic" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Operators" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" +elif [ "$project" == "did-inverter" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Cordic" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Operators" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/Cordic" "$library_dest/" + cp -ar "$library_source/Cordic_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" +elif [ "$project" == "eln_support" ]; then + echo "Nothing todo, no Libararies needed" +elif [ "$project" == "did-display" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory, RS232" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Lcd" "$library_dest/" + cp -ar "$library_source/Lcd_test" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" +elif [ "$project" == "eptm_radio" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" +elif [ "$project" == "eptm_audioamp" ]; then + echo " Copy libraries: AD_DA, Common, Filter" + cp -ar "$library_source/AD_DA" "$library_dest/" + cp -ar "$library_source/AD_DA_test" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/Filter" "$library_dest/" + cp -ar "$library_source/Filter_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" +elif [ "$project" == "cansat" ]; then + echo " Copy libraries: AhbLite, AhbLiteComponents, Common, Commandline, Memory, NanoBlaze, RS232" + cp -ar "$library_source/AhbLite" "$library_dest/" + cp -ar "$library_source/AhbLite_test" "$library_dest/" + cp -ar "$library_source/AhbLiteComponents" "$library_dest/" + cp -ar "$library_source/AhbLiteComponents_test" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Commandline" "$library_dest/" + cp -ar "$library_source/Commandline_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/NanoBlaze" "$library_dest/" + cp -ar "$library_source/NanoBlaze_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" +fi + +# add/commit/push changes to student repo +echo " Git: Add => Commit => Push" +git add -A +git commit -a -m "$DATE: Automatic Library Update with ``deployLibs.bash`` :shipit:" +git push origin main +cd .. + +# Delete tmp directory +cd .. +echo " Delete tmp directory" +rm -rf "./tmp" + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: $project updated at $repo" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployLibsAll.bash b/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployLibsAll.bash new file mode 100644 index 0000000..46c6c3c --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployLibsAll.bash @@ -0,0 +1,37 @@ +#!/bin/bash + +#================================================================================ +# deployLibsAll.bash - updates github repos for all labo's at once +# indend to push libs from [eda_libs](https://gitlab.hevs.ch/course/ElN/eda_libs.git) +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +./deployLibs.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +./deployLibs.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +./deployLibs.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +./deployLibs.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +./deployLibs.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +#./deployLibs.bash -v -p did-kart-ebs2 -r https://github.com/hei-synd-did/did-ket-ebs2.git # repo does not exist !!! +./deployLibs.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +./deployLibs.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +./deployLibs.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +./deployLibs.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +./deployLibs.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +./deployLibs.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git +./deployLibs.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: ${0##*/} finished" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/deploySEmLabs.bash b/zz-solutions/03-DigitalToAnalogConverter/Scripts/deploySEmLabs.bash new file mode 100644 index 0000000..b36ba70 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/deploySEmLabs.bash @@ -0,0 +1,32 @@ +#!/bin/bash + +#================================================================================ +# deployLabsAll.bash - updates github repos for all labo's at once +# indend to push labs from [sem-labs](https://gitlab.hevs.ch/course/SEm/hd-labs.git) +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + + +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 01-WaveformGenerator +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 02-SplineInterpolator +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 03-DigitalToAnalogConverter +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 04-Lissajous +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 05-Morse +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 06-07-08-09-SystemOnChip +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 10-PipelinedOperators + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: ${0##*/} finished" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployScripts.bash b/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployScripts.bash new file mode 100644 index 0000000..a91e55b --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployScripts.bash @@ -0,0 +1,331 @@ +#!/bin/bash + +#================================================================================ +# deployScripts.bash - updates github repos for individual labos +# indend to push scripts from [DiD-scripts](https://gitlab.hevs.ch/course/did/did-scripts.git) +# Example usage 1: ./Scripts/deployScripts.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +# Example usage 2: ./Scripts/deployScripts.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +# Example usage 3: ./Scripts/deployScripts.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +# Example usage 4: ./Scripts/deployScripts.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +# Example usage 5: ./Scripts/deployScripts.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +# Example usage 6: ./Scripts/deployScripts.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +# Example usage 7: ./Scripts/deployScripts.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +# Example usage 8: ./Scripts/deployScripts.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +# Example usage 9: ./Scripts/deployScripts.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +# Example usage 10: ./Scripts/deployScripts.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +# Example usage 11: ./Scripts/deployScripts.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git -d heirv32_sc +# Example usage 12: ./Scripts/deployScripts.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory" + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Parse command line options +# +project='did-labs' +repo='https://github.com/hei-synd-did/did-labs.git' +destdir='' + +usage='Usage: deployScripts.bash [-p projectName] [-r repourl] [-d destdir] [-v] [-h]' +while getopts 'p:r:d:vh' options; do + case $options in + p ) project=$OPTARG;; + r ) repo=$OPTARG;; + d ) destdir=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "-- $DATE: Deploy Scripts for Students" + echo "${INDENT}for $project" + echo "${INDENT}to $repo" + echo "" +fi + +#------------------------------------------------------------------------------- +# Clone student repo +# +# Create a tmp subdirectory if it doesn't exist +echo "Create tmp folder" +mkdir -p tmp +cd tmp + +# Get repo +echo "Clone student repo $project" +# Add login and access token to url +repo_access=$(echo $repo | sed 's/https\?:\/\///') +github_username=tschinz +github_accesstoken=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 +repo_access="https://$github_username:$github_accesstoken@${repo_access}" +git clone $repo_access +if [ "$project" == "synd-did-labs" ]; then + cd did-labs +elif [ "$project" == "ete-did-labs" ]; then + cd did-labs +elif [ "$project" == "isc-did-labs" ]; then + cd did-labs +else + cd $project +fi + +library_source=`realpath "./../.."` + +# DiD Kart (EBS2 version) has a different project structure +if [ "$project" == "did-kart-ebs2" ]; then + # Copy needed libraries per project + mkdir -p "01-StepperMotor/Scripts" + library_dest=`realpath "./01-StepperMotor/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + + # Copy needed libraries per project + mkdir -p "02-DcMotor/Scripts" + library_dest=`realpath "./02-DcMotor/Scripts"` + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + # Copy needed libraries per project + mkdir -p "03-Sensors/Scripts" + library_dest=`realpath "./03-Sensors/Scripts"` + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + # Copy needed libraries per project + mkdir -p "04-Controller/Scripts" + library_dest=`realpath "./04-Controller/Scripts"` + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + +# SEm Labs has also a different project structure +elif [ "$project" == "sem-labs" ]; then + # Copy needed libraries per project + mkdir -p "01-WaveformGenerator/Scripts" + library_dest=`realpath "./01-WaveformGenerator/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "02-SplineInterpolator/Scripts" + library_dest=`realpath "./02-SplineInterpolator/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "03-DigitalToAnalogConverter/Scripts" + library_dest=`realpath "./03-DigitalToAnalogConverter/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "04-Lissajous/Scripts" + library_dest=`realpath "./04-Lissajous/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "05-Morse/Scripts" + library_dest=`realpath "./05-Morse/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "06-07-08-09-SystemOnChip/Scripts" + library_dest=`realpath "./06-07-08-09-SystemOnChip/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "10-PipelinedOperators/Scripts" + library_dest=`realpath "./10-PipelinedOperators/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + +else + + if [ -n "$destdir" ]; then + mkdir -p "$destdir/Scripts" + library_dest=`realpath "$destdir/Scripts"` + else + mkdir -p "Scripts" + library_dest=`realpath "./Scripts"` + fi + + # Copy needed libraries per project + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/update_diamond.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + cp -arf "$library_source/start_diamond.pl" "$library_dest/" +fi + +# add/commit/push changes to student repo +echo " Git: Add => Commit => Push" +git add -A +git commit -a -m "$DATE: Automatic Scripts Update with ``deployScripts.bash`` :shipit:" +git push origin main +cd .. + +# Delete tmp directory +cd .. +echo " Delete tmp directory" +rm -rf "./tmp" + +#------------------------------------------------------------------------------- +# Exit +#s +echo "" +echo "-- $DATE: $project updated at $repo" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployScriptsAll.bash b/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployScriptsAll.bash new file mode 100644 index 0000000..bb9e937 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/deployScriptsAll.bash @@ -0,0 +1,37 @@ +#!/bin/bash + +#================================================================================ +# deployScriptsAll.bash - updates github Scripts folder for all labo's at once +# indend to push scripts from [eda_scripts](https://gitlab.hevs.ch/course/ElN/eda_scripts.git) +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +./deployScripts.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +./deployScripts.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +./deployScripts.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +./deployScripts.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +./deployScripts.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +#./deployScripts.bash -v -p did-kart-ebs2 -r https://github.com/hei-synd-did/did-ket-ebs2.git # repo does not exist !!! +./deployScripts.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +./deployScripts.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +./deployScripts.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +./deployScripts.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +./deployScripts.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +./deployScripts.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git -d heirv32_sc +./deployScripts.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: ${0##*/} finished" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/generateSSHKey.bash b/zz-solutions/03-DigitalToAnalogConverter/Scripts/generateSSHKey.bash new file mode 100644 index 0000000..c906a16 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/generateSSHKey.bash @@ -0,0 +1,42 @@ +#!/bin/bash + +#============================================================================== +# generates an SSH key under default user location ~/.ssh/ +# and add the public key to the clipboard +# +# the email could be given when calling the script or be prompted later + +email='' +filename=$(hostname) +user=$(whoami) + +usage='Usage: generateSSH.bash [-e email]' + +# handle options +while getopts 'e:v' flag; do + case "${flag}" in + e) email=${OPTARG};; + esac +done + +# if the mail is not given, prompt it +if [ -z "$email" ] +then + echo -n "Please enter your mail: " + read email +fi +# generates the key-couple +# cat /dev/zero create an empty file to be filled by the key +# then ssh-keygen is called with: +# -q to call it silently (no verbose) +# -N with empty string to set no-password +# -t to specify the type of key +# -C to set the user mail +cat /dev/zero | ssh-keygen -q -N "" -t ed25519 -C "${user}@${filename}" -f ~/.ssh/$filename + +# then copy the public key into the clipboard +clip < ~/.ssh/${filename}.pub + +# print output for user +echo -e "\nThe keyfiles are generated under ~/.ssh/" +echo -e "\nThe public key is in your clipboard, ready to be added to your Github account." \ No newline at end of file diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bash b/zz-solutions/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bash new file mode 100644 index 0000000..4b54b46 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bash @@ -0,0 +1,154 @@ +#!/bin/bash + +#================================================================================ +# hdl_designer.bash - Starts HDL designer +# +base_directory="$(dirname "$(readlink -f "$0")")" +base_directory="$base_directory/.." +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +command_switches='n:d:p:m:i:u:t:s:c:y:vh' +usage='Usage: hdl_designer.bash [-v] [-h]' +usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]" + # set name and base directory +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + esac +done + # continue with preferences directory +prefs_directory="$design_directory/Prefs" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + p ) prefs_directory=$OPTARG;; + esac +done + # finish with other parameters +library_matchings="$design_name.hdp" +library_matchings='hds.hdp' +simulation_directory="$design_directory/Simulation" +user_prefs_directory="$prefs_directory/hds_user-linux" +team_prefs_directory="$prefs_directory/hds_team" +scratch_directory='/tmp/eda/' +synthesis_subdirectory="Board/ise" +concat_directory="$design_directory/Board/concat" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) ;; + d ) ;; + m ) library_matchings=$OPTARG;; + i ) simulation_directory=$OPTARG;; + u ) user_prefs_directory=$OPTARG;; + t ) team_prefs_directory=$OPTARG;; + s ) scratch_directory=$OPTARG;; + c ) concat_directory=$OPTARG;; + y ) synthesis_subdirectory=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +design_directory=`realpath $design_directory` +library_matchings=`realpath $prefs_directory/$library_matchings` +simulation_directory=`realpath $simulation_directory` +user_prefs_directory=`realpath $user_prefs_directory` +team_prefs_directory=`realpath $team_prefs_directory` +concat_directory=`realpath $concat_directory` +mkdir -p $scratch_directory +scratch_directory=`realpath $scratch_directory` + +#================================================================================ +# Main script +# + +#------------------------------------------------------------------------------- +# System environment variables +# +export HDS_HOME=/usr/opt/HDS +export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/ +export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE +export LC_ALL=C +export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin +export MGLS_HOME=/usr/opt/HDS/license/mgls + +#------------------------------------------------------------------------------- +# Project environment variables +# +export DESIGN_NAME=$design_name +export HDS_LIBS=$library_matchings +export HDS_USER_HOME="$user_prefs_directory" +export HDS_TEAM_HOME=$team_prefs_directory +export SIMULATION_DIR=$simulation_directory +export SCRATCH_DIR=$scratch_directory +export CONCAT_DIR=$concat_directory +export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory` +export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "Environment variables:" + echo "${INDENT}Design name is $DESIGN_NAME" + echo "${INDENT}Lib matchings file is $HDS_LIBS" + echo "${INDENT}Simulation directory is $SIMULATION_DIR" + echo "${INDENT}User prefs directory is $HDS_USER_HOME" + echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME" + echo "${INDENT}Scratch directory is $SCRATCH_DIR" + echo "${INDENT}Concat directory is $CONCAT_DIR" + echo "${INDENT}HDS location is $HDS_HOME" + echo "${INDENT}Modelsim location is $MODELSIM_HOME" + echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME" + echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR" + echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR" +fi + +#------------------------------------------------------------------------------- +# Copy synthesis data to scratch +# +if true; then + echo "Copying" + echo "${INDENT}$SYNTHESIS_BASE_DIR" + echo "${INDENT}-> $SYNTHESIS_WORK_DIR" +fi +if [ -e "$SYNTHESIS_BASE_DIR" ]; then + rm -Rf $SYNTHESIS_WORK_DIR + mkdir -p $SYNTHESIS_WORK_DIR + cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/ +fi + +#------------------------------------------------------------------------------- +# Launch application +# +hdl_designer & + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bat b/zz-solutions/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bat new file mode 100644 index 0000000..87ed140 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bat @@ -0,0 +1,278 @@ +::============================================================================== +:: hdl_designer.bash - Starts HDL designer +:: +:start + setlocal EnableExtensions EnableDelayedExpansion + set cmd_location=%~dp0 + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +:: ----------------------------------------------------------------------------- +:: Define default environment variables +IF NOT DEFINED REQUIRE_LIBS SET "REQUIRE_LIBS=0" +IF NOT DEFINED REQUIRE_HDS SET "REQUIRE_HDS=0" +IF NOT DEFINED REQUIRE_MODELSIM SET "REQUIRE_MODELSIM=0" +IF NOT DEFINED REQUIRE_ISE SET "REQUIRE_ISE=0" +IF NOT DEFINED REQUIRE_LIBERO SET "REQUIRE_LIBERO=0" +IF NOT DEFINED REQUIRE_DIAMOND SET "REQUIRE_DIAMOND=0" +:: +::remove trailing backslash +if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1% + +set design_name=%~n0 +set hpd_name=hds + +if "%ISE_VERSION%"== "" ( + set ISE_VERSION=14.7 +) +set prefs_directory="%design_directory:"=%\Prefs" +set library_matchings="%hpd_name%.hdp" +set simulation_directory="%design_directory:"=%\Simulation" +set user_prefs_directory="%prefs_directory:"=%\hds_user" +set team_prefs_directory="%prefs_directory:"=%\hds_team" +if "%SCRATCH_DIR%" == "" ( + set scratch_directory=C:\temp\eda\%username% +) else ( + set scratch_directory=%SCRATCH_DIR% +) + +set synthesis_subdirectory="" +if %REQUIRE_ISE% == 1 ( + set synthesis_subdirectory="Board\ise" +) +if %REQUIRE_LIBERO% == 1 ( + set synthesis_subdirectory="Board\libero" +) +if %REQUIRE_DIAMOND% == 1 ( + set synthesis_subdirectory="Board\diamond" +) +set concat_directory="%design_directory:"=%\Board\concat" + +::------------------------------------------------------------------------------ +:: Parse command line options +:: +:parse +set usage1="Usage: hdl_designer.bat [-v] [-h]" +set usage2=" [-n designName] [-d designDirectory]" +set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]" +set usage4=" [-s scratchDirectory] [-c concatDirectory]" +set usage5=" [-y synthesisDirectory] [-m library_matchings]" + +echo Search Commandline Parameters +:parseloop +if not "%1"=="" ( + if "%1"=="-v" ( + set VERBOSE=1 + echo %INDENT:"=%verbose enabled + shift + ) + if "%1"=="-h" ( + goto :HELP + shift + ) + if "%1"=="-n" ( + set design_name=%2 + echo %INDENT:"=%design_name=!design_name:"=! + shift & shift + ) + if "%1"=="-d" ( + set design_directory=%2 + echo %INDENT:"=%design_directory=!design_directory:"=! + shift & shift + ) + if "%1"=="-p" ( + set prefs_directory=%2 + echo %INDENT:"=%prefs_directory=!prefs_directory:"=! + shift & shift + ) + if "%1"=="-u" ( + set user_prefs_directory=%2 + echo %INDENT:"=%user_prefs_directory=%user_prefs_directory:"=% + shift & shift + ) + if "%1"=="-t" ( + set team_prefs_directory=%2 + echo %INDENT:"=%team_prefs_directory=%team_prefs_directory:"=% + shift & shift + ) + if "%1"=="-s" ( + set scratch_directory=%2 + echo %INDENT:"=%scratch_directory=%scratch_directory:"=% + shift & shift + ) + if "%1"=="-c" ( + set concat_directory=%2 + echo "%INDENT:"=%concat_directory=%concat_directory:"=% " + shift & shift + ) + if "%1"=="-y" ( + set synthesis_subdirectory=%2 + echo %INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=% + shift & shift + ) + if "%1"=="-m" ( + set set library_matchings="%2.hdp" + echo %INDENT:"=%library_matchings=%library_matchings:"=% + shift & shift + ) + goto :parseloop +) +echo. + +:: Setting all concurrent variables +set prefs_directory="!design_directory:"=!\Prefs" +set user_prefs_directory="!prefs_directory:"=!\hds_user" +set team_prefs_directory="!prefs_directory:"=!\hds_team" +set library_matchings=!prefs_directory:"=!\!library_matchings:"=! +set simulation_directory="!design_directory:"=!\Simulation" +set concat_directory="!design_directory:"=!\Board\concat" + +:: Getting realpath +:: TODO + +:: create scratch dir +if not exist "%scratch_directory%" ( + mkdir "%scratch_directory:"=%" +) + +::================================================================================ +:: Main script +:: +echo. +call "./searchPaths.bat" + +::------------------------------------------------------------------------------ +:: Project environment variables +:: +set DESIGN_NAME=%design_name:"=% +set HDS_LIBS=%library_matchings:"=% +set HDS_USER_HOME=%user_prefs_directory:"=% +set HDS_TEAM_HOME=%team_prefs_directory:"=% +set SIMULATION_DIR=%simulation_directory:"=% +:: Changing Slashed (Needed for Modelsim) +set SIMULATION_DIR=%SIMULATION_DIR:\=/% +set SCRATCH_DIR=%scratch_directory:"=% +set CONCAT_DIR=%concat_directory:"=% +set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set DIAMOND_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set DIAMOND_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% + +::------------------------------------------------------------------------------ +:: Display info +:: +if !VERBOSE! == 1 ( + echo Program Parameters + echo %INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=% + echo %INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=% + echo %INDENT:"=%HDS_LIBS is %HDS_LIBS:"=% + echo %INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=% + echo %INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=% + echo %INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=% + echo %INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=% + echo %INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=% + if %REQUIRE_HDS% == 1 ( + echo %INDENT:"=%HDS_HOME is %HDS_HOME:"=% + ) + if %REQUIRE_MODELSIM% == 1 ( + echo %INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=% + ) + if %REQUIRE_ISE% == 1 ( + echo %INDENT:"=%ISE_HOME is %ISE_HOME:"=% + echo %INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=% + echo %INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=% + ) + if %REQUIRE_LIBERO% == 1 ( + echo %INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=% + echo %INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=% + echo %INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=% + ) + if %REQUIRE_DIAMOND% == 1 ( + echo %INDENT:"=%DIAMOND_HOME is %DIAMOND_HOME:"=% + echo %INDENT:"=%DIAMOND_BASE_DIR is %DIAMOND_BASE_DIR:"=% + echo %INDENT:"=%DIAMOND_WORK_DIR is %DIAMOND_WORK_DIR:"=% + ) + echo. +) + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +echo. +call "./cleanScratch.bat" + +::------------------------------------------------------------------------------ +:: Copy synthesis data to scratch directory +:: +if %REQUIRE_ISE% == 1 ( + if exist %ISE_BASE_DIR% ( + echo %ISE_BASE_DIR:"=% + echo -> %ISE_WORK_DIR:"=% + if exist %ISE_WORK_DIR% ( + rmdir /S /Q "%ISE_WORK_DIR%" + ) + mkdir "%ISE_WORK_DIR%" + xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\" + ) +) + +if %REQUIRE_LIBERO% == 1 ( + if exist %LIBERO_BASE_DIR% ( + echo %LIBERO_BASE_DIR:"=% + echo -> %LIBERO_BASE_DIR:"=% + if exist %LIBERO_WORK_DIR% ( + rmdir /S /Q "%LIBERO_WORK_DIR%" + ) + mkdir "%LIBERO_WORK_DIR%" + xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\" + ) +) + +if %REQUIRE_DIAMOND% == 1 ( + if exist %DIAMOND_BASE_DIR% ( + echo %DIAMOND_BASE_DIR:"=% + echo -> %DIAMOND_BASE_DIR:"=% + if exist %DIAMOND_WORK_DIR% ( + rmdir /S /Q "%DIAMOND_WORK_DIR%" + ) + mkdir "%DIAMOND_WORK_DIR%" + xcopy /S /Y "%DIAMOND_BASE_DIR%" "%DIAMOND_WORK_DIR%\" + ) +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +echo -- Launching program +::echo %INDENT:"=%Waiting until programs finished... +::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe +%windir%\system32\cmd.exe /c start !HDS_HOME!\bin\hdldesigner.exe +::echo -- Finished... YOU CAN CLOSE THIS WINDOW NOW! + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof + +::------------------------------------------------------------------------------ +:: Helper Functions +:: +:HELP + echo. + echo %usage1:"=% + echo %usage2:"=% + echo %usage3:"=% + echo %usage4:"=% + echo %usage5:"=% + echo.&pause&goto:eof diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/img/EDA_scripts.gif b/zz-solutions/03-DigitalToAnalogConverter/Scripts/img/EDA_scripts.gif new file mode 100644 index 0000000000000000000000000000000000000000..2e348ba6d713a957b7e0ddc6614a9c53a8531031 GIT binary patch literal 12105 zcmeI&a<_Kp7ZPL=h>ep;1t2M3fEz6{SPEL_kVPx+`+q_gnY=2lvIZ_F4O!v)}JGpS=~7y9~|``Ruyk{O>a%9}7723OV&71wT}97#DFFL_g6f<~FQ^ zn80|9s<=$UpXngDKQ(e${^T`_7S(IwwyNecui>|7=C%IKZQsIY)5dT6hu5K-?{mAL zEmFV{C1~3t=vX3QhI;&^Q`n(T$f;P?4k_x?Bj$_}cj=Y*nyhZqC-rUMg?GB9eaCAL zr0h4;E4P$)4yf1Oy>dPS3Vwr1-!pYxphm9!ZvuuigR)FLhP8sT&HVbcg9ko@eZ0%eMCE)ep_J@kQ%L4jM(EOu~mvqJ~W35O%?xR^g+cVn#kClza&uHB0QV zPAK{sI%b#9>y$d`1TFLXfp*RqcTOq)9yj5V`qM9d;%gStE3?lxXVSX>?U#>;N}miY zo{T6TiY@PrFK8?uFo#dFV5}Ft}Xn%R~B}bHka3yHde8#>nodUTYIZp>zix1we9`& zo$bw?gN@xC?C#Mf4!g5?jNL!kI>7B5Z0~LD?;alR?(F>i>>VBL?H=NePjGvu`?%wS zll>#y+2Q`_(fRq&!Nu|6(dpsk$?@^o(Z%W2)#=H}`N`$kHU8}M?Bew1{OtVl?B?S9 z;_4iKd2@MvadY+e{Ef@&zv<@Y(G6H#?%ms0FE!+Z1RfIt0RX@wJfWwFGvLuV5l{$l z1K|5x0{qi001zHFuZJWKm}BpZov#3Otu}b4kr)}lhvZdjFLrBXw+yER)A z$~wK7-gj$vX85eP<``+}_U7+;fSKp0>-U#$hw&O^Qa2pV^yLZrsxwv}Z4H;&T1K`s z9qlX}<2{*gq?*ssE2Bm)2AO!}k8!6jNg|R$u~~r0hMj6&9pUjM+%_Ih1^OR!LZ4q$6+=+runj8v9Rw27gVKq zIKdjvtEzF&iL%rwn5|wtXWdq%|M3MSyz6$lO%+nW)eh0;BWLq-$W`9D8m)Y6RWnKd z-l`T;YhhWpFn-sver3SLqG97C*t~Ju^Qn0gE?Um4`N%*2Q_C4v<5TN-1yZo>5&m*7 zhycuB^6Qph=;Myt0#L^giWg9$F7O-GFTZyT7@mBie`{yheLsNM2{{v`D)g1pHqr^j z!`3Z?6llW;ISO@N>GiW+2LJ4l*o6w)NgtA#4asK7Kkb!g&TuNsPBRByw$ptX$T zzm6pu@H>y|e%|>wVdzZkI++t?Ae?9spJ6_2dHVZgz@crn*4=4Y)os>wFh~TCaoX8& zp7&XovRLrSlXD-kX2gr6g$o*LFGsuG5?hLU!_=Ui^fuaKE$vf{8Exc|kec}M(Wi^e z;-ZQRY+2pz#TL9<;Bvcq!r^kKzSQuI_~=mw@~(;lQ~q6OWc=km5>k0}&?mBYwYliX z8uY{I#9dDCLbCGu1mm`MeR{ny+DrS=bAXKsxfA$9iQ*{fmE|^u$my?+@^erMm7;d@z>sa0tHGaH3tv!7lkLz{eJV0 zYm0ZGlxes?F0%~g*L3L*z5|)LBXh{4LMflXskns?UW!^EJDGTJ5AjkdnpzG=B+T^t zp_f0q$bE&_xDzPCG$Kt;ar=ai2v+5P#^&Cun{3wdY7duArKT}P{uW9bc0FSOlA3kB zCePfDatvgAL;xUsPH!VW9-7B=>Mm{xU;zp{4l|mY5lPc)#V`T@1U5st7cXt(y+!lc z2R{-60LBFVn3%|SPkPiHzR)BQ`Q6gZS9(C(8DAWj`&gF5Pq5HRQ)Ee=$8xjN7Jdi7 z>CT}(C!BXRe31Nvy_zxmt`SGUHKElAAq)CsV@dtbUdS z?g;}v^wr;P>?{C=v4h_|53{LO4Q10){VY9^IU+n(uSH@CCuJ@3S29u+jGSPRdmK1!&+TPRwPp_(4{hP39WP>dYA`jy|E>-f*)D!lX7D9cEacMCp@`8=m_|&kynfz@8Oz;0=5ljYwI%cZ|Gk!lc zFkaPezeeB?%Ys=O^C*uBW2j!#V;|8j_)SicFxl9=g6g9BVlP0MHPiQNPW!!TZpA?v zZ~P-T>$mB|M{emPQ$Qt==8!}bZRn3Vx{BnV1O$R!4Rz5F4LM4QvPzP@Z_`)G`N~W0 z4W$&R150r2)Q41XViJ8l!dFDU7Tzi_7i$F`D%bnpZC!P|bY9@%sQ2gt5x(z;jih|@ zxa%v&e$W$4s{z+{9xq%$1SROxFI4@@rzb^h#Rm(Zy?S4%R`YK=!k=jN1|Pa+E7Ks{ zSFSnEljO}0O8v`Tn5ur}F*BA=oOd(Vz743^pAy0+AJ;A1eiKBwN+*1YvRk9IO4ZgD z{}L=BNy}oq6y_vvR>^_*FyF2+fZ+m_I7zp z@)kk70y;d?IK9Ma$|UdI$dIcYU|W*O?9)X6J)RVnA=P9GPOhKZtf|yQZj>}Xuf@1# z)V&6aHEgjxu-i!mOn%<4kRX-@~gjnW8QG=Fx#S&u}AVtFZgF6sVm_C+Dd|< zzUj8+%hs;Xq^MWF`{do)i7SSlB_Vn5?wLHsUr8i#&!jCDF4xPJsIh(bD_J6`mVX#Z zW|AOswJ17hLC~JTX2ggI+``qpbFw=eD}h3HPJS54`gEN2f95&l7vGA`R8u|iT2j4X z5w34Hp;VdO5TpF|Tu+L<%rYZj5!v~{27g=> z0T<}4yU}*!l<#Oda z;dK&HbA967I=JcVyA#K3Ju1w6c1$c?3h|yQ@LeMI^@V&xeDUcyk*pJ;g4)}H7=+!<^{em&0LKYH2C?Wp%%sau3laecACJ==XtKwkWP>;u=4?chVHnvJ_?k?kx zd>Ck@7JTN|wZau18n!$}?b_}}f(~oi4;#B1PU#ky^f7#3J%s*;J84&V<6=09D1!1d zD3et!Vp98oiQxvv%SOeA%_*v_XO8wMe9~MJ#lE9KMChHA zP!9>~Fb~UnJkjSV{KYA9lkv$~sYxDwDX9S=7TnOO)TYx^x2cqTZuP>=V+lrp%;YdAi6Z{NI;); z+#ip$HCE`v^Ngo>os6N*r<|)#$Dd09bORGO1&>qphHGdW3fxWvOoYjaPga!t>3_v-;dHXomQ z=05j?iea-QrZuG+gF!Oxx9RhHnrQ)VJ@em-r+m(x*23MF+7zi@M&E)KaU_NFiSd5wa| z9b4Feb?u_Jj|_+XN-L{xEE~QJv@t2|z?QPOTO&!!O9ILbb<3M!u(`&$Kp7OYHvl(o~b!-J3Qn{vFc@pkXp<5y2S#gPS-y*Fz$5sHr?i|l6Z-XlX zEy~U=Dlwk$f@JtDFq}-niYD8L3S55Y(sggF;z+mhK3LxzR@GetXOpm|&4J%b$0Mf9 z^krq!H>Rq@*5RBF*@YfvK8^Z!gK!^$?mI>|+ZxLkrbtSe=v;nV|%kdW5EMF4)i(EIU&f8o`#g zP?OD49U`G6HA1r9UcH`8BG6m=y_YS+k4!a!EH@qD+}j{JLQ>-xxelYOfj6?*suqI5 zBUFeH0Jydn?$>lD0}O6pY3hMdHY_x8r&rZ~W6J=5;W)D0X|kcqrV+eyV}%4;Dxjr$ zfdYf2s0OseJVvC?Gz$@s41vL|#?5&!%C^|n5XUC5?`*!Yt#D(q9viZgCgS@ujcYTk zZpLlH-%8Q8ZPt!el3&;iS=tFDVT0}MuiN31rEi1?h|1gBQ9~uj_V!8^Albv(0yJw_ zdpjHlp6e|-0+6vwg6=TL3nOl`lYwjCO`p@qYp6Ok+u<4!G{Sd?il{m{FywmED&!y}I3@-JZ?eUYKs5t8Tm>1JYj-8K{p8_CmVpgAr8S z1khV#TWtX=tnuy01pS^QubvcWPg-*i6w{OG)e}kuu$O!-97m*o)l&>b!J1KJ7*qxH zPi`zhdoM(o^>!5wRS)fLZ0>C?LsdEwfmC}1y?R^p`~G67ONU za#R%@WRMM1S7{(bv+?2v83DH(SwP8Dc+%3?VZPZBwPw;BM-o2(vBuDl`sL6jhE2o| z@P1}gn$ey`U+Se6AvJDP-Wxp?IErClXYfO-d!t|SOUkkmA-4mt0c<|t>YpB!1UGaZc9uH_;| zrUXS&7?hN%(IH293ucH>nG`>SF%lXdZoxpM=*(o%6H=Hea#7Q;srmTXN$osNr>OaW@;S5tCr2y>Z!kV@K<6+rcWYq0 zRx?}Ae-dmsK1Ke_fnbVycZ_(B&e;@rACG>(v|xP)!-yYc#fQ9dcE~oRr4t6fzJ#PKCAy(a=%Ll(T63rV*u$vLRvp1LH zoV$_11n9fm8%Tr1GHo6WY=xL^2DRe+{J9#U*SdPz92h?AF=)?;M1 zmJzV3ck)OTa*#RwV(?@(5OT^ittog)qyphHKK7T|b#FrhvnJ!!PJCaqsWVN5X8@=* z&K#p46mKSc2G4wVIHA%La)Rf;e|r}9P1;KhE_MHlw4vvP5J_acSLxcY2LINOW8@~6 zz!1`c;SDGoauFn#-eO6IiqwSo#xW(a9V!Dt`yBr z@{Ej9K12_+Rutnn)G}YEj(j2m0`yx0AG}>tO?zfPaQCsvvU;YJH=c<8-NsN3PZX0} z+PjiydKw4mAo@fL0?_Mn!{2G|x3nuHlYMSI)Hc6eV&0EZUC7?jt+k(R4bs!x)^9X@ z0sRe5w9#+%zQ*5TsNOa1;MOEUrqiwY!@e`V2xibZXzNJiue2+fv;zQla^Mqc-7YP{xBGlFm z@ne~aT^bb`Ldw^U`~(Wh@R!8QE~P>sNFNu=zYP5^L;uUr|1$Ky4E--d|I5(-GW5R; z{ePRG-#rg}@;=aS-8YamfI~6hnWmX~sI3O*e{gehkZygDZ!%C(G@$Gm4WE24qj|6& z#6MFu$oRjJc|G_IC}cv!U#d9p;rAfVvtTcf+h1G8yZjaB|KM^!z2sdkR|U}rM#Z7a zHPm6Jp|KxBHB(%E>{E`s3$ah6UJEizs}F;w_@S2q5QN$}ZsD+`aKFElOdX!|A4-Ow zN&YxAMrzp=i+sat{gRL#9OHt0U#<6bC?2Th zd><4?;t~y-jN!13ljMveu+}R)!2jSo_Yp{qo#EmU5{pNEh)=oyBO@+eT*q6I#Cx9~H3nqD_Hglzp-%s9MO4(RX%_2#IyCp+Y0}DOU zij_RQ8`5kh(~8g1uB;LfIziPs>9sxXtI6rP_34kM(o+;t`+?zQ+)xT7=;B5gpg0|+ z6xgTa`K8Bi;$FsxSVjt7!S&(*`YSAhxHu!_{+Dqvg9V)*OFD_O)+yxfnG&g)SM>y| z4Mw{j5~&Yj!H=_o-)EhWWJQ!@HT=%n+sx8bbT8n}UR260Pg5wx zJ*i3EXgsrNZF26@y8>f#C>nDtr*h&zxf9&E$qKn*5CEr5CKoD)2kS^SoqKtn%T1q0 zVd+?RmV2rbBd(nDTs&8l-k!P9MIt;`iYJQCCSQg=-$5*Y=y{$heXb;F!O#idqA*9@ zQ(UPra&J6eeYybgG5>{ffuc>pkEARen?hEe!je$HQelDKG^GP7OiQ;=K)gscyfDDR z-UC+j236E9SBSU0DDu-S@~Y1_LKQv?FLne0Z5|crVT&!?t%G4j38X=Rjm630MX9=h z;q*n2@DhJgeK#K15lOL&E-ZS?EJM7cZ2DtG8Z3mqwBuRnGZ-uj>tBQ|>Rv6W^;F?F zftk>k7O*fv`snOrmO{Kv7lS_ z$3|aPDA|I$93E0uPtT6MNZeNTT)wCvDuC&GR=^S~&ghHFuoZ)i<@q+H$MoytDzNQNUT$Xp=t?KK7<>*$)=2B2cP>@`{eQ7Fr zeSs%0!)G z{L8`q(^c#<)kwceBukB#I6^dnEkgrbSYL3V0oIKu{DZEBvyl7oki#`z%+f~*>=y?EJT zadq$o@K?Q@3miD*8^|J^M)ZI<1q}XUocBkg@tbjh{=+vyPQ(S^dM@$$g7n+kC>oKa zTUESGv=?MeDnuHd^{QK}GDD2G|CiIi&$!cz8=g7oM2T`qGhfL8WCj8#=oH-s2_hx2^y1l+7L2>bnIV zF{aG4rW}!JyXw8Y`H)M1m1wuO4bB2?gOkm+QyBG7%)-esd)whGq;!A+(Wh(1fZ9Y8^OM3b-(iG*a= zYyB>HuP#MsmvVELDyB<55(I4j_39~`61-jA>-R_KZ{6nK`k3Eze5BmCS}!3sQ!22b z*B>kBADiYsI#;9$j!mDoTP*tixaj}G(3T9~cg6*dGqS>_xAB#J-T%$ddzYlzRE=yd zYKWNRk65MK9M9Bdi1;TpmN_NMXD-QRtjjs?0Wdp!7}I4= zTYJo}a7@$_h-N>g7B3EXA_Vc^pRL?xa9J9gj4B-Hf99+;KFgRlZ~wp@fm!y>S+$>Y zXPwye&Jki1c5#~B%g8KI6L#;IJ?76mwP*0qn!N0XZtYBYASQwMG{VfM?gUfB0;wd? z^xi@<*9^pLlmnb2$8f7_#`YF7gUQ zUGua0#LYYrSjI0w+Ps%PH46I6q9qMOY4HpJrXw#Mf?u@?`P`XRm_zTeavjaCjEgMO zv2tDTufi2p|Jv_T)T+FwfZXn?5uQI_Y0i|%RhNP-tljvvI?iBgm#%yaDAPb{g!72oR5944o>y~U+LZI&yHC0Mxr5-6X@qcozbX=s zeeOL<^5$Sj0s@borp`XVsX}TphuJ6(Wv@Bq1%|gA4)5%7wn-033?9y2a{g%@AbGqa z`$Fb3>-yFePAO}0v424Q=EyG(GfBVqZg0ribgdxmK*vbTTI+}>{K)8qsCmZ`yYjC2 z{Dj%TE>`WtmU6;u3CO5>_+@a;Sa#!teBbT!GvhnB$_9Yo{OP^9G2%B+$2VsS1{?_j zBVm==1o zy0;`RblBy7RAsa(<+S4kx~%)mDs^zEQ4h$NzXUq76=lVN9-no!2?+R~5Tu0xlG?7! zGFgWmLMgJZMtu3%b1y0HZ+B*0ryV@fr?e+n0MG*PXv0UQFI4|d2LA3o9AvV@FexkS z;c;0<*P4^SSO5rjeVTO)$a%FO^Zyyz|AygzG4!&0^#5k)gGaBD_zhtHkD=$fQr|*U Slcm0H|HIH4Q9vL-;=cf=r)(Sm literal 0 HcmV?d00001 diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/img/EDA_scripts.png b/zz-solutions/03-DigitalToAnalogConverter/Scripts/img/EDA_scripts.png new file mode 100644 index 0000000000000000000000000000000000000000..34917a9631c0dd368e83da36694991dfa10bf1f5 GIT binary patch literal 20462 zcmYg&1yodDwD-{62uOzr2m%7qBs5<_=~G)RZ^&>;QY z@&DHMo@=>O?z#K!{o8r=*~dstbp;}PT6_=)M5Lq$(FTFgPEo&cv4NiqOf5wLe_*Nnc86-Wp8;X~+41E`L(4HRbKW(|VDV7&HD@7ygd zT&#JW-E32klC&TYGe`;YLf12OZ^6slSg%d^a5GiH=dnr((q1Ps4-4_gQTcPCpFXA* z8L6M1?hif{k(Vw7%g0gK3#4DDVHn;b7Tyj92M_hg_{hnWTYhTLFw^q=Ay#wp4+!pZ%xMnDH~^KvMWmaC{a> z`3(V)%B{%N4lu_~&pRC?&3Zzq5@`{-@+;Xwe9-3d#Jh2;mL_}y0{GAJ&esJxlfwoL zR%J*{$aYkiI&>mR33j!>n*%neRL0ds`-*3tfHZ~%+h9#77QWRf{3bbWVl?&FMHa@H z4Q&M%r0Pj|Ann*=R5B;Rz;MIBZ-lL*X&+X}vC3b7ib0J|PcHlS!n@QEa%9`6)fG1V z?NzGwrrDv55LpwverPKvRIUX^ZV8pJ!qkO?|}S?$+`V(+r1aSf*pTMI-f3WTiC--eN{!aikQ zCll1(Wa_|}vn>s_a?{#b4Rzjck<0pHgZ(gN(~+u>XSg8G*Dr30iv0Vy(KQhmT27W( zpM@uNHe+9b{lWOYrf6%>O%~;^txjl?EIG#&C)0e3SrWt>kEz7-bRE0s3+%mRthjt% z;2L8)xq)Z9&<~k#kG{%b--}!BTaqmVal!yO*iAFuUvPE^NOL_xt_tTQP*A=O?R4g0 z_ZKeq?^9oJ<-D#K<+7(_e%t@Y6w6}X>okkc_buH9D+OslgaA{CgTWno*-xFy^3lHc z_cSuoCaHYR6_m|9fqjS*(!5W#pfifH4e!+7&G8EcTUIG^QCMtjkDHk@k=U9)BuKPq zcLUp<>4|Uby65s5pb=Snfa=kT)1?E7$|sueSE)e63tn&mMa*pg`eO1g=2jv zPS$BBxIjl&BSYo^RWsW1;{`+bmetNnyrZAVXDZWM>fr6LF!h7if*y-jI#JGOe>wl! zhHwsjr~blzkTO|km4}5&2&5EBz9A01SxT%y!=q_o zaEB@dTwgz%g|Q`9im&q>;E`vO?f5_z>qgrPng5 zFt?iMWR+bYmfabagD4ebb{^z$`yLEFkE_`tmh0;QkGRosJazLBCjkO04jSbau!@UX zlavHT{WIXcGAUr8M1qi=gh1*8ptB}g@Ev8VA)<=()BE4Kn)gE-!`6IM-c&{0W||Wi zl9+`fA@@uazarD!XksO7f81&nBblxE{AX;Fb6{ongsq$aoScsP6zCVPrk>uG01ug{ zyb}vc4EP1!epkpmBtt#7l;q3lW)Kv)@vKEM3%T%|5*C28bfgbX0{^mjj?lw;;*0-{%z-W}|38X?wQkz{GtAF&gI;ut5EiYVpt>u4XDogKmJ?X)KGbfjgDci3Is_DlsxLFhHDk<^DYUdBlgBEj^pZwWawPD&Vg*kLHH}=&EIRl6 zbwNzifV$kG+s9w&4ktIc9j>T%g9HYPMxIT*>QHl*2ZtZ!1YT!jc3jTDvB zpy1|h8d|gG_J!d3s>7&Qv%hj4Nepl_^ZQJ$enfJ1f;9+=IRadxxA`-uS}y@@lXb~~ zJjw^@{>ul6#y#Nay)Bcy08D;W)a#fGp_S66ljS!{h>&#wD#T-a(n;%+g9qqKSO;%7 zvIlLSzz=jMsik_=Oi#_T-W^_b`kXMNr<=W4mPc{F_bAkRIB&fmf0ECBI*on0d{oC_ zXyTGWEwTj!WEtQC>zYPH1S086bq*oONA;ltQ`&_H-$kPQ&bQ@&e8rJ?`INWh5auz;7@ zs1QRyK=w1he}@&gf`T_Miv|3hTK~&XgCXprLkGB43sB#sGF%4ZaC;aGNvOe;1jA)Y zpO}>^!V(Um1Oue$169;|Vq3^Yld=BTU2`rh)C0C<~~Z z|5M0c)>w}GWV7%>0E<9M{^x@em7K?>m@YLa@WoagEt2(hg{6;ckj%+}VT>U;Bh~?A z{~{6D207Lqxu*W{@3}a^cV{T%Bc?`(YTK4|~fh4OeTRz`#lo?}?t4-xS-LXGu$qoHhEP+Et zMl=(wH~wd2oB^l{o5D?Xgzv@K4|zaxDF3JoV`p~y@x$!+2a;KQ-70HZ)ksv1Yu6g& zg<|7V3HamGhTd+X{w{I+TQU7D1(>=KmU$ftUn?0jdN}RW598m1>; zf$&LY{S=Gvx$32HsgxS8Eq+tYn^-~eegAK9P?&hg%$`w|Fbf}1_i=*e!9be@EwfVD^8f02~-IS?uU%B&@b+;}}fIx6Q0 zhDU~#=d@S56bC~|`A5_!Yrs7;YQIr&?D7i(NUOY}LZF9sjGu6WHg`~(-Uh3}ze%<9 zO{J_?R*n`A{0U${7ugwp0X&gkCb$v%C~dv++me>Om6GM{;aG~DeRP` zKq+>`xA0;wK`-pCySky9wqJ|D9F9UTVTu@UAXRJjZ|`qJ$PD{C_4=~9Q{lKQdW{fk zbqJ(D#6W3{kK6X?=??Lmq#s;RXP=cE&=cq;GhhZSm&*uU|2MTK;|R6MA@9)CpaZ(=P@qW&!VTQy>U%@$BNE z0N`}>%I{8P2;7#^8E^y+U?D9orp zeGX4vr6Bo}%B(;sfG3qN6@Kj&3eQ<(-)irFf`Qf$iK|LKBNmLhOvTfF)}cakW0> zITQSXMzLJYcRFe92t%*a{0E95^>o6>bt96r;Pcdy=)Y<`LO>Xea{?7S+~zD)`c7;_ zc$~%TPFBV$+&$NU+xCXPE@T5=fqAMdzj4cK9utm z5dzv4l7lVdpoG)<(_70rjN=g@`uM5MR&hS>SKi#$A<(N}K(kP9s@!jeKb>Y@FTALx zE<_Lg_|{(B3h>LQ&!Oyz`5k|r&Z$5>E^mz5hV+3ixSMDSItHC{^xEIbSGY}q%Lu;@ z1=1D^0?Paqh`aZ`cT`MpN}6io!HZo&lh6r2lm+yA;#;bYH^|yzItrfde0Nun>lZ;= z-|m+Mf>lmwAwP$y!G&T~Y{gOUTQ0ypDpq)=p zxOI=C3ku%NXLH^$@KhiUFK#6%_>E^zLkhlDOM@6HaA6Gyk!?pZ&;JV39ALqueV@H{ z>4EFKR3O2amW~x^4}?tN{HxYjT_#dcu7w{C&q?*h6Ncc`-({^IKX7#De=U0<} zx4MX$i_#yiduj2%<>dEcJxqQ_>Tm$reMjeVcy!O)SgZ%r-AN10YsOp7*JTpj(D|{m zee%RS^0|1d+O2zr1b$BQ4GSR`9SPT^-v>?;!^E(uD@VJlwkllbUvBk@R|P!QdV@{| zrb+!F`TpUhov=0m4es{+PI#FgO^%EvmVJ3|qidci-BSyyQ^*{3oLJF zPxb|_Toew-mp>0jZKLf~;z-IZzMbx$#El)Fq!O1Db=fi57PgO$S z!nR_;Jz7*nz=p3xY4S*0oZ&O+lG4UeVL+Ez9rRlB82eoFg zf=*4UFhW-4Y&@nWO0QazTnTKnKyvCyRg;hL?^w9Fp!Sh3zl#!|jTi}2+1_2?Kzo?8 zA5nmp=Nn`&4NZ$A@X-Qwm(=?#;{5{kbf`^9G6iOPvhMH-f{s+2A}nYSIiy{(p>iD zZ>N@z+z-Eu|1r%Dob8juPD?L_;wmq~ngIxM+j8l6yVA1|I;4Rg^bK!Vb$+llPvVQ7Qj~=y&=*M8Askk6tzy!v!TMB4jEvdRg^weq!*nZfw^R!n(fbye z;BHw#aW&}^6E+LH-E=FW^aOBO$yc){fhnJ#33nCzjS^TOgL4w4!uDUnpAejxsNovr zBSq`?*2***d~^k{)s?dpR9&fs_J67$xDp-6E2lj0 zo9^7uJs!3qbnpRcioqki!jV}i-Y&lTIXB$4Vnzt`)Iw0i7#(C67hcX%r|+IUpT~?W zEcv=tccpIJSc70mmNW z#1vRRkW_i-r@N|hs_iK$iv1WuMxWKZ-}_mw_K29K5+2v$nKxHncDou8H03)W^+0v< zPt@u@5I_}}vxQcf#J3VM9PyEIK0>)HYQrhIQjRMR-V@us%Oc=H*0@X3(sXQ0pg4e! z?~8BP=2vPm*@1hb>=YB$60CQJTx%X&?ev+^=Oolkl^)qG6XHx~Q2g?tA6{%(k9bP4 zi#TV_u1kIT1=HoWAE?sa$JiQWaVwk>fM%GtA^BD?W7d9B@VQ@~uz7feba@h8eQsh0 z>7V`gL^cB){-`cf@ZV?#OER)gj3u8y!Z=3Io?b5eRRW+yb*PtqtCFRVolb(G*#FrX zh_)W*r%-c!4Zy+bt#a+Jq|JL|h0{JiaNm0v0f$3>lS>9-eBxbr<`$uCR&Mzfjrxji z97)TK$y>!nNJh3@kXim8190*>@3VEj*oRx97`)K$sTmcP^gv=}n(t-L%XRyjL4U}M z;Z=nm8c6Gc3;bM$g*(_IND6q0Md|WeHiKRy6Kp2s(Pj<$+v);rN<2j8V$Oi44~9#* zQUcm!v1w)qO`h=Tv9b2MUAL#rEG`sD$e+`h7d$rJ;(olajdQpXL=OaYfsXUh7f$Q( z4$AY@Kr=be2>$~g&wZc)eG+`KLAUA~+Cs2mums@9-7Dv+6KN|VT&GD2z zwU>_K2^7_HY;6+bvRMdXCKhrESv{uCj;7}CC_H!@-c@28-^QN0!xq{2tW5{`WJL!( zA?1f6rG)e??o_}E8B}P0njr#?UHFu?Go{TBVQIyV_GOfPzmDK`Yq6w?LX1YsZs>iZ z*TTi&)R^rk1>ttA90a4hpaA2*g)PadF1O}QTd(I5B`k};egTdqJruq*T4wg5V`Hhk zw524}{`fV$R{k8VWZ><5Z|MST|K>UgNH)j6XoS@&m{_dz#Dz-;lZ?{Iooh`Do63qwAy>h6r>lu36AGgEa&*w zez1u?1)`)7$vL}TITyu86uC*{`oMc4+7~mBtyB^6Y{%Q4P1y7n*u(F#`2XhUekc<3pst#Q zME>PwKt?Vw6!R7p3PAsJ8MWq+$usqrKkY$;q2@g zHDY?BQ63H1`G$-=-`0oVz3&y7!_D#9)>}su{%;Q7U%c4cURlzo^JM$f$o+zJw{)#*=hTvPh+4*AwQ<~pgu<^S0vaXz)51pv#+3_5i zCkhUynr6-`x@H=;E^upLbK=5evut+qnoE4FIkA!bv&@^> zvaI!MrijD22Cb!)mZ>+ldKZ>G&Ze@D2FHCM+xbsv+id{%;b)ngtY}15hnea(XX27R zz=(s?54(u+Uwupddex4>dDR(qPR#hz#k}vNu*HT9u$sKA{B+{IJ?UHtc^mCFuTcBt z@ut1%utm0s2OvTtFd5q6`X&ESdfc1UrDpVt32mdEnz@jfrbCgL!}>hC-@mMOXCOlS zMshf#rk-sV z^IwKte+p1bZVF*)X!gH_mBTi$oyL`OABwms^>$8vZV}CIp*}U*%GXVrqm9@SI>x46 zZ9E9TUc0X&Ev<0fr}upQ=Z<&}vFj?d*i5+JVC2A%0$+8X*^{?4=cBIbY7-dr2fl5z zrM&#cXN|Ng-*eSK_4Y(RzH+d{XW{qEaofS#(7`QPmb$4K$JmB!E`f6x@mB07G4=7j zTPj508{jNL$>a}q=GynSKU#Ls_I!`6A#8xX=5`DtK-f>e!@9ie}Q6b{H?WY^b^0a|hUS8B# zZtlx;UGYf<$L8PG#HvAVs}jI+nRq5PaY#=45h1;d0`6-bD9gmlzDT)*Ix9YRf|A)- zk+N&X#n)Ga-oY$JJ&&`SU&is;5*W?*=E=O0nf`TsC#3uJOM(zYSaC-{1Qg+ai%-jY zPxb!Rk$sR;#vHEAVkbXJx-8FoONOuKcUw9QcK+(E!5DZFp9t@L+-Lmfe`A>h%12op ze~}7fp6|qd8tw7#3zQ)FK8y;R{!Y9v5*(hc zsPAn_7fQ$@+~ji>x0`OWFLaRFx{sd|YmqWjWTq)?%tRKKm*s)ZB(V zhcpiYfN5y5V0YC|VGuAt;+pB20@&g&G6i8bd->kgkPLNZ?+j>$_Lc&5Nu07bb2g>o zxj=3D{?nPGZH4lqfw-LFpF>j>k+`@*b>2jApHI z#tqATruj;jLtDP(66c%r>8VJEDOx=9cW@0?NqMEzvB?4+H40_55JffSY+Y^TERWmw zdslN5aN*gOn?aga@c3)zc6gBzX?#E=eD7QxM&~;g_6*4iG|bw#1W$FQzY&}wnqxRW zdmts?u;!CT=w;3o0WJ}pqYX=OV@7;o9o7f5o~+`(Qrd106>-AFZxK0LdAj$4r*l!) z_&GKg54i{+305me#=Xn&V$t&T%iL%)PfPah;ReRVVETI(7G*N5u(O~hQJEIX%WxwY zeN5-y2cMCrW;I8@N-br?vPRi#My$}%T?z(m4XIw6I?9eu-{W%I-4m;qx+`lUwrnUh zRNH@gvhL{X8Um>tY<*`?mzyOK%R9F3l7VA>R>71C?Ke5P+)p@~gViplmEABdq@2%4 z*YF%O)T{fuKFl3bS%!1I|F78jy3H3xSuaNN0DNY$6--yDiA_)gTTSa4Sv_2unv?>@FJTE2Dh2uCngl3a#is5C1QqMhxM!inA z^0|P}bm%8ezeCGLb@hZlH4(2@KC4GVA8f_Ozik>BjaytXjlYtF9I5;f5HehT*@q!= zA}*$dJxe){QwGiMU72KH|I*DEP;lK4=9IiZ(JC>|HN@`m@LK|Bx;vJ#j0cvnY257^ zh1MATY;PW(;EBr0XEFDT4=xhXRcI4vJr5A%ZzL(pGIYFAoZNr;{Or7$ZiPvp_q-yK z^Lcw>B%+ip4@p^Hkvc@`CaKN^HCPjSQ2K)|$8=0>z1-a9H1$Vn>74rX(U|38Gr=dm zY)}Oy@w|yT%lq2}FtuiCw&rWVy{g-4O@hb74kA*f`ywl+($k6m5{W1;%=$f4!%cm? z1p*F+^(vFHF8MM-$F7|qcjav;KDKRD4nd7$$@_Hmsh<6)z9-+?H+9XD3A^G(#H(os zk8K}*vz%a#_CK_w5%xoe#7eWqb5d{g%JZ_L8lrytH5*dIAlweU{>hqLIf0}syjlvj z=5vb#V+ktYv)!E1ymqT*sHxINwiB|-A8 zOEukY9FYATzwqYLV9+;(^M*c`w_do1D2wzNhyS554E?p#`~^UzPysr33R;L(9$(Rr&Dtqky!cB< zEpPoxo)=6nB9|nKr%|UPz%^doG)UlF)IL)`-I0=EyITiISP;fCTK+^#`2H_cp(2u& zi2f;*y;BhxqMqC?c&i$10wsv*wz}8Jg!lR;>7@nz`jOJis4X2q}y8n#zr0ILga4nvBOi2K99g~U9Rs|#A3Z`gH-a1 z3WTfjITU2t5oz%d@#%vA|2*3FC=VPK@%L%IgC$9=+x<}u>XDowjkTW!XeeotYkyX1 zzbWrPj}j$eO*<~*>X_jRpz-Q@iRxDn3w{Yhls?w|6BowO;qY_#y zIff$>z8Y!ip}@k5?qbE3bXEE|HA4nFyf>9@FjqT-KJW>|W~o%;p*)qR)^Y0)jVloC z22)%3AqjNEDGPv0zN~L~WI6YXJbN??l)jle@sYgCy;OF)pe%G?Z7MAsUZ{b?YU0Ta z1yMB0c_>6iYQFs_cbFiN7ud1ey-tl(SX>4#Xu;ucDyyzZea9kyAql@;;8TiGLYk5E z3Ae^hBF0X`{gIqijSuHYGFJLSV~p|X1CNhr#XmK5FWkOJ^_V^bbS?i)MnA)jnI~^_ z)B})0k}W1_0^gGXy}d^V2|16K?{+?yg($pCh(xq90zjy(#TX}OJYn7+VIu{$x0FI` zK->@hQmXMvubm?<)r|wy9<6>UH$yp7AR%w&OAhw*C;(cx{g^{5#ElDnim>QKPj*U! zEeHhi%o`96C?^)4ssH&5jI8JY6s_Z9+NhwA29F-mbUAdzX`^#E96lERoo52)+o{o}j_{~MVQrgr0}53&hiz;T3CRgE1k9lDQ>m*Vg! z@agz0_W4ML1soj@Q5|%6?v5QGZM~II;d4E@dI&ov_`4`M8JQOE9F4;ZAE9kMx&+P9 zTDw@F*)YKHyO-UH{Vdc|6Fv2xoH{cCTGNvWgE7Wn?La{&0I!wG4iFl!@`B%>7Uapk zdldMz?N*7#5R;wxA9q}p#RWtS-gA2lx-5^-=xboQtgNg%BZs*)DJSYi;%(=qCWd(y@iI!eGB;J%3 za|dRVf_$=3;)Vg6Z-}nLz%1sdPQ`gvhc*abc2$2B0KNAWnqYKy>*7~{arA4f%=KRZ zf9zo>a&G+Ot$)l1w#^D-p>>#`EYc1MKqAgBKxbz;ZQOail1cRS7U^4!ctPM)KnuMF zo5VaohOVA7%%t@fSRcHnuEh%=7}c>Y?Dh%0A;?k?s#1Yc^H{?7e<{a_+q?fDLG$1N zA|9I{4WJxzW}r0zd)}ryg(p*+xhS#0`?NwuPWT@Yiz+(0;HMlsw(jx_2ScMo3e?U~ zY=GT?P$`4T`{L-?jx6UKCjHaXhwqpOg5UiDJ|xiodjY4Spnw7Nt#qNL5l8gB&OF)g z>Rf*y*=byml-PR5zfmj zCWkU~Qm-*Adf5BhCa^xR4FpudauZIMXq_%DS35sN#hjlu2Y{qlCE1bZo&D$g^l0(h zL?-OfT=oY5NL^jdb?;4pG7$!I{KRqd%G|OaP!4c3YdjXHA}UOOUqyj$!sVds_y{Gl zemWFGw4zEQHA}AiA8y9!E5U){D?hFx1)&E2seDt!n3O}UU4s+4{fe$tVgUv3>*ke; z`NXONa9NR|zX3=>n+|1(Z`heN?L2D|pG(t=-fbSQQ$Hz0Omv~3@LZ>JQe;uz-T^B0 z2lr5Ns5%Z}I%(@(p zXmFht5vg3D*{Lf%K{21q^5`b~-3V3;$$`uztbkG+#F_c{vI6xIqkjhxT18Rq(%I`R z&3|G*b?iJrzkC!fq~(%cdAPBmSZKtjXXIq}-rq7MSYY>=0>K|Y{|BM<#|}Cz(_gzo zyG>MA(Ldv`&>Xh*VJHT@8Mj0A#dmDVUeoXFUo@G?;bc;J&Y=uTjnK`LC1LM`u~wSE z1ivvcRS{TES=L6(l+ao1ciTJy7Wv}KF7}^DA!*WK@E!Nc1>39BS6OoL(TtP2?i-4uk#v!$>a8OP0{Y zcMgn$iSiA_&n)UPm=2uKxK`QO=TN?{uUc{$@Zar4 z0vtmsp166gP< ztV0-D;lC$LUMw07sF2f7YRd>BbHC^|-eTOi5}`y;D8B?~JrfM=G>_o3qG@|j(aiA& z%=gY$6cqFo=P_W3orLaJ7K)9cz|dlw!4idg7Diz3UzMBx5a}?v3~V1iYUC&d!)Uv! zK-sQ&DC_+y7TQUwVA>f?Gtza^T!-)1J;(GDeVK6^_?D8u#CAl&Y98viIBL_+^}!Vx zYpD(hB~imlsc)gEMlA*&B?20rfo)hUMzB=$r8~k{ zBQDA}BK-stKeHQeS;vsz1pb}w)7m+Oy7MuxdTYSo8F<@8oqD)vXX~h;=E&GjF8|=2 zlwH*5n`BV@JAy@Kou$eD$4{Cw3=>lxfp3nEu`_}8z+3M&ULUjEkJ(c57*nF*-$@0z z==^sH^t0@l?GOc8Bil(nqvs!8v30l5HI7*7gUvmz$xo&URMMiX3+=V^v*LwQ&DQ-mEUS8hc zE@{WQJd6(GyF%+evzh1lj$!_%e?*Pc={~A?FJ6yLkBtR8NsGmD7C>RJj6-W_+MQot z!(&CdO)$ASmw@B{ntivQeu7Yt=9Gp5Lmc*FO0SES*NI^a67LTuB(!47c?g_aZqM-o zHgjH3d5eDpn^za(;vW~(#J<}!*CQIZCki@yAFC9pgQM=NZOlp8j$FVnefR?M(EI|q zP#_t>I@6x_Zri+0kV)O%p|Hs4hDoC5CoG&;)?k@O%A}fJdPAY4q-3T6Ru&G_hHnoRoBZx> zotA1iy6m^Cu!u>b=+iOs6{IaLW@@OfP==}b*Q}u@@2{cJ1=_F0vSbkv5letG-zf>L zL``Lj=~5FrCnx0D#j6R(??e+W^_LuUyNsB5og>(lYH!UP_@JO3r`Qjv=N<{hE1VNX zeti1BTLNTi9A<;l85cR_68hR#_-mXNqA+lXPcOg8(Jh6~GN@ZT5l>bSe>VSx>Ak4= z?vP8*qrA~LUW;oTf$sdj2E~iWi;EyxP>P6i_ucKam=Q<6EDi}{=bxdJUz3yf@B7rs zCJH#o&Nfs>%oT%{MhqGraZO8p76kH7?q5bw>8CNr1zzTv`&zegp$eZ1r^d@aDR$1z z8e06UwD9xu_YQN_coHzA@0QK>N}O)z z;YBA{{49n&nKY26t!u$w`S+^%Vj!$`@7~E78PO$ZyEH8DN9W#>v1E(BWF-UadNmEU z*cOC=^TuELI@Z=y?p;UCqk^2f)D5m~^Q431B80V)5#Argsa6m(C1Y@_$G=nJv-Zcm z103k4wyI?ZNMv`ql+WnI1ZLUvhnpMU^^J|TwWHRxBU#)}>z$C?1TYLHf@o+U!^Iq( zo%QNR92-E;7iKO;b{;*-H2`9>9gGFDv!hQ^eM}~~cwn=IcsbaLDdLKwOcdvM>csJ( z3NBg)zo-v~GWxiX#kYLNi)x3PrOm_P`{D{~9_7L0^f#&d43*hM{@WV>5p7!_LNebe zyttJ@os%2#IR+Jq6RZxdqQ&JUfQ4u`-bX|1OZ|488cz-;5ti#hT$suWF9R!^Gfpkp zuR&kVRMI2RM=rMVDF-u}(J|{B!Z@h`ehZCm9+|tIMX9rwg6s0uVv52y?$ysL;!U>{ z3@!@(h)$Hrp&7Jzqo&5Pf8?tY5UFHu$H;xu?Yr77_4pI{di)i#;d|ZZJVu|Q*7EGai8=F{KNVSO z-RqtaWBOk{N$BgrB(;8^VsSfiAHHyJSS+D53}j8i^f!v^Op24wbY5-g5viEz6Idn+t2rxnId)j;w?TO@z!b-Jms?8RP(?1vG2C!3yGchO>2lf9f&_S_4s6J1W6g zA#=u)_VwsVt2=Jv_{GU$BH0er7iaRJBt7>u2FlHNi&>)MIyY9OFl8AQE$8Adt*?Rl-<@MBpXx)lqsKnJ0>z7kE6&!$HRh4{y;MiVr-^4`v z9tO+}Pak~`uo!^&W#aAs0*0o6BjFXvC70;;)VIKuk8N8Idb8hzv)aepAaq2m#;v;& zhTw5eW~WJ^1U{M8+zFjiLfr>(hVZ|LQo=cWzxN%hk8#gFmadI&E8(gh$FxG0_;D9I z6d@A@k&70A?J(OPCYAd7*d^2DPvegG1JlF>N4H}jz48|JZh zWkhL#8^k-67NX9Vtty21&6TsAT@V7X^~AOehHXU^UF9Y14#!h%YCg4o3TjOQ3k2%W z6duO4(ZD{TWPe?s8&oCP_4pCh)-Zam5~|8J#mVw7k-+Ja2Z>IL&~ILb%N=J4LK1Si zeZpJ0)-6%9PdoqCVg%YFnt?lDFyg2MI~ZC2iSjaKIEpCO7w5WX{U#GmG_lUx!)~f` zlvk*|hd%y&4nWryE=ckPE3a3u?mGe5My$JU2Qv%f*MIZbRrH^Q0l!dizFf)&R`zh1 zd`&isdSjc8qN*e^XA=4%{6H;&>?RV))1y)hZh8Ovm=Wv93j~ZgN5$#Td_^+F2ugJU zjW06bDwViP4Gd1MJpcUPy&JFCq*nm#s-2`p!sY32mYV38fmKa%{Cy(9A_HVvuf%&K z2#INA${dW3cZDh*&9P{fKMZl)oOSXni)fmHCuayfoJCI()qP$5XdW_;-*1@_g0 zB`)S#XN?$KYes0l%>vq1b*vH8UoP_w>6}olE$l&3aukG|9@EuV?E8q5)5lK<7(h19 zd3?xQs}Sy*tBqrk$(MOFJEyy`V2BX2tyk2_mslBnVICiOSYmUoV-|+2?pGL^;(#-l zk}l#S=S9+?jTmdcfqzGgpyW%2M1ir@NEbh)U2qF(3Y)!i8oHSo82amn*-rv^fQ=wZtg8)?dEmSO`7CBD-~*wjwDKIaI7nCc z#~Y3mcQ17HA+s%q@wd zui-O*=1F1bs|*Wby{1mvt*QZB@^!~R^E8`YsCPx~J8TpQe>mJL*!IwHYkViEnn1;u zJn*{&!K?~?(08vKz!*6pHVdmjc{j*KsM<$o&t;I&Xm_je8@j0kk*7l8$Lmj|DKnZD z62AtNFRCX=tt%$U*djGnAbJiVXu>D#|4B~6F`3@J*TAGZmvC~8~qy>Pg z@5zZu115h{k^ig_;)D<)4@BhU;GYAva*@@GXc5@mT!gyoaq^K3|6X8Kx5(sirVMRO zOE&!@O9iwNfYf=J7wByacnKq3kWw?**DbnkfRkRT&8zSZjx?E)BBOJO1;f3M4*>VW zX_#2R2l62f`?SFYGs;3Sgu6{b$x3g&g_ul%fo1V}I%%k5c6qVEpZLod?Nz9z=#?x* zu+6^~IzLu8j^IhpUK0KT)Lvw3*fQzIw?BjPhiePvojo9khi%sSF`X08=j}2t&_Kz^ z%xj-HDD&h=sH=+b7qO41#QP;i#Z()A35E0sAl~1e%n2z))LIa_0;BN;S?RUSB%hZd zjIqIblIy|}bq#Ox9c(F#_;SD(l;zk=usA)4ymjhIepglu2Jxh2F;&^@ z+(hO>h(NsN!(=bGqk)D!<{&Vi1W9-tJCCV9$3+NSW?YCLLYx4@>%*gQVlA%QRI+}w zhF6Z!>KmVVQNn5#n4!pH@NUc2w?LG*q(2W?6I*!!(L?XHvgHXJ$73^d!WB2tEdX!$ zloAzdXq8wr6Gh0Kwr_0o7RCxZHkE2p>z4m|s+@8A$PbFkfi_U$;)1%=ndZlWLVhu8 z{36}Rboc9cHot$r@#88F06!kzP(}_XcK*?(P;ds$#0S(HG4H7@!UG76$Fcx#%NqrX zMj*E~r7|)4Mj&~<$4`oMrTsv-Iia;5dvK}(cQLfNtzK$QPlobVDWD{%1UB!0cl_+b zaBcf9WacWXKM)7~yWyqz_5r5+)-d?H^%H{K5T6Q}d7yVr#@@#qK|OwJc@F+o#anpY zCxJN0)mBe+lUe+6#V%n{xC_EWDMBC=;$@20?d4TPuO)o^P(9&VB^bRs!mVU5h@J-s zimz)ON&@S!P(GWtC^|x_Iz)#RB2hzTO_U_WzaTRQ#lJk7CHkPhJDejo?4PYS#ph+p z2{3@O{jB{<9R9r>V9p6xv1xt-6s1^tX9IUd5^=m@MnHs_EkE7VGyqcCw$}fIQ9@vb z0+PUt76f>LGbp>#n{}8Yje?WMy(G#@deON zzQ67de{r!hoNY8reKn*ZWsUqcdSeDkA4>~6#GMU%=Il*$11^x|%1#Z2iw9_#{*bTd z7;JHTFHJSd^j`mfx@SN&R?ribEj=0?Ylm_)@nJ=Mw8xt<29Dv9`lfXoIB9^&D5$*o zcKWF)!?H}ZTZI6=m{hocFmLYs7v&3>=0Ke!5YXqn9c}um{)z)IXeAMz)BkS$ghvBO z-57YK3^=l=csfxefvu&^{r-;yqGkPQ$HOMiKi?FLfHsC;m@lM0HUsOauVoNv! z#v3?K;=FOj>fFu|U8uMZ<2j|7e8ofa@cASG=rM3*%-c|NhJHQ*7_?gWO2Wem0+HyT z{$Btsc;(bDfu&WrccI= z1?Z^(23+4qIi*KEB{lX#LL=Wit7rQs7mUzNd0K`UxH>_w-2(*bz2|`Sa(S^$D<^po zCFOTTgrx_fMkstthFicZZU9Ivyj3`7L60%j00S2y1p?UDb*7_l(C@oNZxc_@NL}A# zaTNYL4Zli9o0vpYee@@@&Sn{nmLo%X1YG-qS+$q$Tw6obt;3p*X@4w@gJR>x>0Kmn zDX+rZKX=O;_vR&l?*9$N+0lZ)#>M?4TzXn(<_Im(Yt>*2gWrBF`>^zANCe|=36du_ z1LP9xOf!5!6hSF@`4TFQj{ez~jel5h{XRG>M8<7)panUV#`XCj0>cVXAnd5EZ>D=? zypk0R4ixDp(_B8x96ii_P9E4Lu^j2m9@eURuNV!bx+$!*7-g_U8e8oQCv1zxl%sC+ zi3R?}0|C)1*K8C&r?eOkP4I^VzSe73e2noM_CL%W4Vje|+iZvvD+1t#;4Afv3u*gE z4zzWkZn^>vqEO>0q$}1hSV1mIIQf?-^Fn8kNdV`jyXUSf{(TYz!4E z^A;vehrO=a-_wO-`hGgy+qm%BJR$)+p~w!XT99T-|xzSlCct;F38~h16R@XyIKjwQUxSzm(fP z@#KEiZY}l%*ZLKRJLLI+xVHay_Nk*pWcr)-pwhOx2S#%K16OE)Lnz`dhOzi;wUF9|Myg7Q2I&v)fuAeXeV#@*JS(%H7|8nbxiJB+|53r}-1iX|J9Y1)!c6OfeI1LQ&uKk#{PGCQkV z=IDPnXuvd{t;vp{nGiYtBt7=Rm7Wjd6@4xkd$&$Q$p__(n4Dp+n>F)*&@Lu<8ASUx zl1SlH)9=&J#QD&9wTPQk&gh4KX``hH=F{qzLPsCCAt)E9JKPqiZT!+9DRPJ})dMY= zyZcT0hA;3Vl4|UrQ6gmKlv&$2F^7I7iRu;hyL`4p=XWX&2UQ54t$WwZ%}ivt4>h;F zB!PJdu%2(j`WGEjd%c4;4F5s>6N%`Jp#F}0i>04;y-5EBsZ)y^Nj{wa7jX)X^{qSl z_^D(hx=}&=DF9b@59#Gd6Ss!zu+ZjtM5Ko)ByRCnxvN799}Sq94IJjViC5@3u4_$O z3q1K8fKTaeA^o^4NAs=3^r1db^L~kGf4w=j=QZ6u^owzz=Yg(uZ6!#_Cjfk1cMIt^ zmWktNd5+<8Gy}8$g-v9@1wl6UVXmFfxjFI@0CwOAE^b zmFFw0;DhUW%Q^%e;-+OD<3KMEhi=_k?PbgkKzv1a3+a>a(uhqDBJQSjr%;Zobs|{m zulP4xiQj8E+A*NJhprt5dV%R|*tJPW?@0i)x?4z(jB?QzAHsMhp*w|gP{6RU++Q_X zZU?uu#M)ob-9xj71HAyf(ey{9+3d{25V#jyd@M=|z&nXioKEr9VYISF$ zIgvGXtUtbcTz3=AE)Mhp*13A;Mgi|BK-{Rih4dImP2xmy6rSR;Trpca+4^4+j$ zeUqoX6)q0+0{2GKJyzEBZN-Ii;dy^k5t_&*&=(&<+T*fZu?ZL!miZQ(ue8G7orrtw zU+C{h>29LA!+~BTx|_F^tCaa51hxS%bobEw;<8+EkwRdRQ|5ijSN=mN`}a2-{r;%# zCYpO3=tZV;&CcaQsohZc72Pc~zcR|jvG_2?GI8B0G=~;gAuRJ(ycRaC?dxJ4eY$&S z{&1idsf*k2?ch_~ii!fzpB%%fnGbZQ&@2lX4wm@KhpO%H{p(_T{#JJn%|8zGB6fVm zL-v$4z6B5u11QnmL-S`abq;SQ&v03;0QjVZ8+_4Zom2MMOl0zVpLyjO9tmBfIM4|P z8t+|bDD%4l>Td8hx1uqbO`{7MZSN>zaAs^uP_qnmrT@OxqwPm@H&Jfkn>GDH zU2AqUz{7U{Y}VaEbMNHL7)~a+ESGBp1S+f`7WtyDR+xc5Xztx}Sa%cUCJuD^MBR-$ zTRl%b!pF20zLE44jweRoDn4{ew@ZV3u|L{fY6QRC+S~q$?k37@9O!h}x>oJD4FZn< z*r>aO<_?$T^b!!LvO`$plpTs%&I8S{z58@GQGVb+rz>`F&GuiFp12>tr**f`+~Bg@ z{JZoW`64HBpu}(rN?X zhLEVVLSCIO`f}9tKfEs1-l@BZ@)HL-U9+ynwp)}k{!l>uCIDM^56!MqGvnw_jzNKk z(99-Vny7V3GqrZ_); zMy*{sUgsBbT?69;6SHY_tMffr#vjbs(|~Tuu^vq&5kKIh1m2UX1t8< zl)Mx*{iyXt{=3Es?=_8?zgpY#bV7F%6&4P3nllOzU5&f$fbzBqU=sjScN1Nkl$S+s zdio?QQ=b-Az=SIM7Ku z1&HodJ2pVzcW~i>Fk#(Ibor*-6nduzku0KRIlr_}X@}!gR`|tI)Bkj9Z~N=In}|5j zDW~k;_zjWfhs$kF0+EK)Yzfte6IE4 zs-xeJ>+T}Dgae&&)=yS$iJFFUr+~T(7mk_b_w6~%gTmX1GZ;)2&a#{#Br431S8n?| ztL@Tff{-sX_O?&z?jrhmIM69CbT@A+N5=f3r?6Q7p9fE*%USQuOyJ#Q{w~W|!a#)? z^dgq;NR=J>VZgNier-?tuvDAAKyK$yNl>baG+COJJ`C*FJ`boc-|&Rd*2t-Jg(;Wa_17AVmI zfpq|Cb$1n-t67#45C|I%f`&aBkakb0Eab$hxZa(^r2ps4{A1k(cXC$Rm zJ%nn4l1&h*3Bb(&r0%wSmQ@~(#fNb=GyRdjvxI?=VZ$e_F;mJngVH`+VMbmL`Lf;3 zho2nLkV}*oIM9i%(Eb%$Lyqa(BH^xp5X%A716U4V5%`+AYzjbsa!gHSXGR=p{?sq6 zH%rW5U!~=XIiB?vk5whQGJNwPnkD;JYz_Gg`$j3%5(TkTDYZlju~b1VL2-X{uK)}J z=vN9Sg+#wlIO!^{Kb3WV`kCWTe)KY(h^`n1I?+6MX=$4iDKYDWr)s58*LxnW7edql zsun;MfNB5>kY`8Q3LvF`vjENj7=gfm@-QGJPJ2q6_6+y^bLTMdh5n9|?pC5g$AM0i zLw>SyOVqH9DkEbpfK=rk5D`EGN<{%gAP|8<5JHtgz=0Bh3x9?UAc7CSri1wCe-P3D ze)gkm1z-{iX$Zt2R00YqC~*z~aVShGAtnScsenldOu`c%xK`$@-!(`0pc10o@xN|Z VnLYCvaR&eZ002ovPDHLkV1fww&lLaw literal 0 HcmV?d00001 diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/img/EDA_scripts.svg b/zz-solutions/03-DigitalToAnalogConverter/Scripts/img/EDA_scripts.svg new file mode 100644 index 0000000..f6c9049 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/img/EDA_scripts.svg @@ -0,0 +1,98 @@ + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/img/eln_labs_deployment-staff.png b/zz-solutions/03-DigitalToAnalogConverter/Scripts/img/eln_labs_deployment-staff.png new file mode 100644 index 0000000000000000000000000000000000000000..5c36d3b3c0c35ada017d12096a804a38bd8c5ce4 GIT binary patch literal 1773130 zcmV(tK(k1vbN1D0#xA5i?3h%wU!<)kU;^~L{_UTb~OP@gnBxxd6 ztQC>ef6&ixS8ZSZ2Z4sEXsfm?{)2M=K@bFj>HmSS_s4_L|DX(pynj&q9~#1w_w;*x zGj037*Iw84PqC)gKkfAIskAP~|DMyQr|tXnKZt<;1HGsIpRgT^>Dt~y!+Zb0(EBmU zzDMr~0{i#yCb0ka{m0C6UxsC-wmY~VCI3MQ(1a>^y7nLB^B(u@7xY~$^X~+1`Tx5i z(Ep(S!0#uYW}Sxj8i64Gf&M{Joc;%1j z$I|xOdS!SQk@5dXH5JXo9Q=FDS*~0AHuZPeJ0t>(6`a_AbNTP5MR~S)2|h*n|Dd0u zP3tus-b>p5&iJK1-9FALir~_~H`7V}kbfH))8W63Y!JdWW*Nr{w4GOj|x1 zdnea3lhN>QIo#;q7L-e>1b*Xi?SlJ#o+Ho&-TflYu2-j06}d+CADj^7SP3tT_zrKM z!PKJDOz!EW_Yiizx5T%8-W)XeH|W>j=;c$BZ!gvP6h^yAyV?ye(63zC?4YAf%Bk;d zVTe+YK z;aljLy&qK}k_^$opdA=HC0rY7M)#Cp#12gwdPGcYsqgQL9;u@5LmBxz&g#@ydcQ zLIRTM?IfEAFAp-`i>kXzcvIxDaRt@G7ukQ!m(^T&LX8WxGy-9+A4cK*R^IA-+{dU# zq*!^R%~T{c zB}}M8XP(B`ev_8?UfIwJN&ox62ab$Nvz_tRv8%11hY=H_pZhJG@^~K*xVrfaIP&c5 z8Q_gorY^Ff=X#V}HF1MQV`%@IC{*kN4cYfGJy_ryMV|^guS|jAbW!+>*pn3;l1zB< zjyJ*)Xa3Q!9Gep(1wY)9$}f`oz#rI$jmn}qKaf#SAB5hYkZgw4D7zN{h0CiJ2)M;% z!Gu9LWWB0_)D~V zc@-N-5fIW=UfR#2awWhloYJ{f1G3&<2Zf>Dt$py5UbG84&^(4AhYxiIHnMCA*;Slqm`@b z^K!T1ey>|`2a4z8bYopb!aPN~skW5|#oMRfKY5v8UP>}yf>=U3)C{TK=Fa70Td(`x z$34<-!6A_2X10DpvjgzYUz+m(IEFjuQR0%-GwF&h#3U>lR&9Y*BvKZpR#JlA85MK7 zUD}Qp*5Sh&9y#jN{(2ZQaT2rM>E*I8IOY$Ld_#@kWICIWo?*Yo>DAO&HUJr3Bgqs^{oz@sQ#%C{+N*__%tP*~4e*c90gR*;}=aUK- zacQQTqXAd>y77t?>)!6pN3_I>y{Gh6bw;BwhY;rg5%#XDKIQHAX*my##&HRR0GQ>5 zjeFsY&2jLjj5vga00^~!rqxX@DOr2^Q;eguz&}j;0*k;&x{FCSFUBpFl)H@P zIzi_~^RZgV$Q#4{0(e_vB;%{6Rryn7fT7B+Kn*a|U76GzaW@n?!#+(vW95|-_G>fM z)V)~r-b+!%&nK$8WeK^O2s6!avawL!cp93g=)MB&{fa05gry~u3A(nAY1@t_M>tnm zr8A!RkW;dh2j2>oj(tt-;l*5G#18_&z#3@^JWHrc8n1UwC!Q?snT%Nx=xAbTY+nPX zB%cE_ge8U`2Ay-AB2aTn>-ihMvs9XIBV}&H0f1WJa_~=SyaAWh$qgFla*7@XMczpi zn4KW@1r^kir0km>@my9INK~{S=Vobr9}L@y8qAlj>^Tkq98(58_1OBvq_1Sy<(`}| zlrNc|{(MiN{7b_yhi0gI`WEAPXy3(w$STC3nE5h>x&AmFwu@+6lH&|==99@eZ5jKn z?>o8tf`1qPURcL_J1k%TJRAp^V5Y`ci1&#v9$p`)`SvW-otEFG{_nTSyx*5R_Q{w& zgt`Mqugoa#%>=fx+NX9F_2THhp&I5^bvAy+QE?yl9o!iG82HtWeufO(LFkshmT?As zfrWW1ZF7U(cfL`35#T3`LHgFnwayh?qeKTP&o^kQVecYGoWD{&2l0+^nNE$k>SCg( z80Ej{qO!ikn2f0=y-a9)kXg~kOox<{F17KLe*#}{8`p$MGOr(t(e5tyqG^5~Y4~<} zU>zBFvHIB7#uuH&7nikU1=eKH=VLug6fW08V&n2V7});Y;65s8(u)#`@|l>bG@xJY zDSkzVjJ(9%u?O!$omw$zC5jiBLsvJ758nDlb(X=;?-jo2l({9dI6Q1hVp?n}k$;lY z=Q11rnMLFq8+@5&8~MMBo+$eE-GS>?r?MsJHDR_-=v#3%C%kHJ|73B?Nw&Tk%kiZX zz}nE{&xuDIUs}a}fSp3fs9o|&e~JD<#K9#Q8^G|1BN0R+-Qb2<8@Q)*Ig$(~$?VNA z40i`pkdby-dB#(7BhfX-A{Tl!{s584N%Hq~+IA{uJ;b{g@>NK;qD8=+xVqKY2Xjz9 z*a>KoGh6(o+>Try74S<+tuai3oNGKjN^(p?XxD6NKen?)G@OlyTxk*~rqED_`e((c z3@$Vm`tYZG{x}67r&#QlYVg6KsPsXou_`(nLYdh&9I)YG)7{vzHQ>TIfYkQ0R=I!N z8fMy~mX`o&j38+X9F>{+YYyGFP*G}cw2(E*YP&p{?}Ed)LfOY6yaiY*EWC@~q72|y~Mg^_SglSj{2;BjWOe++LK>YOm@me%b+%gH}HO2Pn9UexFKV$ zemm!+4i`+Z!68p0eAbCdjLTYY8GlBpg_PaI8H!N)TA3>)Ml`WGC=uTvQ}5oDGo_cx zvNmNUU9H&zX@yV)m>G}kqj+c-kbXTy}utEv6K5lVj< z>3|Iicb_;hesP9!`{JkYtYxRmp?IMD87=7-^ao;T0g>|&tlz?n%n#L%1j#!}_@xDA zYm+HurQqE*y8(UFf5vOShm?;#mCk!_l>9-w497`ah7Vn3*D?wk`3gmLlhWA>IVCH` zpihYBV2AG(2qvSYvVVAVDposxGTXE|;o)D7^<(D5lQ7CY8|qr{By+!eI@Dr7g*Hxe zETr}m{m3)UxDPH8x4t2=C3sG9Wsn)pK7P4KYgqlz@g6Pyo~53cR?lm%x17x!V0w3ULP;8~c}=ntA7(6U=pbznQR)g+G|9~0 zRS;yLpoSlB%mwbh7-fkW>ROWf^()7>ljn)e$gDMcU)64=9=w84SfZ?WU!J=|tIial;wTL?}v9mv<$X(J5 z=%+>OYAnzCZl&^^(#c1|=Xq&kpYcXp*lT zv$lp}XPt^6K9Bjuu`IrmFKz$mR9kx69PQ#0&!jc(sZ6U>_9{Aj{;-^UUsjxll#c)L z3tfBfwSGQ_ABR|~dfoIMb|HX#+ZJsk>R?q{4CpGxS+cR}v@mk26*9%iRTMMc3GT1X zLDrDeS*1*NPwm+V9RW(0v$3=CNG;wInry!GQ_k?~7BA`4-KbLv0pI<{yFvU`%9lr+ zxy0ck%UM2*p)hJcO-=v8)Po-AKynBYbqM(H!eI=LGzhMK#KVgP^swPGX*=Vz5bv6| z=JVlfb?fpdOlz4rM4OQ5S{=LxhI{{Vfqgu!tTTr)bOO2Nfz95q5bvthkI^b8hg{Gi z33QQN=pCBMC!(s~mBAz)iHl*T)K}67`F5z$R3C~?wqe5@ycD3FU2kU zDSlE`W^z%2hpUu)i$ruA*CmAc#y0&3`+uUjX@D$JkC&dc8@W)4=?*(EeyHKnnytU3AJ zbnH8ZjBVh-qyZSM#LoM*pHgPAVNiQTIAZHMF=h5%QBJ9xQBrfa-&L(sxdeZRUuToo zsdK+f1qh~O45@QlGVw1sA1npv|5&ma&~ORrg)y3A`Ok04RI2XJ$GbFIoB&?PZv5c^ zMz^@-i1K36e$E&h&OJdhTYbJaS`(Wy1pz(#?3Wcj3fy>uhwSKo3)4iNhtb+-H{ zKHk6ciL@Tr}m1?wtQEWV)(ey^4rgun;us z3x>FXT)!&0NY*}kc-8i&?nh8ga(`f5CfPRxi_Cq~hP35;N)TDuME)Bt>n;=8&O=Th zOGm!jzfT9xgj)rDKjVG~H#E(%RZ=Kj?zk}&i7MRbLviF8tDPr_Q9;PfCdX(G9{s$2FFFuErdsIXl)kZ3|mXxPfR_k*K*&q znr+UjBthaD0SOB@x>Lm(KlK+C>pLo>mepisWXynf;skdX^C#)q!MuDDH3x~Wd{?+f z+>8?Jr*`{#RioQ_W=i5zjOQ#$P4oRNyQ8l(lJq}81~hxRes2(_1pVH`eQ9J92Olr} z=T)_Xc|WkeVFogbXT7~+o|I!%8tIilHAsW~{Jilrt@!L-!|D5UJYvyBF_Q!H#Tj;a zguD%xR6fw=)NdefWpQZGMsiS(+dm0YIURW36QGZo`S;uM7?4SCc)(4@8?TZr&WQo= z!qFHw>1)EQ33G9`(f0$`Y{$JGA~aJE&D0*qN1e^N|L3-Hh&9O1qB+v7tru=T4!%@4 z@;$ShSSue!1={<4$f3HpvpsmqEe4ucLAZO>q8r7h`BEN{8_QVRH=$D4c)+!I-liHE z1jxM=_m!&~V*UQIm&i*97N|0K0pP|(Ta4~_Z6q)VnL3PK2f7Djn_jU+Jj93OQMit< zwnJe@{oU2k_;!eVj?f!%<7i6vypt5yjet$hjKTZiRvXDH5T`qfPh`xMRU|C&L#N>! zANt#FPsN%a`bB&XO!hcY5lHB>n@N6pL%}nOLO_S{U2(Z2rN9!VjhU-8d9syePi$9FmwXjiO2l8=qym=CjkfY2!TO-WW5;b+oSd9`GTH3%WrAUqD+sPeky{Wj;k)B_*D! z?XF2A6&C|G)c9$NLLNtih$yYB@~uHa{M+);Jrd4EHH{gp&bNbPOM^eZ?;i34 zv+epTEiClcXb?3ZG+SAE%2=oflI@f-|1MM62I>@IknOS7x#?X81d}{!!cUlMy^0xQ z+zSYgc3$LKL7LTJT}rMXw+QcI+c?wlcDsYPnTdCbP@@B=GIK8PjV|XD=>`$>yS#YT zZSOL-gQ+9GSUPL9`kc^?ij^DYHbXeYF3eWbfs9&;sx%ilzp;`Uq?+p4!EPjkRr4N3 zgOjLXLe1)TO#_SDzJdITmy89_2j)R+xl80_uWX4uFZBS%?>Nwp(ZGs!>~2*NK;!WB zh{RHYFHhXsiq2GKVfhTI7WsM`;6ILa$e<@Beno{)!p9|gQ-G*Tsl`=3*`723#xh7) zP<7=%b(rn%^v-zQYF~o|2F?7+U%uA#Wbwyn`7wYC#-Q~n>I}-JLE2#gM$Egmv(33pCBw#`y=<`hY~6r)i3ZMbY{We_ zzfGr>uit$p)nK+bFll8@bP^f@y(5Szd>#xwe%-N{BcHN~az?yilP93l^|vqB$8Gi;Vo?2GpI6qr*fzAr^k=2MjCJCOA zU*S!B8@)RPge8+0NBj}CaJ-CgWi|?rFUhDbrq^NEakEwvEXE$mIHI3J@!Pqp;fTtH z@-g2!IO_*LvQrF5yV<>*#(d4{s~ht!ip90yT4q=8&-pNBI|;ueC{r4zYB4el=u} z4hi0DShS}2lMPpjgJ}OX=;tsf<>;Ni)#7qcR_-_|1~~(cC^NG%bqAmHdF$h5oP$_W zT!7X>6%QuH(B0AAhnn)#G#td{7rD$lia>E#H(}%pxE#+=A1{jGAunj3%V&q8`{J7~ z2@X-u+~#cbN9IXLQ#PNB%22*X4f(8Pf!$u@sq_o%O6FDYyls~3o$~VsT^Q;*w$*s7 z@0f|zF+cIzq5XSb*Xe&1hUWK^2E^f;HTNw9Ny=`d=>GUhL@lZjlZisZDtrm|y%8`8 zQk&@cT-LrgQ!$nkCp@pLvyv1@#*R0}y-9a1w{|_FA8}nwR7-|kA%Ck?>-g)Up*&=! zL0D;zC8F7q2;OjCAJ~O4B+Y|^61=)Ur?wAcSm{2N%@}7#L8MrD|9OOO&+opDN=@=$ zAh)dnZwQvOL=*fY=A>jsrPZfZrlg*(N}{q}#}x`at=-|Kt`OjaeQzD(rtQx9#Vo)t zKXCf4vv+%7HNR{LQkzXL->3>&|1bglp(kYqneb7E(dYus(G3O3fzX4NJxUk%`};)e zjBDYt1f{9qwDYK;U45G$Fd^TAq;u431o>1r4N??SG?^7gKC^%~x>QE+IDOT?S>wet zSFv6}hK4P}h2_TF;}PZ@a9Pj={bOGDqcCOy)t@tH{B9`>PZCWz2AM))?3TCjwzP(v zGS#|T_X~n=$kG$)1t5ACWs}1qXKG?Fo&lZ_Fa-eLoteTZ{A2QoSv?>h02||Xdm`6t z_SGPkD1JF-XH>OoeR7M^K(Org$p;B$qZy06&!uTMQZ5~zUzREo(t2E3qpM-F$i0m; z9b(^4;B_&MijF;4&G+}p!9+>Mo3Jnj_8n|Onn4EBOA(_)w~~!t1DfPQDxJPRh2>Wy zs}+Aq4!VIJw-XT6hI8gb%kB=LQlBZo{h(7${i>NSx83$3jJo}&B9yPdedXGL*RD@T zzg!d6VVrq8%?OsX+S=tAR?H}8hiuoeuN6~jCAdm-NhUe&cM0PAN~Ulu6;eM+W{$6* z7XqIFM!pHA3p-SC{l+v(=cGPgWRj|9&&Gp~QucSkto54`22|t@Gu%mUfqvbLC0eQ#zJ8qS;@(G$Cru}-)b7_gISL(Vy@}?8q@R2B8 z?m+6q`jM8G$SPN`h>2sKL3*Svt+odbmz!@a?}a%t>{8L+wXA7bg4*Os+EA)wSI!6qk3NY z%l-usebR2bhC9b0`#~sTZzE&))>I*1+rrLz!RU+MFo@Ou10cZxfP57XTUccoh8{XT z2MARLV)`(rv=UfRUeqYC!J~x%Sz7e!!G(?KZsL4s7DOC;>|-AAUmZ>&dmU?%>qH~? zY(lxsjFQCY6@s$nA2p-8z==Mn@k0_^30mnEjlZ&J6=RXnm6>=stpun7Tfm-lXhhBG z0T`<5v#@N>L)r7EN%cFvp$=0+^7 z>DBMP3dy)fdzpi3Il6$v74Q`b3a@B@1MYZ)3Fddp>c5tuAZ!ITK(U2FVfeF+B}2Ng zxvI(mM+Yfh4-zO&mmMf#m9J<%BaiKzQ!jtNk$w0iL?MB?LQywgRzHK)0qIF)i%Oli zy~pmQa5@BSQ(W@2z!{D&|!u53)`#S0i02TS5~ z_y{|iN$KH|z{GRgRPW2aPf&KUM(KT+dNioY0lbfT4XkAHDykH36;_dqCf*>RpV5Hm;eOW568rF^8``W)MDw>lxUzpN8#?!9R0 zM%#XC38g)((g8J%+xuOdrFGj0Nf7d~1YN(ao;f`apnA%s0p>rh>aefogM3`zAHj8K ze+VSBnfzDht}VJnj+r}+a=XpafZYh%h0&PcxTDp_!L>}!;5x?eu~Abizc_^dCT$9H z7hm`VnD3wk@$6(;rzrBY(&5pDAqdlj4D5ebh%#{l*I07eO_7*v^`!7Ogevnr((Es%IDnOWjo&qjM*brQXjqSOn}N%#U^z?3?&7K(%RZccC<(3>O|8 zD_`UgIWA=aoY_jJY7$!rddLg7dfTmXeJN_aeC{CX)$nsZFBJUDsAQ918oUXfILf%A9k2@@#^$1$tk6(F3Q)zmxC!2PIj91y7Vp>esTI(yWM`t zq&9dh^o5?E^dK6`S&m=kNr@Tc$7N9`+54G?lOiETs$TW_3ak_r;=t`=M?mUwx_-#o zaBbu_WxcI9^(k4Ut+Uh9-kYMO+pO}HnhR?hiRaS68fz=b=5s*k8DU-#+*Q4*D^#rY zL-WIa@aHCGlWI~&IPjqB)p9gV_-iVmfwa1deKmxrgzQ}eS4naF7*pkptC_BF%6mo> zztG{iDom&wD#H&cD05{e7##Iuxu7P*7T}Qp=&s=rG(^M|{naZ4DZFNJ`Bat=5noR` zyZePUv=35%FxU~}Px1)*tgXr?1e8y{FnNk{ybW0S;i6Xpk;gY9Ph3~4-yQ*uKMGdy z!SzaqBi|XVG}(E#SfcGmx_q~zF4vfW5QHWaZ~SL)X8jnDnAK6-&${Y)sTAlMCH+8m zF99s;5tsHmO^SKpw+v!2)2|_R&Yt*#22?Mi1tdBCicpt$hGgU!J8@@6_~|}OJ|m5M z;a9P0bLUf>Jh$f#$e5BaOIM6&O{<8F0uB)>VZfL%_KV!ANA=Us_pm@c^PbA>FSY_A zz?PIXQaC@n6339EA)#yT!YRdM;k6K?_7oRky34eQKTl6SqEb_)OBGUWVsdaFPURv_ zB{vEeEQF!As&@GlsP(BZDmMaiB)%+5xUEdd-P`ANBM6^r10zS#1*IiVL#<>|_jSyW z2Et1uElszVYDd!r8B#P}bw3-pzWm6&1J&@U5iA(pA^l2Es;sW|B0>-5(|F|r(4&v8 zU`aeN5gKF-9@4mFBxiKxBnqJuZ@xO`^>~nZ_(IanG{aW_+M?iI;;gR?&+e!KDX=NB zl8jdeej?wq7yX~G+A-gHhdl0eGY1|wpUQQ` z0f7Udv=#h-${1UMOcru1+=4jy)o>5{(Q|&gzE!aC-=J9X@uIX#75+?P$jPhgWg6Jj9~2<`#<^ zSF!wMPV5{G=wh-~=!%L6E*1P;RWd&PJCrbDpDKkOq~9O!0ZFuX1>n8gKh1bp5u5() zH-PK0H6gX)5o;e8r7{z5kWmKA79Q8l@$?*+(zf`T2bKEDdaf0gn3e84J{1<~yL zRm9KnD=-TL?XD}$r`8?jF=-1uf}I$-10TEx+XR;9*8^$zsG1YBbyIA#I2dxmzn!4R z585tNMm#nETo$JeW5lv?!SU@cGu576A_JDnu%Oi8k-S-8Vv+;2Td=}^0w4*WqF6GO zx5Ec$rI!&`BeWuq3NdLUB*aZA60^?p5dMr6>?Y_f6GmJXI43{iO5DrXUaw`M ziGv4M5b(aFJ2Qxxs3ljqN~9Y(yU?%7t&e@`v1jp)s?uu6HT6Nn zm0Iix{j! zfgl4w&+z&y6Dax@Ct3AVFZs{5aCu9Szt<3qeXyx5B?qNhrm72)m|w<}r|&YO+atMb zQEO;44bZc_6FSl(jvwj4coumwZG)~REh*i zas|s;Y<{;1=X*E0i+F5V0D_{`HqjAcUL?TLoye}x|+aQa#WNJqcFC;koa ztf!A1erQ}r0~!9-r%;EMo{bnFfWRz`(AgAcX_hB>wVf!Q95GQZopb4ax$VR3LW3;3 zoL7fknt-X+C`USmbcfrN2pLZvPsBWph(gaqa;roHF z;y4pLGeIu)n|%iXd&4^&sn7)qm8YR17Q`LGHCI{Pi@pc@6wsuh79lBrP8P^EFquYc z>iO^LT=b9?=_@*aF82kUYqy@t!eog<&l8R0>ruE4`#ASsnqXpZZCVSOIcgtSfFOr{ z`iZzT3h=Zvt;Y zwREn|q8w^4TL#{XqXBHDz6_EBNleFBp6ATWn`pqkXT7PiJun+(&O=O32;#MTgo>gM zG2o<6#dyFTMYQe|kTu5oE0LOe6=v^Gdc8h~NnTa!2Qy!n9dgi1<{?Y*tAcXh_ad6p zm)>4p*mR&I&8p~S63_aGSm-GeMQOpcNm!iN9cNx)Dfb0%N_Q3&@%@=ig``E4OX#?25rs&X;gGBG+ z7MqMA8+T}ics6LO*$a&hTxemas1RRUQMp1eu8!=hjdzAg$7uyV9_%29poZ5ma2IRZ zyX>8zD$R`|l0pRQT`#KRELw=~Y7~nXhJLXo@VaGA75D+|D{g^gNff-7q`R1k(y=Ey z%%lYTjdpr1@?AC0InX_jdf*>Y1DgquG8`d!?a(vI55uLx>L$Oe+t0?R71$k_V0R+B za)vi`gjyDG>i?5p*fU5BXqVvr;3kacl~P;>W5)g8BNe}IEOd+tE` z#CETp?$Dg-8g&If@@%?L@PrcLowd-~pINuGYUNL(r*yb|bA3u?A^7N5 zt}?Y)ng{@}CIgpiL+qtuR5uM07VId;RumfN(v-jAMn;@Rp0&k{>ahxntTDC>3Z@Lvu7k@s_<3e2D!Lan5~eT1L> zsBtV{L6G9K0)KiX0aEYqz7DaTqoe%{X2TxI!ntwp(b4qD=afYN&D>%yT>t%o<45>_ zI>k(xqeMvIi3L*rX@c@`JQmm><-wFdsYs;a~=3D#R=W zn678xcNc_%mb0dAOSm@GZ3W}g{j5U)hSX7Cyx2A9HI&VE|zim6lhz{>L(0D zFkM)(v5QENwBrAyVq(q5QlJK5(80bUi|#e8AeH3~O!SB2YDJtXN1dlZlDQSp-{_jZ zmEnUto1jI%&o**OizWVtCa&(1q5TqRLvp^!U7XUOp0$wPzD@9+`hn6k_-hQoV3@+__GdN zA;T4d?~K0BVTs#FF{e#+%7{`s;@jivzm?laF$$GvF-WicUP{FAfpGb&~FPgwg{{CkKt5+U>zbQ zSqL@l(pB1!_9NL_%Y$W6YKiNRCxxDhD7t2?mKm zORy>>W5MvMx3M~|9ZB>b^izr8drB-lJwyRO@9f~9jw;Pu z@2X+xm4`U$4abP5OAJsMW(Sv#S#(%5p_i9I-_WGi12)k7W0`7RWom3?{aK{LGX+JE z52_fX!oDU`D|!tT2iK2Qo?@H_u5oZ@e2LwWCWHW_<);iP!J`r7!M8qiU~6j|jookz zP9c#@cQ+T~87Hnbv}WWs!w!1;sYy>2Z2<0UVxBV}Em{e<;LyPpswkZ%Z7FY^L#!k8 zO3)Ej42d{{h2i&wn2WCZBblIXG?c7_FUY~;(^G@|g~lfmFhjPq+qr5MLY(V zF24LcKV{2ZNX~!!9YY~c+f2SpOl8N)(};U}B~KlY0_AwhxRVo}LcWgAi>_rXa2%cL_T zbzBX?rb;*Z$7b12<&49$K-nu?nG&Wv#*Ufh_bFMXN*j)UffbdhW;AS+x*8l)DLk51 zL=;_~4vm|^y3qa0IFe~FbMKj~1@B}v!lZB9$$;1gL23kLY+GFAlbrd5FMP$)6`)4T zSQp{-ay%I>Ws=|&!4kPA{ZzdE4CkPRPucCPnktBD)jbJ7rCtMwlugwwcLPT=}Dr1`mS=(>V5oNtY+Cn0mFS_4lGOqtYYr>d#AgscW$v zi6jjC(^j($D@$a$kk_sl1?jaIqRj$?hI6~NHvE zjp=`VvBLlLEerqqcPJc1IquuP->vY!zI{Q-+ooWDom;D6yZ?`d1wQ}ZFIte`1SV#= zKhtxmf+BHov4Qr%_diLXb#oJUvhtaxi}-^?p{PBqYs=Lek}(*{bL}m7u5Xr;c8Z=b zASIc67gYGi5%_p|HxT6bXZ( zwvrb4=|wm#KSeMOMWoRc$8Q>*Pb)_kU=J{fZfBp9f;WutL9?R-k+EE`=ZG%k`3)7i zy6{d%5o()Suw9~qP-`*!Yr2}U$xA0Nt2*T3O4}vZLb@iJGdLGq$e!(vSkX!Dr5^e2 z!d&vmYmoGbK9BGJWp3TYt0=0=AQN-&ig*Yl6&JA4m_7S$HcEVLgwYgiN68DNim<$@ zQH}YMre7%<93bWiGheU5Ts$cqZH!R4OKn1)%Y%vP(RL3yF4T5?asBF*AUl&C6Mm_OyP@EBaChE)-tEhRdAqc|K9tB!E@zxNXG`EUONj(%wHmNNSn z;r}>QuyVMcG+IdYRMXfL__Q*qP5qbE zoJW_dTz4e%Z*%dh5&36LUU6+oJe5~Jh{<{qFX&C#{*p0Snl4|yDD#BYz$Ze>b2KP} zNz;MR?toLkBbGZ;%|1-a{$7Db!oUmh>+%rj8O((Cq_m{P2ACd4!wkr?E`Xv z*TRwoRsu%@n&_Bmq1G(bR~@iB*vEfMonlrBDbE#0cD|;5Bk}v?*yrBv;rZ(G@dvqhgZEKaA^tm92S< zKs)Cf9xNCINqKZ(%_(Z?B6nE#O<5uUEaGU+7_$1Dqlkq$ zQ|A9;={mM0MRwrVyglA5p>TzFh1=o1_k8^$Z_hc?Gt;LWWJ;k3Nhwg=s#PKeJwWl8 z1oeIfFoB=atl^3P@)_AT8JXNdkx-c4Xn@g^o4Q*V3=DOclW69soj4{x_`ig>Uez7qS43K~VB_Rl_mv_7J)> zsFcWu|9y6 zIfVMry_FMm%cOyq@MsVG)?c)i!dpUzu+ls$I}EYaL(;94y^EN(ktP=eA8 za(GUgozA~eQK4^u#=cjufsJ-N00m~5Q{R{|_WgQB3Sz>)vOt*<%a{If9p>gvDa*1- znr8A!5RA=-tR-?fCx1=prD~z98L%56AU^*x`(+cRCPo)Xtrn#f5RLkm}tUi zE!BA))|GU@hc=A(_aXK$UvucVXWdHsdzt;{7#4cKc6hl{;cUr35cMl__9Lg5HbZ@O z)m502t-7`7;J`y~WoQjPgO9YkxT4AdVFbcowD_ttW#e1>O(_6p;@?GrasmjwdM+0naDE zpAb)d)T63**NSPXM`VL?kLVbH=>hJ8uWq+u1Y~K##s+g0(w(`Rq1xPZ$xxN`wZy3` ze4g5b9SHm%1M0v+=3@2PvTtCFE4^fW*eEGW$6um7GyAuI1c$~zv?EKtq4}uz)rTEHJcmIP_|>5E=K@7b z-4YQE`;9)O4An2J5#kY+Z(CA+w%cIafVzl@EjQq+D$kUEj#t;_3L>tS7{7vosdo07 zEX?|z1DZdm9E{CbZonAF*!c`rlr?Q;vXYA|XWsR3MGwcW{DK?q{m&zv`Bz?9G1C|0 zs~KwXVEa}fj^hKc*L-^AW{JA4(Sq!m(xir9thQP(i~=Vlg;7R2%Y=7cW5^JtLC@^;x)57ZkVuB8hW zWy?P{+D4#50&c#nQQhXYL^SiUYci1zhKbN2l)hGU)MhOep9NBXzmY#iwaw~p+w%S- z;Y_}o7)YytOCU_ACg}rXa!QN4sM|C{c5LJ)Bh)uFWGb3QnlI02HCVbE}V8 zY*koEl~YJl4GTJhUYDF|+1hjQ)V_vRAOvU{vrA0=LIQm@GuukH1bxyHkqW-C#nVTG zR`C^r4r=Z5lE>%$ZwoH!#qL*0U08+cRE~kW4PW7qej#iB9?chIm6}pU1D#PVBbIwX z^S)TwGT&h2uCR5?FQlc1^)b&kCe~8=<%;yoDkTrYj)$fh9h4v|3~X-pk)PZuoQezs$(WC55LTJ$H%*J z>fo=Wlc816?7oG-=&1n~Yx*h!Wvj(nyl?ALDDtfazg)YOoRe|NSo~-t>x` zU{ux?Kav1@{+&)KtGrjRu*r|@+T;GrOg6zh2g>FP({rms4LgfZ_8P~M9cE8 zgu8}3;*=K%LaVm`+SKbMB>`+LAy$3QFhph!=I5IyoQEkKqfBf*yjDO1&~jv@yn;X#X*k)0SGa0%RM}H2h|f_`_s3#C zVFd#{uJMQrQkR5k!4Z3*3)S^}3Hq^FLlGBx5Ilx-S#Dbm?SPVRUj4-~fU7iu65L+} zT&ZREKWA6u7V3}A{jpiK)&+xVa* z@23hy%2}gnH1#xJ6TLt27jv7wxq6+W@-R9?3s0m!E=4zy73V-}u1Lca?kA0QjK9q~ z`9_9dFhpodi$oFCp=8Z5f@(Tr{2afoKqA~K3y2FEyv>G>&rk`uk|Hbp9mA3=ByPp$ z<*xpRtlH=EW%dz8D$V%r)rJ_Pp&M0kHAy}|yDfokDid+Uv4=j; zbzm`MIi_k~TOZW0r4t zL$UM@0lsxDprkzFVg>?p)`JqQ8cNg?EayX1I`LhhXx}V(au<6TK50Le`D2c6+zYuE zbaLu48$-~ZzXD)?WnICRcMlFqVB`1w1K(UBA@X0XtND4?CkRjcJ8aRYRe(-%-dvnT zm*ymcdT&_a^2HPP^i@buYxpzVIG6}oLn`7TrhUEw@e3TPX?|)<9VH(|cdw{X4=n0; zJ!e01!)x+Exz9a|)D@VAX4aSviz6XLZ}cRJz#$=>=!7#gu7ANrY}vcC{hJZ(plUv7RZ)+$I>1)PBIZ0X0PnENZ@cljtTejgd~@X!0m0yD2_rGUH^OhS zl#Zcu2B@}7cLMA-e>}WXfSJr|8;;?6!3$P7>y!sGXak`FEd)j!_Q6%doHWo{_KglR z4Sc^9lXDvr@`H@{OTVjOw)>`5gKs#@>EB_Eimd=QK*+xa+GWt-&XA*g5gz2!!6wsv zUZGs!=DN;=5tN^@$ZD7ht9+giU#7$4-etJh;2TL8bfhf0R#}S-m+~k2Lh)-W4^(&S zS!JNuWj)K`pFsZJEoK%)3uwM!u4NeCfN{N|)L>Yd`mz(noB}+Ota%P$Mr&bpgZyw~ zjw1fzXE|YZmRkcT13x6>7OaZlw)V*-#9G?(On0DpPtfBc-aJEc2*%coNHU+R`8w3< zZ${5iY`bzpg|f$Ta2oUt|BVB*0m-FT}P^ZSn8$frO_R$6^JqfG6`|PjKFZs4{1@i zR>Xr?xMxVODcKnLW}oYq3Kt1!67FaTQu3v}lISZ)<3g8dNl2kfI&$JKnGS%apujLV zq5c~f&=jEqUKtarNKw#v3BnLc(SnpgBZAWVUuItSxv2nLc*_iFAk;7~-e{BHKX74p z(UK#GKfXzo4T;(KR1}6?IO3NLI98H$aHtJ$f!hXgX-X+8SH_17b=BjF&I-(Yc>=!v z;&A#eA`;xfpns>;2mO)x&?D8BIK&6Qtq*WjRg-g({cZL@p234iXvm7G2%)QAqM|*x z^zYN)>S8gBNbAFMr9g2T^!iJR#3Bht77ozWmv9tP#aPiGOz_Q&tu} z{o+rUmjql3sQ}~b_pgh|wYkQ$rbgHN4Mlt9p860S8TrME(RbI;+4bjSbS^+=Puj-o z!het2!{z?7@Xv8-hfSPc>WwtF+zsIu_!UUb246{LU@WBWp9YYWjY>4&A}uZ;vx|nt zVEF}@#HRgqQhxnJRFEgu;;=}pFG`PFV;`FVawDljzbb;TmYWo9&MZMAB1?KVp$7(K z>_&H zS6Ju8-;~T27N;7CKPthsU#g>g^3ZL!lz+)uCn2L7(z`;5A{R2zb^|;@@MN#t_git` zi2^sGfzJ@Lo{u?xb&qa&%JVj)gnodTg zOO7ceLb*X&DDK`FwM5*9Y92+w^a%!B7Rh3E0C>ZBuFdLTI~H{!#EWONBePUhpH;O5 zTK8xQTlgVl*@w*&7ijor+yar|%?Q&x)B*fMnWLOHyxN{TQ`GCO4g`4TBBb_D(eV!j zJ$1_o3^lH2{gCJ1-oh7I{v{dJeF}@>+34q9p>n?y*h-VDXMoxuLL-$4V-t<@pPbb- z4S%;LI90C^t6>MtmD_I96iQIf;P5s&g7l^s8b@`=Sajw2m6%nK$WzSkd-)EAk3#SkW+b3E*lcQy#Q9293>-Lzfg|V@1 zz)&Y*oaWJx9BH@p(Ce+`(w3{m+8+$r1C#H6vn2VI4?4%75sX2lqe~7V#Yi%IcAi9w zjNMiynqQkGwbBAkKdyfxC?gL1+a@x}|AFBn_}WWL_mC*wf$)=A=yVE@*TO>FmEabSzhXBMFn`G@=@j=xCae4|G8cTFRy@%$Q^FVDa zcr2+(lV=(;T0hiE&eLi*(pUE3=kFi$XBV2`k5pJRmD45DDyS1p!k0Ty9pbkpTK7#( zstLZ%59t6f2yi?tKCic~Ic~!7;Z_zBDV)h;#i|S|$dC==^;AaeRA?Xn#*-w6sd!f( z5X)acf_Vgj!g-J=CMeiLtO(d<{0F(wR6PZ^=GV0AD_~XMofe2b%64G3^ElFUK%~+z zJ~5@!-6uaz7b5^eYPK}emSqbijb?W~mKfvjXd3hs8)Ix+|1-B)-H@#;=%T){5E`MA zSdOo6A%w(}LEjx}yfJRiVM0iV3m08u-M&V_i_?H@-$4d>s)k_#O zAiZGZf>hA)uAl&`2sigN5UKRy_JA1ub1)r@|48X0vWfj?x((YrlJ_^V?FUX96x#C;S#2)EU!B_^{>})QNGLcc5ST6Kk(vzi z&iHPMI7xmk71Q*FVVt!25?X#2DF2|MO2Y>o6j=n=O-spZAxdgrg5mrE0-M)*0{(b< z6P8qTn}p{xdBtkzi{Fue=wxhE|8+qv+9oK77TjXlUe{EPSyNbIIkMQ+LUtnz8~Hbt zlfWVrE;Q`&K{M@^!?WOGk%i7a25{sIc1drf`1yjG$n!50w9*n?%XP#w>%$V7oK0}V zuPRx`W67;Zy3`|xN4MI4@?#W8@F%YbeZTyKLjk#*B`E-mZQwvtfN*<1=p>t5L*a=) zVNC)!V<7vVLtH+IB;k>52>d{K@Ev2sNTqO6k)}a8aeVqJ>7nLxC+$uhycJ{=pV?>z z(4$oB2*ie-Ol&GMj2j>Xu;CxGbVp^(@BQYlb!CN00pk#E;9OSX)AjEYxJMK4nuT69 zYB+!0ptfiK^-7XG51EGt6gdm@$o#ES9^|I(f!OB~T~iqJz|H4zQ6QIC7Qk7=1^)+H z8aOG&k~Y?x2HX^TcN9dRB^{ep_P3E6bI$A_D4Jj&%3qWhlf%v} z3a|sqUR`eSTlHSPjOwbiy8KyX0X|l;MTjXu}})(+Ragc zzwib8ailj4NyhaE1YhnXJ)nQXNLsFO0E*VMZt zND)n4@=G7eI%rTMuZMtY-7M>zF_#i1P#OXHn>^vk2U5PMg( z#&}=UC9gt~$xfk?19rog=zKXr4d?^yZ}g^EqX;bQwQ8S6z6@5%zz%0D1p~G~F1H6i z`GDfV8iCEVB`FsRGNF3_f&gBEk^74a^U)D)UDV$2u<7Dxf5MJ!d8bUW>Qowl7cHQ< z2Zs8Zbex$%3dJ+aIZCAAbzW#v+VQq9+JnIa37J&#(AQ>m2T6)RjNv*o;3;+wgKe2V zg&PYT0UGS(BC-9bZ9AHQJFwUnm(_rx*zr` zRIxj>oZCWhWqiKAL?4}>z~XH3`|&qY?yfng&fr4zaMw>WxDMW|s6;xvS5DS1oB~s~ z4FWYw8ool+vYfLAS)y0DM3?=G(hQf^HUPO#g@<}Yh-T!z`wz&sRF>Um{z}%)f=z@H zZ_bO7FVBrsEDa*CIJ@^qV*gin?tC8l#K{QFN}s>XxfR68q}b@o07w%+KBF_dVxIT) zx5tZ~cww6@zYElYh1}mbu#6ACVIb+hCsIt6-)#oTgJDKc^(;wMn~WejEC;^KT)JN{ z#LabCAlpC|R#`+csAM=!06wqL`^9yki}{AlKcXBk&QR90j32|w)T`@cjf#e|i?WCj zx7HW@SKPbY4Q=Ig#r`_~^&@4`-*gUe6eE_RyDx$~P}!8qh`{`mv;N`A^|&SBt18ee z#Ha~(yF;mt=g?vfbq}7_x!odhNsc(3HU-&5|yxU5JN@*Nh{H(h3QzWk8DML z{}KhlZ^HHgn#nybg3QOIY|sm6@PK9z@c0f%N&<&gZeC|k0ZFML^*0Ph4Tw%}Wez^+ z(SI`f#_+$nb|d{`Nx|sTX(#eea@ETFPo<)%(>g`qqe+9RiJtBZchHNL_2Zg`t2*%R zGvxZ2gHBvje`YkuuRwj(D+pNHEXc8R;rclQM;J_)_ZnmUGps9hRU%5J&`Z|o$sv>O zuSdJw=O>@QV-THJB^#IjD7FIYnbi;;z`N|V9?u2)&;FpgKg1|wYZztB`1jJ?z?n&` zLwO4yq?&bLdl8Ap1}Va5Hv8|h_g%d?p#7JXLT?d&_~>6Bax3V3Q}th6LH^b_!#)lV zgkYdG#9)*6N{+cFRvHT%>Q!(qPk6+j1)>%7&t?4jZM`w`j~&P4HvJ7j|D}<|j1DkO zjwKecY0W&2vUzHbKm{x;FMeVQNlN78kA#HPN1{8AN2qcuQ7;0N#Up=(3)ld)94I~? z3C)FRTC|fKkLPFEgMTal$AK|NIEY_(4zzzEIUmX$pufpu(bFUN^!(ZoZUkXk8U1fw zIM#lnkodOpMY&100l!+W-Mo!TUkgWODd!J`DHtSXp83%aRP-YW0}X7z;02{R%oi|y z%0vU11ju`EF5Emu1e<4~5Ww(K<&THgm=_QS0V?%HEE;iQm9+el`D1bl2IQataOvhu z+MBteFwp>TXLZ26iNP~ZG|*xuEo^{!l6;%mr-}XNIa^vgCg!ie6~@d zPco^{Ysy@blo5szNG-3FFJJ@4x4S z(B!|>#$0Ap;j}hX5La#vmx%uo8wYr(4@>-=myaj}s7<}gM!Ul66G&ybFj3qwjh5OW z84bD<%;BlZ`EVk55STz%U=MQ%5)ST?2YEe}jOS(IUWp(YNq_`}aR4}_A@uK%X6qpT z`>%#30KizABmQGqAoC=Rj>-b~KB}Zx}cv_C&6AuJ_;O(X9f^9G^GB$r8hy2R3=XSwMH8tyer%g1S z{vGbnSCj7k9+v_$2Q>)hzE~tbJ;7CLt~V6b=i9o}_pjaYMk8Rx)*p<>;EM8hXMp$r z1?lHf%L5?_2QMWr^7#Ojse}G2Zl`OPDpUrXn!Z2Twb=PnMB<^+d^(a89cE+yC*X6Z;Xa2ujHZ38f=yACVS^oDMHm!=z^}aoA8d)t z{tBD;{7rV^jl*Rh0R|*=)vORL%tz|^y!3J{t)GAA_D5j2_Z^*FF{Kqa%>VbqhkCB$ z@s=KHjP2T}1Ogm*V`m|#Ryv=3x$ZEx^a$@c@8i@{nIX}f8>p7=(1v<{m-g)1D;~U` zN+kK>5eDbVoQSM>8Vulb5<>_Fq{Q8091eQ|+0eMao=T4rm?H7nKZ5=W&pd?v1L}A8 zH-%wf8=HmGRbT({3do1u<0l@9H>;9F3b1jQh|$t{3-%ccYIp$9`&^%t{w$o^DehA$ z^xuOX7EIt4lurQB>KqI?2w+^s0+`zPH&&*GbruBS&AR>s*MQO^@KDWFo%!l={@jCeg&brQmXU$bR}yk4 zRJ?EH_QR$#u&Gi5gS|PJKOQ*zRSTyYr1ymq$Ayje8q2kY{J3Yo%;>iL0VGvcD*Ip+x>z%UpJL8>FC7`T z$hIO3g(Br6!M>4Muew_v#;qPAGHq-K3Hvzsfcj!A@@1(=FG7j7BmyIpzga`x*c2#x zh`=Tcg%Fx!WD4*|8iCeC?az8ns7&ZRfz?r?L@_~BbK*ZRO~$=-9>GRwUfBcT-%iIR z5yrAnz1fi1IHkZyj9QJ2_@F?$i69^VB;NloPAxWrbS|(Mcw$cQg>jGAKj(i0%4D%I zz;)((=lody6@882B&B8SZAgkfAu2zW)~kmK^@0-h{PDOp7G;m01+rWaUA<%HN3a~+ ze@Tnld~OM!+GC*{xXeeG{>DeR1jApE846yal!anYn{)L=<%dF&Gq(@%>UQ6*>?gj;9dnh>eDe0KE@y%!cNsdAH z*q3W*UEJ#@@g`rMc_qtRg2n=n%$RdjFJ9;%K!c&}@xxr~nIB+X>p77MC(=lru0Z%S zd65m~O8Ty?9=v?ZDnI7-awiH(e~#FVUA3*X|McHi!r74Vh#q|#8I;jwxL$)wcO8nx z#BK_dMZ=z}TEFLa2Gb+=4|KEWim)$dokxg&Gi}6#f|LG7NMAMTaF}_Q7REpR zPTZh0$lZfPCNXtWA!Rr?{&N1hqOzQcan6V`Z{9~V@7DY$RC>5IG_9L;hRXW$@OdG2^yVA)Ne60BF)%A zpI)XxE6Z0($5o@J-&8+xHGLc_ftR+?+e!OaP>)5wP?(aN1SE|91f)dR+@N}uDAwzs zM{_n{RL7KQ9CBE!jL{J>Z8PtA=6T2t$Q64tU7nhMX(!V~H=bYIMHZg*E+XFD=Zs)# zc71TN+qZ%--}u=R{}>;;z8{c&on51Cjd*_3+5B$GwqAUccoa~aq+=zlPdINr!~Q^z z;cdyGD0CHKU+0gTETsFxGL;xJy3ud;MEVb@w@{c33SbYppD3_ME7Lx~yoKCIp2iv_Tf7VvrESvdw?R zd;WBLe@jc*e7B7|<#pSgrHPyyAK+x4RQzkve3{+(esAL4XIIz-Gpp(MFsJE~l(Gzd zFmI@S&WCHw7}W;JV^XHCKi#mi`-}{LwqTZ$;{F^`6XD1^Vk!04lg4w`Z{kOiI7z7O zx?FO5-tP_SiCf~togLpg0Hp#JDvH#$#oHC2LbpD__5;W_2oQ{lu^g?xSX_E_%#$KS ziCq0{W{Ul3yj`RqwrCbReAyfl-x!Ol#h1@`Wz(6xbQAv)(f4BAs>+)ynyDoB#4Tg{ zQMiV1NLZH5p(QLLnL^5ei5?7s%*XuS1@%T6E>SOUxY+oWu$W07J)fp4KO0!6G4j}DUs{#aGl zrt-gXLO=HFhpIk*^&pGz{fbcYioE`ZfLjfe9&M~3>@J;Yqm<-|>f~6QBp;r(w*5gZ z`^=>!?}v9kAI|S^rm3~T9mKU93xz9Myf(dQ6d;bCFm#C7xao=9r4bj7Z;C?Go>+)@ za075!@h{axIW5xmkF@x>0;g4~oOk-s=^a|+CE3^#9Ryb)Ml^?Y?xlv~81_?3aSWZr zQG(X~fELf+sN#`y{TvnqnxA(eCL#r)TQ{1rBS9=b809Vq%S2QPjgzUh3tpdYd?`kG zv>#e}{*3l`By$E=a6;3%yL*M4PjDQL>HRWKW&A7-K&036e6LqGOm=+tV^oT9JId7= zc6xEjt9?8bIZB;J2Foy zV;Fkr@T0JMF=P*rk*z2>BtqkIIZyE7D%)6$OK3;n;0<+;#jP;U z|AHjK)HV|Wp_Yp*6e@2z1SDF7Jn1)_&TjXOQo@fO>b*Yj0yUP%HW;M;VUU^Fq~}dI z?@#;oJyyH2X(wi`_YI&ur3X9(&VDZo!vHA+(Qv+ zu@dDrqqPJn`{t8-xrZ=bQuRkh6P((EZZzS#*I|#X^m=(-s?UX$YZ#FzuUu8}=JgZz zVGX2kh~)bzOL{*)+%uQ}%l)h0$Dlz;St6yx@s2pTM$MA{1`-Tofsn6tV^H@S>Ei>zR+@YK*Q5T*}rn;dRSI1S)!_&*pA1~Wxe)%9MW{5jiH$*Z!dIX~H zj=$tGMY!*y8Gk1=qjEjzLS5g!Ezmp z;tNw6Jv~56H7X+Wt2i=NTmeCTnUpuc&kc=slRB81N6`3=yFo5ZX%CWRn3YloZV&rk z7(d}+IuBwmEmgHobNzm}PwGIj;`&6{}E^n%jolgeqq8Tu-}*+b+zd8 zQ(krsVruIn$o_+;VR`I(`O0U&wTbCx{VXg!E){Ab&ORPLrcS((Ocy`DqQSm8v)Z92 z_neLYKJ#1~95ZfK@ZCF;K*`!b<}>O4M$tyBS>#q(f4GG3ty$22o#z!_4-+8p_a~&wD9DEd5;gr3s%BC zl20{5#f5J4VFiNQZT;(y%k`VIBJG{JSM8d`4;1=JKf`(Cq>$Qc_(rpgXCnL4RxKY8 zF2r+!x;ytXnjT%~Q?EUg@VoWp??T@wqW(&g)b%E|V{A1hz23{Ns#xXgtBynTl>dRo zOLb~rLUYMc>}|;5IlMo3oX1h2A+00x4m7Z;GS`z4G}||)pIz!S2V-A0Y)xAG)ajC+x-;mVuYh@1cXFx*4M4vqObm_O@MH2MN?q z;{=`Elfggz+kE*%PFNYL><-`ME=VT70PwtF7CBU8{!43KvZLE^i=Goy=NHyPJE;H8 zE2HY+&e+Sy$)wZGyk|UfE+3?g(0$^1#4cBxEt5k6Ngfxq_@lXPcyW=TS4Yl!1jDYY z7e-j0P)4NSEM@UtPL_o9dx?r_bjomklFmjHq|*p6Cdb3ZMgQgYuzBsqXy3eZn^ff& za@QMO9m&OI0k`NcxCUnf1l0M$9K-GE2uc+GLv7&UfwMJ!4K!$Hn+puu+w;WZl-exd zl*r8N*RtRFs+9*q6ZYiv#N=Jw$4p&9zr@P{fJ?;J7a=H_ge=1@|Auy3ypn4BDW_Yq ziS9CJs`(+ZvqEnabO-M7kN2V8#h#J=!63i1`%n{-c>B~-8JKt){(bM7WA5~2u#LH% z?$Z$59DVVMQ|>u=bgt9a8&xYn`K-34==G`0lgQ7H-bl2}`#ls8o*rKD$8(D1Xy|@W zFLNEw<0R*2i)wA&HQ}&H2t>}IK8)Wo&OQXSg{b;NUmMfnEzyOg~@f-CQ?xcb5c zXCUc=fRbJBOlY-K#%xZQ3glZE{I-u+#Zj5u(BOin5(?zzX}M3bF4E=#af%v6XHW5< zM5Ip_NGWaBHv*(Flf-4Nir64M`}`cO0*T%HhjVfYWA=?~ zbgVws#k{n*kCMq*?;9La#8JbcLKO9$c24xq)cpKt(+1N%F&Rqlr?>WX$(xaOe#0{G z6t{XGgB6Z}PyxWHN#;y<6p(&-qLL5a(1uP_*D>>irm{c%sKU$>NW`Nk#^|!IH)Q^M zRMpny`D74n1qf4|lc3cMA%c#lIh5)4r8fHccKWfd>pacL?R$TtSlJY0d^U18N*UxM zC5u3!Te&kdgHrb5sSZ?w;=i(}HXbpD_Qgl`#C7k&&ykY3Ss5JFbHoXO=Nbv_r-cfO z>S9pI$wj|=^4ta-`*+JkR9Bouh@2=yV!-KXfFQ46>q!Ub{=b@-TDOOJt77;0YA6h^ zYEk`-E|hTyIy3{-ZC?K2VFWr`e;`5FqdDA8548s#KWJq?la`uk^;f&~U#%zT zbEAu*cAa6YeHq@O`lmCD?Nq0`gyPkB1ug5x!_Q%gJMXn_K3Qk5Djb`CK3uow9#4^)62A!|j&o4CPMqiokeK|CKB*v-X36^Q-j!2*3C zjHy2QSu>Nkg~`9n(PcpsrA#7mbP3WA@IOM)MBZO%1felp#;^c9GpOSmu7cP4@E|<< z6oNbO#Gu^}_Fn?d40lP7PP%^ap_}2O*D7@GDAPy%x|IF1cGz*@V5fp_vo2eELl2(gd1r#s zx+p3o$qhsHIz+=LzvAbWw4sN$5KP7~<;;p*3!}u9=lJm{h{TX<>MtfP4`C*r08U=?yl-VDVmcO=_4ou*4VRl=Xv3q^QBW`jzmI`8uWto({?)u;V=G z;aP3>HPx(OLGB^RhlTsIqg=H=w&@S(6<2!o|c*@Hgg zuBX4?E+P!~XYZ`>R|Bi59^{{|Mai>Sbwz@xsrc=rBqiJo91^J*z?cNB4tjRQeFU?e z^QArUWyR+A??K1skMtJPHGP4|1HvEjZ^Cw65ocvvPO$b;R1nmXsAa8Uf!>>8L_gmK zmLwKm$Coe1CKD3Ppz~9^TOVNHZ!8s{ zxK6=#?}AlGldV^P{tFX>?I=;qj`-O5VLYEJ{}HvvI4I{KSPUYb^K*z~lLm%q6~z9* zF#RPR`|7Q4`x7*+(Eo&T(5DbONpwVFDZzjP19o( zBNFD$cF|ByAGGtcP=mXtV4_GGR%tEeP8VOld5tnWSJ8H2yQ=;Tx72aU*YQ(CE(V_( zjL_g6Tiz2@>zr_Ck}h^C7Ma^_uIE7O(uYV}1i8(lf8D;qH%~;FT9iLmX1sj0b?ZS` zcfN-PQK~uk5qgM63=csIr~{_`OZfnN7LaD3s%>Obv(WTlhh z9(ZRz&N2bxBJ!&?ch?_oQn3f>4_T0=M6;$Q!YDP-vqa;AP;$vQ$n?8GT*bxTXi_b> zzZn__P7UZHNR*vCJ#9a{@`*`aF#2I3DtL@f2C*wgVq_8vS@tm=YzGa>&E+icwPxbV zgW)e20kVLBY+MBHB^5`NM>BPu?WUQwZ$l?ZM|CSiy?07IDHDv!ZoaWtr;AwK{LN6` zi1qq($%*qHp}Y7_1~F!%KKYd)VLVjXB0UUl=lhSUvQgl=_l_bn=d1ur>N6AtFqb~X-MB=! z)PttZ2{r5NgEg{NDf(dIX&w+o%g?p_-2=6LVypA#5uQ+(Av`w#v58 z_{?kY;AvP8g^ZaE=#G%0dM`VI3yEMQ_e$E5Fz-VB*>VBFjZe^jYWVIF9ri8!!Trep zK;WR3)9s0-;E}M;t9g+>IaX8B*G^ZC{+mF*93W9uuv)C%b?Ml?Sfdt+WbXOws#zb~Xh)Gk zY>L90O@J3Y8uAwB?lpbDPVbAM78|Q2uB%1=Y};SvOksa{B+#T_yKviXl$fR%q9KJM z9ht3&ALt{u&2RVS-|#h^UV3Ikv(pYag5@b;cr)H8;~U)gMmT?UoD(GVEyTluw$cZ} z=4iCqcNg?Fu}R5_PIh!e{}C^)CUkAd#f|m3$x{@J6NI6bnDaqqXLmb-jN%`>V!vaY!RDOy*YVLv%h`IeN&vJB7G%+!;YvjxKYwe-@Ovu&FKnv zK9Dupz6@$1WVFqo&M{_yER&W9&1ww_AO35=V5@)z!#V{U-CTL`#>O4fQ0xM&0`8Ux zx_ieFS?Ehi{SqqzllJ54FK9d?h?|+p^%qz#&F`ov8?ONtgHM#JFH-Hp=)4J~_bXC? za@!nnRM6VtZ;W8E%g99|%@GPs`|daXWG@N){>U+Ttpkw^^vlDn+lp(8A(Cr8h_^x`)=n>2E_`j$-M3^`(3wB2%z2z29Uh=(uYotHkp~C zi@)G>jSk-Y`!j#A{kD}6mN2BRzWHQBH~viW4Q;8>yzHtP%v$;z_2>uIQ*!gZ>?s!7 z#;`kLF(wzl82Cp#)$Xyn@nyT8p@y5V%ONQCwvn!z0j}s8&O&?_*HVxQBu(ZOppaDO z*pbbq)AHisnjClYjCn?MFHKf#V56ejklYm%W5={UbrQugieK+W1=<=P_l*7AEBI?L zU`d3zge$+W(Pyj)J>njUNSCi=;iuOu?NkKaFp zmq!Z9iK4K-u>INZEA|R{;nFGIy72ic?YqR8G?#UkJjDAhBx=BzvLweG8~TODDTdOH z4-l&Y+ftBo15Y#$``HUQ&!_oVs2vtImtYWs zH&qIpvtM|2d0!6XndjlXy1M@z={Joy zSARTt5APECj>re+d|{f#;yZ=I&+Pb)%gDG7lxPkfWljc&oet+@&30ua%YfLL5Nbv& zViWPZAOSym1>}-Xx|Bm^EYbwIY025omm2=+?MDH%qr9zk@KKx|(F<0h zAEpl-zsD=E$g+U z&%Q?i&t3cY=(OeK&35D9A$}~6SN$cnY3H!KrA_?yIS({amYE0tXj7gN7u)R*Lifsj zggB~S5hZXejE%bu)rB@zgNBMzu#LYMTD&w2-D%$^yrBQqJw=dk5;uCf^E?zGVVS?r zE^A3WD@*!18bSR5-XVmn`o&njHH8krj5HfsGZexh6JQD6UXJ~@#d+V%@>*7QpyL6?$cFcTAhnJD;e^A30*hHX20jpo zRJDN^Q1?!U?wQJ*VB4Fg3nEylU=w^7EfQDK+GT?S6XZ1*75x6UZNad`5c~G$rtAve zO;X2KFFPW55zX>GM-gO2k7sHdda2lUuu=7<7v2*4uFJOXfqgcIJ!*}iLr{&J2xdcD z@`MW|&niynD&<<`iTg?ip_aulIW*B5eG4I@7>c6^z;?u70T$fpTzXS=>MJ*987GJQ zVvA3K56*;P7H00k5nC>_pb8aW^;T%S+=t}~LaEBKzK;AiX;Q&~R^6|DKIlMz` zW{e8MfIoe0PssDL8Weu5#Wv>mYn(r-~ym;n&vEWSuXPdnOn zVEgl23i6WNKGM1wgM5uoP#UG522CSl{(ei#;-3WA18cR^$ZAbkyUAoAY5cl}p^uGg zhEc{xikB|LPMh<9GI`1{{ZMpKueF1n3^r_N`wLrZ&g;BfYX90EZIDFItiq7oQFnZz zwvUdFaDXm*hK^Y=OzwcQxO~^zxXiJ`jfVtb%O^3U0yf-V9I9XQRou)XUb@Z^2b#wQ zf&IGJu?j;y5z$>f;}}n3BUBDk2KFx^{IFR*HthFDoxRR+#uN$xr-H6;t!7h_xjso3t+g;|G#HQ0tbZNtF@tRa z6s6K0V3)z$wcKTRf`(`oO-N$o1lf#zOwdBYNBQE^uBnFSEI#5k&b=1lgxLgIoMZ>6 zZv>zmiGZHPhi^F$DL=Ng2D$@%jP3>D?T2M@ve}v+5#zj~KiJi&vakAw_YJ>PUVKKJ zl5G&tjVv=Iov*c$psynz;OV7tXvR-iCpMpP-jq1uW5gM*s4c*DTw$Q2GuJq(`yn;Nk!#v#wbqs;^VIhRr_EmK81I{!$cgc^!Hh{w39w({$G zjT1i8JTBut$Q>Lk>EnJjs{Na6@90t6ob}IIoZwzsgJPz>RiIv{j>~zI#kSPOeYAz7#R9j>*NQd z|M;1Y|AaVDRkk3x);gtK=sx!E`AJzBq=BK zF6-8ILmTs4sNAnCB9#VeJO`5cn_X_B!I5vSmq_OouR%ECR?-i-Y2v#9HMb#$L8EiO z>hZnZ>!}|c+rNA4Z)x+XokcbC@vbK_V@;P1yvFTX^(objaYZn{0*_-olIie*jAw(0^hq?`WBY{1s>YOxh~LtHe3Gr2fI!M0W zG?t%SFJIMI`A)(Q)KGn25T(5zfIXWk#{}p&fNn zxFMr+P++S2sSUg=VnI3=7L+Io_PccF6exJdYvlWItUAogak~WKz3Mj4*5^<`7XC#w z*cf|FssWdS^KA4Ua=!q{)^yE(mZ5MZNYFAYb(eC5e&zFom_tdI>6!1JJzt#vwe|?! zNT2Ab*aX@2X$}SI*C6#*6yRT(#@66 z#J&<;-sJ5oL{sxI+$d^X=m`iGFY_t)mDK#cACc10UcsC11o9k0f%;#ew_ayV=~wvq zP1_Nw8U@N`uf&QGO!;ELir7{h9n zZe%~F7wiWN9`%3YD_oiI^0Y5Qb7w23#Ssu?k?(@g;KgeO`}W(0DGY&t!s5dUCDl|P zz(8HjhP2q5au|RBv2GEfpH2f25mcnwJ$+k32m@i8_SO4--zM(*Q5#!j;EL28pFSVN zS-NhdQX>U3)R;}wu>17z1k-b29H+U26GyPHQ0pCeEM}@r=$Yp#{ft3o(w%GyaM&nk z=T7qKZxO#>QpHtlH6&sHv6BK*0(b1}8AgVmMmy@q(9Z(bn!g>20Hcir^&%<1PfAeS zAV^KAD>+6y7bMFU6P)`pc~@8xzn+R$1o~|HHnQWQ-}0mH+f2Dwfj(iaKVd$4&h0~- zyp_W;H$V<*|9!=zUMhLLNdU4L`r#Nk2`735>^zs(I-BPTYC=U-;lEaxlyffZHK60Q zT{-eHxb{qSilWlcPCtG#F50H{1y(BSo|EIozAwGEF*gWVFx{^xn{V}! z&>e&6??>w`t^+NWe+fRagzgiYY4sp0C&cG4Q{j$Av`3k-hy^6Qw=Of&$V4?uaIZSf74!KMKw z9apiux}T!r+8MJj7oVt-fvBIpo@yn9i2B36wR+!k>sQy1e?GwZaz836JVI|MvEJ>y z)5uPtQHQ$d!SZgYl;rRm$|L>2%$og>tcBq;_(mxAHRbnQU7VVJ;C8$maTYZk)d`Q0>i2}zx@ zqkXvZi}hTlPzCdEvIuLJ^#rIRxPGSd`=cY*dg0nSAeq%60-`B+Bb$+ESvcGe+>KhPa$_~`~$TKfJ5=PQGBMQRt{#VZnVRp@P2 ze+M*|?uY#@Pr(w-GQ2)!8OgoifwFEBZO8`%2~~Vm)^&edfo80)LCcKLDdDKXpGEE*^Z3vx|L3$QwEy;CL#*mmm++>{*UjjmIj?Q@f-=5>(2Mz>JQxd zo0}+$8SlmC@XB^%rlEo`{`dnV(TA~c3F8>~F@9%#tshFEUXnY387gJj5i+90KOz5r zi>SGE+hz{ZOPc84sf$gbz)IYZo!#24a7*LBSIw*;;MuiQ%`YB9S)l+iK+eA|1INt`sHUm?dd0rZ5xHkP#B{ zyBl#9eHv<~a7Z*?-HjG4B#;tWn(~Y!(&b7?(3br|>A!hzQfm9(SSv3+r{|N{_`PK1 zL0+HLwSgUES;;CeW?6vJMop8GL;%XTNLf4dR|*yo`R-;nB`R?ZBJDe* zp0mF=%A*9j>>Uu%LOw{%0(=KjAX|P|I<(0630I72qqD*VL|tc@yzhmy)tTtRDcw~Q z^kNNP!G>&~rOdkdy%08kT1V!0R(?K8N~L`4DjO8P>GL25n`a+KSbCaL2|e{A5o3FLTbNT;9N@^SOYs9Yg9Y;?Q7|4jJCoPJg6GTNH~CK^x27Z z6moV@!bXFmg}p`Je#AlH^mJ+V<6dBj{Y_ht_}@y7no(+-H)HA(?b?{h|Hg^^Z7CrQ zimY2pQn=KjC{TldQZpt(CoyxXspNI`y=Nuo&MzwH)mjg|WX5#mAUonv|DpwotQ*?M zAbX{p&e$9OG~*c2!L7pT#pW)OPUca^SzXeQ)oADr$)GqZ-dCNIr7k@V%I`*EvP@2yPgqYaADOozgM3=hAC?HUQD7pvXV}JX zcb_h%tf;2dG8hGjROflPAJ8lD%KP8zO*}}0zy$DSWlKK(e1Hmt;Um3=HcTSy$fSdpJP zzTC*6qe6urAS`Z25DZoHg|(@6VSi&k5Nvfts&Kf`Azq(yNm!zD2qmiNMm8w{qtX{f zpqcY+=vi5|WY+~GVu>&=-3h(_iUH~a0q(l{nA9W9=Ct|yX5Ok#pBej}WY3nVHES?_ z_}gF^GGP$ahQSFbL7?W-xmtorAE$tRDYh;qnzf%(vjZBUyYMbvH1r99DEveO`^ZZX zM$ktu;yMW_V%vY`C9-NqS;lJf9ZK5=dSj`4%IFFvqcA}fZ5VG!Es`ym#w^&xk1V33 z@I@JpQ8(FG)N|Gx)^;LL$fUqB*<^^y0O_jUW+U)*PwF^s&=!jbF0(&fcL*Vdub%N_ zbAeVk`feN=h+|;BkkzVX-Bz;N$kd=32H(4dDaEvqOhJjlrwX$k37X|Kbw3P z+ENjE5nU!!9nmx?55f)y-*WAMab&UxrS2)tmG&df!EuAIb4>C3NG#Fx1SNjrH&B;zI+G{d0i zHXw6~ggni@(1GCjb03y9(8c?K#}!O=5dLbaK2+|r3kbJ4GGsKD5kxW!KXgHtls9x> zd$W*^Cv1I&;~p4`8T>owF|cDn@Jqi)YUS?0DI~9HzljY;%py|RPsA5rc8P{8ryhrG zB);^1fObO!7+j+*&c~}I0#?$eg4!cn9R;> zypwriN;CH>P)^jft2Cp#s?u78nGUXIHyA-=n`m%aM7T0H1l*E zvh`%mMQr-(4)$HU7I#S`16$$?A4w;8jOtP-FE@)%X}mZ9V+~28a2*OS`Bk#)mgxu+ z62T#KnnzsH+9zsK#;U5)^1E$h#RRJL5~<9e=WMous^3>7nu6;xyG2{!faQ`GB|9m) z{b6-=EWoAigUZo{$bN!B8&l7`5ptm{v^j@D9N8fy)g1M7=A~2oX5n8NPOMm8II%9z zIbK=QhD)!k4+nSuhFjH^l#mpNY$?eVAcpkO90!eF9v(zy>AEW&lOeEVLLv{}73D0G z(Mhq0dhN1AVdNMN>px#)W}uq>&c!XAb>%!*{zI?l#oQ)yo}ToS_w?a9j7q2T0Lx|> z_irTjPkjXrAFwC?e15A4Mbh8)hWa?+v$d18hHAG(ehP&(?g3vi2$SbFXO{wg*;DYB z-5Mat*_|_46j1ZRU|>jm1^xovawSEuzvyEeMu&0VcWN)m$y0kdsg=b>YB;Ce2iLm- zu@=w(CtPjaQ6iTTq$_x@X%~K%z4mycLY{>|L&WyS%^(*YCB_Hve@5E}(a2s*oyt6> zqywX9jlaN<2vg?BDw`L3hj+FrQn2GVZE6TAhBzc}a*9mSXFo<2V$2~&cs0~OJ?4a4 zH8&uHO+Pe-*Kq`YU*Sv(@nADuGBjmyZ+FAQ$Pg|Ff`JjC({cj#quAgWRG3c69lUh; z`!{M!eO)O#vd5fZ;cAF{jNa!E;7xa=_^%$zjspi&B<^3Tkk?J)<+mw$DW_@5K6OQa z-h0jzb86d4))MMdpPuIJM)LVB$JVafl~T*(6w3><-8)?|?v?JrP^?oF_jsC_pWq!p z+!#$4?mVk(-;I}n`6(|v+X-VAb2MKJ-TH{Gy*&gO`LQAB;#%eW2OH6p%=SQ+jn=n` z^9nRD?o8-HcuGcYT0~{Z$y$qqc)>OHOKH^agI(}(^VuWO2<~(6#eLG}D^gJo0kZ~> zB25Gi1>_nhYqGWl49KGe$KP~OLBvv-&W3`b5$rTzU|_w840A+=RXN6l_#`T#*Qe^@ zWi|~dCXe#0zvl&LgAG7tZ+-g!nyneL1Y}1szoWVDMZg2XATQi80SX3*wk19JJMoDt zE9<>-RtOqo-UiBVi7gD^oPuFY&kH(NuEtDskAkz0>yU9Nhebw~i=hZ`c9P5IR`+4D zExo=K%BIM&XO%de=34>usN0)7A^1|8b-UObxBBb#Y^v2VRphTt3p=9o*p2Ql;E$GJ zvr5q!H-j;MssJ6SX(I3gS4{}Pgo9;~#@Ga8*o`2O4Ha0D*YTSlGQV}aPOu!0#T(Ei zdHB)4CWBi3QUNgtkxR^5Xu2YUcwRJ$enLYlfuDlwrkSs?gKF9A-?R3hz!g$O`GAFj z%&Upg^obs~3iNPvix*MN)$KdWWR-SZIv8Y!jT}*ETB%zeRq{MbC4zkTPHK1SHK`>6 zcGQo?HK(%i0kWDieWDcC z1n}fFJQ8;u6}n>#cAE)}bnbRty#B^58d9Y+p}yx@`4$MU-u>Xyp88i$P~hV^icVmA z+^AK-x!EU0r3h!TB=co}feViFBB#Sm83I4EPfnVKZ%>_VlVS*Yrlh_WoxZJrZ_R*f zaVbKSTn+h~EU$u5F_dM0TyxY)mqcUj{|y~S@;WD^4JDx3#FZTh$Y(@J=psHzG|E4bgwcafw)avJ)J(dlZn1}gRCj8EEr zXV2;u-9)Y-2T3>LM?gxp@Xm@iq9j{`kTz*?z5W=4K(){+DHN=lqH*srcb`J}uUO`u zI7F-RovtK(m7&F0UDpAB4c|v}$E7{d*$>bM7hNO}oKH1~iOFs+QV_#0OV}E^5wJD4 zePb@>&nmA-(TI`_=#g6OMZqDi{;jTdIq0IYj-uFgmGIAt|BU#rBKHGj3K?$}y})@T zBXW8w)j+i;d2x*1mH6*Fly1M}}0<58to0DPP( z;Z@G{i{*c`SQ&=e1Z*5b+T&7-YWmC#5@g0x)8U3#3J4kVACz=Ipk7WO>53(W#475- zUx{JpFNVKPTI>#q$LEQ*RF(2NQ!1~$#J8nc@1@@=M%8wgoiu**W>!ItF(A2uQ!4Ym zDU-IJWXc;z_VLT#|LVMCw%~eBR4m7YdWvdbA3gW>`Zy@1h*4QBc%O+fai9`u-M@!6 zxt%d-)7J5jZM56}L5qVCbf0|c>idfr++Tf=avzdF`hYdp%=wdjpRHfc48F=WHe|uN zMgr8P2>q{rY=O_j0%D~aRNQfs7$qp(m5w{UM|_le;?=3YY#db@NRIS$4z_Z_7jN9w z%W7wO)Pmgpk@;dnNK1!Cai48AorJ(Y1lE>Mhv)cQ8y2O?9BWvudlV4@(h}l?GK}>d z`(63q1r{UzaUYk!a?_rQ$&&Y*#3RpkUxbw&1*C|Gh9vFQ0e}tGFEF4a<2yp@BR5Nu zX&J(Oi<%;uqPtUNHO)v@x^qtQ#$)X9r~Or>qAiIXCvaQEM;_6f>W8_XacM0teJFpU z(GMfx6sUyQ)ZaUvHJ-r^bIt&QR9o21AQl>*<%=d6i3nBJUmLWU#jCP0J^K=qOizfH z5m3JV4K8&TYJ$L?3`SQ9D|aaUOVcvMC~(?Kz!Z5#70TG~Q&9pRWGZiHuiG~~G$Zfj z+xKW9u@Gs0qi=sfJTb!g{h_V3Oa+a&AQVbxd^J?^+I~cTE+qesbecI<9!f-vt;OOF zWmG`$=o>=G@uX8B&KfXw8G_N@ljSp5c3dMg&#oQ_(lnOT#UHsCyu(751Wl-_7hwMRdvAa6=OnyUW@vq&z-DXN(**9nng}4e1m)+Jv5n0A&?~YV?UY8& zmnk}xv<@VN8af=OGH3BDwT!PVLkn#tb1;Iz8U(=5Z2bq+mwPS8erWV#fM>VHW1oPOSin((3VoK75 zCJ(A3RbKKVsOA<-OkhjWw84~Mp%g1E=BepeCAow{a%!#Mxj{6|E=b-sIo`{nRxY{v;a*uHZ@ z-pg|0H3GSg4-M6#;!)l?AwCj824QB0NS2BTRhgGoFI(+w#tpku|RQe+|3*ADdf$9*8 zkplN4eiuFNsjD@srT7MvcO93{UDFfR?dT98P&^nsR?&*9a3tO_9iEu=q1h)$-+Xob zRM;F|6D5oA@9LTz`C{X6sI=gu*fmD;*^RYGa?a10j*p~XV0J`|lz%H8(bWz)s)R?D zGu`xWP+DL;LOjTL%*LQLV}t-}#rMOpJ#i)F>d9LQ3i>p&v|ayd4P`>)PbywMhj%_m zD94=CMkjZ>LEqbPMvsk@TrWyk&Yv0xMQA* z9u+O$CmrcoD)ulszaK6MD(wCF0AW;DHP#spT>?IIH)SE?TlLp(Xl*q@xRJ!`;59c4 zi(iLSdU(z3s=0gufb9}1>V^*j19HVGd}9h%U*g=};TEhL@aVRvbEu3=aWMWWMr9L- zNh>FQi9Z(t`Iw&eHAYHx)iUr>F0kegS@t48=+@w52h~enbGVuu5H}iB3zef;l>vv$ zribQAc1+{kwqO7h#$xf)2G{t`&Fh}nA3X%3lu%IlmljQE;COUh&E%QdEn%EaRe3Q{ z#>?@QNfYfkI9s`E)WF}hS|2(QGRm% zjeN~207a7VZw@c#X6~YbggEmM5M+|CYB!?<<;pZh@dS*lfDaaLLoj4;I{UJ&;DkDx z#Typ@ya}fM1}UQ44P|&!zevM(y4Eevx|VH-zchyz)h~h(76|Axu-r$`8SXEk(7KdM zU}@KT&M~b(!?;2?3#V@urt5SbMjY6=Gz_8d2#d<@_;EQM^dD{cdb3k;zP8_D`;r{h zLRLU1se=HP_LJe@kaY-}c<2-HIQ^HH0-L9(rw9XcT@#7aN|9brr{Stng30^YBk_R* z!Gflo`Jnht?rhzg6fsCk7L>kNSf8msY7Xn1BS;%Bx0_y65uU2^gtf7O(Y~#f%IP-j zS^qtJiOZtVT^p*9jo#(Rbvd&!=7z*~>BYKe>xFmpF*y&cD@z@KG%=uT9G{w2l|P30 z9Ob<0-V0-re$z`nPED>?4g>s4f5AMM>eTwXW=Amu}@tnBiO0F8t^&6 z2r9!pFrQE0Cg-Ke?Gm5NZ;}EE4Zd1;V7*Gd9C%hi)E#e>Sd7gdEBDjcNaP?{@NoBA z5^(7Hd%c`zrz+OCW)9Q}$;c~|Th>F!`E!?LiEan;E!7P}FyN8#W}&raDcStHNUTtwpG3ogQr@F_MGJy84DK1H z{E!VD_n3j~%=SL*kbc_l(2Nz_-T&NeXh8S?w6Dpwzb?c%ELvXIIkdPX?yqp|JB9Q| zZ9kkSsDU;PNr`@O<)z)k>sYb7*i&zUnU;2ScJkHCc^z{JfRJ)prrS%p=RMM29mz6; z9UQX2Oc2}{5ekgyXo%X5@2NNi8v*pU?duWOdTzm((_ZUZ1k@vfh{vT-3@j_u_|1MQ z=UG2HbUs?X9KWJcvin@D<7ZL6!X4u*7NR_L)^&lK{hV8#ZX^<*hESzDR3Pxe2z5TX zd;TSf9V;n3MiTC){;J@%VKLx>taSB2#I6;Z+9y7xod~Js2eJ&8EvVlwvVX9NlnXGx zL}2H!Q|XD0A?a9!0Mus+yLhw*yJ5@Uo^~1Z06~rT1<@%M#N@IfvE|dma3^%9o8hsbHjzyOjpPUUZGSol}uLZwP#F{iJ z9&F~;0byQwU?YLGA|ietz+0;z59P$ArKRPH4gu}%q!sHQR1@+bGbbv`fhgTc`6P9r zPsKPPU3>hNkY<4eED3g1sT*^V(ZtEx_ov}MktWz^UqwpBIb-P>@{fBPOC$+CP; zWLxG}>i504SARa>LL}O+YZ7UIM{9_&K2pt@XJS_K0sgmALjb2{M>vHw%OqVUE(xuE zuzCnmr2<7~8-MI9nFnd4P5heCpM_S_NcntndHzz^#(ICh4d`kDRdL!G1q1U;er5ze z!54@@!oS!(pN}J@G89EZX-0(_v@&y2D8YabhHQKzK}e_9CMoI&IQbMWa-wJ68U2*o ze02a+;~%ze>J0H-Ip9v_9X+8h#IdSq%!{JX#zoXTJrZjz;3DO^%1(**ub-gcs|4)ROgR`mCKWHxtbXnuBg~csD+3Xo)*Z zbd5;&pMyJSV^zN+n~d@Vf4*6Y2zDPrAi5YRNR)P?;@g-6gBn3ILM+U%iNC3Kv1KvT zejXSn*KP!Y(tN)a#?U2L|ALoIT>$ygIu&aP$K!3qeEhb9Tk=!Lh zt9%M-Z)Pkd`CZ_-WSxse&3c^Gm*mrx$c!l~r;w%xz%etI@G<9mLm;E`!-*$k8B!^U zuYQ($)z< zn67^i4gKx)8J$v=8ihwd8LfDT93Ng(GI=^IwjuJt|Z!{CCx|>UsXq8M1a8Q0^CpU{8%Dow= zhj`$nIqc(0_1ld?fpaDqF5&;S{>HH>XYosF2kWKy=00p*XuQq_SX5O#>2>mq_PgO7 zr1GJ!!p3QN-1>q5qx^j`8#qb>*zF>Sn~YfeGWR1&8W2LHy4^EYo+r8f2iK>V8DY6} zl^vuou@N>DpESFHcnsQb0?G?yTj(rRtzVU28Rbi!jSzqsvGqWTMN@Q&&j-Q-2#h*1 z1})WO`(A${;50m3TNsIA47g$n`51~zA(9yk6g`-|sD2GiZskB!h+-4i4CRB&567oe zzXsV{P{pNEchA!!pp zZ1S;i#P*Qrdib6F4?2Rskq*10XNYc-%+QaLOu7$$z2~+8E5VQtrK!|uuvxn~rEA7# zseLj<(cee?jV?R>_-#|1%S)=`hW6!%9sLq$Uj^Y)FW6+l%tlwZY`>&s=PM;y!K z0Pwo+Z8rG2NBYm#LxU<-HPx*xDTi&x*eNs7k|tVQ{7Ng81ex&pme_m~1dif4SwSBC@`Gqg+c^~zm-R=VZ$$=?vrFZd>QEwWeR*9xI*>G59U2!R}p-I=uGHq0`) zwmsd!xsbVLrC{j76Q@l}s(+igr;p7}1lASbA%{O@TSMoFeWK3o|6*f+w?DI}O&WmD zDfD|Zc;UJ$INU7#t5DrDI-Mp#UcRk9<|xE}-S!IUj^s1(g@5WmYBT6)C!%I!@67SB zb?{1ONYc=U4Aq(qSoqlDW)L0f$G-j7;wU|pqMN2tca!Nv3+@W2R61^|P^l3?AiJ?d zB8mi=N%-q-bL+56e`iOsKQ<;RFz zJ0>#>x^^D&{uFr8A2Xc4O174I>7Tf83oP?EaqS_D=o07Boj*KwI*&WpP!UF($NIK- zzj)~4e+pHJ+in3%d(WpNP&1K+a|N8lg|IB?EunP~yh%K!ogCknVth4ra{ZTxeLSYG zdL7Dj!pStLekEx*Xs%}JPKfuu?j!7PoQ|Nq?zY|lr^#9$uIBEb`lg~L7)=gbD_#Pu zL0)3raz_#}t{v|1yX)7R652~NF`6V)gjQ<|fea9k0MR}E8^|p4NjI1LtrWiizx6LC z*Tb+YQ)smb{Q*fq@mE?9@x14>-#8{AE*In#Y7H_35WHk1Nad<8FNI`1nO_r6RiQwG zoyYm?dX=%QzPqS{9juHYe&jsakTJI8e%bz39Z=xDxdF5bF&h?xjc|;w+J?-o0^Uc| z?QeE28n*u@Hwm6`70$hI2d?h*0AS40N1yOv7}ok2VH3PYj)6G z$Gv)gFi5A1v!v_e3}&sn2vd6Kh7y*@znJxi>R*U{_yL|_f>57YA*}pTBSF3C7fbzi z*ky!cEcDaWmoO7l^9o>x*d8E>oKP4^bz{SOGcf*mWDyWm12@3f@otKi84QFD@_lE%$GApG zFG^bgyJD^_lq765siM$=BfNFoLCth9!2-Ilgt%3pVx(W#Lvbw}}}EEs8$Y7sep+K*!D!NywZOKT-wo zBlO=!78m;Q-@PjCYPhk(JDeBMi10ch9#u7W&7?T~iHgy+cC5b!TU=O%ux+TqV1w~= zF|Ih2Cx(kPL4lxIW5)kjx{58iks$a>VmOMS#nh5+VrJIYZ`XJ)ejdMZw^UV`84(Eu zk4v;lL>!Fq$dhLd*@N5K4kr!?;Y$go%S$K7uXsU{zgd43*Q=kE-9ap7NE_~}N1+CQ zw5rqI{E7Lv3@$$%%k>Fp_ZbFdNPbRWNu}spKcaFLu}08~Gp;5zuYWoVdtg=}F^*1n zL`Jf=z_4qtCr9gc#BD;;t5b{y!b+ahFWX2(d`*6YYSEBlou(q$kE}S&$O(PdPzq-B zY=x-gLBlpmh~BPJOeU)oZD3ltt<#<*zjrIL0=NvtQ(RRU7D-;(f6qe5SfN-BG9Lt? zu6i1_ap5O@S;We4YCdzD5!+P!%0j@NrY*3ZBnII_eaO3@wHottf!Xde9(EKrGDc|Y z!r3{}@G)`LCxJy?K=%0k0vFkdA6-}odl}hR$p$`hXC%o3*ujnV#Sh*m1QtQA-Q-~+ zOxi9_qh&S>?DV;7Ay3_xe9wV4vW&4)Mm3uewd9rp;i9fkN>{ohEF0nUj=oUu{Iklt z<*Fmi}}Dr-JuK?lX|VZrF-QOyq8_(TqB<} zbtZ%o_pSe~tk#pJNyqFYxl*XsYnGDH&u!(nK+R*eh(>f6(m1?!NP7cOU2or0W_b; zrrYc0&CuRYh6w=Mg^|De9_7vp>^|%Vhl3Z(ICBb7C(6i5w1^~nUb8Po(@F7Y95tX~ z+C&4bjGFwiZovb206#w{ucdOkpePJEI#;Ac93M&))_~9#+ z`+k_P-CeB{yq+v6APr*Ts#$|etSE?2Njg~{0(pTg_1sd#e;20QlSnEeYcVW!TjXag zZhZWk08S6{zFPu={N6all!m32g0f0wd-gH7vGj8Ld8gkQ^R~~kG2l&3dQW~70mU=1 zfQTbxDSQ7I(oQQ4m-WkHjSF4JCbZ)}Jm`L>05AgO2P(kPPl@%)e=(EFFR2rxJ9L10 ziW1h#(NCS$qTeJ)>!(%@voQ_EM~cGu-j)))B>#(qOi@Jm{(Fb;uSV6q4RISl=1o#V$*(Y%%FQWz%(Ra-Op^Vt<E_DlJ>hkJ^*PS%7r*g)UTJIgHRSy8Z$YFj~mRY_$w@+ zJANa}-xe)xDD=8-Ij&A|sv(hqCNmMJLH(t{%r^k98?j&3VlC$drht9<8A@f`T zQHE6nGB&tHuc2~V26nzaEw13U+5U{hfSk{ssGNR0cPwr;O63D4pDqO2{tC(P!q5K- zTO9895hxpYky6CHB;IegY=_23YTMC>GKaSD*sNxvnTpMm4#2gs=k+{UanMm z@oM!dIo3+a?XJaF@S+4DoIV_omNPn`da)l~yVW+lm^u*cm^Q;flK%{+%ay z@$Y1-HbdFz@vGZFU?}(<%ksd2(%hNBk*`R2H?Mx>Z~Hop*ps5GGF1h=h)q4Ql;)tG zYop)W5+7|Tm#)p6s-*5d45^^{pqof`c>eyi7~VR>%i+%HzJ1c!N(?-GJ?@D5-o7l* zDywVKSD=VyG}8wI133sRH}U$;Huj+({wl{gtHh*ll2UmL?|R-ybSlhN7Ct6%iv0c{ zS7s6qCjQlE%f$n74&JU_>&tC}nE@2K?)``0YneT#O;v$_4;Oz@r`K!2oE zfG=6!=8jEzO16tL#Zy1X1}ya~5eEGr3LM7r0*A!jLcRKd`o-CalQ!4j{(0gQe)Vw* z^8ntv%dmDJPGQ(x7u-WZ#yWcAyfHXpkHl?#F@JgvbVbgD1J=&!9nws=$QMyGcJSe% zC+9LgGwcf~J`LueGGfh{V<#p?%BG80KpEw2j#G=KQxp*NuJCt|@ddU+9uP`T@``Tj z=FEee`1d|%Fa;J4oWS?&1kh9cR;=Pp;kF!~OL3HZzx~MJE&6%ljGU7hb9`A|h?h3b z9Zd%`QeR=(1m2$~pneqP2kvJCT>mb1#A#E%;EJb9OvA>%Y$0_}`)&;03vA-amlHk%Z5t?;wk)ji zxtPNLS_JA?x6E3<&+F?r;m!wUVzy~Mc)g^YQ1j*_Q-;W!D?GY6KX5vaDGz`KxcP=A zAP39vblOV}DLEd~(Gw5Cy?NG+K%ZMvBr_ANC1S1Uc{QAUK7|gYw_^i6#~d&y zrGGzZwuR4}h_v;J2VF*BCPb4593al;50sas!ND>OJbtb2`uP0GWWxHF2G|Q-MTo!E zk3+?|QC*M}?jfhsKv(5uKV(bJkwuZ@O+8Y2MRhsD-;7Xr+V+Ha+$bOb*JaRx4`lVa z3ae7$4(p0wq(I9*$p}?$&dnoxUtZ=d!QJt!J1k{sPEIA^Z?^nR&=XaoBFHn6A7 zt9WqV_5@D?vf&ju-EPsh#qM!eIny|%P8_A{F?Gs!`PU-y2qIbD$a9lXga0>uzw(*~b59c3d@?%bwTe3I^>A4uBn|w?q>Z_@H z<`ynqr%vm#xSkQQOF3SQ#lu6eg?C-4In8ntl%f9kn3c9u3KErKiUUtwL!W|55Jv{iHRFf-7}+x-!q&o{ z!vU($4;Qc-zSYYfEBxP3y$dbvAoV%_E1Od6FplFA2dQ3BRT(Yd;z{n~x1_9Ilm4Q< z*CkVyYLXcEI%c&NI8QQZ#&L628tRmMD7N>7|Jh~!&gD&%0#%jmL~KAWP<-2CFo_Vd@Y=T70F4*7Qvg&&&- z^7?vY>Uk0t?H`gpcMSAcwYT%peG3O;>2ysyb0}DvD+w z1nl;0i4e?dloM4zRLHLhs#%pRA(Y~M{|<1lLcV}cpSl(1V8ST4i(od1{rWJ2*A!p0r)zH+>tNHTc_%!tFy~`D3(U#mUQs_84{S)PPysJ4CyMym zu%@&7N~iXfG8Pj`_3wUSs%4M{VnH-GgFVxE_Ah2eE_scer~WowFqbK+Hu3m{rnRN{ zcOO9?Df^e8Kt6hc;bp-Pv=Cq;@mTRgg2|xbD#w@c0i#hc%+T1&*lZGc^XC(aF(nTl23MOhn0h%*_vg@ z0hM?j|9O%VvV9$pGF4<&_7)RVCbVP!f`aVA#}VU!oPxI^Ce^Euaa=GKTRf@a7gd5E zl=;Ev-_J%1>)}~s?6~14fFD&)Jc~C;N-dcdh~_1P5arGU;8C5x%%zVl`6-OsIS_pAin(U|emnXY<2pJxp#_GtO(at@_F(9&&|c&+S7t zvfLNq6OGWUb&Oz1=kM>t8$ZHhho?QS=>6*L_Tag+tD(fH>``QihyB8hv7z-)dP4uh zZe+lS-|2YDDL$o=PAt6tgq7{W5iI^WFOmOd`K**-9xjWP&lGe4bmdFa zyg;8*l7)NN;d*GyF<&6XPJi~h-jpm>O!be)%h^6V?G$F&poL$W*6A+!BOW-ad9R2S zapL*K+pxNvTP)cqe;T&;X^IJrG&tb^uPFYd#qb>>%9lnT3Vy=XfQ z?ULw1q+-z5C7xi+j%dv9>Gt!dMiKn)Q{DK=#9^x_o1YGUtndi=}l7Y0aFm zR$r4rHk+^y)_(wVc+0k3Ae1Tn2?@CvjDB(#;iv4KpWXq8EGDf9gkgSES1ZE0`kp-obrE@t5uCH0>Bb&|n z8V^Ch!hHTBbxOLpG4dOCy~pEXE>Bg(-RV{orVH%+c&}*(ioYA?xT5Dbow*Ug7_Zwv zhZIGjRFPjDO4a66hd#=gA>IJSD8UMY>o>2`;M@~|wqBi6z!OX2Z~+pn_m`1}Xqs2n zdW#c1V9UT|m2e)UEve-U#oENm#?1TzK!gM_KeS(biY=5sn~NiqjQ{yAVJ@FhbGbp; zFUra16;jiODE->_7D)}9jd;r9E+bf-JuRuq+D&h}y;))?=OaofdNIq&a_DP+RC2g| zvkPA9D}{m_5&Tn$`g;kXfl$jxsV>a=QE=E3Ww)z;AZ@g!rj}SscVD1uaH&TLm$)3? z{b3ph*jSVlS?@m|Az!_lVFIrGyqag{1m#I!57eY&U+{6sj_U$E=ea66%mf_{vqa?BUz_&hM zO}%T>_4GH#&r{Cza$tRB-8Zlep8=*9$SB*DAWr?^K3UJe8T7R3-&C>1+ST>z?-{;~ zeqJD9#K;i)S$EiFg$Zggy^KRCW^ib;T{=Kj-gi*RPWnf!8@B-B$6-UtG?Z7_^Azym2FbD{l?8_C$kFz}} z;_9%iwj|mLJ&Q{M3M_-YeBkwoi}7DA2UyTJ^)1VBX*Ir?yj}(=eVp@4A<=KU0p!sb7KOvq;!k>>vQIkIB-P@z1LcCiQ@{`AL*eraI(SCZ z^O4Au90A0Tn;x9-EP+&(Su^zFU3(?fVjx2b-}IX zMTO}3SNcS^laKb=_(X9g z^J88@e`{C14~#Mcm5I$u(M&(_R1)VA*E+`67dhb(?b{(Na`1Zh#7g7pEp*zUeH71} z($df_q-P~W&}!CL5{g5X!qH!HI>dWPqkj(qAJ&rrajbkvhN%a}q*z%VAW}TPn`9~@)Ao(lwAcJ1LL-1rjD%c+=GlF0kKCo(*8|L;! zjUk&71X{W@g>nUfa!2IhAOSrvQi+~ayMeSbqRRUPiqx!f~Ps1+M|bI1~$YJ zH!b*dA#Q2VpMiGUhI&e>g~ydQH5=dWs24BWZY*&8XRjYVRBAK|B%{21i zl_fSS5qrDHbjcRb_B8nBu7mzO%OdTZgyt;xV)iVJc~1n!dehzCA~vSL_F#+GsI;Miw~xWk-#C+V`goOFdC#O2e|xKnV;RmHeh7- zKI}Exv~H}kD5&|xvp--?T+n)+bW`4}=OsQ!gCdq4e^4NcvoBqK|H^XPqwf}j2+%u6 ze*nHJ6ZO7cte7b3#~DH7D8>_LyaSlrd739%mJ1~@JV~Xw3?4iVQX>s;TYb2Aduk2zrEjX&{B=x%WWS*p&14rc{)rF=9os1WffB7Q z2Tvc!O#HN5d7n877$aU&^k6|P+={}>Ase7qtdXrLN$<9yB08-Zq-&D-6bz7y>=s>f z1=S5;hYjP>@B_2vl6Dh!2U7>xz=XvXun*M`!2Ak4H4|>7SmD(CN&4B4Z(kf0-N!-rvYToksp>(td z6O!03IJ@LuKU$!rH-rYL(BY8SeWflm0n;f|zd}rd(P&`Vabr5g5(_I4)&8VW)#uzA zGw@e>l(-9dTqyQaMMW-LeqbS}QplKiUBo!Szv3%Um_WA(h5Vd9CP_)vM~aT9D)gM# zrN!%*T4ccP04qS$ zzkC>RS$))8RTHO3U=b7S$UUu}nAqlY)@CJwWqiuDq0Ij_`#I})K#YhBx^-7P3y{W`lKD3`en|(2NAPo78OlSt_J>pr{Sr6Y1U?Kw!a+Ll zPf2t5m*I7MtW;7SweGI*Uqws(PkAYDo+9ddN+s|K$35jp5aVmhV01WT%@4-{oymh$)f?kHx}8`2 z&Y>O_AceyhBz|b$z5P>mxkX4l;fJ5bA!w%aA9)I;I2aTD_+Ucye4pn%72TaOX#M$} z<+~nr=IOwNScX_050`_JFvl1w$cC#}7kzV_mv8A0ZJpPjZtx28BG2R&_O#b}6WB3h z8o`wz&|&4MMgEO7wWjs6KpV_7O?c;2rEf_jV}KjdaTL71(3TzhL(Q_*L>^&9hEVDi z@coL?NCBBsw|zO^k9al*NR1}i*IKZ@dMtVLBjTk_n^qkq{Totatm{WO7OH|GEL)B; zRW^`m-7JO115+uj+in1W`Vc*}mj7V`q4rhfA7zgSGzdk-l<)C}mG_3gLBp3X10F1z3 zD=~rrm9eZJR+`tBtqjS35QCs^q4-vJVc2F?O}`myOq=5tmzWPAT6Ib7sqczxLI z0g9p1^qO{Y(b=BJDFH(FFd-CPeYy=Bym$Q1Nex?@R_^wtGs*ev_z1a2_X4l8fANQ~ zDi4+XY4r<(~0%hA(|1DdxZBA{@+j&rP!wCul1oOrC<^8td!VFM9a{G$cn|fzAiNW?4U*h?z!F7$hs# zJT&?KknLVK)2obJg{7BmQj_E7i|P-DGe;-Dcx^mV)($$4NchP42Y!ARJ}hJ_SuF17 z-+t8IQUT_r5}ew)-?~4~f<9geQ|+M|a4$M0bN1;o#lOhLzA;*4buqIr8pC46=8xz$o-}-(tXOJ5ej+I|RWs~0|4~@69LuCHbw-YPf(9$F z&>niE~_%a1WpG%SMG3DWHRDvt1!l z{d>2SFwY}BI-*2SF1`?W14brV4ExH^Lc=Z9~ zuhLAB&>AN$g2lsFm800<`292QqYDC32MPjSsM5r-yqkLWi0&rrZ^Vopf6=6W0oTAd zm|5W8+)utYL^V$SL5n~e_1_{2IO2AHQ$eQgcesvRVQpc%Ld)jTvszCFOEBtS34R+9 zFPAEbIqf0Bj!+iz-_o8xBXxacPZq#rG2V9Vdv-PMXlyKc$r^8g z`+x7&m3zZK>&yE`#$tNI4f(h^(97!Vz5gALzFHGK+FlHVqG@MAOi*fw9^@*obfHpK z|A2(W3{H@sVo`n~9o02;^fa@f(n1VPOfS*&q*Cs7jXAmy#J}pKdAbglsEzB;S5h6Q z1-PKBw()JsL@`t6IKC?tR2xt);5(T9*(#RA@Jk$*SN@T#Wc7Vwi=17#Y8~_#o6$al zNM)`ExHd;;^4OBM5O)gYTlxzyC-C?yQeCu+(>kG%i%yDh`@=ckfEcy5j0YKNK%;EX z5>}rEwBesUE$hi)BwCoUJXK$hq6XTwXdiT;t{=m+j=u?h;kP8%R|p-r&oGRy+_0;E zw&wl(m;D&I#oW^iF?;10XyflOKEOxu*F*QBC)RNmur9$_4nE(nH%OGx@q-^v2XMHF z6xF8bEprA^1~^k)@~im@7|+3Fr}H6hs$gS2rW^Kmj71Skb zGjuyWmgBnjba%`E7Mp`55ut5;YA=PKWm1b`{~A<8ry$Iq_c}_@HYMxuf~H~i{jp4e z)zd)@JUOB}1bDE3fGh8Lq&+_R0yDUR= z_;D~GF{_45%%AU-`)fWO1%=`^w}E__JCNu24rmS6f2kh3847eT#*Oq*k&2{=CNrPi zI)f_b9E|N|nbc7+BK0fj`MJtcda0&(YVim&g$CdHmkGxDP$|h}k0Ydu|G9a2I$hm+ zJ~N@YOal}obs5z6`)0aZbp>;(uN41izl&vOz=lC5w`QeoziE2NpxH`<%>Y8gKlW#qkQ&u z78YeAr=%r~5SH;>IlA}Saz9J8hU|aqhmJVd+DH<<42x25p@@$UIr)jI(>Uz*%5rjqW;Aw99OnA8g-&Y7G81gAy8bv9pek5W8!pQdsG7nN`5mX-5&8ow{t zv+$(9K(KJ?J5#q~ufw5q zvW-?tuPTupi8ukyL*05oE-6^E=~P7)TuYx+01 zL&zGrB47oPwtXzurEah8k&Fb?jZCg$qp5tm0rc4N-(>+1RxZEgSu}FU>b-2YwNr{S zyR;s{PqT8VNqfFKheY_oN4d?J>S-m&6jb{r0*QF|E?Svu<;IMLp0K4sojhWa`L?moV#MxR=7jZ>pI5H4=#ckF@mpLG=^_00*I zW%ljsIv6K^u;p(;7nLewHhx4SH-B^wa4_iFi4}#zAKd>1CzuMuA%BkQQ;?6XCBsmU zHL8El%`N6FbN?#8*}T?{R9w&9vgg=)De*^nqnpI+w9jNH&-{I|Kie;4Qg+R5l+pdd zSSp;h{R4;3`{U9t86c^}XXXAv`Z~077QPoJ9lkb_HTaHQmJcI`W+^X(A0k3h8)x*= zdK7qPYi`5hu~*(zf|&GSiO&xW6PO>{L76WmGhQ}5ke_|xhNt`TQ=;ctD$Vf;F?2J3 zgL8WDV`V2N*`Mr??N?pU_-#0ZIL5meozd0I9ZDzYG=u!F=uV|GB(nRGhlVpBQt*IN zUUMICX$U(!R1V0lG6Q~N;Yaevq(T}S8{nC+{jvW!s5R7(ZNbE@c;LQ(N}wi9r0Upz zBcDT|I?t7;Kb-%ULSSf%lU;d?8L6rc0>3sYeJ!YZMo}HAY5%)25dH`!inQMoUd}D0 zJ_wJfY?HAxeb6GNLtPy8Wt`f?Oi2D5-3We>Pv{N+rkyOS ziJfNnt=nTH%>`CbP%FrWbxW^p{XH&Ef#k9DwiBAfMZzh^FhmKTt`QjJ<(Q+3R*c96 zbRWS$?`9)2ZYy!JId6FQ7!)eE*AD{f$b$Y+)DpmWlJpDOjhuOE-(p$$3Q#)TtO7FL*Q`Nu2Q|$04-YiUIW|x*2jPtZg`Yu z{dr?G7rz27s;j($*Gj!?pz_xP^#^W1oy8Y8Uc%~_Fq-OL?3a5ppPd!G{McAi;yLCE z1YKD~6QcNj?XaTQEHuSRT|y;A3GA;qVI{iNwt$~TQ<4q&zwz@l|AGe!TyOT*)NI&b z<(Dye77dr58M3Vp>*iLjCA;~)WuSt#KENd+Cz|Vz9MK~@v5`7zLDq)hr>D*?eW^Pi zM`~>T2J<`yx{~={^Fq-&YV+>G}>AJU09IX}}BQG(rMF{w5mLU&t|C)ejE&@`R6hi}F*Yd%(Tzur{h4s9 zGM33JVtkJlaL*?Dz(qjHC=1KmqMT{hi(kdc^f7t~YoOq*?!n@d-;P;9fk)JSfADks zT-U=yZm=}ocoJ(Aspas%M>$a`O-5xEr7d~{2=4=+^m&+bN=|*D%DW8zngvZPUtEA>L?_|+a=*KxsEN`o zyd^K!^J+fdnV+SgpiCW{R>}#hg2v%oaW+*YSUbIyM_nw^k(FrNGS6BT8C7&rr<_s5 zw4V9XN}|?SevG%Qn-Q*?`orqg8@fd8LerL1toOuJXmv7oH7_#oSsGmqwVdGt?Bb$2>BSaptN}c|e%{|YTTuhP2r^tf5 z=JaHUIpak-_(pg8m1uvnB|Es#1IZCFvzos!@Xvv9QX&WRULHC7a zZ=k;E^O5KU=tRN$<~Bk@!5I72v2nL9XhoYjhDVNngVny$egx_CBj?BlC4NlYv>?eE z#nJ6Jf8#kDB*bRtC!LeTDoZ?aH z^cQ}KOjl5qaDvRmo;#nbg=eF}*JKWjL8_z$cg2W8cp6bu;>P@=NXtp;IQo%wSFVkP z@YQZ#dzoPct4a@7?uolqY6{K%CX@W&|DmhXRTMX&>igkRU#Yh5XWF%J8CGh7&vATX z4EyG@b$8#MY|9n=$L=gq15PTcZYP>+yB~jbj7xkRdde$S|5+Yg7_#4k$KwFEc*yEI z#xk)pA$^DPQV3AW4lzggD=|IJ??i-h@mxpUE38Dm%IO@=??L`fTK}{F27b2%96IkH z#P^7EU`2+3fYCgxp*b;oaRjky6oh91mTT$H`vQSa(`1^^`~XDoTR*CWO*GNxOT@NL z&GH4RdhZF!g3*_)_=;v~ZFd8`kT6A3BSzQe2|Xa0NWn0D06DHOb^!F~=z>Y)58IQ! zkHYcOs^Gv=@@3Glg8WZrY+~G>pw4;`Ql6f3z3>L4GOT^(><}2#6wp;3WN_ zTomR0e83CFX0w06I4^_9)zh%_;CBA@5{P&UifSOKK#Gkg;(%^?KUe;-=th4sGuc$@ zg#Omd)bRCMczOESDbY~I-<5Wr=R72)Ac&bu?k>rIQ2m_GkReSk~4;C4^9TP4J;YZLYrvXVFL$U zh5nw3^2`5;iSgeqi*fc=vo;3iMm|!(sDYw69;g7B2I^RwIda@^u>rr9s`?bAB`Ee%Oji- zd2@%r93^=^i;RWJ2x6GSp?_e)yjFPmP7!52VtXjn@U9V%BKpG>GeLb0q+dX@$Tb#l zshN+-09@d$z<(h*?}7yv#e@bcW^s7F&O^^Vye7i5IQ{kLOYD!Va5Ce+0LKJSz0sTh zWexp$YCokFQz>YyBVP||rK>`JM~C|Kpp2-0o43{qmO*JeNiGD`O{@mk^XvV% zUj0|RCmkpiePXxz{K*r*7)+Tk+@mfS_iJHZZ__Ok*1PBbq7nu2f9NpMrS`}zu{{*@ zK2N2uo`j}ct;_)4vU}G2Y^(Q#aq*lVr5&{Ft%mIv8rhR5fLh;YH11%sNWyF?i+$xu zevn{f2;K}Je96EpxH3Ue`TR{7cXoDzlI-XXV-(s z7%>nTDQiWc;tQ~P#cpxf7KqCb`CT*Ik(2q*ww1$o=|##!B#ML@aI`y?O6pICt3i2_ z=1l_8@bp65fR;QtMk%H`!?bP28YhS3uAvk0uh614nsxZOZ#KZ% zhQfItCL74Is^lDDoztM#IE|z?kp@0F_x=Nq%W2K`6Gs8)uz-V&wf-fULqh}wF5E3C7p>1NSWeOhnCQ z(*5mK(}Ubrrf%W^U*re4A-?AuP6H5J1`w(+oB^sS3ePV={mye3>MM$r8&2^5JRmV& z*a*|;q3p3l?1rn2lgKJ%JHkOM;Gkp%`@w)urY_oH^kTY)FBBjV4?eE*)xOv0-dloyr{OtYx{3oCECzxQ ze>d~i>W@1AeqO$&(>qCpT#414TQJX=kK?bD98}?#15OEC^E9qoW(vg+ZEihTbL!nY zgK9p}b-=<^Q_*XD(|=po=$xiJuzA@9xt)-r^U5J_+>wiUQC& zD+!SV3tf+@ezYno0%8~~Y-u4fTZ^9A&B=}q&~Q-%QvyK(q%pToyvsynO797e6% zJmlE1^__2R1AG8nxCA;y19qbs>o*30wA++76J3=cer>E`q0sTwZ@)^0)#-3mHmBj{ zj3;B(z-xWtTka9u6uWWH=Crue6emR;{xzKs5n^$W4Ry{p+3ey)1-ZjVEW@w{n&V%{ z75AEpMouX30hn&qD3mt99uQ%FMbQzSoEOWRNY{cDDcEasZO2dAx1>A;h^*Zz>du_u zC2J}RhviM1GkHD@6`qwTfOsdN!;)rz%&BG1yCOLNrHRN-4vN1LOj|`vp5ac*O{#5S zQ!Vf}md zmIhZO^X94;bEFHmUq))V=Ik)R@M3HOH$qKZr#_SIVnoRoVsyZ z0ng_C~B7D_OZi@-bu19~|Q)HP8esLdk)(?(&7ROi;`Gn%TR7{UAX5#*jM z#c{Fj-QgC~;igBmqqGCy|pEyk2Vt4y(j1Dk^bekCgeigxA<&X5tK-qy8z z0U9_9JN}aQlo)vzI`;gaJob+hZ{+vPC{WK0Ea5|-({84J)kB4OQVs>wU=xpT zUfk8+A{|nN3=mm`i<$fzC41aDpBIDG#;}g>+M)6TC4HAK2z~$-huVLzr$RI^2oO9N zgvw}=9`yD^JHuDJ><7r-U)k~l@NBUP>fiiO1)`Wi*B(9Aj`qN55f z47%*WyAjSqZPZiks1=Na<-lrMgd(($i}mNmjY{s%4bORwed>38VM`w7fq{tBTc zZ7kusjnZn<#-R{hqH(xYhN!DiMc9z(kC8xsGVnsdld`m_^lU8Iw$`{+ld`0j;;Htx z8fR$uC*LHrH!m$2UJV8}8q{!4o7jcmYn!3~xG%-O3p%EzHdePJp@sK+e14>zQ@HqR`~=as$r&jz zTB3d4d~uT94gxoDWC_)FJt}EfiOvGWot!7kaFJgRI-R7P&N^}FFAd{@i?2(&0Ck>!$r0DnVGcX6b#a|E4^+00~h zf2nY`ei*`;`R$t>#;?7ohtjie63Eg_@L8GAe?#W~#_SwaF5vF>A$|)68bAg3D--JW z(gB)mo={5uY7HJK^dNmRy_ul4LVcuRd%>S8eUaFwNUMWCP+V3=&?AZ#- zrAF$5o#;vc$PFrFQwj+F0~hpAMZYdBl8D4vx z9T-vIJ=mYYe0l2G0sh{uo{XgUrBVZtT7KMt?9b(b_k9g{C*e2y9wTU;6ebuojsd&lFGjU*Z=?Xx7KSb{wrG+$Q@9{Lpqe|>EaWI z5-R7xxnx_6*I%m1-5{5()qK$WrDe=wxh1F=Z^ZTU+U0*+-WWaoIsW)lM-f?u!^+pO zB8vEB{Uyq!oan9xhyIX7K2bR>!v@+r%yhvoUh7pcq_%AlCIOpyh_B5OcFgz;>{j&# zc3kb%h=0g8o@Q%|k`%KFEMXDt&q&ncBs^F(mOD2e{Y=q1Y>$1C7B`pC-Ei<+T!L~w zXEl6YGuIy*cJ;nf!>YfS7RcG*+X7+*smTz3z^O>Vi3Gpmycp)Ou)f?3V7Xo*oWDXI zani{1adtgY(v)*qGrFq$tjsj7aY@$vEm^k2VmYYGO- zU*&mjB?w1Y0c9$2);L?2lVVHT5|Q5=laX=f?qUJAZYai|`2mJSj*h<(9A3j`n0ws; zxWiv#lJ+AZEedT;rB+cC!73Hw+e*Dg;OnY3T3~uU{8;9M)C1K#|%M~wlzPz^OOX` zpO5idYqEo<(~&TNo)Ru3GplEBn*Z)81JocOjOuk*k^@K|OGmD!%7fJv(>h~12bj2T z*2arN+%meC5%gONQOqxhYETKd@6-QMH?Ub;GsxVJru3XcB4aGv)ZZ%_h#yF=`{C<( z*d^ol!#(uFM@~CcNi>EcHD)LE5}%6AKwLyil5x*uV>(!1y>3?5iZ2HcOIa=xQU)d^ z2W$B|!fim`v)@g5SJT@A2$Lu<5u%&W5rm{VY6;1>gb)^M#zP#2r%eQLIIK?-^jZSP z$YYqVqdJfmdMenUXZ1ge1zy*Zb$`$Bk^3rym;lMh@1x6AL|Q-*4Kx&C+LF{&+Uj5{ zwTa5pb86vA)WAMCpM0_e-`6t`pkq(Ih!BJaR?hy_U6G&3n8V5BA0jm#zk?fiq`^S! z=3|Q`N!SLSJJU)Ox={|qE}@1nq~=ImT>kvkga z{_wH-+#Kt2z04Y&6XUt8HVg3f8!^V05GLvg>J&w9XM2Xh=j62GlMl;U-#%82G>#^l z(t^?^=M*0bFCP|R%glOIO{r<^T%8X*UpIlkGFT#3W*KAnSLA!ccuXPGES=CX|NJda zQeY}lx){1`+F;ItLA^%M`_5F37u2DxMbe-Y?`a@G?6V-Yb7!On!%2AD@ z7eL_Zjn~*QH{Hqa@$5rhNWbuS;Gc`d?-_Mf7vb4fr$5{LZ%6D z{5LRp?`CWy&)S{7RFQXWGRH8Xn0WF>a77wSymqTkxx-ZLRO>2W?S`EYa;3t>Ug)f8 zByoD`U$#Z^RDim7{!z2PLzpxj4)nwQq)r zbQZ*y**5a_&25UGs&mnY<<;O}o~h}q5^bL_a{wUuvEC8mJEI6{lBNZH`Pvv^K!RoH z(L=4}F}(ym3fM!ZjM`C=suo@-RZMq<7U$dHPjNckJncY1e2{^UO*u~kWIzhOCmPz?Rk8b)TsBxtPv)R($ zqB21Kgy)BQOgAHOyI4U|qu*C6FyJLD%|jvmtM`O7Z-MM*ArjE~Nbln3NXGcw&gAs* zMW=@I24PPB`^_DYU_hh13Cv+0j7!?M?Qf>&OUFuBEYw0c;J=ZbHO`j`6cmCO8WjpR zTS`TbgcB$iT*k)y;Ab8mE-k4w`jqJN5`*Iv-+1E2js{r6fncmpE@C;`bt? zdl7zgad$54!ON0NWE~Mfp?d@=cRMDeKtD~W<@9f0CV&<=l4+(1|L*3~=1w1sbXZLb z6cFxpI`DVLehMI=eDS20cI;qm3sb7C9)6Vd%Ry0nKC6Fy8BAjpHUp{b0Kck++$H^i zzvCzKYjni1^aLx#82a@lCii(wT=FAn>g)Zzhg#RcTF4Q?KX;m_!Q{|*M?Vzq+4bY| z;s<)t^4g)q9z~YdDOtGiKDt3hlJ7=8)a%spZAx+wROWZk1M%-Q+NB@+wY zSCcPKNEtaXsw#W>#2}G*da+i)^q|x4RS6K8afe_|UcXsjsF>lWJeA^KX&QLlNil07 zEHH`n5P#aeVuImrOVmsak~=&uI=?-{UV@FhbY=9I`4DV1x)JK3hdlkUdYD6JAMZ}t zh~YV|3TlV;SEXdS(jU(9LB*(Gb9cZQLeW=viWtj7on2bbN*RwQCsJJ-W5y*zxLb^t zYsCVc@Z}fR-*?$R%l#|V*8dhnwO}d+H!x}2@sb1sVAS|QzibKqS34ps!SP?j2_~U+ z&hRX$sqM%!c%>Am&>zcMXAeJ09G52cJ6wIOlI;ae8bZA1Q-J$Zj>b63w7O>#F%x>pucfAqOD7!V4ZyRuv(y5tTLga)3^m#`yhKS;;dJ=Uf{c@b4F8Gw_lYF(Cgj zUxv=xg0}MJ_>1QNIJwZ}eqh63iTHv9E#pflx@4OhAgewr45*N&Ivem36X~6tcvQ-p6+W z5x7_I24L+Ed>Gc^oTM#Pp9XBVW=zJ$`cHL`aI0VAPp)4|1+*%-bQ$=$*59=qQkDgE zCjPvy?5{boDa{L_?b8`PX!-34@P4?bdQbe+Y728zBnX5`I`(#@1FivdH6?=?|2*u! zYdlHS*L08vKJYI*SpcFB@d+ZcDDA?N1_CA6>;CN_<9cx8lm3*sFmAu}dE9YobPWyu zcKK-FN9}%w2-sNx=vals4ZEgh;_D1r!^%}bFSZx>rp-dZ};C6-W`*0 z`}Pe-y)(K;7c$WH>TifpRVa4-YJi{4l502<|+rTY#>${&a-+5pcFiC8~D(6sx4+{w!!T z=!&_Tw{3weG}vrygF@LcLJdHshcs_WJjp%u@rJk3YNMCR?xX^DTg8%ab!LvqGAuP< zBN&2os&_a@kS1_7<`atN+^AI&vh*sh`K}x=8bDo9nd)_|9>H1U{rr+*KR8Z1S&joQ zyCHYvKvy9-mELq|fEz`^>K=6aqB?Fak8ekDnK;Og)@v{mF6b!{7L!E$zd%=PV{9Dt zbSGH_|0jxK)f=I)BKGbo|J3j0TJ6>874~8f1t+K06s;n1sP0CPv-rJVUMM0oFIa8q z2T~cq!{px-%`A$1`gtv|4vLSM)lNME_>{=he(x^w)ch3~a;MZ=d->D0X|s%F)%C<4 zh~J#>(aY;FIcQWlj%W4LpQ0fXNjO@Y5q$j8s$#xqDY!cinxoT>im9n0`VRiKY+dE+ zawlJQEh?0;7CM=JJ`iti;r@nN;i66Zmd>iA{{26CVc95vj z){gd-+}ne_Y{DH~Uwcq>{(iD>#Hz}i9F#cueT04296?)^Lp5wnuNQ+MPDo!^>MK+0 zM08E%-Fv^+-uFTh7I+=6;&B(^us!h`y5Vz(mCz8QclXj^OQ17u|z~W|a+hH64p)P{LWFsx^YA zWIw=X1TtxT@4rq~Z=zzeBQGu*XgTzb0G={*v+Gz%>HQzAXevLp{6xZ#p?hIwJA6g% zF94g+b={o?=`8+2Z`N${eFtJrmImxW3RSuHlzj7eK@1}Kj8+B&0VfwH{zV@04`O{Z zTun&okyrE6;)U_$r91oOAsIR^MT3gYaN8w;evN>p0FvT3a1+B4M3J7CE5zQ2TA*++ zQ?3pHFi>PEu0xBd$N>Sw+pB5rZNhqS#QTVg-o?Prq&T+t(Y3nTBFwv~kV#%hm=;&gG z*=uE6nj#32P?61WsELk^$C8J5DZw-)=8oWOspLe_0ZmjSox+K6!228(y3%AY`!61O zNKLKQ@NwYtiGi2u6DHg_H5H2Q}UmDRpa<#a&*e|oBqzhu3 z0p>5T{VEI%Wb0w>{J`GX#L|`(40c&ei6V4X1W26y4T5}-_`i{XO*}S4admmoc#qDl zc?u9|KH7J^JVUHt{!gKY!G!bRA({5+!J=-#_{$V>MV9={fZ1BE3dg&EW&eI9Y3(KO z7FF}znq-KP(oGNK_ic=lLF*+vK!+S-eFF_4$qiMOG1mnWwysZO+v4XJ(%^bQD&>;ZsP2P+l_{qA^Ut3Dv0MdS%c z?jA=2kOEwTzB8vAQaAxQGv-I>mMfc~-CXvrB)_piNv{u+Bcb#CU+q^z{A$>qjx2TU17)qR_BBrAkI{;~nZdVKYx z5_FR)u|ouT-nql1Tk+3(l_BqMpDR&Y1;H~}4sDx=_RnUV49Vdn;eWjaz5Wfffqp@n zG(IzUNNG%z7mSrJgx<`WXHdorQ6>*EwJ59QFFGCCE4Sh>uk;BdOXAO0L5W4ql7Wye zO4a@J{Q16D2D&~XI+UH)m%mknRILuMbPn|A3jJX?X$z(mFnq~Bov%{5L6T}Z)f>O& zzER9wL-KUsrQ@P>OB}Mdv_;w&;J^H^8#3798s2-Jvw>R&AL*#*@W7^;K46&0d(vyR zPg~c8x0mCz_XQ|rxA-&hZEYnbj1D`v`XT|`Lf=oqADl8k7ztUgMRj=&(2^F)bUnj? z{wDGwsG<5;uR+Q>pmMYvM8je!q8Wmf#cDa1xISWB?~EW~M^2cQsah zUC#==2PA$Y#|_zJHeJnLb|NDu3i?}e_Qf|MsEGxEpFMa9n*J;1ZS*)i5NEBgJgsUN zBKOwq=32d2vFOXI;%W5g)TVb#NR@cDGoqM*PBqpSZw}tb z`}jSBJK{J<4oJerXSQI~Wl&uq9k*UCG(|^Gg>wx13--iAN4?6MT7D6{jQPbULK+!| ziyn6x3h>}Jc*9xyGnVjBRK8R!>z3=0%)E`-hlGom2ZUZL21e-*{M2Y82PUgj$YTxH zBM$3Cq*ruGX}W##*9&%qq~NRNWB=y4m~JB9gGWx0*wRff)M`X*tF{1~HWLzh`{4DblpS;P%g1D)V9O0J{PSH40OhyGA({Lj~7O+bG zfwRqM4X7+GSH*8wwrYtH;)ZLB*PuDD#tcbXrdE7{Rw-tfj}uSl=p-UEaIawd^1>%$ z&->vnx_HzKZyD1kCn3*dJSS5!HX09J?bHCqNdAy{V!Nc;?XbB6*US{mOqp3i@!b#H z7mTF(7dUQV{d#+ZhRCHp8bsq?`M`Pw>oX>&6*2Q+Wmk2|9zniI{FPeVs*$JJP^&se z`ZpKj{TquBLC`TK&6tv}UrrtbvOb_73peB5?ia9i1k+L;cG(Lb;C>BViVPEfUQmMh`N?!k&cYB)BNGX29>VdotH5jhB%dpEcrh(4pR`?eC-ZRa|NFm#Na z@#M(*6-f)z7*H3>v?@Vh09gIF8=hkAYEfnEqMuVX{M8GY9UZl|hP$|@W~dOjfPwfs zBmbz%ym^yc`2_m7f^^jpmlC<+f)#EIp90jt?5$7l!3HCL@(02n07Wk}@}Ad5##Lo* zgZnA-*vZA3Y*fqRFbO6S=8K#;tt!fJ)4nk{GD2j~f#d+E3;}}w^z%hRr_yUI!TyR# zho9rcV3fM$D2ZKC3qa@ILk--AhoR_Oe+^aS({2`H@%vRYRGO;!A!Ww69$r3X;!Z<0(yEm9x~rZ|jd(>3 zKnZZa4cm0_SDt1)L(?iDckWP(n+P8Ds|Hh_cNz}dt+i2PZ=Zd&{*_T$;P;iRlbk$f z7hTq*+1h*-vyr$h+gqc?%R4RW(DbQ3UCJ=a?G)GV=F(> zlaJO5lAs;t_9_ln;~XdC%x{w_Yqi`9hkiyzZdBqi4wtC`G)@KZl^Pr?Jn0rXUmU>M zG=34q5mrPaYj@3-j_!<-mm=py>*9?Wpop}`JSFIbad{~A9{XKfmBNJI67ADh*o6Z< zgq-uz{e&0cu@7qpDkj#Rgk34o^s-I4`l5>7uV*F^zy(;Ct^m>&Fvb#}#RnO4DV~t~ z0nvbiJ-@}BgF<48{z4`|u8FT*3 z!+d>k1%K9+6FbTk%pOy=fzHgO>Tc!ZJGVAi+xs{o7~NX$gFl#!?CalZw|+F!g#OI; zZ?}`qf!N1E{8mK9-e6zpC&6_WB#q`33OVPIdxmypq%TDf9=SLCO4O(ebV2T-k8(@X z_z1wm5T6Er1(2UfuFT+XtCd~XSJ3{<<%#0D1~)~LHw}~V^40#PD{}$dRD3BNQl8WJ zC;w2aVl_mwamu_9XwrlHQ8wb2-WL0KBWx`OeZXQRY3WLPI|{MX=b#b!@;C7A=Htv< zRfb8YX`*CXZu&VvO>}^IR#{{9bG3Bf`jDi-QC?u5C644hap^QuW`PyZwb< zK@MN&F%BER1_#C$2E!psoFDllt#hLfeCc;oat9g*!{MCA^6#(;a-YhOQFmm=>DQtJZX%MD+8MEA}pa&sTUs z|Aov{o>cX|E@UL-chr zJbjfIv<$yKP&gEc&&@(SAnzifrv2~}_VmGp;sq_Pi}Z~EV*Xw1INL53n*H6eYt62e z(zdtrT#f7yd;AK;<)AclTAyPrK|u7ib6k$zB$S*YeQZR- z-rDo6fBdwX zAeYU#d{L*d?b^e7Rk%`7S~Yo^6mfN43RK+cBPH)ZFxd8DrB@R6`&Y;pZ_3M2U2LOn z60_ol&y>&ejfZx1CSzbVq%$k>rPpDVhG*PRm*IT;}PmU>hftU#6_a zvPgef%U!69Y9Ep184IUdlqlbWo4Vfuq`g*7VJi<*2nGh@zPGjqcw!NYq~l~gfJ$uT z4kB)rBiI@P+lL8%%RoDpT6#2(LrkN3RT_=Yw1#(o>L#3D7+G#WfC3SLAGPfl)ZxPW8wRo|PMw+K)V=rkVtZ}Qqd@lV^eU5XB z>Y2~7f+VbZ#C4_}F#>usN&=X;@`b+gv62>V85L{|ba(2JjL%U~(c(F=14%7o$zC6O z$->ZM`82xiHV;)%p-kuL^b_S6q6^@l)&DY$8@D=(;nCYM8rV16yNmb#(oI(>B;!7i zPyaVFNi@_!NS5Oua5E>7>agQA8#hPaji(SVI|tLe7zk7!|4`!GC{6jZaOSg=pTVb0 zUP}9{<2LP%gnG?u`08Jz$s?3yS5ZFL$b$ak&ym%~-E6 zwj0L;F@udP|KI~H8(#jM^Ay!;<44XegR3~=!dxc1@B%ka-RoPSMK+YJ23V~-ie6gp zChexkl&n}|{%w=7WIEj1c~O24na_S;_t~r=Y=@uCnmezRgJ?4WG0nKtg&vU&O%Una zm~N|Fp*p~77_>8_DJw2#Uh5oj&78n~OzCHdNJBl@ZJuSg?-x?6?9<5VF(5!mo)7Iu zA|v)G|5GS@KM<4KDdcBzZlLyjL$7&yb$-_JmGFbaoMs&oE-{%OXw=d^oj)4`9N+2w zc|G_0dE>mOzJ)(y?8`Y?F)m_6QtZO~!j?U&S_}vWFwS#78g|wnxwO)IljZET)MEK) zu=Lj|76Fc(u9=r3-p^dUJQd3#VqNE6L2fUez_vf;=h%!8f3TAo4f)lfX9_KThRmOB|Xd>qPC&2HM z{?-@1#SNI#$kd-^aXE>O&!2x0rZ@UctgABwKVD|mMcOg;YeTmYJid?L?-@yZ060L$ zzff+nq!~0$N$|5odv4;}YWUGttk)82X;OBFiE~$#A66bwt#tJQalvqP%Vq%k7!9xb zV8vEGQm<}L5jVz;wR_GeP_CKcYcUg#K^%4E#ks@lmMxzQD-$$EH*w$teUviRS`%#4SBnYN5J2%dWH{Te+a>2J5r>dp|#QeU4i_6_r&KeVZb(f06-! zLM5?X=x<=bi^sOEl|G^=NuynPZrsF=54sGzC@Q>7PX1={#zpX&=cA)IS`kuM0_6uN zty!*J@TdOQtRTOd0ALS{V-_R9NV9?`VU-CEgPmu@kj>bxd3x{sLHbvmnjhNZwmKil zB|}zCMF;9j+O~hkI&!~*roxX-WJ!=W{O|b?%5CUz#RPxjC;sf=`-2Fo|0Kj9zZU~u zr?`!A8WVIVQ_Kw;c;?XbevMeTms}FdwJSDVfu{-1SKg4F$HQm#asrL>9Oc{Pyvu<2 z_umAwN7AMQ^UB}+kvMPZXTR0j1}<#H4n8eqfWl;kybn}dhqH9SHfE+(!b9usQ^b~8 z8>iEt{Owz36uV`Gzeu=K2JG09sX04t$ZgzBl)e*wn?o>~;C z%_aEI5h8Y)d)QIBm2I(4T_VS!a|=4DS$y(}h-<>j7{YEiID3vu-1qtqc;wq`NWy8B@M|hGOxkPJ@fd05QijW zpH=i7lN8oS1)H}q>PcxCQX<$qxz-xwFVlviz&bgG{!I+*S}ZMmD~RKzP8+Cln}U|@ z!5OE&es$xU%$B%5WZ}3b43E*X@Xge(E3R`JZ%Mk=@S0PPM080mb)Y-ct^*pKjT|l? z{OEWh#eQaWm=@`Dr0K_i(7W9UO*vDP<-AWF+^us;7Dow=iidqDyD3XkLQMDaQn;8L z1M*hnk?`Diaf1v1I-i`TG4)ONnbCdZZ;RJ)h>RUB_C)Ujz@*L^qKNi-;oykZ*tBrKQwGHwzLfX`_rfa_47msn<}h>q+h`l4#yktn_d zrf`z*;E&%Pw&)ao)g-G)@2Mx5(54j3d0ZZ~BQbpZ_IpP(q7OYYMZQLd*;>1bpa$yR zj>{*4VYM5};vu|7r)Oq_L^2=2j%84?)BVEbz=^#G_@ssmr!(s|EQbC^QECB6YrBv= zhqQz;no$PSNV(ir7EMsZ11z_<`Q`JOsHHS-8xm-I^*^$uc?|b~KnECOpgBa}ia^U) zGmF^!f_9Pp4l`>%AK>7o=VZT(^BZ+YpRCyB89jSW=|=U}ihhjM#Wi!4q}Sc9y2MMD zyI=M#Yk!HesBg1gQ%jl5ILiP=7%Dwv+D_H!DGd`HQd)hd_<=Ho5Bc)@I`A!Ld2RIM ze@&cGXo+CT@oJwPm1uB{^9Z(uYzLD0C!z|;irRtcI!|K7&vObo_mC!kC@9mw>D}XlYs^&xcW4| z?BmtGsUFRzf%ue??3mM~RcJk*Oz6Qu29H*wy6UCtp|C4ODLee?gY}yzlUaV|U!~|r z{W__H>tg^+Qbg#CjYPlB+>#dB^4hce05X#d9)7#^Z1pV zI%|#u`3aH$)VX31?E=C+^xXtyV zk>Q9v6|)E}%VdVmTqZt~Xn2zpez=4* z+3KyO-zi~bPt2ee{a^$QDUkdkF{r#^WyZF^HB z_%iKP@TtC0TF!Ud1-SF$@v_Ary}^P~`iw2cez=!Ke(-7scH?Vi!Q{VSKeY+^#)^XQ z>iMgqL{`Y;ET3`<$u=xJz{jk)-k1BMJ<44W&;vhX8qsNz3}j=OlvN8RZJ-&LZ$$Dz zWbp*89g=1y(|`87Da#k5fiO@ec2!m0S`me6bJwK%Aq2R`5GQ@Ep$ML<10kCGvwTSF zqtT=!15~OI9Xk0GG+rj)dmdJBtUe)PE@DG^TEA1`l!v0+WlR(_b zLJYWR z^s#ICTjoGvXBaC!#jEJw5Eod&@cqt5hC&7qUq!9LF#bIgE(CPSV{FeM)K8ZET0jOp zS3F-#1+w?rud`op5p9I9FB+g$I{QFE*+tp=dB$}};JTA*jf zN%SzAzqTcGNqncj7;N!NqtPaG&o9ixutPZ)gstuu2B3pYJW@BnkBtg2RSll&MY9Jh z!k3eMXR>!?qSKD0>Sgm2je5~Z?<0D21C4wsGD0|YD}%Kyg4dkPxeDPb=Irl}`kU+(WU6D@&~9f0 z(vbaM_DB{N!dfG5TppBjf4<+k>t2Irg9IzRZ-vJ~ZY6+<;a2VF0*9%L;^O~WY?HN$ z6XnTU5FkWDT`2n7b!YL?|0WV&<@id6jq z*WM>Uridtxc6#&|?USffEOlsDiwpycmm~HsqLLvy$Y{NM zVw5G~@8{RbJ(Japo1!X@IXNM7F8v}~PLsP&{l1a+x3-WY8y{e)y3z*sZoWRi>O3$x_ww* zxOX81J`vB+JU4}>IWwyM-C~EuTaX!k4aZ-_R9RGzKXPM)$$89Q*ufd*n*`=$A-k>P z-8=~-HZHm@6B8?WjTKEkEM0~}ofQj6=K2G@MDkEe>haX=Dl39+iF{sO$zKbaV*hGx zi-7am$KDUw)ZKDxBJgS?#Xn^?Hm$_=p=efgrSw0(g)!9M(EBf!;qal1qpP1X$Z1Ip z6BaF8(H_pjMNcCfQv^&|S)P@&aKRR-VyHzuK+OiOA8xbZq6~utr?f;^S?>YC zl~Xd5>t}qq2R-6c2WkUCEhQlv+ree~LpZ_drVJ7%MO+E;v0rKR8KAk-gGsUA(e=i( zhe&7=NM=|H=Z46!WtV2tBC( zZAQ5IC(5f0PJD-Y%_9mzL#N^k5HIvY4HYr$KA-xv#cg&IUU^AD%Yq_|hU>53ZhvLq zAH$E&klU4Q0=NVa7CcLEr;w*)C4$6KoT9&8I8Ku5ag?m{ecKZn)OZRE6zC`kr`3bq zP0u95n8{WBb6JBUW(Ah*9Sj67SeBlWwDn1`2UBowStVEG1rfv^b?Su1(Xfih`X5bKvF$e3 zET87i#V{0DY%$No%w*~5ulC9J53*KrlGxIQ?y72{;~Z24(txVjoDg=uPA4ybje@Oy z>`Kn(du$X;tTbK840TYL=GR`e7MEctC5%}a`7Nx9n-zbJgeXJaEVOT+DzEsawgEN} zW2Gogio4vlnj1Hxm0^5up``-4ajhX(UOd=d*V=<{_HSBZ^;Ta@j4*3>KlyVWg&$l;d)iTuDa8>o99a{8Ysui;Ro#Yr zeciBA*FT>iOb;%=n@awAg60U_O>LuNn-LWZ3$wMcL25Tl;%N7kKP|?HLPHk`e!X9@ z*Q>u$6q9|n2Fe5xQ>)y?swIkP?hjF%DGJNfJghZeuzkUzIR|dtN@&F}&Q^rhhQ+W8)2Ksd$^<%{^I%!(D zF8?k@vke2cXV8OqL~wo(`!J!#1eTrLzsCNR|LCS((>a4JV}?GLPo^IC#VP5g8atGz z;e)9v2`b04M>fy73F#qCyt3rQQRksHwqt-=^rUHI8;}#^z7FLyl8?)@Vsiw8D?KGg zEcdkeL*j>Wg_oj(p9huddGs49RFC6MG00IXf=JT6H!88ly9v$fxu>gK;*2^CveS6lC3h zQtyJm4f=Ya8T3b{%J&@#6!Rr9^tz&1#SN+_ztu zH?tIk;Mzd{msxZCe%gC-v;B;|zpu6<0TR4Wq^rtYgj~&sbN{Cy1jo14@Q}@N7hAAyd<_)ougiJxzC->MG27jzxEjyX zvv1y9v4Q8d@M;nv{41UgmEoRB_6BPDR4Hhv#L(ZE-|ScB=TKM?@NVwz#^A^X-@Go_ z0zXN%lvsoM)@&kbpA6k!eBlFnwC%hKy^MM{bMkrKRF^U?Pkf^Xq&4`U*tgwID-SRHUwEDlY ze5%cyFJ%cQBbSS5V0hb+?QV3=H?w`QBVsC+d1=Om;dUHFDD7zqGg_i+SXF>Yt!Z%h#eOjtTQ|7Dhbuzh)aRYBlvC`=zn_ z>6$q6LBr}iiH$9wHXj4QCQnsxSs5oExF?1pM@QPZ&%!%thJK!{@n#M)d@A_C{tiNt z+c-U@NK-!o)IIOccUxO?LZG&__|J`X5L1R_GO%Bm`K)7eJR=QR{*Q`yB53XHC&7nX(A_b7<-3Gx+3GC z>ugWqq)FeWSI5COB@f#zyJ$&n06yxtLSSB5C6SLm9gNMVq?g#&B7A=t0pi!}DN<6j zIuF@;-3|~4eAd}sXOlVfC|S8Rd#qksr&U-GloVN3_JUw7R^$zl_w(i+IctZ}9)4iF zFL^b$4LoJw_a}aR1Q(m4m#mgLR}?=%{=6pw9b~jkMv)K-h%$*n3vAb{YG+k6ZknJJ z)F%T9k4t3S(P!I`hsn?pqKnvM8s}JOtm9t~@zdH1<)IvlX|bn7m6gJ8SOQpMP-U7u zx+vf3)n5QVVL~^`C=>tOAi;OuP21yss`4mtGkzDnpI>-wL!#~iT8HiI5wD;HLi~6Q!p>N&luQj3oNVc@5&9I}PNBjm<@(<@f#D5USPkr4;~v zV4OF{cFAP|2T%F9D!B*ZV2cI+4FeJ8uVY&Nh~i_s`*Pb7`j$|L95tC>_dRPvPz~FU z5Vt=el$^aFf4#^F-B)sP_Jzlatb1uTgyyfHDB!#^lTiEtG=Db2AhsgFi^0!9IBwA4 ztKMbdc>aPlikwG!=ypi8!)n|ie;M13qiYpE5dEi0L+_-m`L%cbF~9oiq!BhU@ zz?#NJ-NQ2*UnT?-;D)K9vJxmu}6n;TVB7Hf%z>JWw0a@MrF} z6K1G0-gF*c@BK&o?aJR_NVtdE?h<0$h?Pkf~gKP)nWmlm2b>8?MR=c zT-2NeDa%JjeKE(o(4$Yaq8y3-_>{inzsKG0)`9bJ=dQpogj@Ax;hSJT>e%^sO6y8~ zJd)yKgV(k9=pWgK|9np|&sc^Rg>p2@BMc3FFAM5dv8D3;JamAzMzDvJcSlWiA4DVO3`%FJ&w4Q;zH}B;H%0_TAoUi8}11afgD%n%Kgjcn@9Tqjxv16f`wm zr82oqazM?`;mT$Hwt8R{vAm0}{JWiY{^(;pV!xT}ZHtc*l2z_|f$0RIk-o7@xZf#e zr7~Ki=0(p|o+%p80-Dufu$+w|=racU@~NuGX2iK4lT0JQ;1(x*J@LltBAOtBZ~^;n zCz8nFif2RamumnNa#y_^h*UWb6Mtg=VcY`=T~-4_ZdgveJpS0JWLkgO^66rAPLT<| zn+3w}BqLDHHqdWt`kM;e7K2NukU2S1k+=}YF!H^7rnr$Ku?%lTkCc)FwVO&GFk!xu)i{)pQi-S?J1jmlYVnp#LtSAFd zvR-~Vg>V7%N&&a~&KqyXpReILoAg_M)(9nIHmA^4* z{OS^V$1qjxC`(^ri>Y8n_e&Vo?IHEv--h&yTd7y_x$%TB?(4SU!-UU%UgSRxS4Fih zT=W2MN^t(oShKb2jMcJ>7uWkjB-e9fLfo@YyLr%sKY@L+uxsyXBKqd%{iNB_TatQS zvP><`7x{ksi>|T3yJf|O^ZIDwZdrF((Oq$BgWslgfb+Pskp@{*^HE=ab!ReMh;^qc z9&Gt9&HJUQ&|qB0VdL8mV!pM{JaO~K=GwIH@$l=C>d`4yMq}MAQiOHhRUpPxlVbTp z?Xmu;^PAm0a6&%YT<=WQuM_fliA8EdiOhPEbuZQyQ4(H{d355x<=KmUcpQhWpjD%s zy~U*o`qNRU@a0xW5X=8%Hz{^Cq_yjEK1e>`#@`5Sx{|oUTQ6w)k$ytPj7NoaB)W$!eEBC9li&Jgr&?;&DJ5#&sV&) zK9#Wx(khe3Pi4a^BEzuB!)@GVEmk9$88U-S0|(j~eDnY=Cf~LCmkI%-wwrC8w4uqs z^^4RE>H)NIpTpK7gan0@ULmP2r0%IH(r49)9e85nc^GE21Cnj0n;}uqMu26TUPE~J z;DoGKhb3rDSvo}6+F3qxL(fU4{aj`G8r`;s5z*yL5JWPS#89w9&m04GP5<`-Hv6x0 zRyHqQjhCefU6MgnuT>V+#vFMdX`MD@}>=rUua5K>bnz9Orn+G zUm5?KXZKf{0B$0#cj)j;rW%(ho2HxbaREb+m&60o)o>rVan#g5cpiWx#3_WTHOXd% z6_Ma?ZYciLHTU6rNe>6o0CBb^uRB>3%z$4#oFLDEME zxcs5VW6b0{90kjJ93n}blTu5+FykBx8oOlz28Z6W~`fHUt=$z0dI+j zyn%?B5=>%A980w^qeHRZ?R!Ikhz8v#)|=aB?H7CT&~{I4b+UXL-JQatc7r5-1OzM= zPNJXbY5dFV;aLnm-_|M4r`qm3?%bEoQK3u_Q&kva^(8xpa>F53zcB+yFg-DD8<9B@ zf1#@!s1@it7KlAn)s?1AA@3~FO4B_jOW+f)MlD{Md zRKpJlVH<7~XK-Cc83wJ@zr@HxBZk7qOXQ<*bz=s20}A&^2mU#YcF0ajb_^Rz#?j%L z$*5?K&hcMsQ0B+BW<){R{XI1YCX?i*N^Rg&_=`Wt(%maK z;XbVUe*?(CsuLz4lXa=&5Uh5z?6LguQ~Fi&u`q!WG&oKFFAGGt*acXd-l1NiVd5d` zu?VRw@;;QJ-wv5u=`; zJDls0njq46p7h@YhQm+s=gXG2JMG>#>o``BXhc_emB>Q?bz)UoT5hVbzm7Tug`9sg zR~N~Y1hHy2Lka~Bbc_eCkK2JZ3Spj_TSH{*{?|f=ChTpI!SvFl;d}|1EXZ8BGJck` zr)l!Tk*#lO&D>K)?l}uEByd0z$LdaTAX89t&w?YMkVv*~8EHMQ$iq7D46x!k}0<4*=$(?^Gcd&w114H z!ajBOy67{6H)8Op&=5J-lJQ9KF)IFLy?;T=txe(Z^_R^wmJ$lA*Vw4tUxRE3fRuV` z*u>~)k?Z2bn(!p1C7>D_eN_4SyW>|Pw4D?pe(-q9i3aoG z&{N47sc>Ai^H800SLm5A(9$CTqplw)H_q;9sb-?Dc${kYw#KIS*UtiJCvrAM=r~@R z^l#~97=W7Nvb@gurdK)g{-f<=b4e$gs0^ET#9@&sC1z*rXHTe(oQbfWTIX&}MtyDySfk+1p)GM)QfZG!$I5rkD9OyI3+LFgNlo2b z<6vLcbM;&L(UA&pz%`YUxG)u9r7WsNfa}Kn)$J%6JgGi_a4T>m1rUk@Liu&i$ynSl z$WKNy`*}-Ka0;|6wzV@TndpE6NNdI}pyM_83J9)QJSL;V7qX=9_6(Gi+gz^<^XK{AJ6iziqwVA6M>KT$F>gC8T9Nl$B*aq)bgk5ozx7P)xaWa3kVX^lV9KAT@2 zotQr}I>jc^q*07GB)ks71&uNKtq&+^Anh@I&Rn31^T#j}Y8dxe68)y@mm8U+pW!_O zR;bqY`V-?IE=c=&bMUNLvKh6HufKZ90n$&JAs5XVwj8a1b^wYqu74dycpsl>wfk1e zudYlGa1l^@Q;+aE@JNpRxR5&~%h=2sAjSbQNn|xJ&(Z1Q^9h0*o0L$*!F?qyML%B& z4PJh&BhhGjXiE(DyEIR*jw4Z7UeOUyr{$NGq%RP5L@a`n$yvwHVF3p@)6$_9AYLK z7e4>8cN}6GkbbwPcHr)N%PuDLF2rf}$j5Idi~VPZN7~sW=Gz7;T{6SRC_iZG8}4&k ziFc`vJj~DSLR)x5mDm=;0zewvgnM@p`Z~FT_B7lldN264&ILpj57}k|)j$vMYL5EV zJ7UW1yzh^c_PM~Vj;ZRmTL-5ej@n%i!Zuu`*{Cnp1tS;h ztR3mAenG2;nHEO)$S~p2Hz%IPIma3DJEjz|uauhZnx4VTT@XyaRsw}t=$q;c9d-iw zL}6oec?8WtpcW!on%LASa1*Nj~+8u-U9w)c7e zLQ_uc^E@!!Q6Etsr8KU_$X2T0b?vmYVjZUxn(t2qgV<(W)H8RcLZNI2`OkL!>38^c z|5eA58c*T;f`_jk56skxcIX@n>^1FNF{L^fMyrEkU)Oy{Y@zXBF*ws-W(q?zV{SJp z+Zjj*bVXCm>X&(yGfX4HhhdLYA%A33ubC4-XPvVIhSwndxyfqnZZI&Dg}1!%HXi#! z0p)wxHlmGye?BPMxgo~hK45Dx=aToMy6FnT`hmP%^{2U*F%!XwQL+tQuE$q_WdmSv;N?Pklh#72))#;bXgXL z?m_%$qq#MHR`OW=k;)#6RWV0&dJ;b!!BDo|^F5W&Q#!XFZ63D~>QwISnoA5XD(If>cI)a8=WjW9 zs*J_XA0#s2$3~S6o%%s`+#*ns-3? zk^V-7dSEPVO1O#d7g|wargl2h?;+_@pwO?kLU+0G03_vx@u_BAEVFQ!lv7GS|MDzZ zzm~QXqontV3l87?RRa`|vW$rqKQ;7w6zcNT1eR?x(5@)rvq&6~?R6{%3qn=fgc$)g zSdxj_H`6L-d0#N{vf$j*a!e<`>uQ7;`$J8J6J8@TX>?>JLZ4zRmyi+9^+@@M#|*!O z2RLh@cnHqCX^D!bA9Irre-b3VOCRVnR^G!#nUc=*i1K28^j(jDIw_$OaP;TD!1u#e zq+~ZtC?Ph7GJK`Hj$+_vkYd#GYGCEm{eJuduACxcp3y|q*RjfXS*gGSn#l)BqhFo{ zDsaCiS}Dzmwdyqtjq5cU<^R_rvtLMkH}QgW`|E{JU()iwN`aQgLO;WIPSTI%LA{gb z^Pkq=(&yjURIAFO+TbPqoF10cIQ_2~a*^aZDc!~bm)sa)8`U=OEumfSJG+BrDkj1? z6&3>#6ogW29e$72mrTPnenw3vT3S^*AMIQ?=yMwbxRqgn+w%iooh$A}oO1t$CAa{y zc$tx+54Vb;2ZVEw($2M8^Vlc)*f{RnF@}x@qsu?$w*u~H_NH^TC98R1LfG6^^jp+#oy@oVk0k>u#ub=(OSEsjSAz1x`$N6C>(MkkQ_SEUtN6Q zWKnko4G;sR%on~s-^Bao=xc;1*YE#CQxMzPgHAPUwUC13Uyd;F~#baRM;F^+00Z|7lxT zMxX|{{^itRzV{N1@?*?zH5gua$FG*vC&rwa<%4671n$Af65n6R|a7=A*0g<6LVsWK?eg`oDQAi%+@)g5_XNwSH*H6+^$9niIR8?l}*Xm+>>;-J)NfX@`KW_?}I@=#ywCwg(Bb$E>*r=Du<_B=PdJpBEa z`T@Do3GGP!9UtFM`f2omJh)ai;WhK!>EJY*xBelKmv76^zl;A@+xe{hGkzls+RHgt zOY?_klU&FWD|HZ!|25245^O6hWboXVQ+z9+%3+_L*7l6@`qzKz?`d}(wkl<9;iq+b zDaZYFw438Fjw;))R_Hk+L&PP+3gaJrBT5CwvmdeN{DJMQ$pM=a4>SJdDi^$&e4; zFN~8>(_dQ6W1P0caCV9#9`QGPSJ1LQ>^`Zb--WIu{K7eCem!?4e08+=3aZgQDH=4= zTo&WeO&JS;^~96-WfV8-=wcJBNxO4l&Jj3S`hnfva@}Fuv**TLngzeme;Hi{A`i=L zi{B8Y9<_uy#FOe5x!=VPB;vCuz-s#$C*{&Xemn$$Z{J?sT~O(>#AJ&~6VQ#A`=<0A zv`=e#O@RVlR(O@u@8&5>DMN0?1RqOGf)m27*23r!cy($Yc#@rAt`!XZ=vz`nsZYFptD5L1+FHb%zD6AY@PfC2mszj;y z@+M)@c}A{k%NM%9eJ`K|Fg`z|y-V;av0Kc|9?xhY(c_^iz`4KtaH$e~xK=h1>jIfNYZ;$N3Wax#RL_;2<`n_LJjN)$4yzR6AY(lb#}QZt7B(-oPBXj z?Q5~Kr?1**g4B;ge=HNH%4DXRRw2@p@aO)c;*u?`aa1Lg@#!b4(Jf-Eqx3^`|1%!k zmsg5e@2n(|nKYOio2|S~b@;2ks13)KnAHzyJxU)dz2EEjlZki>$N@0~U6Mit@ zQ@vPG=WB98wC4ioSg*ATkzXMTFkGq2)9^Cg;Ixw>hV1U@jbG6733oW$NcWmgurh*1 z<3Dohjt@FOokjj+hhET_yYh{91pf>E4PUrKqc~W(d{Px8$^!6IiW<;dJ*Di(OXKNE zw48gsMH55co8_q-rBtP~W;$BdrbS}*vXKGiYo@bgcB&E3^f*!ry|!5{ZikG3%Rdv* z73$KFrLHlFvbv@t?lAH_q-crRwKyxxlTs&8$c3#&&-)-I4rU{zxD_wpUbM}=UiztH z(e@SFB9uVt&N8atdZ|I4iSvvf1B+sM8o6u2f2Y|vInMKr?;H?K>T83$tl(1oB%Xhy z*D?>z;}8s}e&r9%)bZXle;Xo`llU$FS*|!5+Va~Bda2e5NjMB9hYGpz2a#@4)R|dh|<5hNI zlh8y=Z`1Ei{`LH`{>F$yf%J{6-tuf!1q3_`u(VipT2z@5jKtaT5SJ##E2zY2R(3|r zzofLOU)THfTJuhC?pHwIe$&(O8Ta{Vk+MHFRC9Y1>2oD6tgQ73ssXrTb=SXHPwcPy z6DoYh(rTXu;t>xie+?ez8I=DY=TndYLsAUP;H8%E01~jN++Nf{X`%*ScUp-72urd} z!tf&RiP*OfVq16XF5>we?$^IEde`>v;cu!5CmXs;+v8o-9|EjE1Jz&A%=A_T902yn zQTJ&o^}w>3{epkRFKO&rwP#>{o@NP&DLlG0^!&5s&_X7zq+ikt3FUeuencHf?3dZN z)pk@n1+QzZ0}8XO-{GV{j}z#0%S!rRi#7M-HnJt*WVLy2A-ccj@K(65qH35qP5Mg5 zQ$Qsy%kkp&{#j#fzZcrTtc>TDx6R8~6_>#FkC|fVX}2FMR2ya9ltW z>Z=0SiK_5hrvQkN{2O=gCE{_gXFFF`TTR&^i`~vb+OJ)0ElkBN5IAuKM}}7N_udlm z;Bz3{a2G6Tz5Jt`|E3sW&tFn@*U!%y(zn=E-KK=l&0bTo16AKir(C-ZZH{JjiNqcs z@6RHuRrUeW_QcB4Q<~s=sBo|)8+U#e32(wwx!HI)lYtG@pp|ARr{xe&WAe}7U~JJ$2TNASVoNk2cx1yEF_^* zV0Zl39YgFvU+iq4aAgK`wM2G*tP$~w@!nCb;*=3jq8f$vXovW&)O=e<^_+u!JJ|dM zdzrstV1#X@u^r&RlIJUu6L}_{MOZdl;(3h|SfHvw)I_$_>C?S_eEHgCn#9Y^o2^9n z^!~hgcRLmDXhXys|2{vsrvjV}D*1*$B zgGEhFJt%3B_A5?%`vJ(fERE=-YHIMkcqNzn7*B`6C?BRvxWEv}`0~ZYBoz+7{FVJR zr^KE8AA?=n+k3#$a2BW2>CPgwJ9N`SFmM@~3NV@<(&ML>4XC%T*Z0`&xk<(c?tS?9 zY(5dnW|2{B;$N+}X@`cPU|l$-MaEY(T5v5cwR*RTZCig~*<41!Uc?r+fulnd{!0JG zI?jZkTVjRBVht(2nz^uL@?mnOT=k(N-C(@6h-f}Ww}jbhGqA|L3+i9(9Vv_i2h+(yE<6Tw93fl6tX7fFMG0+^l>`a zpCDmC0-OKzysyvYcmwWp6yNudjv!kCr0cL6d-iubBe&YYAX?6H{Op{Sn)``M3kcNr zv`a=c_8`Ca4L`)BUFaPRJjGSP55>WtKEaY+1_dhqS>{DfHt3Jf{tcyA8=%h`bdb3y z+9A$ghR}SBuIY_km%V-%;PUlW<0*{MhZl&}>0V0GnE?uQ0%-wQ{{m!3Y()02g*HwB zu-6MV?a8Px9x&mWw1un9P zZvB)vYK{edtfp1rFAG!hkTd-&UV$=LH|cD$w3{ZFLU!5?wlQLtX_TsyyVhy5{{aS1Rs`*+;$+U;r-qBkBO^DqJ z@ag;hO?lrbHUI-;?Tp-^k*_;ICWJO!m8cFNCAD~dgG>ZD>9Y(6%EeRsPEPwFJk2Er zLsplxpV_fFc}|d1&U%Hw2Lgdh-BcwuNSWJbm$8)8F1)8*e+zzl93A`)w#jW}-JPKI zbnB`l6u4j24qPhaNYx>C|AnQS|MDju<@-Ub%3bCm=#OGN10;$8zz+UrN9kRK2uUuO z{IpU=h4JrD>#v)Ptn`J={%bV8_a<^S3}sDN?;no&d=^yT$}fI07=(<2JOf}-xrT#m zb&X;HKrdItHmW^Fo2@t+Fafo!&PDu$A3!+oe*F2a&v%?PpLT+!C@kZ$`eVh$3fdqmO+k?Jd=!GQ9x~l~m zjU0%IgMYseSXrVj>ErI=ZIB+)%<1-b2}DkwKs&1Lc2BZ|XG)9Hm&&+26sIC^GjE6s7VzD9avhz_`i#uiGlf|u0qRjo zOVN3cOyxiiMZA1KEPS9>&w;hPO|OW0vWv6RITbW#xp50;lwpC<4yQpAc=2jTB11gKW z9@Gf$?a#rp@+D_7|JRa_H6Y(j2Fhn-X%`=nwN<_01fOSs=mt7Evnq9QDp0v-Qe{Z2 zp3VRJ-%xeTP$+#whV&+&?@x=kk4H(AV@Xs)Ve$p9x#4kI)r%tgW76LbW=DWG!t%h) zYK@Dm43?ifwfF1gwVtmpe0HqBXorng=GYwsj0}l44pK+6z7P!J9;Dk3{3#cm!(0~2 zsVlE?@GMq4%f8PgFhXBH)zWb4?Dl)p5+8#DNMrX1tGAlu`q`R zL;ewnJ(oWd&i{7i4^;$C#%@O|oD;=)sr7|78zFuN?Uo;Nlk4^Q=}cUGAtDA z6BVOs{F_gnaR?8y0cy_)CKpS(d&M8~5kzbayvz{@6sa8d{vA|<#PBe_Xd{rwb98X@ zi|P*wGJys4Z}ciesuAc7JI(J6J^a`_f(GR?B9)h%I}?Y=W7B(`hVXe=uRy0~%_Z!-X@_Tv{c#xny|~H%bq#5xQpcnixBzeU7hM7;o(w$ILgKjZA&g9yWsN2)haW3!lqrfWf;A>r`7(T$hKdQ_3*p2NpvQl z@~Nti^S31h#G~Scsy-ayLOEx<=YC&A7@9~-kSJnHuuS%xXDie??#3xT>Zwd$N=g`Z zi#|2O0oWgZklL-BwjK@C=hMFoi-lK#EEB!7>D**z4v#ZNjUxpM!ArpnwV=NV%j&{W zc_nX*sMjYGhHnAAkxv&a#Q;nWauG~4dk>5-yLl_jxhd`euTy<=IHZboF#!8;qYtTF zVoDPhF63%X024Zw?n>r8)Khvt#7AF5=Yv6ibEkH18?0n%mdb=x()rs;WGz=-nkxx9 z%sed1ykA+$+%jcUdY?zFvbBC|mnL3_S>*Y&`W*Bauwq z%K^zbR9v~?T1a~Ga4Nc`F0SA6oc^`9D0`!8yk2Z}T!$CFS~iye!S)w0f(Pm);Wx>y z!|-2SP46U3A)Oox$!3ShI}r@z%d)2i3=`SkNDgA8I!a!T4YCs|8vQD`vmrLlHE5xL zrN?~;Ha*IW!Wd=vh zBl!Hx#uEJ@bsmq*#NeFp3@_2#tXxMcfNCs|oXUt}4~t-lQM~2JQFwlvTI1CQs-epn zFIk19!Bd4+EJ?F>y5?g4DW=gRCdHSJw-jb!?Rib8?omydKIAWoaC~81`LYRP-h=Xo zS&%$jV@P3toe!QL1USp&gg&8hA=g&)mYL3>p+`csumcXj-Vot~HH&gArTBDy)@tQ$ z^Y3E;-_0^DN=#_#bZJUU!(}8~&t!%DOCI*U!H3{;#@rS^qc&u?jS>E4`=aY_N?7~i zc{jaeHcXO%5zUs`aw6`TW0pSZg(BLA4QwgW!<6H9UE;ErRR zN_UWZwo@@J&o@}0vG_|;u^-i*Q!q1ptG-?9JHqvF45=W%u~(9IP-A&Y=|#3-45)KRGhi4)NlHX2qVZAAZtgmkV%^q|g|g?Djf8@L0fS%>NShyp(E z5gj}DH5Siju08EjjLoSYw-|&4RA1>=pkKyWW6o(5iNXSu1~|IvAsJSJLCSxkKx9vH zb#OrZ-!iB;Oa~X`(+E#sWIISN)s~Q+@OsXOjc;idxswuWD;&cM@x1b0%c?`<6{*dv1tlk*I*wb;Px7sZl(iQf=G-O56; z-7jxZjXseN38;sV2y&H6>-8y{zhGg&<;V9pngWSHQfA1p=U4~L9-gY{iP18op3R0q z;QzG`zVOg9=_yCs&bs{MuSFI6S8uHM1a=>m+lrC0No8>U$pX^eQ7}V{v<8S6SO#|f zo=kVNS@H{*Ge@1RMVho5fA9I*;wgN5rc>l7 zc{v_#h&=0-%@toT*_5tqyC#ADOwuWEXT<#}KclAvjgadlH+Ey=uixlBUD#y7?#;Hl zrI*Wi*h%It$+WBoK-zOvVBX^@NtjhY_Bnz|k8tr@J7V*dXJh~`7LxF-brQjFqB-Lu zYF(E2H&FX|2J5ebJr;?@$eMsaCZzZ;)s6kQd{aON*0@$m)a*uNG`QZ7l!G_bxaFIo z=MP1!NLc#VmHjqH!myu_72*j#UJEw+Ye*@8FhtlmNbk_Fq4n#x-BHr#K0`1iV)WHg zyf|ER-q$>aN)jax+PCpP+XE&8d4EF6sR2i9x1FizbJC{g{QD&P`FRsY2JcBE z%6c=*R`x>k7HDl;-cM(O&%6jeFSF`K6Kh^*tju1Rt1TWiI9mTWJFeNz4tgs_e$ig(~l zHpoUL8A>El4hX0Oq&W!((mFH*GxH;COq|=+MgN>`V2#5t|?NHVHN$#FDLTAwl^M ziT@>%|Jpt=-R4^4{&_$Z83=!M&bABDkr(m%7Ye36<~%++}ZKs5tzXDNrO^CibTG z+KmI75cjMa_=;q13kzi73V9)wbF(ZM^316Oe5~zLFDflUT`EPysnp|x*2!$=hig_7 z6$jkYJn+PUzU+sZxOvT^=|KF=;+}nKD%Z_azTcjh6q3OP#jXRIh;L+{=kE{5g`_7W z_kE0-$8qI&WU)cKDpQ-ZDtq`r*u4)RG>OX)qGPt1+FsnTW;LF9T-z*`B#lG9BE9 z@Rz-LpP{wWTkgTp~q+h@A?neWLdpUTf> zPk2Nm>$j}4Nh&PA*Na8+NzXjnmtdS>VsB_w+`_aY2j`gm9M1BNtj;M@VlSpsBp`ZS$}m2w{BL`}n)=}q_WbI~sSXNG2h zEnu~&u-cy=Vw2e1Y?b#0Qow%PVujO1dAc3;H*ud^Yc#r0iifuz0fv8{B)=h%j7L;) zI-K{?SD{~B-j0Q}!dzCPpF+G!XhdPmxJ-fvI<76|y}06KWOVqvkz+YtWez|*Yd~z5 z^0$YINL8U<3X1takAXN*U8m(*(~n5s)Jk{ey8S#yj1pcDl_x-j=+c%XytjA>bKnbm zK@rejIu^V|4}0H=SYrdq6ko%yjKo+SmDJ@xz3)aIBCPLt2fl{}n_~37+0F_R{v+k(*X*;`CS)xaNwjRO0#_jB_>!;oWxTaE`ZYlii;5Uqvf)tW zLR;b-zJGqF)=*jw@mSNaZy#Hx1nB)cAI~fjH zY!5?n^E5qY)2YhwH?p}HyErtnd%19OOCRXnzW6OR(_A4N((E#I@Gx>8UVW<+KqXai`m0Tue+IkKg{v<{np;AHHf z=WpK+d{oiO98WY9%2I9VOX8wSxxdF7=IL4g&p|1;_1N zmv$SbUvbSX6jm{R({!_L_;Cvn+qR>dOTLw_WiGwsx|NLYGTOBQdZ=Q4!Pjb~u`uE<@7HM5v!eqE zjz-wqh^D}a%fr?~{PtJ~!!{YLYfO$COmr?po5c|EQG!lR6GvwL48V99ho-*9@5Rs1 zi79G^vOp07Na8+<64G80FU*gaIy~{^29kZe74cd}Ze0V-$E>oqj@B=yFcj8InEtOI z3V8Ly?I!Xx#I0_VZ*2daG3ZPbSgpemGKDLR8$6N9T#5FJ<5Cd6h^Xdw`uzaZj`rZEk&1LD=zjV@`7@kS=Ta}aE0J>(};kc+d z&3%HT8N1m2QXl+av-`erI_Xww`|mV2_5+oLbu&on`yPo8afAhXwtXxW?2r;vtfhnb zt3+A-Om{!?CXe+L_?=~+_nAq&D|79mD)S1>j4cNwKYyK)uXd{4pYC_gS{Jnq9h|NW z=rdd1rX@<4T;MRioVUyINVYQ+;Ga!fh+n4vsw~~7(iX2_fD^_-zkihw*L~8_&Qop+ z*oaWML25kWf*yA*)Gm2X_>eE&9nw2^ErpjYf0@3Wt1Io5?B+-HI|C3KN!Ud4$I}mvM3)W zVVD`3m@Bb0*)6EqY*0&hDuFDudp>LE=-9km(&t25>+!nab^zWlA)Dc0z}}l6`sWgn zgx?_^lu_8Yas~3rFLOwi+ZRixSKUaT&*el<`>=I8l^duB5y zklS7j=v*UgI&GV4NK!TsSeCslJFb!;Dma~}-1hwIq*6y|pY!wO*j!}Dtv=#w0+!(j z)!+}ORtRf0RWR~F9(k>qlCdkaRU+V*;BJ!m^H0*rXq?(l>b;o1CSujjs6qSIP*Kv5 z1U$CIFgjAAZ{Ng!``q7vQ;t_A_xi7<_=h?7tkz=|+-TlJGDhUM)M10Tbl7h*Pv-XM z%JZ$z%_7XFbHdJaOVJ$8cVpfa^*8kLoR787^!RwQIcf#N25tVcLgg&er|;4#AHT$vFvw?w_bX=vhqhL6{bwo7ZZ13l%D~j!W5P=% z^GG#{{`WgcQ5YVFMev0EjwR}UG4hS@CO2;@@GG7RE-^W03&JDp{A;N}R3tSYtpxX` z`BccH-%vtBzB|YZ#f5*f^Tqko2BNvsl*lXgBU5XLN*F`EV=QJH6QmZ>a42uk-+Qz( zynT;^1q1yAlaQ@ZzqW5i3x@fG!UB|23SWXa58bull4 zLC$C*z#>4FvPPH$dM6?!Q`=^#!Fz~HR~M8CrQG4lEL?Oj0+~pNt3Z52Np(UeJ6zq~ z!j*|W{i43+*C?}UoT1S)M|Q7(CKPr$qmdH%Mf-;5sG!hCos#h$Bn$pVR{$V%x_20b zP)>kH5D*cjWUblxg3Pk=BM0h~JzEbuScQjSeG*@9B=h}s0n!=Y1IADL_)%r(yGomb z92_M*lUx%KQp6~3AmX-58d6I{s`YodOs|Gzs^0Wtg;ox|&Dp-s#rJ-08HbHS;zt6g zNNN{XfQ$Da#%r4=Dg`pg+T+3$H^>7WIj04ZNIKRl%bV>q$czWX^SctG(>~Ag`>9dU z1neegib|L+2sl@T%)rDriU@{X#` zvXkOxbXK?F{snvITZH?GLWFOCEe=iLJ4;np&OmkW(}0vU11k zE;CEoazJ>{uN#(CIEm4A^6Xx(R5@aHYCzGk%cB6I+n0q|`o}D@JH(eLvMKCjjw`Pg z+A%Oi1HN<|Vf!MNh?--yDT)I3eqN^a0uVa|tv$*x<39vrRiL!tpyp@!7p&PyH;cWR zLg-Oq2CzC#4&7G))zK3K{s%m0GlXRv z9S86GfSu*6W;`c$tm!FAPf!CW(y4ztdX50~AVfq{$geA_DkSZ#+A#~br%L(Wr@&nRH3cT%7%ad$Ko3o-57p(V53pNjG z@HK%g!Oq?zx3)9e>ERKmc4_K0uF5m@<)6X=#5 zqnku>@Wiu*#wiI0Uy|((WW;w*_ojT-VmXpCp3)||Ap{xRW|p&YYW+cCZI7cWGu8GZ zSToW#OQ@QHqfhtAWoFUF@Nj@q7Lw#M<)iYXr@f-1DEKIb~i8SB( zTU5e6Tkzy}R>2@j<`L3-nfZY2j1+W!Rcy=wS|EaxJi&eT$Lt$pQ+{szAv92jp&1>S zncd>wW60)JL9+rIGB(GszL}}5(O1he=*>Cg_+cemdE3`}ZNZ=YHs?;%;n$%WQ(99K zz^FSMf%p#IcFPUB`>|COY%Pi`cP{(SW(A$sCQ^X~;E>_mM}?Z*fnG;4uxiU(}Y(YTN6|0Qr@d(nd=8hDn>(8RPqW_Z`opiE!t;%)sZa{8Mx^4`D=-=*+2CkL zRjNdwusxn>Kbe84{{~$0=*DXXHCtx|TU37Eps`E%63gi9!a@l5n20O;L!p1Qa6%2H z2ntkflDS*v5WpuK?XUJR?HUZ=Geb8)N7VrT^{PjhB1f^cdTrZRPQmh z%n5wHDx|~oH=ZBHHSuXMwbkPE~>` zgFyaK{NN*f?O8xz_#pF^d6qC#rs@7$M+R;B4EfvPdrC)4=v2x?7!ZcZ#hi#s}=OICq zz?0*nx2$J)`yxk61bKS;z25bs;uLJd~_A*i|V)h*>mDKX=Cb@P5pnADgHhzT5(^2gCq zE-)vN9ifY3n<>#51eowkc6AtN!CsfTCB65e@7Gwz;w&Mv+RVm!_pA8Vh>%PaC0vy;1Uy z3ZGbM5Y|M|MaTYaT&OP#lH5=8x#}^TYLO4$SSq$aEPRIip5IJ0u<`^Y%0Frh)2GtruLnBnVwD<(4UqLT+|2U{stdQ{KWh8*x6x& zD*0cRP<$PXZ$=`!85+}DuEqXvH<&}mu9cX~`?GLfP*ZfIrg?`%eB788Wxb>p!&eS{ zKO3yXB-SY0XUO(S9sEUDkb*fX16<#u)K?IlpIhAlrxaVWr1vlWSZhE;U+<3Mu}8h1 zF94rHzyl8&6~3t_Q=LU9G^kdQK2r7-X_RvBbR)t^mk0(Q>#;q}pU1KONm1@2<`2Z1 z$4$XtUI&}^KayooafXt?yx+^r_s|LSm$6vTxL2ZQ1jla3?uQ5D6TJaJ*H6>XrihE3 zJ5M%yiQv>1$KWM)beGfxdX1p&%Y~9uL1k&yqiaq;%azM0GB~=fZcO?yJRk+b!8-|L z{Xc4?q^-tyKHt zBW+rRoQd2^u*yd-Q9ci+4hVLFRK-S9RAa@q>7Fvs?!D3s5IV2XlTRsvXgSJYaHrBE z)%n=QXAF4xZauytjq8FwzefP@5i3K_F7o*1TVu4n4fHoBR-?<0Y)7PsTgJN zi=JCZ7Hb+&E=nvoLbym5*mMy`;x8r_cEZTxlq#8QmtJXxA(w9#b?>HpYb&EaEQ@7evw(<&oA@Q zzE&lZrz{|R&El;^&CPRHEQfi7%^WFho6o!gR@3mx&7Sza(qFe!p5@1JA;tSb$$|f~ zW+wJWy7PAe`mp{vzj|6uclNuv=^E$NXD2rvihDPGIN?T>s|7)=KH-&EJL^YBVrOqa z%$EP8IQg3tHW~;accV<-CP9iP1pbz)J*?lioJWV$IseV`CkwCz#Emr1J&R*!A&$QC z`oO;aXVS}9bX61mLSDqO#K(~DpGznL@o#+B8SXT{AqiCHZ7GFFN_^n@dZ5A20@sDI z-~as{fyC$oCdYRuj_##p_gYeKRh7y6MFb(JMqGimpj#9JaraVb{xSwCr`L1twM`{k z*-F0$7AnN68#pSF7M|$N7VlZ4@db;sO%1JrgT-G3G&}W8dqkh>e&>P1Wn|Ji^etmT zo<3iNs7NiWrL0k(Ct|m=T`L~xsIPC*QE5jDyZU7?(xYzrQGx{(@vxQkxf4M6s4LD5 zG=gD8jp=nZa^457Ys&>@eKc4NdE$JWZ6ogvd*00|p2%A3spNvRvyH0z;qma9MHi~& zEyS)wyvGbuqnJM^z4NUS#EtZc0H6I`8KDY^cbX+D?vS%H{Bryl6vFXwbU-5~-~B%;TlP&axrBUMP7J(ZQ7ZrP z;7%mV7KwazQIrW2v&s`gSJ&Fs;P3AXpa360uJYBF8_P#TR<&*Zq}jY4S!r(7&jUYk zm&67Z1OsnEz~$!f3l=W0O?#3Exqe1$=GHKtrf*_*5+e=Mh}1$Cz36JNQ)kH;A*%13 z=^)o+1yuYNG}56?Tv|UIp&W^sPgE7q0$a`2mAE{`>^04$^N25=#KLN9aL3iH3_-k_}W^$bzSxmomy3??W4s?XBogT~h|*$Rj>nworyhbJK>XLVg z)lu!kD`mx9pPDkK`XdhUmzP-kUOQ6cGW4%aJjBu$uV7DueiRq@mIa}Ah_w)FSx!nV z%$Ww8cwUVCImbHK59wP6jhaBfTPZ9I#^wPPK?4aqTJe|qJ8EcFXpWDSY1h`Ch}j{A zr{&#!M>JjN8Me*UGPu-}pv`WecUUUFrA@-Wanb?4Ys@epsluICpiOP2iJ*yXknlW4 z9JTeQDZSschgIT!>Mz@fRplffuayXOSh()8G-hTT0hZav?lNH0*r9QPac$laeggi3 z>4t9VVCYkE#ZGzf%KKg}(f)~Kciq|rf40GF?DYjLuTNZq#6;gTyv-sR94ZgRA^17E zEXP(aR0qfMc#G(V+FlVBwj0B7{v7 z-{Q>aJYJFOFUymR@$5j7b~J^ZzlXa=%zjCxZM8SUq+)=tUv-gli;4M>H9jj@npbrd zgONZ=NPvmQ)3#Ww2L&UN+?V;DIs!<|S80ZZZ7-{Uyu4?GU3V0wWhMuXW=aS$Jy6Jw z0i&8&u!gPgwXK}|wZDmwnTj&$Z&=y&r_x@W+`XIS_se(KT&)gXH1vi&Dc<-)zNv1KFESo)jHnfw`^Vl#xewVm+%-W4mB5i6tc?A zX%qo`6{S!g{UJf^-kmU+fU3xR{Ac%V>3C%mf2Sfr3!6qm?hg+Uf@^bRh8C4_`%EJI z=(HN+FDeUaLc{AtLt{U(BibqTJ{+y7;?7WiSCmAfB}j>x7KIQfE;p`TD;weh^Jv zxaaAk*wlZV(H#hn#jfX zk~od^!`ywK(x<=Qf67jZy#&9`lw`&R6;^hyl8QYZ*8VsD&SvAa9o8Q^5`JWGi-4%l zUbeNpD2@~2fD?QSeht%vu>8m&2F>bf%%q@-$sP$s5A%(H&`4fO`ura z@I((}m93$DXzCRm1msCzl3-a0EAIjqkF!C3+@P?so4CW^J{(Y8pkeUwN6x?JwrI;> z?ob(Kq^7TetD@J0vg{fM^y|pF&9MTsYr1)|A_KU;LfSgp|IGKEQNmb)wx0n>oX5xV z*$YXYF7j#xTnjbt^%gyd;T=*>v%5hXtw~M|89qe##OvNz`)xLPx*Nz=L#4^D(jO56 z9%A?zFCZ7FyyU+?@cnpI@y)Jp){6G~wCN=tPOoRH9o2sW?2gu%(3f)>@!889atg zUSx=W8LAy>RzskQALuixPS*dpVr6}f`Ji2sS~v_A?)^c&)_apjTn^Vx~$-g`D9Ow&JTjP}BX#9Z3jQMh5!N)`T>Cnf2+RX`d*Sfo+C^9n}haR}2_IHtXis&9B$ekPwDVzCX z)JHb6quJ|co!xk;WNlpQ#L%Q$UOs7}#~Vq|ybz^aH~(pZ6RS^n_$4MOTumNK8e~tW zW`B?}2r!n7RG7Ua*r>cb$5L>+wjoof0| zu0_%ehjt%OAc1B>qDW@v6)cQ$^bs9$h%^$5^Y$~gB)d5Sj9i%?3y}^rRSAK4;;pyE z1rzHwuSS->Ui>U?TwKbA*nR*{lBe>SKHTw|5DbD?7A^0F-Sn3+HkV~0ZzBapG$dIFMQ9_^ewf*%bt+N`ew zmD3IgcLuc{G`c|z35;uVr!?`(pVQ0xDvt8bMZykVaw>1SY2xHsx z^W6PLJ-ov7>`3q?DuE_uw0$WKqH;_{w!7l1Rk0^3{brip{$L{}wf?tY>^GSYeMdwR zp9R&U+A>jW=thr9zLmnSm&&VG_|U)pgde2qXE4q7)+iCv!Hs7b=o{1)N4Xtzv3j|7 zB4eU9dUxcvoexYNMP2^`*MR!g3|D})^Cj}Xc_z$89Oo_(VnT% zou4MxCk4XmUSGiPjo=n7!olbvRtN7^W9~Y%u_w2}*YPx3Ymt#1D^g-MLe*!wOSR5u ztJHS`A4$xxV66tw0PJk5OnrWc!YpJBIS*K#yx~(^TC8Ucr;jq*Xa)pZ&PjFYM2b|2^;*deIDjq!V)lVMIp`2*nR z$9puj{6IgbZ*Y>!2rfb{A)naW0l?@n=;F>8L&<{8`jyR`9aYG%>^^e zkk0SEwwLz)l9q`rukhSS6a6)4UU?GxXCXzcE4%Z+e=1_QO;<3Hdl!LKyMnc+Ct5}C z+H+rxD>xs^R7=^Y02BmZ9+nbghzCPr5eG=}lo+3fl@c7av)ktJgBFItS(#2;Sm(f- zu9z#avgESocER0`>!S#FO~-7OAd3YwQ7?CN)0o^K=|LRDvpME*u*ODM-NZqm_)rF) zm!pzw=J~P)wf=;>;l7nd#%i|FvSCNDUsY^sM(YHKZibn;ZNZIf+~gq+%7)>%I@v$+ zp1fHPUoM@a6!ArDEX7YkQji;Ev7dzCkg-y{=m{31UU z2;)^sA0H(bMV3H4^?QcemMKyFM}hR~r5liv(+7`B%bSAiK|g*vYj8ks1byipSEvn) z`p)mQOyjW9co5jmQ9@XTI>=ltMYccktuUM}!T%SfTty61}`yIg&_i~k_JLDxLMB%V~G_JqJ2^M1sJlG{{ z-b+&EDsbKb<9t;_$gGq!?2I=W^>`9XLx3v&(Y?o7v5vYuK=R`I%EbXIL;a#Q32^FI zljK)=Kh`UmsXcJj4**R-3I_X016r$MsRwcsxI(n$RlEo>_si`;N_PgfTO%jc~q4j)cMpI5}Is+^s=21Bve!J}ZZ4i+pZ^4bw zy`gk`Eb5Yfc+}qlDvf$<)wSfm5&`N4h$# z7$RI6`3y4R!@{y*`W%nc`k_7@I$3t*DWPzyFJCOf+z^Fc&Qp>zVN6BEim)ejJl)2Kh~Q#-YGze+>!smKZ8v z&nhZ-D-*^iCb@>-pIwJjhS@E4F6lG(lHhg0)oY>BvF!TRLOObDrC~{BiB9#&0B7d|K2wMEnYFRxC(B zO-tq5=)afW{Edvqwbg=asB6M|7k{zwyNiz5(^g?TCgcp_^!|PVa{Xd@^dwq(GU-Z* zrnctag&u-Oe(HD2(E!Us^zfkxMVI~XNFi}j%lxaY46WtUD~K%k-S2G)N!lnEkw?Lf z{WYtCRMUy@8g(+eu)J2PR3B$+uL}5Xe0fOfTRcIyOh8>=b2ofY4vpm^Mr+DD)dKm)^_BK70V|{T!%>8bm=^crPFsCj?Lh-aDH%Os(KNQIhw#^PeFRtp8HaXu z#c8=^8NnH!6~BuOTm$@*R0k*YTvJ;2u)ME3^ zRwH7-OCW(clGc0PKQ1)!6eILt!5#}Io;)n)m5E4LQ@{|gi+ahTX1scz{ktx48@ybn~RS3(lr9I5^dG{U4d zn{vnY7Ys;oR5Vf^ME;6uirCG|5oYz#>Sb&Ar5&Wz?C0M1`3FfjF<)@%@bH~_&|4r?~`ln_;^H)M9%Z{#L_ z2wI>e=7Mviwx$)l$ZGmUvP|!@F^SYI;|3>}ot0s$0}{2QivSw-KgkM^uI1=o)Gr7z z%LG^^(;>?uSSi(NL%UK7VG}?RxF93%T7MuuL~BblK>Y=Gw?*$hc0A=v9k^ie(4Iggev5%Ncuw{^j~aak27;9=6B2e&5(=O#ddjwFxzPOR=0&D7i@OruUR?jDD`o<{DFrFW3!{ z`-1AK2q+QkhjDV~(WrbrfLqefuv5}wx2kIIhSevEHq)JASwz7Gb`IQ-(-hpQh-Kg8 z%iTU4TJzJ){2(L$Jsoyj>1@XS2D;!SU$$)T5ZVwGGD)5tt3O;oA z0AK{LBO8jnsS&d;K@n};jqZz}#$sLU%`UaYrU%tM8tsJQqWpn-qD#f9^skq3z`sO#r) z4m&Xvfv8+UGA8o@#BrS%(i41-w9wZ%eqg@38sU~la&-c*!JSQ|feM`kc*?3N!9xq| zu5C#`b5xaxMDSjXtMQyh;nOV+z_cDCaUkk`PaPh07MOs>nU+LupJuc^65I!!rmFC} zf#kAMTVbz&e2aH1GIF_BJyH{Q-t2-uyd~a3Fi;OF(=M(SHJp62sLe+|&%e-gc z$Am?KPDt$d#GW6c%#oQf^wFpD`E$%D0j>~ZZd)jJO2{OyP`EBByLjxyfx*Hh9Yw62 zvC`( z1G3I*?+p^ji_Yh-^jWImQhG&Ks~$qaqw@_KdMiU@Puzz&IOUWcvj9MX+(|yBNCBYK|2_ z*%MM>Irq!F1LwvlPJc6zJ&~`oK!4jFGL(-o6Oy1D!^)o%)Gj=0zMwN~3#8Qh5qTB; zhtt~t#KFz$-yy4&g@klxeZ=!3cpwjdFf7#@7^N;jCUfEk#zCNCM=m2y>9tG3YUhXo zLI5ll2S9<&E$3fkGuj)klg%{mj!c)Pbpb}LuJN}JIm=P14Jt0jzln{-NK)a{usxBrQ^fO|zV!#E!LrZy_gtl0M4V)#s(@JO|19LG@lXPm7u|RH5 z;~*zp|3m6ub2Y4FC=~cPf^=1fxT&Hzf%yS5EhqYbQd0&^aRayZOc(N$m3^TV7I-$K zuPHI3uC}FArjT830O5iCVqVMnBm0AhS_gTG?9?WvzfuYEc5N<>!QV_O_OIX6P1_-p zXz=dw;TTyE&!A*RR?x62q;tNZAaw5)hTLc`2iPY=n3JGCj0!*N=3G>*Ah}e&SMaV4 zfu2THLoh$@+4pWwcgd&Vf<^2e`MT+gu7gE!{Ew!qSe8`TqF?joxD0W3XNJ2JP}tYM z%F}T>;!HZq$lS8l)(8-kWQ9J^pUS`~5InjDq&4o8@1w_a>)UZ+2*K*L59R3ctB8%U zU!l`SGd{?4UM4?n-*1g1A+ZjvCtLptH@CkDby@!mQ4{f|kDcd(HYj)66H@K_vmiU- zWbKmbv~R?%b4t@TrSNj8{tiN?zdi;pq{l$31Mpv18#-cuo zCP5VTm<9Oj*B-r6ga5Zd3!lRf|+VSe>S_B}6+&Kv}#q9$IqeZ#5WEn#%M@qN0|&IGM) z>WF6SdET{uUL~+~zoh4{tHg+%jp$SD0!D9_PUF<`GUDU8Nb!5sQ%WV~U+kyd7-}2$ zMx<(kwq=7fsq;Jjk+>=`9=uAq#s}uE415s_%e7^Q1F!id9HaE4geZ!KCAoLW=p}h8 z9YI@CBc0mVM!P<}ogtYEz{~T^|GXVt*?8*+DpnpE%Sl%ux27S`*2ZbygE z@h*bUZagkho-mu2ph7~FMoLMKOFfMzj6sW2I5-dc^I<}v(%-^wblh;3=LUrkvc=PRdFCr*vVTT9r>hrWH?VjZm_e7xbHCAF_CrA5;|O+o)qG`HQr+UZHdn z26(>h12Qrumoe51)aPd`rQKU6qo;Zzk&-wd$8%_Mgd2j;~r z4_!B?rOl5);`E=m`s6b^C(Af)-;)e(ia@M*@L!h;Y%`xm~8U5YK+|==JQD0ufU>N)$ zPi?9Z8$gfHSW!X}%oV(N(rrdmmc0=X_3(j$7roDK9O5Ft@_G_zkfGv&pEe%6Y~r`w z@sTa+U>1ylLpfg&Jtq9TqpCq3ep$6hA;OV+GnKCgk-*;M{bY;3&v_VWZ)?e%^jJQc z+RHk&z?Y-BxQ3;gtyE;Rh;Dz>fkOIXTg~V`rEUi)7Z!R!Y{0gE@*ET24=Hy5D?rr0 zbdzQ!9XX$(Kh4Deo~j9-GpVqgI_t6nngd88l)N*xTUs{VVVvUH5dv_s2tVNzWQ7D%?q^G!2NM z1CUWTKX6!E&Pht@&HuQ_#}3m%QNbV@F}|-9R%Q-3w>uYb-lDby&a-vn%9Y9k=S6f5 zws}v9ZeOY6*UePPy=ZZ>-HP%0(TaOxk8$c%PG_`+f$e?d-fTLk~j8EoJ^z zQUAzpC9SkV^YPc*!g07m$?0za0s?x3oAYN3tP^_^!TK>Mml`;d!Qk%amftx0L1l{B z2Jqs%ML#i&@?=Wo36dh!2KL;6Sfv$AAuoAX82(I#x#f;r`hi_{LZu_IJ7DoYeUmy` zUP@?NkNt&8bkZQKSQ5>q2=Vu>y3vpEEXTbJsp~R4&IUDKoY8SJ>{9GSS z9+HnN3>h0sEua345I|tnw}H$87^5}85r6Te znovo_Sa%H;2u2-ReM{$g#;f0<(K6-)dV`b8l*IngQn?Yi{Oee8NF71XNR;FP>(u7^ z+XdZ^EMhI0oqvPptZk}ed%o|%g95XAv{qlh;;}T!Z>dj!lSxTTV_4h!p`0A{f5z$# z<9dp}lTM!0w;|I!hi#O96lD_Zfe>?9_@I;veTmUXD(#ygNfFkQt?{EE6Zbf9y+~x# zJN3-uCE75@9UyyRofk#)H{tlqb@6t+3Br7>RKorZC%8CF6m1WhrnT>GUtfZylEC7U zvxRJCUpC(+8EBLZB&tNAktKKN)lcfgrnps`l`B0vC}V>h^WB`z3LGJ#@!bA^Cv?s) z&1jE-)%G@<@DKFF#i@los;C@pui@2Hbgxy&U~y0yMrR2ajy{M&KV1KxDJ@3++@zx-o!O?w7YJ z=DW$$->6{pkks|2hkMnaquVeT*<$B=@nyy%JIC@1Q4zuyFPg~5rS>pV&EKF0Q7I%6x2Mk<9fe-Qw1xgBN8OW z4Az@B4U~95EW0_p)RjE(w)U?|8>f4Y5jap9q@?xKDIPp`V<2_R|Rps73QyrMK`%Fc)0xb{IRZSzNXoG2rbD4P5;h1N)^iixo#L zE7U;>%)DImO3C)3g=jIBEU%9$=pdtC1yE6ILT_ zqd9rK!`f}V(f)3zG0;}C6@kwN{PTAAAYAS~c+zcAkNo@DAqf8$AZ*}NoEC4{c+HCJ z7cP=pcHNw(wjDUsTALzS&@`h7{JfP`cK}a{2O4{$a@r@{{j~!DzQC@mJN-2&0wK*& zi(~U!-AwTMp4_C2M1CR$D{WRed(Ha5`0&mT0Q7E;m9`-Ntzaf;msA?*%vsA)z96;p zxf~k$#BsL!^{pqMJdmjb_2#^%o-eO@I%fG>XBe^gQ?l}hNV9}ko0Ea3z`_>adQr2f>1J` z(!_%}-NKpB#??#UES!W4n#xEN8^q8{0E+n**+5wv8$V~a!0W(CIuCfT59GyB*F0?`Ji@wIrdn`CswP0hHvYFid|g zd%}^i49G0IZSj1A-nolEmU(igMiC=8UqB=PG&N1|C~jRA@E+C6PcRO>(5Xf8Ema5< z`J3`>?l1Ygzr+ByC825Kq@P>-gMa#WfT8Ktj%jUYl5tNqXTi#PBDXa@2kWY8{E+WTb`Hw{1YO(B@8>TtNTNZjy7GRq6Pr|UOtL6q(j zOu=w(D54j;aSoZ(YFc^|$@KMltk+j$WgE+S|DwbqzZ}Fe77xAE_m`9qfta;QJ|gA$T~CjDWVhTnzur`fV=%f=u?CEaXuGj!-4C!9@U@Y#+w3`S@S%PF`R%L z8CU2vA(ce`3R>aLg2c>*X5^K!Fh1g{z0&HLiLmjI7`$vTsnBxNX_|>4$i+H!oPTS$ zHeO$EU1F#F)liV|~DJYFGivie?)c!7>lPF;&khiU{ZPrWUm0nh-W;Hze$rV|Uzz5l#R&C}GUuAp(2gl11 z+UsZQcwTT<&GB0MbAN-8lb7B+2^L+xiY3nSh+X|oK2dZzHtBFtk;i`tt7I8Tj{Pef zc*@@umSQpJmF#@mO;aPY0PQ(!%4}G`#7XZiCWb0d6MVH@ExMwg? zJ@Y42l^(gUvMQo}%=-&t??S&K1@Ah6pdh1Fl_@Q-VG-~#W@xHaqvP|l+{d8`7|->( z)CUH3@r7LxaKXec0|f)x<@o1WU^M<5z6ot)x4fWDoT2FRUt5Hp(|SRs>sI%&SK5gJ zmZ|ww`8Ng*6Q?~ZozpOSUS&S^xL`r7P{nW1!2)WgB!__MDV zuHz}Nhy165hQ~`{xtmECa6apA`?6^EoJ2^oiF-Dx&HmzEW>!1!UpF`Gb4s4H8nbY2 zP&yXnd@8iP7*09-K=rXie?IC5*SQ>WP+|0>ic!IIhU&oGVsOlZWg8yR2iNt!6h&x@ zq4BL@;Itv`Y;pT9B136?H%`O$1-U{N*dU)Gs>YjN%~#8Y0KlWQjxP9E51}Aj5Y037 zH@f8!$Pn5>v&pz>Yr*;z766bq)HbVmP+S)wGQ-wnsK z+~4$t<>w{-Oi7ZQQG*CH3d3XJ(+@<%CChR!!bDK@rQ}XCk@)**VpqbpQ9l_E&I-4w zY4Rnx@^=A#E?ktze6_4y;!LSD!_OfEJ_>90&^25jLE(p?qI$lCX~ zsJ{{PV2E296PPs77G?2ovr~d8^&ko3njAo-S*+-D4AMGs?7x-7GhZvh*&|w&j=!nK zGu+1p1xupKYL}5hVMDQbv+2tP?aalB$Gtq{O)NBh`jE;|cgwFQ4KO;%ZaGuZj@*C# zHXau4yZjW(+~0-fI|HOyb_R#4-gx5r4d-``)B(ew^B|ENkVHmC6hquEB+l&&KNh{sNttVS0irsvE)1rlhgPD z61UiRN%(+=2`sJKNL41PC61U7Pvq&HeIEtXQfHXPN*E?>#qtm=erfp+4oW}Ja!CBK z&Y@r*Di?i*ocB}+E%9o!#-z6V|P; zKJH*k&OzcvK%Dk+*rz?~LiEHe)qHyFPOXu+Gmueiq!x+<#NVk|05ju$c#z>F3)YMu z^idnDE1{1@BnUi&hAQ(WxVCY5}-^VCL4t^J$cg}&I|4zpLI7UX9zy$m8<37&`p z7-KnLYdXq;O&oDMP^@{ezNr7AlVRq#e~gzr%+}06@Tt&vdtyOAa+6|@YS{99I46nA zeS4=v*2$*vik3g(HR;^j2lYpq)wHhTz5Yy|3C-6k#iD9t)mPn6$3P2Cq30+axzaz2 zNWIi3Y>kf*Ph(*fqGCZ^2JTIL&9Sk&nI>EUB42YsEXa;9#->+RozQ!SUl&JRQr z%!Bmv5)Wut3BJVPBQLFm`~xd9YIRzCzr-OXr?So-{;><$d;eMkTSMBRJH9158cU+_x^@or<7&Ofoe`vu|< zEtlWeJynV`Hslv4mpIEYw3ea-ECdrd+tw$BAlK}w#UnJbC>Z|Y3Rv?kPg#X`JLU5Y z@oHULXWHs@P51iipn};#W>e&}=1CtKQZYsFmj>1kOXO>S`Zdh#jXeII72`=YXr^8O zzp2jGW1FivIa##XZfos~w(^biOvCCEVykwwM}N%312JQRWRid)B42$@IpS~2{yp6u z%Rww(V z%83@sqMu{UWfR2uXTtr!A{}t>G8Yl6&&1y>eDtor7Dj`~gkqWBblq@Dcq=5cx6TC* z0i}K3+t5Ba%i)(P3)RKPDp9b0RQN{tw>T@*7bC;kI=i22|1tf72Ok`siwwb}ec;r0 z7u5NM7w=1g02rRGc=}DK%}B4vNCXP%ixVzp{X|;N6eVYOggDIef&J>N9RWi)E{v`S-zvR`2bW&i%|r1t?&GWPUV(3Bf8A`pJ?iA0yA*O-ZN^=4354 z1nBTlHqj>RrzFepCSZ;j<2!%La%%WnkXkTmk3y>> zb>W@{J_L>7+YyfyiM)IH7_@>*uEo7(B$WrLP2M=vPHC81O>ueY!V?kD$ zxk=AJLCnQep)F&7^M!MyN7`0bLEbbT;KV)uOxW*hpQX@#Ci~cll+8d?1*xkqzE|=B zpn_w{=S`2ipe_7ev(*jWwTQvW6d&1|x0JV%7+oQotSQ}ccmJZsj1zC8B!7|`bWYn7@_J%h+{}O>7_p}z4-nYT`yt+llq?ulBchQj z9D!-f3i-EMU_`wkj8O7#jRzo>fA>$DZ+@LW_(`CBO&t4F5)$x;B< zUBiEd1$;@lu7xZ#2nZoE&MT=n7iEH+18eVWPj33Oy)6&w*cqh#EC=-L@5S@^_irpc z8UJOX^HBJL>donPF0xUvCj$Q5;8a^lHZHb=@l?5&d;*qSoN;KG#r>w-OiKpNQOm#s z64`%TOH9gJ!orcq(?vuN@~P3|+v1X4%7jz7X1a{d8@jDJ>hhWxd#D;V>p18!(8%D? zMhKpwM*tAM=&9n|K$3)O<8v`e@?PLftPzvL8<{w(k0``l*j8TL8Psj?Zo|lr4 zm=%_E4d79Q>kU~k*8fQbI`b}P0jGYeN2WRN+pQTpr17H9&U$yMW7*KC-s*E!=#|6npmaw=7ICJF-PwA?p@HNd038n*vLg}85uADb` zDCgq|$2K2+%`Xo5YFSS7nKG)VO22I!WaqK>4jMeo^F#D+J3QmqFKr8VXY6Vpe>cy5 z1CH^qV+adTfv`k8*tW+3x$KWL0&g5`mBcT(Kg)glcQEU71Zm>#?`ZIurs-i}`;HD7 z|B4~lrgM%{1yF0Xb<;k{Zw=v$)B21^s1(3F6b%~z`1#6u=c-)%*~*K4wuy$oxfKVa z@S~z^@PJDL4p9iD9T+P&UpHqnSpNDDMjF}dSjB~9p)kf=R)L}Ejzz}bB`}TA^_qL(I2_Z(*Z@{zgv|)emh1=t_Z{s84_7Vrx5F-M8~;6E_r8h zu#8Ba63%`e;z#-z;JG=Ty_o_PPY}DJ84Jcqqd`}MQc%Bat;NY?Qu(o8u$#fYk^{ov zosS#I`vIf^5<6A`d5hkGUdtu~II zOL-I&USD=m>Oqb$F3VIyRA6g(JB{_^{;;@1et}E#2(qZqPJt^Fwy2IlKEY_|hJpC` z5Zx^b{Vf28gteO!=00)|LwaT2SH&@8e@~GTtRIv;-qSjOaWFkoMTGw>lBzL1xJiNz z$%w;r_7EDY)#}FVOU^`gvN$4y0=*@LPxFUa<5gArLHDIcQlSapSu7;^cosGl_DIY6 z7WEEEF`u75-y1U^`)`a^xDe^}SN)Q8k2T4;wQ8TiD0uTUA5}eRh88#&>Uuzfx)J|b ze4um@V=I2<$t`Q0aprU&b$i5AxlxqtMrA-!KZdZ6)?JIev>ZoKb72bBmx$z$CKc9k zBq~@U1wVtGZ{xRp_l+waX2OT>2;x}BQ?bf$YIpWy(NX86{A zD$~vbUA6KmE1Scf>sT1q92sTK>OS`u{YbXK{f#cI{~ERNhiVHUx(sT8j+6Fb-#hLK z9iiw<@yp-Qv9_=IE=l8ov5tn+D7;L6!V2NnP zza4V-wo3bxVmaPTiO5#cN2WB(_}zpL=}lLVHav$6x4#9X7K2*)E9i6=hXfC26G6!8 zgnnWPIil!&@8WO{D$2d|5~cV|QGyUx3V$?px@fvZeTjFs4wBOxR0x9Bu)-8tds+wr zXzT)x?hSZTydJxve+&om^4!ImqU1~UMxRzB4{Ck{<;2i(j4v(?=zfqe_AkWJKN9}f zGyhVdp6G+tp$SRlpQ7%^)Rl*gAr;6l?mj7-d|sgZy(*ceP1zqe!>k-rE8;ZzfM5wp z^X%U=g4pRLrGx%@&`%27$;x-2D0gFiCwEKwkxHrr{LBGN+AN~__88*IFCR*`Hc7I>VO7!X%?u?{D(f^F z{D%u1&G>ms>TcXS)D*EWAxfb8O7`vn)=&GpdCcKa)}=O-j_GZsH4Sx_Iu-k#$)=0` z;NO$hr$oF7XH{9*40w89+iOdX6rb3;);Ov3Uac+Emr0EN(fk=D_ z3@X`E192_36Zk^YC^wvsUVTOpl3j)!L2Mm(x ze~*l)?p{UMUg)o_28WL&Rdmy`CoST`t><}U7WkGJ4Zfb){@Gm1B9))B;oQElNn`%8 z$B%-}-s8}__jq+>`u+?z`U_edy-d}bqKMC*4cilL#}NN7Hj+}`y<>GFRu$<@3KkR9 zrfV4Qan+wwh(E{+H<4vxXle#PQHYZNE`nC5^1pfi>_bGx)FGsbbonx6ao2&}^XB`v zXoMo#s<4Kq@K2EQ8$h1z#qGry3L3%9A-&2~gpg}!F}Z0VEd7!0NNeWIn9SQ6eAcJI zTa46bvn_Prn+|gEhpU~+Jn~s%Io|H_>DipC`iR{4hEzn+z_jwohL!zv`R&;(a~)Ae z!RB-h;n55~puQ#DVP|`rFuV&I7IagtsiH_USQOD0_hs;1eFZ8pwT{p}e_QX{ z_1$c)n@;SZkgABkS!wvA`a;wEH>;#|v^5>QA5_b^^l8v$wqXWBPjp+sst?irq}Rzb zE&tT`*@CPZ8?G<(0`lHv9AWu{f}mW*c{=nkl!aBTn5v77kpgkM*= zcNFKh>sBGxz$dZhXNdM&`MK~=w{SM4u1`@4?^YrxGu9>pTjNG#Dbj#E(G zceZ<&rI0K2PxJubf15JeU-;_I^Xt77V=?3XT2&TDKH>48vbMlbA$wi`{eu?<@L3`IF6CcoLH%{^fr zz_UQ}p-R&ni6a`bt$~@$%(rOALZ8ea;~~LS_$#k=OBbOb(*Sz$UzNzga7FPla5}E( zADx&9TnPnU?U_Kfa?TUgB1A;zs%=sww?9fgZc>jxiA5@b;FrDxir+^ zB*@(oKB)WWucp@vvuRMj)vbMR;k)slkjlPv1XW|0WF$@9R2R_}vUdrf+3)cq?B4~$ zfuEDFhy9r+!=_tRJJAawUZ!FiRm$VX>2?$&B&-X=9w0rC%^HrHJtB$d0?aqRy*Xnj z5fu{bO92#o29!bapM-NUm-iKEz}YZwuk{y&-Aju>J62JHz_SU16alIc)qTZdyNbc! zC!_#@#{O@u8J#|~^LQKAc2I=fC;PFkzy4bHzHA)Dz8|%A$czD}A@ZA)HgZ^yAB#i` z+x`;J)La1;{9UeUs7XBy@2oF%KQo{B(_m5YNmPVi8)9Im+t$e<>ziC2df|XrtMS?= z=ZfzJaur|hAe;z)3qoG)4;9Z_fdQvE{%ySRQ|kBJageA{{#A|K*W*?8gp%Z%^rxyvH` z`7p#Ki;YwKm6_?a(r6ET+d&4ZoM|Vamx$<-1JQq~abnQp@zu*KURFIPYn5ZLOZidd zsvT)Ax&}))zqKZB{UzaDE8)bxzh4(b74AozgEP1Z4LPUagiL$V_76|!5e)b;<?;XMHXwO};FJ{+01cP`V2(XROJBjm+6flPnD8!*sHzl?+D3DS@b zg876P=7wIqbke8D=h!w#X^MhxNI)+fO5rwfzc-tc4Ldct_HYcM8lgUB-;gXO`Y(Q% zurvmb&6}=&-D#-z;B{)CKTQJbPuMzWEhh@|P(0TgR@}82lBL%F?Yh%P^e;~D*G=r! z*_IBq;>|j0Qp2V{MgJgh?&|SZns%CicsF~gAK1HPtBA3d@ z$j|#)SD}oxe7$K|?N7ohaPj?zANn}!sA@`b8Q(u&o1lwB2}@T;KN~>>gHt=_l$aL= zCGPh#Fkk2@Nh`B#H@A-)WrGneI`BEIk+6Qb{@~S<{LNWF@6|f5TSnun^fTI~VtS3e zFI-)g0SV5s$+dTOXUUO?ieXE~jnPj|fQRlS=M!D8VA#bc0Q~Qe=O)DJ%&O8a)?jPg zR%2cq$)LK1s&qC9?Bae86GiO?CC5LEFEdGVAmsiuFRo@=5J=!mk z_b7Qa7P8?K^>VA}4+=n;xcJah&Gc7dpyOv){kJ!Xu)c%wolc5AtCXj%Yr2PZCACAlcGTq7r`M3mMzem&`ylzwj>JIYLe+}tl0e$QT5zGi+BlN~2`Xinf zmjI^)3@dBbReIJWTtMwkHn&SqTyB;v$${G5p8Q)7ZeyoNU3wrp8yRq;eErTo7ISxF zR?a)2*Nk&!$gX613ijky9SG>-i_DvEXy=ng%fNsVfA{>VINocV8wW8OU$WiTW6@5g zs5=*0TJ_yf!S<-U7!kSC<3x1k3m7>dM7d5$5jCfcD+qY8rV%ZMEd<$72CV0vxu?7Q z==bfU8 z-6^^$Dftrj6rTWtb6)tq;fN>@6Jx|7lLvJy(I|MTdV>_6E>iWc6z_HCzV%l>Y(f3J zw#um6m>~=aXKW9-R&yD#NU1L%^P`2Yh#*z_35X1}sP?avg=gxy#|N6^%j_1+UWgaN z9fBW~BS(0Ko!raYnY4R&`)Od)ULv!;AM+|sm|iSF#N3lAuy#Mm^#|H%z?qXe!Zr@P#%8 z$SK211MNXm3cigTrWyDB@9QC9#VUDU4gGf>UV~h+BKiNY~AsV@e&Ba$DiE zoq6=mV?I8Ltp^9hS7yyzbriedN^4{vCtzJaNZ6?hWz-^?4t_cYrWDeaBTHcV&s%gK zZ>~*8f5F*cFgghGA@8}%s3v`vbR9Z-yO2d66R4^-bG~8|J3sx*XiFc<#d3b(u1yg^3qoE6v# zCCVC9F`z{G#5W}W(vw&Kv=n?Nrxf6sgW!2yTGR(Aj@5xY&zCWJMM_X_`Qfo#(J11` zzN*4PHt8uZ-0t7H^!M=2NT1lurqHaTNVne!(l*_b8;tx6e!At-Y^4P<8s?~x<>U92 zlnmcvHf$eQf(JiOqrR~G-w~%8|oB5Zk#@U9nBzd*#LylJP*G(l2lvY%Wa-c9?4&{PZgk7`su_+^-;)FNVkL zWs3N>CPhN*5TT*IrMlbkXN>Ck&o1--+-7F4o}HVYAGeUVKu+hBFdXqfYNoIVl4OdQ zhNVyYV}p}@pzH4%?q|>|ir!#+JQ6pgY0}K}>_NCjZxGPJo7p3%`4Gbcbf7}?_IxnK+gaRv|c&c{vItZ&zPkEB{VHh2f_%B zwIgBFyz!r{L$BpDw`_LCPe5uWbQ7|tJEyl@ks3c7RjnaL9r|*&f9tPtrJgPtqsm-G zH*6Q+&tDC(^S2ff9~T4SV&h|hSVf;sk?ifa?{ttvU!ci~krxjV=sqx0`5}4? zZe-SFYEg-Qn25;hT;P9Zanfd;Vgi?IL}FR(`LA0Q$9g#`OyTHCa{1P-fCSb0W`%=M zW;3gO zRH{n6IY7g0Bi#T}v7nhP_H5}(R7giO@&TvR>n(GZ(K!RJKY)U1woQC$^sn&xGlGcO z(-=^mhuAj!t^WFJ?R$MR<*1Q^x^Nqp9p z7r2;Ir6utJf?xGhdsgk-tZK$v8!86Hnv+v33Wz4{Wc~RdKzkZKKcTc=C@J6}6*|cR zwdbpxqaVmzS3$_8&yVDsF0u2D{IkGYbE~0K@CHLsE_58G-mcU%c)im;&d$y8-B_`y zGpoO`pZy9^H_Y+DR8V7Jg%3yk@H}GERb2}8I~#vt1Z|R9SAKV3#OG^0Kg+g3@stfC z&{jPrt&h2p9W|W}n2XZ=4LEjBdIR+2$sIH}sF@gcGF6|Ncd%`x6F&_-?Q4+TVZnrg zTDQAz=jgV<7iu;&;n)uX(R9GGI>Z}U+#w5|Ojm@2Bm=?AP*#qu{Z)uVVfm(liG3hV ze^x3X{L&KdvYaO^zri|2@xIavefv;ppFUr^ZtuHXK8lww>%q97&vl^)okaJ*yF=dC zKQ31tkSV3TS5C-6r%OUIdm?V}L%4lHLLlBNFWb~i>#4Gqq5rgW2J!MqXY~)cE}JKU z6M*?_V%EzFF1(UYO|&GI;8!9a&JRvUt{XvF0onybF_$T91i<^C;U6DVe(Xw48_dKR z5pEP8`Yz~UEDAoGBX=;hL~#QNr*iafvmL7hu|B!^mN<%guoqWd9lVfK48hyX+JiM2 z!m2~p=A~@Nu+|UGY$S~ZG6w=aePSW=DGa?%w({wnp-Z7E^Ya7Z4$`;Ya~dVVWd04s zi$xbZGx0xPFUQh9HzEz`z(?e3K^g$<2X7HaQn%#_waU1_KY>5`eRTo_K&xI?ekj2; zMu3UySipHy;GS}eQQ4(#fMgF1=dU##Uc{9~A_TAF&ySfYUsaYI2l*TF2edQ~+)jGP zP!d$I=9AT=7la7^I?J{twgYV|SXdHs^mNx8#?RZxr=@7^{XH^BctA9{Tz5=OJPR~Z zv*Tq8$McuNBrIKnWUV#j^ZAP1mvDs`8LZuud-aLsf~es9b=w5oz^da5;VO+Zv4m6=uwdejP|a)u zYhFn8VX8$K!Xi44^FM#^X2FW@VY*EjjjA$JCA%qZ`v{GjPFpIfaw*>T)+|< zdr8qP-wR#sz6VwA<)LD4Mv0L!pE7ll*Y6`}w2{GM0{=w3bh1iSVbcN%&f zkv@JOHgxF6dx(w!^TnAUp|{4t8!1_b`EPzG^yx2k@IPp1TEMe|PkmC^29B458~#Jr z<`s%&5*H@jWF{nDsp_49p-Eq=KF+jRX}y1U(iCAO^?=dyT^#(D*8idMCxwOLWPNeU zyILilM1-l1Yllg&!No(WfNz$d;6q4Om%l~%-SomL$&g*q3{XkBO&T-E-O8^8=Xmlk zN&l48<9Cn6GBCcl4Wak^RdtD(9zymIWr*0j8(~TeUls7-*=6u|m})0*Qj47}med5c zhaLL4F-q(|X?c6hE@5e=$jZC4(3Sa3xJ`%UAFvJjVhPv!v>|h28d}2#!@m@?SDg*{{$6TWUzKUwh1GRPkmjfUV4iQm zDgI!PZ;z^Tj+Lzb{`fZrE*eRg*_j}$g~6oU^%Q%*T`0<+^{?)eD`OGxSrvJ>Y2>$))RxSpERjY50^>q% zdUHM)0^e&coFkfdUsSk261+ti=4!Z$L)tI}qTt?a%VJ+Ikf=I>!tTjOIukNDkw|&0 zM0{JO93DbpJ+H`S6nT{sCC=Yez{w)ya4xRTEf{roTm0-Yey-Lf2m|ItKo z`Hl}9QMvH0-M;>nn5H?+wQpBVEJguqln_6w&eg(vL~4ITKm~YpGnj*ul1yqBo0zsF zwpI1z!NqSz_`q!UMXn5MfYt}QxzAT5sFC@*r|5n4@rOTPiT%@tn+ra46YVMzX(S4U zy@J&O_}eOHN~T=8KOmeq@#3z6DGa3Atl;&himfSCALrP4 zWxbLDIW3+b>!bQ9uD-&pw$bd2r965A9-lfwD0V+m4zUKC_pt%S-MXphgVM3pO!hC| zUBDZgQ6xk%d*_MNNpko&DuCo*p{jtu&YZ;J&1kuHk@R;~n#pwej7=}r(AIp);l`_V zS1#mfu{o*UG~{Zv_)=Dsk--%m{oCu>UaBE|;c#Vd(o@arN87)J9Soqbkb!omZcN9A zI!t0g+FQvo&lDJVz*5 z#OEpfw^F|n_aRW_N!jr8BOXtH@#33uc`O3&{K_vCEv-J%6#*HA*!@iS54DgO;X1lY zdg`f76)S5MTTtl6b{tkxyhFdhT~-4!4Jp_yvNk`QGn6Ls<>5VQj04rERH<4yBt^a` zO)C2S^~z?=M!@Tf3Z$|X3;$bdKU5gevU0gorA8cs?zo|gSV(FnC22{DU`8ID0w<2t zjCx7E85*k&M&SCMq`mB|skNUa2*5K$>Ckp!H*#Z0#gZ(`DYdBZPWrqC#eH?mkZ1lisL6XdVhs)nf z?lnB~Hi?w1!FIjzOpsEm#wA_jhlU!Q{5yu?8D^AFK6(4rC7!0hI%$Ue z&7oNjf;UGQ1OvaY%UJll#=FT<=k4uZ69J<=gdaJ63UB%xe>1sDs6o=HPl$`1zrt*XU8(@6vc}P+iYv#9=)TVj z2n@3q7^+_7R%(w(rt}F!s!U{ge+_nax$qv)ch?5`q*#9DF8o9*Pdv1%imrV`$dktM zq6(^A-G2p+mPj?<9}}ZylB*x#3T4OqcBH`M3+;sdUg4>BP)=$)ZU zzoKDZz#Hw+zj!k{cZ1Zpmw~21%>3H_UW-MbX~~6rQ(GN?d98Vv2q(lVr++luSBl%T zyj3YxJDgaymt({%aZw|uA3#qEfzYL~@IIo1CYS%rMsiM>(t~`@8C({hxWfqhyCg2ry3^%j>qL5Q{T_u5$owRxRv35NG-1n zAw;9;35()r#2c--S+8V-9^wgU)l>fJ-DjA|Z&1xy5xab}hoHa8k;1zTmll}O!dTGq zIseQUq~Gw4g_`?$85?QS^2e-2#7%w_4cQYKTIjD-*1|M09&|vG+i8MD_Af*r%1lK2 zVnZmo>34?4d)&wL!JaRJ@;$2&^x#V>3RsSy-A;ssNTKn5s^C$rRSHi}^~;r*P%^y} z#M+;qX|vxNq%yDlVj2Ir_@8lFaPKnFY&Wav#|+i{EQsG&Z)yYT2WS-2KY0GdX)Ugr zFE?wIF|1u;yT(opgyE@lMhbX>|D7+GF%gBny6gTRanYn~dN%{fCg`HXm~h9>Fm2I+ zT`g_`plHb6j?PMSeOoueC+n@fKMv){l3mMrvFKXA8MBcVc{9t_YOgeXnEZGJmZL}E zWwuV3{_H26n3nYwW^t`_gkwQAEpMh{tTP%NQ z-3H?9$Np}p;N@3npxOq7MrLY7E~!VJ{C%Z319L^Z6z7&}WHldQntVKPSs84IBuefGW7Ymp`&oA2{l<w`0BveI&iwP3KuB~X*1R5#XB1T#>!Whx#<3E zc^`+qm%p-uhxM75*AHN`30{!%L)@Jt-onmCa&jTAa_5QN(a*$vGxH9)vTKOH!C5%> zrs6o8u$RF_6gmBCPrUbo!OU(p8HbVVeNT4L`7wEJG)WqQbL_CZqKTU*1B&lgu_|=` ziZbJgjq310g$)Wn!L0v-^C+AL<{s&LDj3%#k+jHH!4M^x?>_xk7qvWG%#=W0WrWBq z+lAyapC;&G$jHtw{w=){NT5vxLhCBRsRp_b;2q0ciL5!3bZOe7{`?@(Dqhu5 zmyq6~{d-o}O2S)4yvPno#W7?Z6hx;#g}f^S$6xb4cTbws#9xTuzz!-~kQS1_(j$E{6oiN{B) z-BBv05Dce6iv>}2(Q*}img_!(!Y!Xg&970&`-hbL1^~R{huL|YZtd#ACHRf`9pXg* zgN5NSPYia(}owGrS~C+viPJVA)+Gb*qI6$c1bs!}9--)>=Rz6yo1L^cD3_qMq8;;#d{Ls*d? zI;yx;Xhc!4h(ih+xa)Un!-C+!>5t)Mh zgbBD~n6c&E|6$Le>V29L{6!uCC_=<$-mA>ht&;}@No@o}8)QVfKWEbmyRuxZ50Q0_ zf0VCeklOV(vsct`V|*3B0%M0oAO}SmqcjFPAFja76Bxm{F2vkaR?S|2uTDXuk0Ufx>JO* zzk;cA4C3EzNq9bA4USWLFaOmqafB(9!uZ)G@BE4#^D~YtVr)fk5htnQCuU0k=hiEF z*H)qYo%%qnSvy_v$MIAoO)-y^-fdYB=#ZT3hi<#_d%}?fDX@dr@-6=zJ@q;6!_S`J zjAq&@YwipEuKl(Z&k%q8h=BMYd-?eYD~d>gN+;-!g-?n&YT^%KT4^6{FRiY`hCVLZ zr$YdY8lCoxebFHF=$z*ue*!P@2`E@8Zxee)&HWt8AY{KOyrF1v>QE3fJiKi4xR>se zT1%h@m);M^m>{w#Xf!xI>zxuOZAU4!o9%C-j1-b$g9G+LW3Qh<)?g*Rnlb}Qrh^XL zc#lL5Q(4Y{pbURJJV55H8E06rNm97PoV{~z3>Ptw1Q$R)RGn*S&@M@h%?rWt`79q zbpgTP%qA`o)P1K$GKqA7-rM@ZbOz&Q{0h%n(jR~nx&h79z!!^KaD)r{;-u2JKzI_` zm5XJe@D&FCsu>0!)&-nBlBp&Br(b^E2J64B!gLst{3w2pyxxBL4uuM~f0G5J>HMSb z>(q(%idZAp!i5JLrUI|tU>>~iPgL|cn{--r0XuiHuwltO77NA;=kxuLwW5h8fdHT+ zwSK5;)?V1YozY)u=?5N$CHDbQW-_bzy+s}R>+pT$IfUka({=??x181ndfk8Lu|o|9 zn_+~q=dYl{YY0SF<@bBmhUw_2qW%CtJe;{TfXa%#qPLS{zNPejotluhay&H&DOvWf zrLf6)Xo(;T%RalWvWnx=xI+`@ziR>4b`?PFyYGkn?CHNGcpAQ-XERe=+FkHoGxF%e z_BPjTEO=wU39D6~zUY+K*8hKr>2{ORE0$n6#-q%(2!3Rmgd;ou(%ZfZSo64)Y**&r zp(kMBA!sWzOD4!#psCJ=?9O~On8Y$*m-G-WGJEbjaxTJDTi!cg;OhEez$?|`$Zo4J zJw(&cA0q1P>$NJ&^`pk>FzQ~{9V&eluWtU+etuEu4Wh0PR)&icl3sKe={`|*9yzqj z!uF+1eHv6#O+XaxZkyt#PlJiiJs*7A{D`4hulHxlGUFPin~+a6rW63$6$cd26%}3a zHh2;ylo`gx^Y2so zO8g|JaensW=L8DErb?|BAf0u8kbzW;z#y&t6Fs7hL#=!8g@g!aPSlmh4_USx4bDx1 zmS*whO8M8Xg5Y+wLX`pbq3)KN+|anSn{jb2FuJ>BI%ptzlrCeJrR2%_8IxXrfP)QV zetUK2g48sLR?hjMAa6G~@l(N5zr3+Pf$la!=!)>ByjWJL-#x%c1>}ZygQ2$6a``V$ zAjp7r#*JD5rg+KL`2<6Ln~&Pd%^|y-n!I#Y>0LtTFm5TQhSy38ScAMWwK{{f`zu3( zJD^YE=Z6Y8boDseJ}L~6GIwkxb?0$g$mrNfXG@Nkd>yzebw8F9I*c{wF=Q7aVSS#v znipPv*JJ1sdYY3jeX=uK|Ilx32QQ%_Imv(#f=vGb(*}S19!GIO>d>HHJ%|T`1BC3* z=((&4`6LBsaT8IZghS7LX~Sv9{wB|jqyne_vA)W~9P`6I1N1?RV>aUX4HJ11Bj)&I zrkPKFn@-p#r6KO#lIm_+{iy#%sIyZV*aid0n2z($$BJRfyRRRhSEjBf_vd_uHBZvd z_%r!*9&_3UnBFic&3j_!5?-Ks3c7EuOC|~7t5VA8X@9+Wspw6R<$cjTRrQ=Z*~mf& zTvBNHvt3fpL-SwJI7@jQ(piOs`asz9i90nyuN3^5!t0_je0Q1<{{p2{Leph4pHw(M z#@kYS94NF2=7hrNY;fZ9j`CINDIc`qw_HU?eG2->jH*rKGF&hXX`^?G!46_~-hMBu zYT*EYD!bl&&oXqLdOx%ef42O3P2YOb*ZFHlx~9R+v{~^Hx4I6Sf%$q4=ElF4gR;d=-Fic#$Lh#NZw@5F4oXRK6fkXqdNqD<2<& znvVqNza*>((PT-*NjB#Wa}@SPMfp)Yl=6%;L7bT!b#S=f~yRffON6=?83F zkWs3AUbqOu)ItgQ`C7~S;kci(uR6I@B^ab{1PvZ-2DRAu7tx^wNaJNK$2y4t=CGJr zd%}O^;%b6h)Sw;icr9yNJmh+s9MBu&@`dBe!%eAK9K5JdA+`P(mdiVr?J1hD-k^Pq zM*$Y}XP#?cdAoVg)=1!aEG$a#Y-AmwS2b#0*Q!CSk`R|u=|B=A8`k6;UG+jM8U#Hz zQoHD4GYe32T&X=E#X9mgl^Rr8J*BUN!fdLD&*eVtf2#>q-$=%94C=gp80eI6FbYHv z$6Y?g-h6y}GF-WQUD1*V_6=Z(q9u-SJ~YD`>xX`k$w7K!ZdQAL{5U)LE2Tid^&`3n zY_a(yUlrPy4o!;hGVilhghF%c;WA^2|INlq4l^x>BU zTLafQ5ZN@v_dDkoJMGTG8Fs4%a=`}`GY;yM#;k$qa9-FeNBv#enfx`Y8H8+;9^3|V zpG(5|`^ROFbAU_mtNAW`o}W$Fe*D(iirtg;83>ZJX|3mSRg8j(d*;6qg&8ua`Dfpc zM3N18gegzoqc?5sz%L8P*0`ZC5q&_pn%B!mr&tr zZ+;r<{yVeZw?+TgHj~i9q>>)VuKJi+Q2}8QP6PA~4zMT4&Hj5jvz2}_2wlG20C2BVGXqPkP{Yx-T_kKw4iY@-c-S|Zrkg!sU8YbbBA4#^K`P~-C2=5u3 zB*$I()w2st;OqIh@*l9dsZG8etaETb#$CuWpX37uIt#dt)J<$Bsf-1bQPP7fdp|0% zr2Hd89kj$LUZ_s&hBxkdca$D`?JLeLaw5vv1p*?eAqPqW&FG93wu8g9Y zE>viEZCY{TG79O8#yHl;$P0es}iV)`~??)uY9%_^d84*jb4JTcOYAiYGTc)UT zuWgmF*_RA%S$O_>a!q9(6wYF!LClPJX;;WVr%w8TMz7wLR7?PJLheu5c>2&MU7+2( z{J~;2F2G zDp`ugFZP)$MZYYKizC*iy^kq+6D-aSr#xWp3Bq~3=3WH5+=)VxQcRJ2j~=oVEcfDb zi20;#ry-<8!+RWe!)5+pSzQ%fbqpnF(nmC8J3=^{u2*JnY4tiah^C?Hz7T~X;>wnq z^DU?{@MTxy2AM*6>DVs7gK|r7?j8=mXvz%5-ohE}KnCQW1}<@uP6C!1!94+B2@K+M zNa1$wyA3F@IL2fA*@c~PEfqN$*4Fq+?Ym5sERXB43_ep_Tmy&IFtGM@bjHp~A5NnM z?q3v34VEJ7+|31U3PotIx z^9!8jqr@t;gfVfnqZ$`gs(PmPPQn)z0CxCe+x0#^e!_MNd{Bj+@uN4NZrmdKmf)hF zk-r-SmqgyQ8?|a^yqsu0_v!bKYU?YU6RJTmN7KtmsuqnAmZ3UZK(QU z>H#R0asbXnGRk6cmN4*o?&wT~U+wFTm$D?x#Qw=G=N$gn2J38)+wlqmJkM-o zoVoMX-iDoi^O{ymms!XCu^flWslpYy@M}=b4T-d(j6yFD`*uHzF9%j6D6SxV_QfJ{ z*eLDdlNl_{>^|jz306V|Tqxs}x2{%Vr?+e0vIiVx>;0z9S|}l^t^42TqI!ux=|_13 z_s2})J-x|}dR5|+U0rkT{Y(jQRetnjPmu^s4VX~?v!Z!uQORM|Zw0*9v!Z=oddG_J zf=TVgI_CZWPqgNkipZdbRXDc0KC>lg57AMXFK09(vn|ALbBRoMTNnkEkA~&<`u_Oo zK8tK-zt4+Fr6|-Z@e)t=CVzP)=W2f5P?{>-b}wjg9NkmCk$;sx4}1y;MD!T-(lnb| zA1~!nnPZ2U1vc($ETL*^L9`SpR)2j9p{T2e%lg^2dc$1ZjN@o>JS}1|!)%8k#Sbr6 zMn*K^V(Dx?Bl$igy!6rD%iXu7vpIkbU#*Ey=Cly#s3<<0xR{&-5dZrSnZ2*`_%pD0#NfEX~KgeRUXKEzLq*LW{;fb=FJxoACzoPG9v%IqLO^_z;XzV(f9g{9F*LF0bS)!EIzmfS!b z%IF5X5+VzL*BYui4j8dZ2VokRx55&s9E5vu4fV9wZUn(6nDm^TrC@63Fyt++9ex42 zf`S4PwxQo78lPiTKDGXtXnjiCL%&1%*ur~-M9DWysxtRJOfb4_G|MAHtU30va*|x< z9`m~-_QKIC_0`!8Fmi6YI4)c-gD8v7sd-IrvR|$l_){C(6kf9D#I$5I9;9p$E1V3# ze3jo?zT{~o3;)-t9F1(_Eumlm+=smAhf9z4{E`>(R`A*UO~Ct3&HQETix}{uK9$FtyhKrI^slbdb+b>Q^TK<_@BZ<~oZ*p|33sI%rDCv|G%Ma~u*p z50-m#rfCN-3I+R3>v^F4YIs!mV%(IlkYYGR8=pEISn4FT`15RQTt1`JF27xIMu8*m za*EgAs%f5a?w$)IQeE6+6QLC(y0m(C3a!~ZRltD~#N>&oa0p(%vV#GZSA3cFN0f9R z;E2=b)qPS6^;~;#bZ^_s1fmPaG)c)`>0$g>Ef01*kI9FbKvzx6F`IoH==-spXc*OE z&tB@qh?Jw*!iorOM5Xe5t$#ewNyc-S6v}1*ZHq@%E<7}cCenePW@#() z`G+u6KGX=vmIC`Z((d#DZ&0bfZU@LpPsCpI5#fYYikHSiUkO;f2D!DT;sav8irg83 zFZa^&11sY49r97t)5H)@n@j#`BnDT8ccq18mE(|4mUU3uMPPW9fV7JN8Q+)*I-IXR z8NnrFugcK}O+6Ad_!<9bPksp|#sPT=elZP%HVlDf6KIT?>1iiVHV*Ogo7FjFLGyUjGM27TKxbRLxCh4uRl0_aJcquE7 ztd>K+gVhP(Hg#iYHJ%Ryi{~wtM@08SLL-LneCTJ*IbR|gvTc1mWWv=>F|Qhxcn~d> z4Gg+8Y>maR0zdL9=_lG{xMjwje1YCDe$gZgBR`lg7%Pd-K-cQ}8qAFu)JrrPk)Swp zp&q=KbF@i({uUx8h+=Uu9?JXbol7vv>G(I+pJnQ~!BupMG~JhdRh{3zu~W+YyFvnk zP7j?E9N+fn2ncqE47a4T?LjHNGSZ9li<|lvYTRH<&m;1`s@wSfjSoWv_4SQ$mi~$z z$+|JpLgW+kKq-&w9s@q7gwv1OlePocK@jky?~Y!uof1hDhH>T2%&_1-QI1@$9GN-T@bnL=h?0aGz3bJQe^#8=vb+f(!}C#F@v`E1 z_=S;DgG-1S56dsNJJ3E%vZJ3TAV%k}~eYmUNpWe~imam_9TIXw_Gs+WrJNdtz~(6)Q`PNhOvBys5(m^rTGeZR)**IuN84U5q6@w^d-emHxWtOL zU0`R287))(oRBP$G3}?xe3V8khT@NM3-$ZRr12%K>pTuGi@S+o%7Xo?>(CA_h)!G2S4B5NB zr3rj4gB^+CA!JI|ef^6lW5uZl%xxO!q(LM#Ah*v0C^2C7oEQ1dX~+xdp2~X@&}N3bTZ4{cktmgo z6L2k~_ON@Q3q5rInhjh!HMkvHwyZ6NF|xE%Qc%icVt#qR$+^iIrsMW!@pzD9P`n~z)8w8FL#iPlS z&x{n4e2lZ)gmvLD*{ zR1<$N+ssUIoz_4hmv8ECwuNPrYBb_TG7z>BPt~XhCW>;-_5RR$9dzB~g=4p3VH)O) zy=EUk76sukIu-3|$%ZA)2&F_&PKr2f-ZN-_#oE#ijH4@Ok|xV`!>sg+(!<2fa1PM7 z@YM{*0rE#A?R=|Mu*FS?c=fIxa9cM2m-l{{ND!xlXp<8di&Ll1Ws*i7MOq)>m^mah-5c!seBK z5%tdkvA>BM0=7j+eDDRPMi+i)0-|h3u$+0mz6@T$to^lIO(T`~T7=NIX z#UP;mF)Pre=$O-xquMSy?yEm=5DxhA%_ufV!O>)rc-@+_#X`<6fz*CAi z`Y6pLuqv7`mIL!47((`Q(*c(8f%~XLR!Kn-qd^CqDspe4@Td3*&rt;yRj};sx+!qs zsY77_wS06Pl7mETXC>{~wL|}7L1F!33Q$FI2d4b!%n`b_suXv*nxF`Pu zptzJJ_W^t5JH#LExiAK^NQ}iO`jyq;Hs5dB^%zRu`x$2hNR|!q4V~yW}tPlr|$Jg7Kg=wP;U&yN~l`O@yCi^LZwqaN|J*^i1sJe-gr^GEp@#lAl ztaX~=>R+hUKNyiMYPrmvlE@=-s}#glEYh*Xv#5pz@m?$WN=Mo}L2EVnw; z#2@NA8*e+6_u;UNlI-#!Aqx_Xp%A4*D`i~tmr(H>QrGX4fm!w~7dZ>HYO(ILC1xyi zaq8l~-Qp0CH!-}k%Z4w+ zriS7M6)}NAnAdoLb1gpybc1vVvQI1Zh-+x4D>^0mKtA4Te&2h^6m%MJs+`JV0S4+Z zSs=(~ky`zxUzOI}RAEXKPSn-gWBKtFym=*6ktn~Dh_P8Z*X?62r2*uSvLYqDDeb%o z?t9$|t3pc6C|6hwBez!1y3i{~kf}Qyz&Ww-HVggg3`s3dfqMj4Q5(2EkfrX5$s=u&w>Yo9jaP=*RIkCFd}p)*6ds?p_^xO|gDNU6k8eobMJc9+objlZ&-L z4S1#oYpzQCi4wN|o&EDtj7XPpEzyn}7Rfb1y`m0pX;e}#MdR0x$!gsCe6FJY zupI9u#g_udmB&bU^KLxQD0D)Xv`ErFulYw3OMXcVYkO0C7Y+2pAJJ&U$S#%+7fjX66Kk6mrSpHK$8 z-^g%YeN2(FKfQUb8Quvp13kXW^QAMg-0OF)2@+?Xfl6-Be=Po)T$arT#$f)Ga3E$Z zQK1ygC&-vZ{;N`vI*SO|rnypUc9ISV6&pZ;W9fZ{gU*l_G#uu{)yZSVOsn+MhsdVO zV?7uP(GY}6e2P^J7P>Wb{jAK83mPfwsiJ)Gl@hx_)(JBtNt5@+zfO3ks1+;Ze#lyU zn8UIYjdpdjAxT$I#dnI>=&x{$Xj{_{M^y3ZIw+k-`?FgmzjFYkFLQ|e=t!&|8i&PK>wn>Ui*7`3MATv zQ(69%!Ew5C;g}ZmFgu&_N*JfzLBIU~6(I3-$_*LoY^Q+eWIa0zs^<|h)<^D6}vKn7^>9~^qc|h9V?pQd{jWL<;hp0};tg1CaL2hMw zshrUAlgSFs*x%{C<(904Zj=Cd1k();P0E3acP%xWyk2yUO>$16#vEYk4P(;c8Pwff z2-e#X_P}`9bxW}8w##>8|d44_P z65&C#2QP&bk;;v7i(%-n+AVk0pk#ro5D3RaMZyW^{!?11WwIw`k~e*}#1Gb!?rE8; z_w(W_R|Yw`mwNW|v>7;U&`U~&jXDyi#|q8!2e6*#kbhKe;v(=IeMm8f@Q9W=?b6jzR=2nvL4ENuz-ADTT2=Gj z$78J;9eF%YI{+e6BEtXbIP@unGyj$J$RF+NzCxm(;wPdP3dwmpYm-RfA!J;Q_(Ju=&&dvW^xsIonH}J~eco_3F zZy`5OX#Z<;;t8l*wmt^1WOD+2qZ|qHijkbAvFaLuwn>gr{y;=Cz+g+(8p}!*kH#KC zRM2{ACGcfErVUYaF1h7MU%~OeJ>f#~g<*B;X~hHJ&a0`Y_!5-82+Zg(!1c{l~7cak&CHKJbwN?vI@1B$Eo-<>q4QUi-L zGeqji(pR7FjuYo6q68Gj8iZa9gb&AUSI8+Ku)JXn;qJ&G5e<;Z{2^gjB*Sn=J`a@2 zab~w-y9$$N_B*BgHL=>QbXbNjzL$tkx5%!3&B&F{tLIh)W)-K--toeQ9_F+nyNHGS z{*R`s*m4}nqQ4}DrC7F@VJEhj@#{yC)w8JXI_WIiigNKH*~}9E{oLxwfjP1c2x7{Y+@?aC z)9d}piBfSomC`IjCw>q@Sb4Pnh>L7QLM{JQMY5V^ni@Ao&y|&GhT!R(5jkn9$~{HK zZ@ez+%PJ>BIgg{Ka`einx%UfL z2(7VcA21dI1rR(#_HUJ0Dwks{j)m>mV8)iC^sWFYEOofR#~Ed@z^z|Cf_>7wUSDwF zW);So^~kudL_%X_fx6V86Jy^P?XX(@#;57%AO~^3N`tqlLkhpRD!$rRCXx#^s9@IB z00I+Ryl&H3BRe15sn>y13!|&kLNZNH9w8K$BNW%6Gga6MTo-Y|V(r;;{{&A)bkT>; z#<-YIl8iBuvoO{AhDgagQKf{?3!>bq@R`59Ai=UgI>n(r(KZr)x9eJ@DRR6Vbkwu_ zC6`el7UI7b4eYxI+S=1(*HuNzA!fhEY%2S&KarIk#KRu&gvstf1GXwvTk!4!9F&=Ku*|8OCo)x)fRc z<#{%ntgFJJ+cEw(yYmF5lOvG&_na`~1N(+um`k^aX<+zdwZ8mFqmCK=d`c86Qx^%@ zkBXDY52sJs_^@8Q{&lV8~nB>5~#6u(BNl+?c)Gq49EUg ztwebbhM)gcqrwpbFA%4XnZciSgl_y_kB*}3+3sinHM1`9g6hXHb{(k1sCVi@U1>hO zJPdgnne@!z8SX`_y1cBHEQcym{^ja%@qERXgb1-g&tB@?;ts^+sO;Z+1Ij?r#61&t zc{r-~BbCZJ)XZ^tANwCa7S-HbzwP=PD!G8-Xy^XrnZ3%tL%eCkS=j*-3QSm)IwKV7TtglJ$4Alri|JHt*&C!W8#34 zDQybL&1+=G6t98o!np_to(u6gMUheI9|Ak+vV}VxA5dkr}l-rTlI!XaAj=(#qXq6x4>FIWdSo-@sCe-lOv%Bh_KlX*ki< z33B9=dbi+M^?1Og1B+9Vmd!bwE`1*?q7?P3){gtq#tSL;Z1AUb8?7}+jt59tvii{vNK$oxT*C`_ zIyV2_thoww7>%E)R16;NiRWXQ5N&IGwkNd$igZQE7QgHl+FtZtS6hz^d$ih=WCWc) z23^bvIurl;3GMenveU&8RjNZYD+dO&K%6>KXs0-`BTjsz>l!~!Z=n?V6SVGGIH^wc z^UHmGd3BEbWB#}3l*aK3U&ZmREVPOKQ~H<<0%4n=5R=nMX?NE8S<#}iBn+{Bpn>$x z0X$z;!OQxiJAM0zY(r@gJ!w_j{iOp5@|NQIpQoUpo6$qp?9+$?sF$r>h^W#R1W8>; zDjV`rBFX7Ozh9v{rM6C;S|-Kide6c&G@iBfBG)}?!U98cmfGlG_EfjBgR1nf(3Po| zol4WG4o~WaFz${?nZcZse%eglL14It9RP0Y*2+P6r&!^ao+RUP^*VEc@(Q|Xgs+JX z+p0R0X}WX{9By`omAI**UVU6t46PamfVFowLB`lW({j{(j2NXS>-UcN8{gBuxX1?h zF#o45U5~viab?k{Ew5)F=bCy`hJf4PAoZn^2@@RAgj0i&TxeIVS29!8Da`E!k zLlS_S!}^JTlqk@8pKSbx%dLa?T@qsd1F@v?JQ!{E_>pOUth^KxlaRYCdA-IZ zXx2_P7W6Z{dL{q2cPuD{#gw~E{fw`nQ!t4Bbu_xl=U}I69iow4(@_!2O!D$*^OE)Q z26?L~eyKGrTYK9~sERYh=OOjMf6Z`(AH(9tI)WtM#6dCOg$ZAr8XtM?tT6Z;Z$VI; zMFIH=*hg?n-F_W-eXpcY06HPk9PtsXIu~(NPCPG@aX12KhRp+dKs`-2 zts*~xAaE*geLn?nQ(yVH>_~{vQvN0ZVq_Vl+S-abnoHUtxt6v#DrJPgJkxt3+E=wf zF0vr(`-?(b>wA{BGC;7fEWc)8vL&-j&*4jrbp8&^CBpveg!6?_>-Wd|Q5R;?hxsV+ zH%VL_z~|0Ni15_@(o_uuqQFF8`)Bq-At%Oko#PxhqFW*euP4nY(*-;hkvkKoYIV3C zpWUMfY+3khsk&3d90WqqM~OscvUVX4Uaa3i7?z66+?^B~*ciq4;fe1Hm7;F{o5={G zi`m>fyTK4LOcw2(HhIZ_N<{ISeWB%9i99FL;U19Z^$7|e7D?WyDg-5F1PE&#RW!pK zv)|+&*^vUKjoe)l*!)xTYTR6_N$4$E?V<$#csKUuOe#6J6(CG;gJF0LQ& zf}|H$>emEMnTa~Vw->=S4>s52oTpy7$`BZRaDV>YuZ|GdGO`c`7lI0&#ef!++$7{DlH$!vliejW4@SQIN$tt%kx7 zkBoO#6*AvT&40jF-j`>v{$%=gH{9P)U828E zkb7hKb0(eFL!-}N)NF%5g5?N!LwFmu>P1w-mn!VTkmlqU{hbNI%VN6aih4c;=xYZt z3dUXT-)Dwh-_XI2YKWYsjoH49G2u|Nx7jrWC040Q{_taL=@r&59Fr3br1rYr$c*)C z2c&dAS$Rzw)>BF6F{+ioR2>o$CJ<+k6=L?SM%aG;KOYVvU zTG>%wzKRjl3HT9cVmK8ibZWHFGa%aOp(}-#c$L!|Lb4?ta5S)NDN>NFNKVa z%ydp)G1}vY|4P6A3-f*l$NOvMyuU4EzA|IjBPD#4RMlqcX!i6eFI5s0k{b-utekE* zG!7K_ipmVqE9G6>B@63_Pr(*Q^5lO|JyHI)3N{W?yb^x5b(7*MJN~H{WhYvdt(8;`)&@ zsJEaH)E~%E)ba&unEC1FI!V2Bnsra}Iwte#cp|6$ee`c~!_03IkG`@kXxCF}vXz*n z)i=Oc(~m&dv<~x*~R4ekVxw$S5ph}Jv(OU8)}|ME`C)#aDhtXU3Z+6KOCpDn?+O}%9MSm(_%>nW zIF%ehZMhG#ZZd3U4nkw%Swxf|w+R$nF*vvEC#kGPS8(zG--ZGaR`VC1De9oWKKM66 zbEFh0=<0HVtTnp+W#sJ7NVX#Z^JuJiQmYn+4Rp1lK_JiqI&kM_GS~94#&SFx9n>m* zPGd3T=hl}ZzkyuOPvRLvvCw~<&`>%vF^Y0;We|>UT2tjwXPXPYMIvnx|4O^5n6ps5 zSJ4(_5lnlUOiXDQrk#il^3}aL**KsgQNKn4=a2bUT#8C53%7+b*x-pDC?n;;S_{O? zq;iwMno!a7M`D*x5KE~Ec)qSlxmcb1B32jS_wphNKqAXCEj!$KmiB^u#+UFnE~=$QE|q+SkUwB%e$8Jaik64WpO2b`m8YXx7W2qHR+l-MK9zP!;djdCd*| zVAT01%7p3+;%gn4rpFF#b70WslD@l}) zM+(uK(9j)m_L)!~IpxZqE0wICR|?19@R7PK=oe`cm;9z92-t1lM;6Ie9!d1CDdbkw zI`0(|pV4jF{@mS<>WK%td}sz^?;YjgoW~8&kQ1iW*V5#XQ_Fl&^g)eQqeKComx3^{ zw~fs0!UtA)({8g~$6a@t4|jriwiGvAjh#ows}LjB zRoys!nbqf7l9HZWqbk2h5Jxvy*v*ayayCR5Chz~4wYQy6B7-E9XZMBVFnSRRZQnel z0RYf%wFUzFBoa zUn$4ekFSm$5Wfk{lKE`+Y%g*m0t}iqraIE7hF~&n(;fJ)>HJoo7FW15BEWfq)rXXC&djZAxH_ zdhggHO{32Cv#*PmkYVDesWkBYqDTl5KC&eQ(Q)gSW<0L{-7oP&yg;uKc#=0cf!_rU2ZGQC z3+&iorp*lcE%PkLAkhTlxkX;s7^qaM^t>2kx=iKw^GJ&k^>7`Rs{d0If4cet)Y5Hq zNEbFgwz&7##8V?uM}-)isC6d6Ik`i;Zv=l5rbS0K;}O;WpZ&}uYriI_* zs7ZB=>ks}HUr+`q|2C;fCvZq2-ERY~&tmW|7omIci}ZHsJSsbm?w8%mrnCZV{;g93 z;2=>KT|;2^lmD(0ohPvYLq}P(ib^z}<_v`C#vibbV#6*LcE>0_UKhoa{H+(vJM)1y3g)HEW{Ays zL@AqnGyToagkUgX$bl)_{u;9(*up8U4i<|j+-r@Z{mg7mak>Q6YNDdhS0p!3y1RMQ z+=i$gnlsTL;wfgnmv~zBgyZW~b^nXFI!boE?{~hgHLJq*@@iD1QHQkXX6MH@1?g|Q zmOaL+lgBU3!X*gNehGRVIIxYP@wePP#4sABO}X(GH)|CdaUE}WCxc_#Cy0LS)|^7E z3u#&E(Cz1D6h518%JJ!FTYx*}<2%;q=y+j_)`zs}O&juXM6>M z#IUchr7e5fdas|cpk*e}drFOlW=))+=a|J-n5lE>E0s{fo3~xt2(clgaC$Yv^I1fW~xzJ_8%$^0`8;3X|sf->z^Xc8hM4 zN&3oIw`HSnZe-1U-b;MCB{)pRgz*!VcGpMgUJ%IW(E)E2+xfeB+flXZ)J8L+g%3Zq zgGFWrr?iIbL-I;^=$8g~|3#Q_yWD%W{q66P^AF^qiHC}6v+9WDqnZJ&7TeG`CU55% ze3FBZ9hARv^UOB$>G8;%8O8d}tK>9z*|0U8yC17Ax!+Y{6e*jTqpoUfTfx)17RWw0 zP^aLrZ`LCV79c7j&UhyVSceP{a(Lu7F-e4sVK<9?R7Ep8qio@cYK-Fg3;oeYX+VLe z6KU*@nTC3JuIOe^FQolB!Q}Kn zcWPc_xh2GLCGe>Xcrz{ziNAAEy0FGmBS`VT3D&H4?To&$FHR;~b3m!Y0~@AT6<}NS zMZUT&=^+R^s)pV6nA9o=5eHJMuyZn1{1Kyz@6><6)Rsxc?`JA~-r@K8x zgH7NO3P!AIJ5`tu?yC8`*n1UBP|4zTi9xFaGdx#X{*i&aG)b3hhAtTF1t)01vDO?l zp%@;1(WT}etC^?c)X@FBJ-oj2RZq{}&XVFg{}t@u#qn`5W^n>99X>yR{fY*1CaYT{ zhzMz>LkZhe!|aAXk_rC}a$=}rBKDW9%gt+N^jO3IOF*>0=tI}x_ke64;C0v~5&-2B zrEgzk{NDUh{<Sh(HALemY`CHll$vVDzaXLMOv`T$O0SM;wJ9G2VnPJg3sj`$sHak4-&|3t zhc(T3!RNMwSpvOJQ1#nBUQ_Y5tmS*ZUfi7pHgfzmY&@zzF}xqH8!zBRy{%@0JT=Wa zAHbhQg4uD-fIc|0JQwuLA=3R#>}URHtzWMI!6DTW&P&)FDhK1QEGmNVeO)itMjdr- zf`A=mDj&dh$D(<+%RcX)7d^*JFoG2PhRyvWF(p?3)HpRAzeaf)jYHQR*JT=3OGWJd z7gb*_c4Pxib8A{hbn%syQ^^D%Q*%Ok8jT2W^V4wmH%mX|bANv9FF!PkxHMM`Ddx*W zWFC8CkE%Y!7OrGCnWR!okeNm&s^jx3Z|s}ruCbUR01RoWlSb2iTPeofPX=c7eJhc# zQgaA`W_kL#;tgAokQmR4ZhxZRG(o~BBlm@@-&kLukO$pufH$&!*CAsot!^}AjPtJF)GEJ z9V3t;l?ELks1_Eml74kcf3Afc%@6)Ceo1mfUYz2T1I<1p@vfiQ3laz0x??vwSm~L7 z0ZWo{=Wi&nkU^jj5;U;_X+2bkyqY%IHv9S6wY3CbwS1I?q0xQfTv_nBi$ql2KIjs%3JdwFg6S5^crpg<(`<0lLZ#^6EI-Xxhn7CBPsVtA8yK zi5?bf!C>5=xD3VB%PKX?6u;L*XQEiG-C5N!()@l7^zgcYB5HcO^4aYw>eYrimH28f zLimJ?=LLm%;U7eyoQH!G zAe>;D64Ib%HGx~oQdlSkoro*(vOc>;ahdE@ey*<&z4gXfXXlY6cm;PxPOgwKwA0jS z7^OYQuQo4Q9n$Cdu0N|Es6&p_+m!eadSoS-^s!hsqx{7Vqm#)WM`id05)Z#Tq5 zr4ZklYAX3;iC5^yd)eq_JHL-7Sy}M?B%=}W4v8Eq`n{HH7gxUf#Rj2Sxcy`g)B`+Y zg4yxx{ZJ~N_+!*zjx)C7_lOc9@Fc`1zU8{PzV73_DXHU1quAN1Jtn@CQmOD-5ld4V zMxJiszZ6m6?5`JyeRRe|D5?-Tvmi@l36k(0(TaZXmMjz26r)lZIBJQ=V589U^~>nT zFYAIFNi21?yjj1sGQFDq#^0p*hEN}`U`zlah^B>n=cf@z7?;fLDF%|JRo6IIGD&hl zpOsdmNUA}ArFHD5cL??(zPF(5i`}Oiy(0jP>{$k4Mb&pTRVNKY=a&^zWAFFP0jg*! zT}|rP5vsIJ9;tR-yHetd^ZTxQaV*$oouMU+hS4yjgzG76F*iF$CSaJpru^wN9TaZ7XM*446P!eH-K#nQD;e&Xbe$2OoBb? zM*)rN#EgT>mH12%qOZf!TzZHQu#;U0p2qMtI`*DCeWQ-P3kVZ`tDKs5KoNA&3j4Vv za2k;dQ`2a(bb24kAEG1jd^B3NFPIk^$QOhjbAw6?27m>khJ6%&tEXDb5?$i;KKbv) zssl?0maKlURGxiUrFJjR7>NSTr{`Z%PhReVOtT$_dkR8`DxSy9DnZ@@K^+Mq)q}@7 z;*E6u-;kjo-mYd$0jS9c#Je-v6(3$>2dWR*IPr|1cBMK?KOCEt$oTmbB-zz+JY;U` zUg<{QUuxk5UgP;Iu?C+(W<750t-TH;iX1Teer+O6j{7Kd6EGpO@`T^yfuMhtIKsNV*(Vc(3;vE_6hsgw3p>P-iHE?1%PbQPPQQ~Qy zYDs4Jf&WIfHdF?}U=2l^@|}3|YTQ=|o=AtMDn*)a+20+fUDd*W3yoj|&<~aR>o`iN z@>lq@+K-ixgb_KQ?h5v9<>UE~felDP3B7Fd%lE)w_-41F?(Q4AnddKcMy0Xk)>GMN z@!t_XYWFYjn11LybLsvdDG2jNzIqOh_-Z704tGg99+=JO-ZXu9dgTYs$m{diYxr2G)9o?F#cf4lbW!jdut z`m(^m-617!aI~vwmy~isv7dzpY`;jDf&w%_t4qdQL5e2Ad${CB;?9hQHx_7WY690g z)+jH6mR+}N^!jI#a!nT58fGouEsxnhv7<_u+5fEcCmGa~;}R5=RUE*}Po1O6ATV>L zt@!#bxt#UXu#6@CNlJ`lxKjGaxzdBvocw_R-wS4>?Yc{oe4^#d>9UO_aj1+ri|qx9 z893nz2T0(HPp_3$TItq|S$k4>1;vOWGoHV!%8ENaXvr!8+blQ9^02RFqsS-z zIf(z&&Gu2`;=AFw6!~Ng8`panWN`oO-NV;$?LmdASl1)n+Y-B^^I(+Gf;6)KTOCk- z4Zw|?mSQ5(n>|{uy|-wyjdV{kVZ|UE^frCvQIvtpPcM^6#@1GL(MV?CP{jN&6hBgt!S$}@5;SQ#KnhPv6@YT`bbo*RuMFnfib!xH8DgeC zS^cm$X00vir8FYxz6e0#f$MiSIZo$p4*oYm^$V=Z@&hyWAVX9(NGJFXlQD(_5#$#R zOwlFJKahW z9FMQT1woJ+{bSAIqS}hB3lT=XrWttPE+w+dk(a!83CS&hoz6jsME3toBnB-OjQ>c( zzq^p2TO-pERqC`&{bt_Tm4EXZ_H9+Eh$ri68Wy)TcSzglPCbcqz|-Tg(FzNwHfD87PG>x2^oGF}o8$8aQ;e;#R?d<=7aEn==0 zy+m*=UwLhkDb8?5>$f-n1Rv~qiDKQO#YF~84hy-5SPikrsLkE%(H7Cmcc1=z2ze|v zt*#N zx52EPpxZ3C2a+-~vZZY)RPnbC8F0gkhxZ^TfceBxOabNx#J83xI0p$A6LymS(qr!* zZD9DHE{s17h?|B)L+o*3xuBe^J=&b>V5u8fcGUB?fr$#7K8(S{KNh`P)fL?OsTsjV zWE}b!)y9;fM%*W0|+%;!2OjVvqNx6fa61ab})Yc6=+lrh^PrwyLFBK9xfvBuHxx&&45M@y62 zTz+#4qMScBt`^SUjSs<`{TzL(&4*nSW$)Axa__#Ut;`cKxre2bWBOe9VRD7 zb#a=VQEFsP0YS>MC!5P{VwDZ_8F(WfPfeT4&LQ7}ILX4LDK`u>2s4kXykxm5%;NbW zXH0v8r~+fJ=mGoZAF=ns$V{i?EVEkbCdf3W2mof*==$B`6~&}|@kGpp%JWlXH0Z`RD8HvMjt3z-M9;~aIT?@A3CyiR)_r_2 zqvJBq%Q2a6M19IUn@p7QS6##PEDkGUjI`(n`|Fnlfj>G-gk9#wy zLq<;`FUTOnuX#q#@zY{G~ zzdRq!0lP9peI__s96B`qlnN=?gIR~-OFKEUT#WRT9nQ0aCDMVpPiG40(oznH=4WCy zq{Y0LlQ2%Sq4VOSgrGX8^IW?hCdo%V4wxsJa^9~iQ*id4ETZBlQOPqg@3v`TnAkPw z8RsA?aTLh+U^4n|h%1D`cjM$K7h0v&R7^S>pSCJ-WaLf7CzHDFee#8F-b{Xq9Tu7| zw7K{dZj7D$t=^2@J9(Mm`_K@&`Ri7(UpAoLFJ4EF4=$2`il+NUyL=;ji#|}C9N%x- zkR$=TNF;`6ysW{1ckC`PlI7H?TylYZRPVGS}evN}MTj8Q^6tB{tyB*tG>~tMf_}~A^!5+^RA5S0X;^ubd6e&l+ZY}xL5KQN=c_=ut zm)XQkhI}4d(<3>09n#mtrDVC;rJHUbuRV0s#|Vc;nXn|W{jN$X$=77+F;-_1H*Eh! zJ3Y5R;}R*TNxnGN`)|)8n7b@efkezRFbB#YQ|2|u-dL4;zL)}n3Hn!VyMX%H0oqkx z0o}%k*?ONE=ONPa4wC|qpP4wqJks$X~8o=WQwcOUf_TBwf3c05UuqQol$7?VnU0=cAUR{y*T-yF3&e@ zX%$No$F?}%*`)zQObrlTeof%=cS&!b;u{7)6bF?aL*t84C<6p3XH)&_ACD{ph?|}D z?3roYNI%@n{fbJTjB8DUu8>4_lPw{D5otM1MdQ$e!~>mvn5UEhh-C92cMJv9v`Vphnw+s>42AA@>7yaLyOc2CJ z{J(laI>m2~Ge2V{%OBZM5AG}O1WZ1{Cp}PYv%4zg)6*9jTN^|Nz2(ed&ugg!3sVYK zJTaR=Zj)QH1nFZ^1t#SIrlCVqY5jb4>eZzglzmEOpnp$D+F`wo1EBOssMvY(6HLqY zcrHZ_XayarQW(5%yc=oz$|&!YR!IzrPCTvDIm8xIwe%ImxK9tYvB~ugN7@8sd#=6z zk*PHz+hV9e%>8D1WtYFA`ZFYWCbWp4D1D#t+OD(LG~8DcN%d^j#l6p4WNcrh9Ow-H zO@HGX@a7qA*|-F)ADy_Ge_Of)SGKB5Fx*!@u9L5sS*AY7l^13A-Gn7>EHO;MP*jDD2P;PhsrKD} zkn{DahSEhg%WAhe+fCniNR?p1C3H1{&3+a`FM@?oo_(5zjb{wMnOO_F>XUe}6uvgMLavPSx*{h@Uj9@xPS@D;^S7(3UC^ zgDS-zW=MeIG?~H^8v8m)7)O<~el)?hqY*LbPL?mSgzs%Gycmss&@Wa4+$K2WXpATS zSpkT@(rxlZ!YewHbhwMa%B_iCN(WlPDaBKZr1VT7hvG*uEyGWE^xXx45VkwVvnHb(84t!w0#l~*9Y~? zM=6639FPp3ls|$c8lD)z8mC+-B)I^#gNak?4%f`<*6)Tlzr1-1hNB zaA_#Ku2l$B5XUK~UqukaxWKqd<w48B|b({6H)kGt%0P@i~{ zMwFCw{bn&eVkpW`8we(_2Gm$ClAUc^Tu;+8yr$`aw-(n27}QO8uz#3IVf8SM%~;@u zpU>Ps|4qsx#(^Q@UdUxr<|T%B|GHzuE^Fzhv!)G;!^#j}DyRjt@Y!(%aB7e(S6~3G z9`?S0o>o8SfOS`9{t(T!U5M6lb)Bv`oh%nowC>jvi_>YoqIsWod&V8h?ex<@P0u>C+04$Ig#wz|_lx-O*M?)~{- z;2zjj#X3UwEWBE|E&bjpyYtHN_ZFY;GXwxYNZgZi2Grg6OG5hkb@a(WFsc5lAJxWH z7x;U`!7_+jl;EJd$f8&Os$7(m9{5v=gUlU>4DcueY(nWLFFbnx;?9?tyTf6`_Yzf= z^#qXlH~jEB=UGWzYh3Za``^5-hmWGc`QBmuJOd-`f+g81m$KhB9fokP~u-C%C0%#Ny8X8&?eegX?@k z4ht_;-pj+P4hFwt8=0;P@sM0Ti^~|Pa5D9rF80@X0r|2c`DgA+xuDhTy4Ss<3~N~z zkco@fr+ZMdJ3I<}dx8aOeAd7qv%jg7>0XO7Ro9F&nkTHB8*xSGS;MuVi%UQi`h9l! zzDN=}`&+lU%YIs?`#kr%sZ7GNJzFL3==ss{JaWSvKfb+o5QQg50wtI8UyrEGoNI&L zgPEjWMJi_Dt89jef?@n1iaFLp3o7M`hHIwQV{gUwZ)t)Q$!k!H7Ds`eu_)hxPNX;i z?WeP*6m5_aNQ>YbHHh^P+&HEOQvUP45z|=ibZFAZS?-TGfw#I8m#b)6C;QKVpde&7 z02EcNTI;38D~~jQHiv%Iqgy3wsi>(fQxG~YVjpybd!H35pX52K^RK+@ zu;xTI+oJmCP=N|*%+YDG_Dy1W9Cjdpx;1i{nnGk2+~ZUZ_-XrU#!R2kHiZZ$?Mq9G-R}` z^`Uv?z!t*gZ_^A_n$sk9r zgIxB0{1RKt|J8IAYjSe!_G4LpqS?g6x=ny@i z74ONQP8b&gANiubnqZFOrvNY?4;rusjX9vmCGTA<_=rB}mvPIV1vGRu?RF-4BmM;k zOJ)Ys?|{93U*QmiEM67*2TV;R0D&N;pDZ9DJ_Z%hd6L!CpRcpVb0g?79r=3t-b$(J z^eU1Xa5zE!ZC-9CZr!aUbQoA6vBu0R%|qCQ>uZ!9{Zuu?^hpTL0oHr$;?Yn$rMk|q ztT@C5L7=btPUqi3is$oB2Cy5Gv;cwabo3;Bl5x3`+!M-BTx?6Bi(c>L)ih^E3*XJ!jN@7io)D6RxmDUP|DK+ z09?xAa*D-9WdQy6RufEuMe-N+$-gDJH8RL5%)@m}r-qi_d;p&<^FQ)eKU-6X^=r9& zC)9qzL4)mc%=WG2Y3WHH)6Kg7TW-V{9YQ_t7u8`r>Uy6-lm#y#0!|J><&WWR#qae7 zABiM`zx|cDQ(Bxga96)KTP zo+Ep_RthL7LLL3U5e&jIm$iUjJBZ8cIWp&w;N|-N|(MiPZRHO$g0_OPZl$UMlY8iLV^qciaApf%3%I)0WtQ2-hK=zG|l}@iXZj ziaXs^YOdazK({zhA+h`97pW#lN-s%gpYD+J&y?I-lif^VeuAA&KY_uFJBhmJBj2{mP{zja>GF!Ys~gZw(-JC?1s@=u1{; zrk%8CwvBG$e=ZyLsgIKa3{kws0a4v5vr4rxNH|c`e`un8D(=|uC)#?5&2PL#O~R+( zSZQxH?I7$C^-5#t8jocm{VE3AwSF(XL_RteBKHD2i1{Q3S=zE78g#h{>&LQgMiE>v zbmv(&#rXmpItL+X(LI7$!Fq4^SQ(GR?;(GAxVT#o1Ra_2Uaj?!dWaOJFX`TX`DKLX z@@^t8hn!qFO-H^I{q42jsvLjV2w(uum)_8jnG#-kWs!;x=wxlUIfgY44}T@$zDE_z zFi)?%#;5uDzO=;cKErs=d%jWsdPG2`l|iNf+cWymR4B^;!BXK%%B@cvSLbWnqaupB z7titbLPF7$Bl|;w@`};S@d^wD;e}17zxi77KZdWBrt24OS!HrYc|KCZ`IfMP3V#!) z3sS@1WkOKOoNZVEqI?OGShFhrzU4z~I-`cwG5SLrpIEdBMp|{*@mJgcsn=$caC)Fa zG2Y-)GYb;x17)I({o%M_$KQTB$R+=JP(L_^ftAOo;g9ycSa-Xv0A3ERj6kADoJ0sA zpb+X}#vS8?HE&Bih-Qzlh#mlkidT>3EIxxrmSFb%W=DEL{pHrJjR@cCtOuZ^bD9_{ z>S`Zg7sC_*?Q4sn0M0Yd%{nNnOi+c>p!A?wLe?dCxCPYD2u7XhuAM;EAaYr9MtD#} z%8+r?m=28}LVxEU!SmvP)8m!j)CuP`>tWf~gO!|U{D47(2MeQ1QmXB2Ny0IoWG&Ya~?61B>fEvANj%eVG!^L51%7jVNw28sudSW(6?wb23st=9XXgJfI(V|=Q%g03PGPaVHX-8w z;=4UPX6_&aOS~l{j6G=f8WU0qBKs%smpE?L*EEq?5<4_SzOo%OB(3OxRYB2OmgkWp z?9ZbN>5Gbx>V4=+lS=)EJK~E0qQ5=MMA}+)Q5#hk{*pmoKhgC=p=bm$o<`!UQ%#X; zwX=Sc)$i)W%bINJp?e&DSoN)(E4BX8S$+tuT`zJ4tO!F=SPK2UzS*lmlu=`T_2P{Z zpz7ABluS_n)*G}Gv4q<8DdZb)Z9!+qtPN0NCc@AbfSmigDwKbj~a_ z_+T0;C@f}O+F#vhsS=U$0tAj;85gX1eWpk2WJULpSWZU#e#h1G2a!xJByVC)`29t@wR?lv^?=Oivf$7 zB+=Z$Xn=o}KWdeCY!|L-#zfuIfY%gRa+4-=(v9eSZ29YaTCK_=;m($@oa8t4pfQfg zkA^Fe|A|P|;2KzlKZ(H$MA|E#*R4q_>tW2w8BZ|veVy4id;`(v6j&w(iO1a=25Q2u zGi#AkVeLKUm~c1fwB=S_wpfs)P19%4H8+Kt4@m-E4nkpB+vD~H-LO$vy!@i5Z4V8L zRw6evFflfg*+%E<|g{gZ(WO@G^3u2hB}zf<0=(!UJBRc<(R+@$mw4f)r@HR zExr=b*SRSnNO5Nu$UCGQbBi{v6+?odxpF~nA<{qskI<+s);!_&U5 z#*+R)Bz`?0q2IO?Xd5=;cu@Ynlhkh|kbZw&vH|+D5NQ&7Gf%+fnAp~u{#q-qN|Jmc zKRt2_UrEDWsVNBuWX5{^FNya40B@;Rqmn~_IYu{+UHr3t55VX-!4W6Y2{C zwbbYO2Nsdfk7bZ_1zGM?*Yt}Vis{P@DZTw0XQ(N~=irb4+`MDhw_^v07Tt995+ z0ctpnyeN7`zr3DhTMsHSrj+lK zsrT6wL)>h!pVAIOEvE7WZQA>5=}@Ih8b^%EN^N^AI=?XJKjJ#(1iu{g5xX%T4P0QJ z04#5uiTX;(9Zg4V3kL-ZM6o4;5gmm^aGv)RhSYY*lF?5zD_Fyh%;bgnJ?BUbOk@ zri~f7up8^YK-0-_xyNUeDdle5OCLp36)8tk351&}gNpMc9Af2C zZu5uZWxGRT`&C(=nxAKBDo}aJ&vmm1ub{VnqP_;9hE~Ho@d?{zD$fvII8+Y+o964% zq7|QCBR6;WD^=6k)XoWiAo$m(#C6nX zZ7g*m`;k=zt#w=xXR;WDo_Hs1>nQNeb!w5-VU40D7fag3qhm-zUX;O?Qb*lz1Kh=q zC;nd18Fg0lHZ1H}0vFxX;Y}o2a-K*22`|+ydOpjn!N&6yaiiIZm7GP@68lHTxoLq4@`%PAbAUkN3sX%J=)`sumRbg>`rz=e3R^k&>@7x)@(d2APfH zVB-0g7&7-NpFg1Eq_?aVw=k?6Eq^^8(I3-nyXTmp>y*=>F5r~?DSaepCt1tR_wH%Q z$P&*btzzTCbY%zn^8qyKmFq>Ls4eCMpvKk&=?~$(zrv51$yKY5CM)bn1RX^6LCPAX zz#KPTRa+?G%J0-y@m%ruECA}i&G+wBj2HfZ$SbM0%gP7mX?I`GRQ1#BLq+n`(HFCv zW16EK(md&x8&}_#j(M74UZc=Br-gQSgDNIdTwg=@Senq60Jst0fw>m&#d4jNjM@&I zY6miCg*{?$4OK|xK^=h)sdfEOtnRsGPm47^g@k?C~Y{E03I^~L&`lFUbr4W5LAgz4{hkwo z2biuzlwp)PzT56Il4#~n7+9tP0t*YdpPQ&7e>*Z%lBf@9Ogux~(P!1H4p)Z$r71?b z|5D}>zk&Wsxs4zwdsTA&sSWAKVOmK)Wjn%}ar7g-@ywGVH&WvpK?{|(%77^RBDR#y z)NSYhaRG#TZvy{@Sn!PMQYB`SJMA)hX1qrOwUjXBx3)`lO%5|wfu;Y&#F%G4w65rl zMrD~@^_+Q!xQ|NlO-S{c)TSiN%MihwLJrnV^X%^YZ5ARV`_l@aWgL>W5(KsiXOjNz zY-B&6qMC71F<8m9XA0|YWKaBa;6T(oR4kFnDDfb{Vh?75c+j2ZnBNy2$yX|fUu(vy z`c2L8AiV|>7x<%vQmu#IFCe8ja32QMHug6zzzI8_o<{Q)b8XhoXBu9W^9ZK}ARqE% zzxXLEdy2?KPAaB*eF#Z%;@u$qTyKa9WE%KiM$sJCdNa1?bn2VsC-kBtHj~2-1UD64 z5)ZsRbPq7W-^v%9JcEt{Nql5p1bpJt|UHxhp(+!*QR zy)dsm_q5=2x(N(Y(S`uM&pWXC>!E}a!$0$Yv3ZW$BjR-Jm#1-ef2C4&yi_&TCiDp1 z%YCB>5IF0H$8Lwljh~z*#}#r~H}7?tL4}O(0zutH`53iC+_7o}YA>RQZ~ z(8|%m?V2^rwqN^0Kp8QIa)|ip`^^&z@l49(S0D(Kt;qgMf)Jfsj~U5>EJLB*&&hs+l*`Bfsi-C+af36piIDgqJa6%MEfndxL-edl^ z#)3({I;7p$Bx*#%ohdYb0-9?K`p92wedWAKkbjlS^LPcGTvqO{|G`K>(`q9^e-q-n zQS3fqeqR9B4Po8Si9aM*+4~uu;ykzCix8RJI1YnS*>mpKAnK2E>s+W0|$-kHEV$&2jr2mqhNfisD(evOl zR>|vdh_H*3m!h)?w(#1jA43Bc5ZZ^*(0LmxDsz@SFAtXf)acZMmeD&?UDFFd0Xv^h z3+tDEJBo*Xj7m^i5H~)g3^o`x%D6R=2;QMXeqhUqf3@C$v+Sd;a-8aw<9167GY#Lo{ z{rX44CYmSy*mS@b1}h`Gke6u*2j5+1Wr}VcTJdcYgJBb#052|XNkie`m%W#<35$mR z^p(?5g;V-pwVp-rIm*s2x^Lml>PuOB=4v{QQD`Nz@E1wo9N$S#s&C|Dosae3*?^cSN^~M|y#~shF=*D#kYtg!l!dAk)B{I+!qN+xnwQ z6=dk2sYYK2C>8vt(A*^lvqbr7cNHJc9I2$)jO*7=D#=PQ^1)96X$86*Ga%%Y#czQ| zs2l9`WchloNNLsd@_$4P)B;Qmed$2XslK()gw_RhHnLs{m9>tI^G*6$7u=72p{F1@ zQ}A7lCLZ;{NnhYJ)mg=YCEa{iQYR%)=N)ZQSRSFh0d2;|!ls1yD{8J|(jx9G7JEsE ziHvEdvtzt6nZR$q@nSH!F6q|7sB-2VBjMNPrOWiBsVl};AjF}F3!ln+N?zY(Ey;ys zB1B26UwV6|aM%kCto2eR(zQ3MzMbXo`AHWpiPOGoCqcPib&5=~oc&eSN)NZPabZ48 z2Q~!pQf47J5V>$aSz^)`%mW6$FH4D>6D-<)MBvebUBSRK1-h2#aLuKWL*IPdo)6J& z=y4;6nG7R}5?QtRDwzdbXPMW|n7~&g6SoEJFe#EAeJMB7AbexV)BG8BXBV%lEm2>V zvPSyjok?v~zB~-qh|W47K3dtzX;!CV#O%Pk@59t_u`4V27q2y@tZ#rNJ~bB$k(wLN zXH`&4mGyE80M1~YidDLeM_8%o!d8a?vX3mD)Al?HSf5s28jMlx-#mA@3dUIkQHX&d z3oNlp@LfOEKcYguqHc?prv0=vHF@vb3ol|ek@Iy#8As~E#a_k{w0G>c@dN)r$`q|O z;>loFL7+d+xQBu3>zG~!M}%x&alv4K+)C`d4y`6$+ywy6^EZbaa7<5imNXa4KoL=1 z^EVJTVoQ$V4`XBs8aOnZwA_!|z`l8sxDJH@=36=FcS`>);baVREt5U3u7YXF+7IQq z+ku7IZSwhn$EqJl4*veYT`xUpeTPuL@`ujV%GLc`kjX6|7YwzaY_C64aURSg8P5sw z7_iYX8s+OJ`ME`oZvm-9K2q@lqz4jKeO0*jQD1KsD-QAc=npv0NR~GK>ktb^H*_-+qBA9&*y+SVkmS;n=Nq!n0QY6c%n$YA5<@orj#XS!ITL$s`wn`(c|H&`&F_Hji6l_H1wLyrd{TPP~5 z6J~cs(KlMPj)-)&eyV%I^}Vi0Kct;bVOiX^NPCr(K)tDWLDNJp*9xI|@Z#1n^|VC( zhR5rT_l%XLV)W*ozt$6&4*M7wDP(DTxJetOaJ-B$B#HBMW%7u*s)Q)t&DU$!+A}T` zqcv9jg7xC7hVd=$x%3D7tKyWQ`fbu!O(9gE(AuNb7q(EOeU&;6%Puk~O=4I-bJb5O zE|fZbWZNaX#9cf8?vQr)>%IAuVlRGE9$ZP59CrEb(AV>k)hri5Dk51CG?md!pTk^) z@o#yp+lC<6CptH0Zm5Cn{p9cy^TDKiIKE9zim9FrF(kP2>Ljv_%&3CZ&SWdpmmL{4 z{L7uBOY>lV-w>jbIvqiFD_8YWZn=h})L;fr^Fky2)p}H9pG$F8xiVH(DfW2Mv zWnS5VJR@$!&HgF~kt6YBF!FhitbuZX10nB4wvJ{blbp;&SMC}fc}3p<%OH0Ice(zM zmmm+{vR%&wX>xug#x`mbHt3a>#GXH=qdX^|y~NGZj3@XvU;aM8icY!*Cmea_+Du&q z99AzZ0{$3yH1BbZ7wP_OO4%q4Cx$)om4%u~FTK14LzupLs0X>XTTshq%b_9r(9DeY;qmjbVau&&VwmMc zkN~$QMTwbU$uMIr-&yx5I5S-T#U_oj$rJKRSB~cnpL(_e^6KI_m}FFh6I0xo`bJjX zU>GLCHj>1(+R*oEfT??XT4VWGIc*>EJTRaef3XzUW!j^U&(Ii!<3`e<;Ig%NAL_QA$pg z$ZT#RRNFg6k#j#?NV-By0q6VajTb5y!V*b}VH?aOJc?y~ zH9fswtenvNu$jfvsv!%Bs>IoxJ~Co071oNj=`&29J21EfkF-N&8(lergMN{-aWV*E zebYnQ*Vb0AYEp@;{hNxhx%m)f|NH+Ewg}ztA88(f!~b<|Hx$P@Bx8mFn{;ei&(;c} zFA+QP^$0lUKF{Q_%n{Nk2tS;(UJq)0WJ=iVXSo0wjkU~2VA_E{v%Z1AgyLdkb^W0~kLStL1YB&}PT>qq8?s^%?2`n1zCx?dL4S)AW$8l>F{CYci*^rV}} z&1EF{c`XSK;@urIHCmU`*5~z+p}OSY?p+ibw~q}XJgME{e@g>?nb00oR4DMFenJx%9$)IzYc4D{eN+P=Kken*k}tsjqpxg#Q4WTMVm0( z(8Z0KNxa&Ppx4JJel#f3U!cQmK?(ikwWDpzMIs+Dofp!7j zg(WZ5bD_@@4@eP+D}(iJ)RR@V(Ap{NpLTX7LpwVY5`S3pb*@MItmegUaDbKS@AQ!Z z)`RzN%#iZc*6xjX{9B^oM&kvlbZqEu;_4IUS-TwBSL_`)GVt?9Yao0)zPQWI?wA^# zYjXM`s3EQ37x|V>{=#3Lub}g(6*B!f$>Ux?$S_J8C-@n#6NPTOG)u_##UPq~o?Grn zU0&f?T^BL#N4897wWWsneOcCqQxAVEm^jE!LM@-G)uUXur<=H~v>0|uMJga9&u(w> zhJ-PMe9~y7$X3LrIp02YNtE^o2?h%7Kw7XAZU7aL3%tCeZv*|6kQYkgn)DZ?p4$zP z>sR|zn6c_>K!OE%u{dC7=v=DHq^$*OiQ~s6NTU^Od_}j#DXr7p0BGn;D)SDE^JX_lf*6=`F&=* z^RE>^EyOrG0bnm#jfB27j*GA9z;R|o+hdH9f*g>}nspR|;_Ah|EQ*oeLCgXIlMK&; zwh+AK@ubl-my!9{(7;_U>+%BnvY%gjF~-r6pw4bO9-3Ax zZZVxS4{T+OKFY;I*U;G#6wK6YMm+9wsK>0?vg1{AprT(CxE5zvz*5&O21vY#mRMz` zGJS+C{xP4GT!Fu%CleM}DF5wqUa7xxC-0pJw|JD{e=dnNqmvwr8RK5D?*YAi-KtWj0-_2=`#9cv+rRXp%Xlt@eq28FRNota&M2=Z-;L0H^6q0CN5`t`s?idK#RUJ9(UE8 zrf$sj{`O;GGoWbkh-z>ysfOvgMg@jgh+%Q32Hg&k^6@G{ z=1pYa>5(D07uUFo!-H4sqyB{;{^_Eu2$iwFY+({!0BI z<_R;%^7cFRSk;aGW0n8L`aS5z0Gzk=s_Cl+e#!#K_#y1#ga-wA4$?G`zkYY!(SG6;b+aJ&4ZE~*}nV=?k<$p3Z7TIxJ zR%vbPPkMIipk}t!SCNuC<5*v7$E zHx=3M%Tyi(k_XL>PWg4U5sQ#$uS#XH%RcvwqaF3qj|1!j3`r9}7TOCALgNWiSa`OU zRUeuBjl^s6KHU>ePP5E9Z?EY_;RHm>MB(zows=Z&Lznm|6eSxgMZ8?J4c0fvN z9$+F0)+6$a6K3N0obFhZ9PDk&=gWevuS{(}>4L6N^+(2Wz#g9Gh$J6t60XrztlR#U zSp#bH)3v)+-M)6TePvTzUC?cUySux)JHZ_WcXxM(5M*%I;2vCp28Y3&;BLX)9dhS+ z>%MjGAIP`)Fjc$G+54>SUTf`cxEK~&MGEw5bz8F*vDFW5sp&Ve4;7{EjxdeVw3nq^ zJi=Jo0FW~iPh2#ML@w&~_@jdGz`HA*(BFldTRMWjM8_$YJj^-?;e^Z*)P)AGNSSj?8+1n-~pM&fmIF0>V2}>wl)v2FI zUprXGeqU$XmZU9Sx_reN5$Yr8W127gmMT{eevKpSk=s9(PF-P640R2B7oVHPTlN(?y<|tZYKIFNGPiK-bklDKQ;)I51Vs-c^2>2McSGJA57(FZ`r=p|YlQ>|OQOyZp?!xJk8hd`Hs*EM zl8X_g@f}&+F3V5ts}{J zgyvNTj>%U=k0O7w2sGa)${?xRoFdmUsQtK+kIu^l6>d$@nYNbtHB>&SYF*9UroQ;$ z5vc}c`NX6*gkg&W_}y^-PEt`c=^R*&+?HvsbN)FEs}=cMy#A$r_SXN1cQ{_bv3kP@ z=@@y(Rgr9zJi<$Yp|JpW^>nb;eL{g`Bw0<~b*W13&0n5}`DFPT79>2nlZja7$-`Mq zAl;SoIV@554Y8Fz^$o@G_Vah*a=6e&uRvlbfoljGtL;mwdvOBgfghP8bY1z_3G|9~ zm;hJF-lNLjqtdsDs)Qr8i0%QFFW9JZ9L0+}FrVw~W8#*)w7t7|4ht(499w) zJb%)@jsuuZv@;Qg$aKyjmqWvXvXh>O=;--HWE1Q(54D zXewFMd9wJY8U}F|nSHdMO3qNP89rmz6=z&R$27=z=wS=8I{D{fp5o$@qv9g`eOM}7oyjA%vS0vHNbSHMpDzk_X3KMi&5oFp{q`jWOW83Z>$|gS! zJ#YOeUeq8fo`(ezw$RL3Sb|nJx{CW*AgDQ*eC6YQSu2JhXZW+Ax^I+ucl@TD7kuIN3MwN5OntSR4E<_Aag))#@1iA8MgC2l+t*N;x2XbR zZFjLKD0lUHy-J?bPNAv?JcgjvL`|1_nEJI}Cmknb*w0|Zb%CmaT$O>sdHeYFZo6@$ zuqP!ySUH7&!)tTGRT1T|RGK`yhFA$XzEbCU1`JlLbyHK`$qs~2t-QTfv zGCFgG4lm%EQiLh1HHGgq>Tb<#xHB@^Z!+Yy)rIrELnHAC3b($_1fo6iZvjZANx z%bzd06{jBVQ;Tel3w=AcM+y|L)Cxv87(&Z*B9V<`NwFLe%mbzP0q}D}tH5zZhix{IT>H93Dpo zDi^;#9Y=;#E2%yxDKk&TNIjGOW-&b)-{9nz((^|(sHmNeu}9}^eK@9PvVk1JIttL# z*As*oxTqY_z^T-01%&u-xAR%othyTIFrPe}f8%V0@^SB;#c={2dr$XGY@I&brO>kW z{g64^)$xmZFvSZs@N;mionW1E2(RP>(9Pp6))(FWSw-fDQ^^K zokK^r6qV<6_**GFr3qo~MCdHSzQ*St&DxGC8-Ek&;dCCr*W{=hoFL%n5Tq5&#HB8U z06ZZe+5dWNtmb-x5u|l!^w*1^pO=j0=oc2sWtPu3oNd!1)Ha&^?%$`zdiGGSyV}bt zOm0V~pB)yj8(H`l%7I7e@Mgb?oZFY@n_sh!m8}T3(`gLf!)8H@kTICgc!^W>2qIrT zUg-MNU3};dS1N7}s9KV^n#+hJfD2vTsUo6MGdtoOh`;`9&+gy;p)h!={{VI;7wwiG znv=wQ9%^Xzk`X)j`B9GA_#<_LFoF&TeVoxYD81}xbuYT`Xq-fDLO%s<0K>3#UVUlG z(CIe}Qx6h>SpRmUh^VDZw@3R|EO9ukrWjJvaefvZb~9RXhY%C2`ZO+?TAP zgBBsWOYwb(^^IcWJBog>^5tGk$S**=-)Kc*AJrh4!hMMQC9C~Y2&ojVs>X)2tspr@ zpX`|e-iTz1KkjYQ*Dpxj(NvLfihV*Is>Wn3n5yHXA|RPOA)C2dgn*Y&Xw?ksNC zI3{wL;{Bj(*UyE-wCZs~OG?Ix$SbbE3$!V!8{&Ern?> z*chTKb?QbUu?Af;=0nc9G~Mp=D1oYh@RVn7GusN|>Y{~giH$xr^jIRyCMn7jE7bTj#s$ol*Tt?V(~8T<-#w7I6=yA=EOG5Quv z*_#zLYea1%c?k#tZ}iA5fk>1u)QZqGTXnF0qKdD_lYs%%WH~!X_1;JvEJ=EMi;+TO zID^@}8^v#?tWck;q3Kf1f|NOZMg)QAQn(kd)_d-!su;NsZLcZ)PAMS1W z;pnc-%~)FO70R3EUbF`vt%3(Dz_;@xZZ-bpa+6O&`WSClDU~zH4QHsAi)qFU>pFoA zd3FyZ@{V%f)nbXf&yjQ#o^fHu9*TKP7QPTgj)A4yJr~6)SBebib&xy0dx@YW@7F=g zKx>|QC4?$bMGH5t&1^rtXddXEO>4bSI z+ejPd2*l7>Bz~1kRp`X#OY#BX&#cGz_B0I$R2}$iueWHmq1;<*K(#UJ^6CC$_Y9@! zuT7%xoDL%7J`2>vT^d>?@*iMkQs;iM9Q%RwqheD@xcG2v@6;PcGLPoY#$#P<5*6j1 zd*=In-?!;o+>wH#udz6!s}}c0%ZI@3nM7{EqMREWkV%BS4%&|A3nqi1Ai zu4R78Or{hJ8c>Wx@`>34u+MycW=5VD^#AN!NQ2bP`vR=p=1#y@{S_Fa!CQm=YWb2|S89i$iwc*%iTYL*A ziVKO>FETMELrD40n>NA9CA$WF88#o*$Ot53kR^mlaaGcIk<=he1#=2h{;#km-Y}SD z)pF0M^!KlS7sNh)|5i%HLMOuZI1e4MYF}$4-75anT>YM)#dyJ*e;+gamu9~PGSaar zp57Fz^nt{Q#XG61HsY70LA;`i}=R^X~qlT?CC7@93pyD9rvveg1fD?-~2SxXJn#HM13o`qL+}PjZrC z8a_s6UAondYq|xzT0LIgQ;q@1-O`#Y2*HIT5(r_DXi(%*l2K6PIFgbf1+sLSyX4Xo zCXxV2dGtw0xg=NxRVu0_g|r)S?Q{&85lL!FG&)pruEL)=WM`+RYdP)@BK~z=GC{lt zqK8=>{O|m)0pk;b2Sgi0e+bQ;K1?SL`<|bleRp6#!+qIi$FTXRUe3>0%+Eai7{up- zBtXiF=rei3lX*Go5ZH1$C1ZJY4=_SnFQ8(}GUZnz$e~K>=4iDRor@q8vnq0rZu4qK z6v6E-wcfgS97HiZt>vB5HYa=SFm+aNGYyRh{lW%w^qfs2-^WG(?^lujZ{~qS5916TVgV?IXCkR3CPop4pGP=$j z>=4lDCAFRSzj);TZ}m^XGSuG+u2kV?Ng&Xc$xYPSZYf4hOa{|=>2As&Lw&~fy+rYl zN<6zB4T2U4RwEuXw=OPYgn}YydBTt8*7PHkE_K5*Xt6I7U43Ob{-la@&77CHp#ceKkBY5KhHFGyZLg!8z@gx3?&F z@_4wVENk{}uAAYDkCTfYA;^?37qz_?B=y9J8Sg)?zTPm75&pCD|9=mIj}bf>U4W5x zxU1MUKV>~5ant9lCg;bcc~t%aMhMM;GG=cA%inp}R$_(1*~8QO?uSLNO#(OFw>3){ zuNqdGS)Bmbtm>Hn5Av?@_k}iFYEOm}Q4PiKQV|l&Y$P8EeI`23<<&C;`g-}KR!J#o z>_6)iYghKAPQ4}%w6!{HdJN{T1pXXjVk2CUJVgz5S%E z3loR__n%`9<`=+I4l7!JXm2Cc6C)=X&}1NHn%D2Jk& zI5sYdJiZ;lt~e%>-V%#(r^$}Kfd**#ty1=q!`xWqeYkm;#G=RGZt64=!F{dU`$3S? zyEN?G@pTVq$Zbj~6)JMa|UASgDcK~CnA)sNU zv-XBwTKZ*wlA)_#ZL_5R4$)nNAr^Mrx=!Xp{tFwyhhd*WK!+COcrZ|TG7wAH6Z=17 zu2>|4q&9*N^>PM7?%SwgnDz|_B{zN;I(BR!qcU;Y=r#wal02sc9&DduYTCUcWZJO{U;;>M0u@@e+<*-QtXX|=;JM3PX+aH)N zV;)<>B8^x;{U(3OX8T|({1-?9zrW8eS#u^J{JJ1Dq&9?lj;M*K)n7+MO;WH^rnfw; z;7UevbWk+!S;3&W=+2gvWi4 z`oeP2Rns+W0rYc*tnk%@!@H3@{V9)7T#Im0`Dw-iSd%Y`BB6MQAy&ajgwpINi=ZTH zQzSl`;g*6EJwGLQ^FU6P+E7kwduQt_kwM%-9r>XA*D}g%`lDT5`Kcb}=JX=tB60N( zJbGbG9INJr$~B6sniFiP!}ymuciout79=SET@mPfQEda3oUV!Y5dlH)4J5iu<|$TK z=LlrBXSh^;-Dl{YzNVd?`8V(H6I7l%#L9K>;qJ{~$bx%!CO!!i+0{Ba7+Thu;UPnM zQz%`M_%2i-CXUoQ9lS&VQ8}`Z;IC@7kAZTXOAT~;{=;F9e__K(O)w2<)g2B+f&_0C zgoajGG9v{PXQKkfoz3id+|;2xW!AI!@IrK;5rmt}tbW(2PtZ)E-4FSqhKpt;SEv9_ z_}he%=`MUVXap{zSoQQP7ePfTKr%wlnoAi%g0af2KM~W2?V!p_cLYA)&}AbDqf&I% zGPR|*eJH!Hz(JlqyRY5>CK*LTo)1HA=eY%`_0Y0@Wu*4*{h0Id!|dG=+41j?AIx~W zl!tANk&&?@=N)$jm$30*rrSS5Y6}QlelwD^*h{1@=FI8&M8E0p|e&?$?|=8`i7~4jGv3+bnxt^Wbj;{j!0gY>gmD{=7NI0e=}jFdAhE7#Iu=UlW#WlpP?a@*;ZIwv zK-5N=+P?>0N@@Bp9a3((&_GYaR!c(|OJSSZxQU=f`r=zhd`BKjy2mv~dbiQ(wUZh+ z_&_^PBTYK|qEQ|1DxG~GMdbW_lN+YY%L`75q7hY7fDTDh=s!x3f3-o?{)rfE48o!v zne&Jgio*6Ms5bJDRtXUd1gEB~sNev>I<3b!m+`&V6S6V_HF|3B)oP3v8@AnsO%8Uc zN)sDB{QJz!qGgAXedfCJqEgq9%$TGWZHR=z{>o-4h#z}$Cp@SgXG zzbH^cYbKU-mzkq13=9;-#nWrkM36HbL6*{6!p4kVu8llWh6bj`)1+{aB)n&({`N7T znX|=DI5!Fd>WO4#RRbh}6zoKD0<$6->Dr|cxX6Cq6uQbjziDr;UiV%|P4(Gqi^RJm zzgEmD_->|K;-@aS=x9MIWNn- zqwV#@F0-z0knrGzsT}?vMPL_q_`7yf#RE5R<23S(=)juS9CDR@O1FI~k^)eQ$-=8& z#zd!00Ldq6&Ho~Sf&3>iazZEwR`}pkSp?>7EHX&!KHcoZkI{3jg+Mi$o|+#Nah$q2 za(gYBl5`=b%8vr(wFi_^YO-pU#$YF#*#pWVM638HkFs!2FZ+*L4k3+dt;IK?&-b* zD}$TBN%c!}7wn@4gWCXSyeFD1lH6xsdIprch2LG8OVbbEFKCT}j%6f)_UKqB^17(u zmdr&UH4OmYy3?4=oIxm6U99j%RuuxBXGqOGyAx=7EX4eZM1@8ZrJ_i7M=In!>Hq|t=gR1f2*&q<;3yg{x2=b z2JhOAlA-PabTA2oHncXwt-DOlD6|qE9R*+4mXjhLUC0*|DK0#UJ|?Wx2Q{31a_Xjs z#nyD|Kg0C{~wz}x91+vgHbUy_A zBVeMeSmy~;4V)!)GBzSM6As$j*v8J;qu>n_@O+cQ=~M^2DB3Z>4OfvRWXpF2M<4CE z^2&1nH&RA)=aMFL4Pu!4nN%uc8i{y;;R9?7NgRYlvBELfYi^+MAj(K2{KDEaPUnZP z8htogC9dPsayNyZrF#@#QyD*eh>1MmpDd*#&G<-m0?STHYCabgos{RqTaq=Q3B+*g zYUNRgREB`^fO%S5qTv+Vb{eTgaSLGF7Y+;HP};xC5o;-2(nt>~AGFg%BRyCXN-vGx zndFRoR+js#F#g228l)ch8gL!Zj-H6|84l+BPb_1l_z&xLE7`5!Jm54kHzktMeRC>5 z=8N0?O6H$hqnARXPQsAwwO}IFNjeHLEP+5qPY)uZzLb^&=s{3lJBIal8k7F7; z>yt>r*Vy)=w3rt>@e87{s!(T~G+5}&gyc$%;9#Y+%8P_j4l9sUN`RD@=vlG#;BTZk zpxoDQ^wy@ttSb>)+DH~XE;GTM&p|TI)_HLCs2wOYGZ?Bz^D48n_3-7aG_JO16e8Ob zb*Ho$KG^h}_T{#v*}yXE(2~+k+;__az;j5!Fh8jtzUGLoy7T2>)Jq+PIkWVvLPsTE z=PL?-Auhf-x)_QQ96w&_aX_8ok2#Lqsc{RQrPomptJvWdmUf)nZju|!Qv83E`1iVt zZ;K81sEB)bue_2jz3vhR+RDQB;}(za#(&qLQ1z8uGlDmLQd*z+82K4wyiX?9h)QN; zfBCphV9@c)g^QuT4*=~)PK?D~7RdTLvzJc8i9}v_mS4{L zr#x8!7b|+twJI=cMr|;x0ZoKt1F{=xGLMtI>^*C+B1_JiI7B>6sNDwu1#EoIi$>ta zmfLYK%7j@$(=i&jv3ln=nm zyvooz^U3WIc%xk@o}ZI_jj5Re(jD3_yD##5&>#D52Qq(xSwoc!a0ys8p4}?8{S)H| zQJI7Wt)hV!9ptE__RA>h8z3w!uU5;l*}$gTP(g{BRnkM%o6<}o4I?^WYF1#;1=NPB zJbWrv6b5i&LDM|;o%N6WBQ6ccoL_@ z&z74O7u8#hg0i&pAeM6NQ@@_`f(`0`&|rCZ*#1I!1Zw1!0=S#-{+|eNQhB_2ikgai znTq2cP87nUjpG**fO3b@t#%woxP~7;tu>VCwBeXFwQNr7Ua6v(_W8#gj3YnI?E|~D zdF<^OpUJ_44&Zs^_LGC?-7(2|3@EoeLyu=>&w8jRs!ta(mL_xu!$1n(<0X&ySo|Yo zEW5n{2d|Ud*_Vt8HK!Gk6#pzPc0NHh^c4NnG!SB)E8wb4#RYzO$g!x4h!q`&(^pP( z3H6|q07o8jBAJE}zcezzmhwnJ*_4 z31YBQK{7Ouyl2hHF!s=lcXS%&>7_+HA~1V-REEWVpyUYFv>6 zuyC`-p0812(T4WAF~VFPoHid~fU3q2LdMxJ+DJy#E7(B6s`Go;?tDSev|Pr()q8U) z5@)^69s+!C@|@K3J#hNAoQ_@@Ia72(177LJ#Z;ffX~amqVO?f@g=q=s0KcDbj zE3CZ)mZ;HarP*X$-hQX*dEH#~p5Wf3Hct{UR~%)h)ZE{=8J`t%4+vWuAR-lnkig7q zT3rxWG-a-WkUggDs#?U479Bht4jik>OWJc;!B1J5Rv@;2A@eWlK&}1hS^nRHZD;;h za8JzKn!yQ)K=1=bgCj?`r>Z_pT_{nt>N+dZlsz)0^~mLwQlxUSo7P-TXB}b)Bu&T( z!($NA7b+Z1wbC5kDqsgMyjz355Zlvk&wXQo4w)S}InFI*@Qs(~fS8kwE;@khbK33X14a4pvSsWcdT2Uphv?dB6!jBXdj!=<^tX&N}tugC602u0K zlh~yS%t+U!4)5kwG@$pNeYC~Kh(4Nmi0|rQri^vv+xw9h$=5u*&hs*2Kbs`?(!f}i zcMBxy<*`j;-a9*w;T!kXC(|s(y7g!FS_(5y{NqgjaO0J*Ng{J+Qtj6 zfP+)gISOusgVXG`<`7B4MPW`eSqU*y&?KYV?O`j=aNodnCHn~(dyZ-NS~IV*FDGF0 z9{Icu9m1~CTu9h&C;7;~rq=`vZOL~~jGw)X^K9gqY(LZ63aoj%!tht43jK2|xgY8$ znwfg+Z~+05!>@{TQTs8M8AVEqnT8vF_8TgJ#urI-Pcw+@=FwHt@SkyzB%;A($3XyN znZwoUr4u*Rh={V}vS*{#SE76O-0}{r{0T4p#oeT94>FR(DeC*dog`qy!rz@6u|mUK z9yv9-FOf2-Vz7`2ne^%oTCfP5MMgJqTrnA6_gmo80 zCn-ci<`*2SN)Lc#s694@UAx{%v))za9B)^$!KoRrAnqJ13Xpj%C$dGfjL zH#)KSh$!yus9qV!Dzw82@0rjZI1+o^J^QB2knscEElTBXG(10(RVZEZvqqi^qNbN5 zOPYS4b=|1%GA#9YeDJqLY$1Ob2pse>iRzND5x5B>?lD1KIvtDdb=7Pk4`^51e@6R% z`ZWHGAf&()sZ3eSbjPQ^oi z2D(&hblkD75%>;}jBmQg$!E~xqh-EN{(DX+$o!*N*83l&gb)zZp!a$l--1Zks>{KP zeoV|`yEZP;*rg?}D$N>PFqo`wnKd&ox=uRqWYzLybD-fDmaIAQKfW?aBC(q(2z_6t zjjJ*T2$O^?2vO#>o3L{E+u_2)%DA~&=oUu9b{X+WO+e4e!dKXs?2+7Tw`syVbMh`JF9Lh1gRHd7Ykw70y zj%))JUd5ZBrl%-QDmesu(qwB?=FPSm0eeaDql)!}A@ek}A?oR3DlDvug1snSNowHN zC=ZwRR_kQY@%&BM9LL()+@bBBjPUUsYXzYjNFaUOfDZKvdZFt`B-Lq-whfomEFyL9xVQxTgCY%|hD0-L0z>sFCRXKGEld)}Um^{?Nc>%~ zjLn7KIf%eNxD-NhvijL%F*hu$#20YYgFjIgNOhJ57l*&zkYu1&T7w0jWIeeN#O!Yd zHG$nNj;4i6_nz@zOKPhdJ+b}C&J)^I%n~G57NPySdAg-3Xf-H*mASEAa>!X?VCQA$ z0BR21e07QaC&bc~m!^H@xlu$aIx8~P_=X>n&so%&04`oFv+4n&97DTi;@L5^vXldN z{`_oUbg}Giy{r&UpjF4lv=hio?~|Q4o!p^`J>}tMzJ4An4n)SBVdfQrxj5*gEd!A(a;dK6e6Cy^nMHe#k=Xhn{d;6> z7a{>~#-D`$bCmr0{wYM<3-&*eiX2|!IXmlEQNDGpwc zk9o$0cxenA2GS<^Xc~RN@ywFp*g@+DXKUWLshI;TauODbOg{(G^|r~3&fMt3m&_9v3oQQ(Yf{xrp%a;bbn0BmHRSROEBIQUp74zsTCh16ac_XmY*sg`G@NI?j+NyUTT2Z{6+%aq-ll)#K3e}C z3wTKrYIKO{(o;*>(AB$lWPwS=bFdII!$y(^ScQn!Y$apM7vSvjV&d5u;= zJ`jaF-Qu*T&OnEgKXJC^$B?UcNn0$#eXjadAB|QsP2jQ^IJc+Yrn4f!eX(s3AXkq2 zC;+vE>PssBS`*LNHJ!ym{^^Jd~2mBVD+pWAfwr2 zV>ZHvwfcdN#ZRR8!%b=2Vs>5MgjKAE2u0d!22HGxRB^&!Q)fcPl>IS4aQTV+AVlJ~ zX3(h_s-b4WKN3r?8Zdk?zQUlxN8&9=+6gU3+RTDk0o12@qZ>D<7?fi4* zhJh)y-H7(47!_Ph3Jy_6oPg5;@BE1$@)!%ajp)X){M;qZ?jsJK4q4Im#gU{X*YV|l z2LoAG;4zB&a0GEN$3(_RFtBl678vPcy@b_3%PA|o#qZferR};mo976Ww;lGn1nT3K zm<{6h`(b~Fpu>L;?S~(rxMqyllw6=Ep~g!p;w?EWMk3H+)^KjDaT?3DTlxFbu%x=# z{&{4OQFQB%c$dx}APHLjIfDX5ur_eraFWh9wCE<>~7n=%g8RV zQmpELwZ@^1&a9P(vxTswHCsBK_H(%cJhPgDe78j%ioFMv4UJW!i7I5(UG-y4K}`~_ zT?Z41q9^lHQ~N<#%3-}#%sO*eD7mnQU`xz?buNDEpY9ciMQv`}2hAv4?V+;D-H&axI`7{X-3W7qGmD<&2P(C z@)!)l@S#Pi{jA)_4sA%0*>0z1;OE|ha)@!YnUVDk` zhg8Z)^ncpM;adq`f9xu`eo3l52{z$i{_~R*W5`Sdx2<4hn3_1cWF}uzjSfPBJzc>_ zNy)DKzSOWe!&qdvThvfz%6Wv;L3$r0z3fJj3`Y3eiz0YbZ6 z@I3qF0*W(d$=Dk`u6DF1E%8grAHUBPVI_{a#n>s}le}{iGaZ4G;=>aMJ@1%iUS3v} zgE_n83t|#Gf!t|sXO8^SKx}OJ*Wx&1VW;avK~a zxjvZtL(E_CmL*zjMPC-ACY=>&d=O})@vA=+kU`?EyYfwK z^E4usN@2dx7%Qejhy!g5Cx=NzqFH*fA9;QPJDl)U2`3SMU zWPYGMKT(_}L%Eq4HbS(?%wX0rTVVt&J)u4^I&S5?0V+-k#{*=zJc^V~IYfWd;(69e z-3#Vj2}+N4SH{1Bt|BxWxpO~B3e*$-k=v<2? zp2E$3a{Je`0u_(O*ps$2J2}U?&_o}_rI3cff-}? zDJATD^6zwYz7!#;jPS&_ufr)((eRV_W<}yj=+O>r3b3fgfttWDe;Ju{5|Z>#Z6o^I zP{^(fjnzp>GroLn-NJRzqf5DqYgl5$dvdV+s{+=UCXLOlo2VWj26| z!W{~6cmc43$qm#zhL{0nqtX}bY=Z&Fkvv5SRc;^#hSX2LnHMFnvB4@IGaV9SOIr3! zJvyFz+3yJGU>2e3o4z5Rn#;5x;2+axU6R-sIg1 zT9;bAc7`R!r((DIdYyOMrfu4hWE*-qy+)VS`B)?ROk1*0#p`PDAvIsq;H3d)u)$hi znD1d6@e8e+Lv1teH6hzO6+$eYGhoKqy@-7#%(T_;P65+UDL`OS8_IB4<9-d?D}1kvV?%|x$F%1hXw{CCG( z*muYz!%oK7_)XI>h8ka3#U;UHq6g`aR0!x~SauUhz3=SB(2Qln(?RZ;BZ7$zDMB6x zmxkWd&|mj95o4&mjAzF;>8FKlaRYvw1{+_V@_p8XRaSHuxB@Mk6;DIEIJY%gkN6Ha zZ7X1dil7iiqAzJzWcgJYF|gv5^6bknlP6!?hbNNu3FJZmrRAj+>R$a5((zEw| zmRe_}D@_?Oa}5SeV>f3SrC}H@(cb|V_Gku>do{=Jk~HOg#_rA>AR0O@{_N4e;^m9D zLVBWc^#I6fEI_!+z5nRwbJu4oVEsrW;akQUg zytRYTt!0>jp+_56&NdM}R$pr6Kw=nPeu}*eOGDSrmx7Kxk?9+8s#(Sy4ZA*P=&(bLZ0L(}=+!prmJo|?dFj{c`~7zWz;%OO;3 zN^HEW&xWW_61+t*0{~Pj7Cx-;H=kYcnffvPt(r&d6dpIaziX?*z(RvT`n{X&BMWA#|Mf4~ulWUl)o>TUTGikEiI*FZlA8G>Zw2ElmJClK zL;fN^N%3l0H}MQAJEJEt#UG|xX!*|A%RogJHoBBi$v|8NhC7dK@lF@>$a1zA_^L#< z`;krl65{4MZ@A z=O}7~EYl`bch*73@&9LviJ$^sMj-F|jRc_*^9>H3TgUUcKo$YF>~VZoaUjfW%**^? zx7vXRCpJ6>kjI@U#Ol;9vh>A?3VcGaqElz~!e|g<{V~hsx=iug_61_#G_A^Sco0k6 zbki_s$W3LTDHE%MYYB3%}JYTnZEdB&h6 zH$^cjPLhMLg5^;@zUAKkK5xa9C$x zI;8UqT;j#!4MtuJ%Id=D* zOkv?I=Mk3W5jZI#H~+}}$4dCwRl=h*6czMkAee#}OU|UkdU%)t+aND`*s}0=cW>b4 zO)odMqQHgRbMNNmcRrZ^sA@2*(^RIbh=jfu&eLKyOGb_MU?ZY%tJ8Lii4;rxH zcUs^#?|dL|S%3mX2KM2Bui~`Xk54$keNIBI#9y0Ruv4A7P#|M86UW4sZ`X@v_Sam* z(0M7vXoV|Sucz4+Lr2MqoCymnR>d^QiUr)rD9uz*fN1KdDXWL*96F59pyD}gMST&xi416ciQlm5LYvwa}(__Zj|Hu{GKl=#8 zMgucsv#;KlC+`$Dr4!IV3PvQ=!Y9KisW0E5LQXneJ{yf(XapSQC7cYngoZr}D|YG( zhRW5`nx{Q#>=br3QgUE%oRqt$Ncpfx0_frq?{yT*{75nS(aximim354U?cVP{RTtH zPh)N>5!)msmungu!*#Wm-Fq50E0i}dGtNpny-QXX_d^$!FznN*lPDq-r|(4;d1|b; zs-$S>C}i)$BRMU&7;gLfIkvW(fBT@pZ&QsM)h!T>=OTka5d_87_be5kppfU z%2SkTytOWZd64~f&TZ4DK^85`-vQIAXkfLR=G|PYKW$Ifs+xfGv2eV_?=JK%^n9&}4II9TbxJH%K zyUu*?ml}*Tj1-3GHP}~Ekj)ojJG_rVQa76D%C@pKJ5ZO363JL+S(>{Z4(Pw!O+lEB z{N-nXys*-^!EnnIk@|)vE&tVEqx0OaMwmAb>vrgjm^dvH5rI1Q{5);VxlzO=)m6)Uinh?YID>B~<#q z2@|Cj?zNTIV^7E@?)O#eWf}76lmhz`(Xt}zOK#w1nAo`8TQ*}10D~*!q#piW%qe~( z+qzQDe{8E=d7mbdL0Hg_1T)@6n=zp6oE}C3k4EFLJRW6rBrSTA4oylZ2gxuk?bRkI zU~(CT1lXVVOCbF2NACS^r$b7F6mKGQHDN+;O6ltGf!uz{6jQN^x>BjVgShI$_7?mN zJHBa>bwQ7m!R|?}r*@Oy_?o7LV0V{6bC0u>WvziCz-9}W8na_?TKdMszHYjehO^k* zB2j!t=;gce_+p4GgL9>_he7$Qf$B|8o1-|uCpyPbQ4A}JO%#3v=oU_jTIHqNa!vOD z!=eAbfy2*mbioYz?j(jmkv>`jsOV<=_q9}QIdFdlM&0}Z3?$jUQ-=;;_82Q)ZZLwQ zca}W(u7Z3!A3uG}>toHvO6J&cIt7ve(>!#_aA8po6&;q0f{#9EX;yw?r^s{tn4%jo z-{n3!QeQfdgM~Jg%U{MUCNcm(gniI}hl`G*Y|W`u%EWTp_3L!-Qt4v1PWdqqCjEP3 zY+<^$rT2!2KnN%iVKHNf&%yvCfdHcZ^BAZm&WI2s$61HQYWMe~cjZ1W(wyoq!B%9O z%m87LoA{P?`|y8S$xGJ-<7i43jql0JPrr8DMpCN|M|eteVHD%Q<}+fFRZkC%2NaaC z9s4@&X&D(ymZgtwIRtQ;nLB--+^k6&r9j{BieW^$qVMad-}$umKXxF3|E||UVrY_G zF~K`5TB$GgdYd1+xgLN(Qc4nLbe`G-RCP^?YKSh?r07}5QY7UZ;)quF1jysEmxh4v zV;Ye^hR#*-Y54W-3fuY58{z#3k4@kZ3EjPLaP-!^*l?$r{qMml96XK0jMX_8k=^Xc zajkr(#@B^0qmwfpNMphEYF#ThRDM$&?33TL%?R{`a_3D=tTnsh6)Ah6dadscYT}o) zd!fyF<8OMfY`7SHg7`ArIo=Q_S}x3%leUFLt=L!35z&AQDhArxd$ixQfw6Nj?ok;_ z?3PKzrCv9jvbset;}-aHk1Re|8P23khc;pCheNreg&{v}BQiq5!O zVFB8%-)wacWz0plN5!0rZp7vGdwDY_nwV}VwqozU%DSlTXbS$Ti#%5p7$YH|+8n?E z<*dkk!xJ?M4mNsTE;jmGh0fL4gk%^CG1hg#7%cVW$9u!w;oxyaG<@mTCgZ3awigxg z_N(H^7-~ruTzUBZnq3Z%3qa#=%w$IP+~5~oEfVoxy9GD|?W#o#7((dx6)OV|&C1`;S0Q#iO%;ZJIL{W1-d{p(JiTblHRLf%wBa(=82i46E?LCK3l zSXj%JR_j?Yl}Bgrc&SjxGz@u$3^*j~*VioC`#mET-N!_ncqv)>cZIE8O4a-s8|z8q zfu7H?_z-A5JpXx;2roLZA(m(Wq471ksjX{q&~b!6-LpLawfnOnD+{xb3h4NGxi7bB za|^p9_oFWV>jkKmp9K{#S3u*CGoGPiS%LQ&>2<)K;5(J7DJ2D0u^S~b`0^<-#Gf{H z2i{$AM1J=*nq}k|&st3MY6S%S%?>!ej;A^d^dB!>nuO@4m!R;cI-HjRE_nLF4Sg+H z#Nw^^NLIT_*=flDUAtwxrkdgZL)%*h#o0z%q7ev|1ZmveJp@9q;O_434#6#0a1ZY8 zG#cC?xCIaH?oLDZeKY5txp!v1Z>pwhPSsmf!H;gB_kQ+Xd#$}5tt_e#e(F>?#CNR$ zB1EUA0X>n0#vhUgEUZKwn+BbFP92Tal{7pkUB_ZGl;b(lDr#W#nymW>uaGvk9CDPz zJ?3~P<~!27PJ|1;GmDI}_iBVhW7b-$sUum4zoG9D{1C5A?_zf4fhXT5B)~9TN(fhM zR(uxvwvhS1iE;k#%S#-T5p}4jMz1iZazdX(C}|IzYH07ymKE`Gk&*b?{qCxEh)B3h zr{5S@vQs#qAw6F4%;fHO($tq zN+igslhzz)IhCsVEj2AXi%#CqqjMZ&Bf|H)>c9s(WfuhJZwwxK_=e8Ph*>=R$zG1| z(Rz$97%U8mR}VBDt5aZIY9n}=J2UpOA?%GR(*p7*g4PD{W;HPAIy`5>nv>1S)j|J| zx~;@Py}&$^Mll!vaWj#O`1-R8rK}HlL#ZI(JG_ftEOG4VcNe_s{7(ML?raVFe4&MVhm#B)WOhVVxKM!)uGLGALPCDl`!#bP@bmQ0u{b(L zbxx@-0N8?;jM4L|JSHJhWy>8zviDhkP0zgC>QYX)+@gAGzs9VoLgytI6rB7_e677T zfeFN{(_gKxQzchjdpDd2KW%a-QnI z7|C5$jds_%nKCEbD*@Er4-Io#zpOH3?OE=EnIyDXJ1UYWN1U`0YYy7#RQ4;{_e<& zL=craf~5LnsZPPLoHkiAELhi9&BS4IAy?$pkZYZ7#uekXdsoso37=rtm26MhQM|Yc z5N_sI&w$cMS#Sf|tyub?)d5DBjaXb=7x0vM_g{j<2}E;tk{lfSRpny6&N{TmPgfZ3 z&Q_E!a&*p~R@O=j&Hl?Wp$>X80(&W08${w zjy>DD<>(t;3XJ?&MJYuj+~rA+W_Pmjjc#fVhet{qYp>2CXOx$?%mNMf0faq%kNQ{o z-kK2)p%tnRp|kc)_~0tKcGy|rtWzm4L6QouR`CAf;ZqnL&L(P>!n~-x^6UnQ;u_vy z0RQM!*Pp#!PgEa1vhOI3?`ewG^V7W(Tz&22w|HjQ(MWKE5HbXaLz6K8wci{6u6JZP zf&*5XYEF{hnzhu}HWr@~jOJ_JFrzO7G0FyD#Gz?9Y`*Hr-TwHhhn|`cFwWQxVA9M* zs32pr=?f%h=Rh(91p(9jcgr$rw1zefif;WuM6a8|-)IZCt16=hKWiI(B%5!s!4A0Qan4DG@U2=fPf~Eo6Qusc-&ER>D}Q{TU}g zF@f%QGb`0AR`^1VC!V&KjW;cXoe(=|IoiwaR{Kuf=(A-ynzK&(`Ai;qDxKxdHJ#$( zKb?6<+rNtly-WV?;5Tw(4XO?)*C8l6%z zB~EMG><- znQav=2gt~PGQy2m`G_d9`9_LK*d5RK2$8ilPiO<*dD3fd@{@~AkShCu9Qx2~d-LM{ zpCP?Oml2^JfYKz6_WWIhjBcEX@EQx_6ae|{y}h0*&~=27?vo{Yrez$D-1+~;bF)^( zT1K0qwihA<&_f<4NU77rg7pukd9q}R=6AeTQgN2i^!(%5!zvE+iefx~B70X!LwV}X_ zq7xE`1`<fk{NB1-WiY3q**U(~SQ6nuCzR4o>}SG`I+lN;@D1x@+76s; z$a|k;h*zL;DBWDdoG{(obj~_a&OldT7r9-JNCyDWvL?GaOWj96qZ?=Ls1OR+x@M|L z8b%h%U(Xw@CZf1zwJLRGLLWtfbW06mUa&~Rxvj2sdYw5dIkQ)-xloxmM8?>trD2osCdB{CPCz6|iZg-&n~In~VTz(HhPtxuV$V|te&%=nNtUlP>z?;OCS_`ky0Bu4Jb zj`cidZfU53NB}d|kL&IBI~hPy185*RAa>l2Y`ME5&qI<}cv1o*{X(V=WT7DaAjHkS zJp~_nW+=#9cv}@c$DOb*aFe_ztxUQ= zfs;^ad33~yS|$cPU8G}6j>2}3qioUiN`K2YFWs03z-dQ0hEk!fy`tlo%p{m+vr8@; zC;Tl-JZ{lbM?1EM`Z!NPkR@+}t=Z<;NLEfPM%ins2MLqs?eKM~mHUsYIE)_nVY zJ+Hy(#6Wzei~U{V*H+xU>H%5cfqCkh8N24zx7vt;Dw0qdTqA-M&Vbu5Je}lU@Aof0 zJGpuSrzu9oTo;*05JjU0s%ZQiB1&C`LVzjVhh_shVH`{?hZA6Ma8!q&Z~AHSQB|p= zTW#>T_G>j#PkK&01J$U1Mf!OgShw5-1Iu+g2&=L6vd1eWIageKp>=PRWr2ppX72=d zT)1kXj2a=jjN>}}XEkf*#J2b67obh5hs<{j+de0?W=*SZS4x@2))M~BA0ge*-_FVV zS|<FZ>l;TXk%kbd4wXX zR!$n}*&2y#dNOYZ?E%9FLP+AJv`@DfN`no9SeZBmgE%7=KGXQrR_< ze+cztac67CW+mj$ja6y4n4J9SilXGk5MPbY8bk5G9fyJ~T(I5kdpbiz6l?m+?p)3K zl|!b|;WxUUaWQ(`lQ<#}2~ga%6>wd&%+B#c8$_+~GlB)HJ>OXmE`k<55`vv2N?#fy z9J;^<6J=%(gtb=It+aKN(a;{F@TLcTnLac1cvwgCFYAyBoTFrrN$WnEXtbZcJ6S0& zjKWsd?<$i*O25<8O%E(&en1h-m3l!?W1u)?pv{RZ=soh~lwByn?^Y677x6Hp9bk`X zG;@CpE#%2LTMYIHgFw5!Hc9VLcnC+Yzp>t4@86c2!p_xNqKDm$sJ)hsZl5pyi%9c{ zfG;Cp^vh-j9Yg?%|L7l*AOp_Tcj?bWX04iOJJYiRUCQAPP$n;YiYbH3y zfeu3q2bkq+G0IeKo<(UV(o^Reg(7`q8LK>^kJ}@{TcZ-{G_as`YsYFA>JBNvdDgj( zw)0r?($4G3dUh#=y9n@EAd**?!#yH{&!D zmMeFbojQAGLe{9d#V=ievl8d;?i`Ni1MZV7<)n4C94Ik|BFF}#Ijx0Ja&g9yn!R3$ z(>e~6)~`K1))Ja?e!Sh3u`0lP7xS0#d;DPBsP9BF!IRfxh!;&6%PDBst^&Ax2_>Uj zRr8f>_E2lodV8&6VY&uFsMop3Hb{l`>{xMYd+5jPMvyB#=YO@g2G)zYZt1SOIOFKG z21XD%WDbwzchdHG-mDOD>zDJYwbkT7B=d+((gZ(-q!(G+pDFctpASKX#;GN}-Ma^p zjBN23Y0i{5a|!g@l-XPCvSr22IyrNjoqd()u31w@CqL?y2qSWNY@Sz~f_8W=Cdarp} zN)RirbUf-_7+%**+sBK!LJsDl%w1--Ys?nI*2+JzguN=?qm1cf&}utfe%w9vsAOw( z77uR;=t)YMy!$azo+ot|Jy;itq$);BF68*8xk9P)9Xh5b{b}NyFQaH-5TwyA@i{-g z)(_&!-Q*%&7);KH3G5xB==>eN z;71J`82lDQYN}megfpjR!lW})o;Vd2vFPccrCXf6w^y>U)PPMi7Ph1(BAmTnN zdRxZ&<2UBd{&q9q!qS-Wtgw>WE%@a{O{KH4&lfF}F%{1Ohh)navF~ zgMKts`#!i673;B?Y-8!eNN_mZ6B)69;+@h*B&zI1H7)rxJa5%@xQFo zzw*1l@l)i7EKVd%_?$ZL-1))oM)aR&^>t?pRH2Q2kjCRN1adLcf7hyVrGL3C+4uSM zVfn(r)mta2xR@SaCnM{yeHZ~~QC@Bg0V0>{Q{6<2toynjk{vTxrP>`r^+j2j7lol; z$KY+~FHn%u*Q|+>>r6?6KdH2kH>Cs?Y-S>ogoYvs>u4PH{ifE;3El012i5i@A|Awl zlRJg@GEEu9Gn&;b1LRGTeV#b(!fga)w}kj@aR)A*fjDkcKBeV@v9}lzw*fY?RWh4n zGT;iObc~4XMj2vhlbkr)TyXbuH%>H~e=n2GO^2I)AhHE;_QkwW<%>>WJit_sJw>`e zc*rXCGH+!{kGhv1^&w9VMd;@y-|T*XcC4;Fb|C;`u6YLVzRUeEgX!6R$tWtSsu}Hp zjbEpXS>2pV9gLoh2TdhxP>d2jvjS}3-ejj>4{jA+;+|T zqY7A~1YVuSVyYSDh9NenCIYJ=J(UtK>SBQ<@~HJ`5r3#`^I$bVK&=^uBJ&y8i{vu1 zRy;Som^vzj7w9le#yKXP@do02%7!p_X2EN zke>SFpF|$EX#^eyUq3{j-A7;6#Q0P^t)oH>9?nAqo(7+%x<@3xKuMNFMDBSyuXuJE zeUBQ$5s^uD?oc|8QB@a3PB5hB^R0 zlE?#$`Q%pp^ue*{#B0sU*uda~QJ3t#JS%amh>8MJGg|^_!1$^ts7(R5V#lQ{MOZYG zMv`9rqE(|h9BaDHlkRhEzn)<3d>ns&P>mk*h+2WOByX`%OYCIW2Qyok$H5cGzR#U= znmg3Nk@0u`P{A;k@Gn|RchSvE_Ho0ceM!NX0Z~4Vi{Fg*trEA%J3hMEa`KCX7~NU7 zY`Yz7{r4_*WUy(=kG}YsW@!_z$Hwqz~%J{SKcgP1`4-96`ci6VHpadK_MY&!#BeS0t@k2#BGmd;mGCy8-1FL zi1OFphlT5}h1uQX2S~95DZ*G~*BO~euocs)23id|UM>O_Iq6%n4Ofb!t%f%>s(S7n zOy)+&0w1*93-Z=x)2c1QB|gmq4QEeE%T~HQi(9#7mYjYQ4tQnXOzc zn<&?4n%5*e9$4%Pw99lIgnw4hs=U?|+ehg5)HcVt#E8aW-R{j|h#CWV%rtehNW{`g zM+=?1)oMIY-plXlv3WdW%t%|=G2p3weQJ>7)H7tGM?DQV9EeDKVu6S^4zEH5Qvol- z<^nGS&z!cIT_{@iE7^Yz@+xYF$E8laj>`!@Dp+=%8-60YHWlUt8g?IdPe{+h{sS&;A(->NEzUp;m`3Tjv zPXsml0Z5wh0D#dui<t@~kNkRK{DsNPp zkaZe&HhNdNT4{8m1y ztEz=*+odvRFKr9`!T|1_Yv<2zhIMn+tw{#XD{z~1->}#=oXGrLokd@i&Y7a;gq?W( z=nK+R+XuQ<`nRB_uxVxtOio~X4PB3tvcexQi%mj%>#3mmx=D<4VW4)XwM`F%)F^bd zg0W6j#jvvWJDEzmgqAlK>=1(^%DA=J z=@OQsG@TZNPXxszgG%3)`3q8y!BR-A@jbCPEfv*Nv^J6`x>Eas-q={2Jwge=zg}vH z<}stqdMD#ZO=iP~JY?Qfr|-JVW(NDKoNhqCV1HxdcGzq#^|I#)i>x zQ{Qt>MD9aX<6Odj3gRz0vIaMPP?DX>><5~iW#|=r^3(VTfxtr;I}8<8S5B|=-ak31 zYqJr$ce$evnPu2xfn`dPJZ^W==lk8;>uM$=|4X>KC&Bq%arxCfb)i0Wfe3oOer6K5 z78X$PJ>B!I^6usG?ge?F5_P7)b({yY{E+v(OxT(Cd!8RzvhRHEqrPaK@Tu~K09_gA zC_DOpW2e3TKsmYhdr&Sm_Po@qyU^O+2YR*B&f+sv#p0`|9EZIMU=taw`*EI~Q=T&p zxsYu?w1;(fUb~>6t(x$KUv;U?xRjY=;h~V3xJ^4O$AS{lnyoyGW}3VTib&AqVQn=* z0_giB>kZCL3j$ikCGFZq)O8*77qbyT8C)YiL%WnsVBH`wL%!dtBWH1;NkKXj zRcoC26Ix-`cq*!0t}I`UG$H*nk83Jl*uEY51bxhWUvH_dlA;poYIV_lLytjlWl*K6 zKTShx^Vn9D&PJQqU6^C@!g2Bs)t|`1B$v_4Kl~))o0_P0fO4QRR~qFc2N&U&ge*0! zi_j-`J@`P`tmI~Q1=MyXLBmi)uE+Nfy&WIu_QEtY^~o{Y4~7Iq80k-g9>DcEgnBy!9$eikd*F!I`#dFW!5wOF57pY`pZwk>BxY+kT)r{|nc5=6z4*hwYz!+0VcTPZJ1F z1Lb zG{JYBPo{~WtmS59pbhI>PQD(`OhRKVVh-py)N?=xL_`AIET-5cMSt(gC8A+ULFj4f zL+AsPaYS7^O%4p4bWH>eT{PYGd3uBzxz*@ z`(EZ(VKwXYG}V0+S~kzrT07|2u^OsvM>%v04w(HN|K}0Tb#>O$-i;92p|h6<`8*(= zk?u5dHR`-?>=MN`u+ZYXa&g?}t*i3st)(Fb_3m?t9p<7i(NN)WKCmdy$=or+_ft!i z&fFE+2HikS4?2HMqJW-TK+d4T4d_rUSAj333(B`z$K1_l$fSdv#$NnJa?2hyJ)8(L+e3K7x_5r z>22q4_M{TO%Pwq*qa=)Eg1?v}iQMa1@wg;!*ZL zi$tY{K5Zgu3nyffi0SX9e3iGj-U@pm!d2>x__@Oenmtjk96?>JPN*RApPcLp+j_#B6`b=t+Jo%CD#Mz50AU#msP|2XLC zx{u`nJJ=?8lm%!@YWiO+kOiyp@K(LG*VBK1} z<%@T~FoGmZzmNtV!I@pbFzTUfvOf79doF3Vw^|!dMk)Q^^c-R0!)JTc3ih;DiR6F$ zwQD>{xuOptQXiEq_}2dW7mCJ7WWM=mgB-D!Iic=icBJ5)yH<=sI3nzxD@t5X1UJxhM*_Adei zvIz!7&);*-7hz+jaA@vIe+de@+G~gsMv4E*M>Bof**$XJ4}hEa>$)!vb({cFc}kx|+@vwk2Ma;X;ljR$!L#LjtK;FM-Ur-$M}G$5gX3t zvSg{P)=Zfj&8^MXZ0d@65CTrgdhRSKKHAR7oA2^kO)~Q=p+-PL09>-6ICZ^V9h&37 znVr00QL)xiv=!$Ae)?x=(J%8~bfo}N$bv+Tbf3YxW zD|$~kDf1hwLMqEN-p;#1mc*^QHFflj3$L>Sm%nu-Y`GKG5soA*M@!!M7laHoX#B|i z1QOvb$@&pjw6!S1M@L2rOjYL+K5t6Ic_nv*m62(S*UBh9WBLU2M4Koa4xK6C<*F)t z6uC})#$iv61tEhxqWPuqg$LJ1cZ?`-1arq{gCp73w1{uwd-KM*_H-TeseBgw$$)D% zQx=qPBp$T#1nieMEceesPk!?pR<#SMEvU2HEivdGr)mR7tkEo2BatCs>YV0RHE%VY z>UKQK7#HcI%|~BY(ks$V!wmc3g^pPkBe5N{$q;`g50}e~G~0I50?fZgzS@IFZ*Ms= z$M<$-QGF!(gF?U^TJXV*Ywp53!3f~CyyU^RH%rp-lb=_wi+2SHW#so*Fzx+cz!853 zNS2UXF#^PEGz;mz&uaTwkJKC>;1&>WZ6+Km5)Q zDphosYE-M9<8%llKo9awzEibklvl_=liR~bII4-c0(yY1ELDeDP&yviiO|*B7qh^^ zY<YMT~=PwJB&n9`mgXi-Srrm;Eib;d@S|&YPn*0h#nT$jEMO!F2i7=*P~4dZcr$ zmw`i@eBfj8IM?Z_Y=?Opi;$>4W$2iks*vLVzMH(5*eA;YRYi-!((;kaV0GMg&$@xp zK2zgBr)FUK-+(jR=S0=64Gr;-bA0 zSzy9^`5K#oHMb^8%mOa(8#+51Yp)d=J_?+LaFl1|>7lx=D!6Rb^)4N>c9(g!o$PV7 z>Q;W(et38I%;NZ!T|Vn3ndZX;U6~*JE7BML!-L@8MY)Fz!F@xGd+aLOGq8sF|f-S=GaWg_pYY% zc*>zx@YX7lk*UvVW#?+ZI6&yisVU_wyV9-GnG-=-^pI?Ke}Nd3c4eBM0^#J`T{OE z+{2zp5G7}Hwe2^MI%-zkaO6;Gvs1Pz_-_iSZ4P9pTg?Df7>c= z(B$er?l&SpDTNGEaj*(3_B~!v@!H`!^(|JQKqORn)xHK{)pfs%(f2!(7BTldkuRxr zI({6%waQJz2$Qr?x**h(}M}c~9JhirAT&n%)KsHlHCrt)4v- zKkvstX?-?9*$Z4gkVi1g^KoH-|EK+9VD!Je_J92RnE53OB*Hg#UD^Og7`#$+dg~h5 zdcw)~eBS%@ptN}Zce67_U3F}5P^$ORk|I0pW)o?wbu~|}Ua?kw91^1r$kH(O*0e96 zTRjdPe)suZWd9Jd&qL&-gA{-Jj~13=ohSC=n(A1}&7`n^9$)?F2tvxkNJ&0SZ$=|A z0&84*XchMGYZP8=p4|_7(*ECAlsUf|3_O~RsZUl#ITmvscWy7x>HBs#J*yR2*yy3{ zU+wgV^Eh724_wN%h@axIx}kb~J6^2jzy@9N8nc9d3i~c>Wp7tBq1MVYK^}pe-^V)m zR$VSbL2zRjndyz6&MNf?qP-`Oc<88j9yFqO?DJ{qJxj~nZP$EX{r~-E4Ye*BS zh%09#%0wLd?wicfiGm=)gM9M?HMn) zVL&?=dqVp(rKjT!f1%eA-DAd1^fo=IKF>jgBOK|lKg9;4s~)HBU7d!qhPSqpkAtoG zuT#^Y76M~Y!*ftkt-M zBW&!s!zfbP?RPFr_%QRV^g+b`;S~Pw=|al-!GG-T8BzD)CT28a&0NR*AYy;86&6E4 z59ll%crcnSf6o8j)%Q95{@wNZ23-8W)xPz8I1lZg69ow7V=RB``6_gjmv>ud_ZM5& zlftM|1Z(%d?n(4d27L46x8R^aj)bn>{%oB3i=2*mc%7Y{zup|GmZ_CPs_ggw{iXk= zO4k@DREV8CF4qxFzt@5iqvQ6mWe}l}y8^6iZXC$WdjY4bsasfHUS9vRg?@lh_Q>V) z%--aQlmW}@htgA3XED;%aN4jb z>m)muduO){bc{#OfH%J=9RWtseex(wTCC?wJG*FSWDaX6GQ&+vi;;T;*{$hp?&c`* zraMw+7xy1a@oWXQIsqu5}Od+_mCh3h|PL>MzdH(la_5v0I?K zRcUeg%)CV9;{Cy)gszm0xLcwX2723A_L7YtK2WUUY*jONDL-fmwY*sW zWivIBZ4~$B^XvM-@qiQs>}Bt9rTPpT1=FC?>e#D64~>iww)rukUQ)#m++^A1p_4XC z^vnhB%wj#&(Z7u!1Wu|65v&c*F~m?^bi4~AL>kb(|5*-aH5rp>QkaOg{!s&b_tpE2 zJQn7-*)fAJBXu}DP2(p`g%n`%8%jOG+eJp-W8m~AMIUhP{f687f zWS*4#j{Hs_6s7mdcp}a(OGYjw62oXQr;i+8)iT%iyDI-6fiX75p&0kCjNP$KMl2$r z^&6bf;W$(G*c$jP^fBn@L)k=jvUkxE-x6H8_Y`M4du{bYWz9+FDxzRad4t%p!LV4J zQolYiuMG)ZBo5q{7yrw%o`l|Xf5K8cemF~D1^!bpd9Vh(ewQdphSo%clmQdh7wgr# z4@s+X{#MCzma_%hh^eZ>tPT8^D)X&t72_TtXoSE%C)RgA^iebCzD&LSu;}oMA=-SeVsBcFKPl}_x3c%arcNY0q^^zqUZi0o1mdyXv*I4fM%a`T1uDX;W!F% z|6PJ?qsQrDvW9+%$>fuk9*CK~Byy9``0N^q z9ZifCmK!{`X@nV+#udDU`4D}X{ruo034^KD0n0e(eg1W%Z2Vkf;PCM)B=>Tsx*1fjBgf)pyi5nX6mQ7q3$5- z%B9?0fYHaXcH@p)Jex%p2hhSb8kCM48wT#wCakroAF#(wSO|oOoXsdwL0DoVJ_|aR zABxo+08eQ6HBMiQ7%_5+>pUS}JyDL&MvjJq0!o604Qj<&vbIm&;{!&8;F4TDBewex zb2i?QWuL8Grbl01-dlQUw0BALiSw ziUmB=!*{QRVM%5B4-FycB){Ii!h7{B@W7!3`>Tmhy8Tk{ZN-yOVH}3&ApziRA6w7C?roT}*dIwtfRPps$n z|MW*#y5DMQb$*o$3i7r+`t$LRo_;cE2i65=$&x>evF(@Ko1_a>dU}d$BOyn66{;w{ zw|d(Hy<9JIKhp+81jNXS?Z=$7j3l#gx!Pq#NZtgsh#glUZf&w@85k1AC{_hG?f(>I z%e@-J1toCSg2}JaDPLp4bjQ6m3*2g=iA;j^R=H~Ut8C&a*>ngJUhMv1`a%5Y`TWU8 zn_0dH+{`a~yha(8yR~;@svl!sJSGDeXK+&KV`5;Rvs;=LF;$I3aP+c4qK+SoP?0CN zeZ?L;074NxApEL8sE`y+m)|h}JDM=JpF*5+*8wOsTD-0@Cc%Wx)#kHVlD>K;Tn9ci z17JE8RG`+N{H-Q0ZAVZL9Qqp1|-mBKr)t$1vFA0LV?UcdOYTSlkaYh=G z-gAq-h+m{a5lS3MWmJkH7AUXLaj#%Q^r<_6ROoNhe#CA`p&^&Kvtl8a*|2^ziSYR} zIIJRsYZ4?V1lTb!fFl10%F$w*v(a1oYz-_+L+u@DMv!F7_Hpb|MRj~PXu9CPxccZc z{k{!L*!ku~PMcwMDXmSIeE;wx6E3*<=wb#5ek+^_)xvzmC@zOp_l4d--PucdYfc>k zoR2MnO_zEigWUC$_9&~$JkKQszdKwuEOv>OS=81AdWS4w~ zbOHYN=|bq3pSj8ARRNOMP`8q+ggRGlHtiQV%U35s57$iI8SnH12D0F8p9FoO4Uc(q zwSoctMlkS5k)? zgw8}x{s%6uk3aI)F5aa1^`b7T5YfK8r1*DMWB&svxy|QTz!T8&b6^IOf?Aayq9c&|oqVVu9qm|DkxMExA;|N@m7ZoBwx$K9@t{0!dp8gv9;0OKsMxdo z8)J-#`FEJt8I~kjNMZsFRn^CI6?=}7;R)-|h^&Jr9%FfZwGu+OFY84}UliP@5fxt| znjl?6kZwHj9|<2t+HXI<^Gs*9QE04_eb!UwpTu{w{8Za-L{Id=xCRF`%|T(4l-dMR zU8o))Q>}v(z|P|as59`t_nS*zS*8t-&b-Z%db^V*&r<$Ffg?;#rX)Zp8ymYcNxUzB zr(lOh)i+n$Bz(LgsCYt3mHPCAr875e20wyez|R#{i~@(UltM2mqn*~^C;FUK6PEfC zXBKBCpd%?D(vk2qtw?hndDH+rQ1)}LoowVw;ArfQFW!icf6k;NitPpw&YI08$s563 zLTv>=<&Vs5OBr$CnJ?M!hNX(h4qlPWK9WGC{9w09NwKcUF0y><`!&*!X-K-AldW-X z&635acX2HGzmy3B>{rRF=O1T$AAd7y((IQ0Ec^PH&qZU}TKYbR74aDKYOixc5Z^T% zmN!~&n-PS)JRc7o2Q!}?+jq`+YKR9gmg&kEo89{GuTpn{t z9?3k{AghhmE~{nXr|oxop4U_2_NvYn2>ox?HNH~(#OQap2hLTs8whHz}M@d%P-N7MyO3w1`=0ls8T zJ+#!RTNZX(c8>YwwkDeq1J)#tK53lxGLF$xFTsZUVt|AAMWG1=O|gH2 zB9@tU3~dyHmG9IwWaj8y=^B9|m}|1BRkU}N^Buwx2cl!=Qf_ySd|N2tclRHWBI*Mq z9@zDZ1A5ru)QHdBtb9PvQpGv>Qf{%<;3QWoD+w_u< z>sd^baLQ69BS4}mDd?ai)z-b=ChwT-gjmG4;4D>fKDcIND`{z}?YI#|cc)`x$T=g* zr9$T!UdosT>&c`fW6BZSf6X=QD36Cch8IM1HX&a(oGReC`7lO+-bCNf6w{3&BHJqC zAoqJdXx4`d5yxQ^ofIT_ve3TG69Qy3T#IPmHO7@uK9M*Ruda&9rNz@=s@lNO&~T&cmV^l5e}j)+qDjE}jtUig>&u;G8)#wkx9q5jE{K zn;Qor{0(ap)u_HDPs70w{Cs(JVO5K`gMoiKisX=?|5a(qCp_K8+TWxqd&T8%Ny zcp5HvGZd*i;>3tgPRW88*U#1n-<-WB3+sGOlw8<#bo)~3V-<6=%|qK4Hubr5)yse$ z&BqM;`D8o>0b$tX*6<9MDPiix<)p`HOS%rF{-^_sf!^SZV~ml|#eFq}MBw`m_dcN( zlPBRF7+-zJ7hrpc;u#TN0VHIx6w z>-C{4WajL>h|jyj3|SooLev}Re{~IEoD3dNt{4(#O+|i zPpIUAr)b5h0lW%0lLxPq1b(+kOmcwGqzIV7LrBK_NoZ!-RMF`0fhDRr(R9k3*y%&fk6i*<;3d5(?acn#RJk$K|S|yKp`9#;L%AsuhG8 z{R!3H>Z(U*m9YrhGYNb6iqS}D_5We)Eu*4rynf+Zq&o+sL1O5Xkdh&kPNloM8$n=( zj-k6jK$HgQ7`l;=5|BKQcRUK#U3B3cV8V*E+M-idt{)7_u#!J? zN-{YAdflG={qc^=t9ZYE`OJVH$fSc)9m+eYV*CZE>oj5NOlSgZK9wTB(X}fsgYf)6 z7@j1gj_{`d+sIVz{d}(6)m6WQ@*gq7?pkjYUvirP9|srL)Ue?NukZD+k#ePXFWXKz z-~cO|v5mn=oqvCH8Nl`acLZ}8Q_snGG$|FPDD8j+=clLp*7YW4Ur39qj!sEEnz z10Q|U8|D6Ml2O<1#^%pn^|U0+?5#-j^euj@OmLqv3NE`876fw4{@W$I?Zapm2L07= z#M3X8YVteCFg1pqHX_gLek=9vojO$s7?+j0beCF^gmYvtZ|plL7uo zz{D%i(|#eHb$-d!G@+_jOlhQ-G1p*M)2^~><^i0-EI8UrxpqOhPeO7Z(uk1dXHZ=e zuDFjD=HwdFDxE^x`;VI%u9ka0QHG4PE#{BQ5DwH$(lWU7BEaAgIZP$scUR0wrSxTI zJn&>pYvktYGLP6fuC=n+jTNz;hXL@Nw0fiW2090&7;FCpdlX;skYjS>KC3@7+NHvW z92zDhd}8X4Eiu(&(ythyiQ=XvU0{WHY0|;_9I`;+ty9MmsU_u9-zD+$W-(!E?B*ow zTY7FqS;|XkUrUfvO6G9^Pc6CtfeAiw4Ota?f+XMoTBc>$;OC+^GnIaGsn9Mi<%$$jgVSHfJsN!g~&{V3RS*$*` zScO3WGn4LO3N9k7zQo2~^2L5BMVU&M63Qdnd+{-bLU<@OfZM4-N0bZ-t9gP=@lvEg z$sqhSJ=e6T=_Q^i4^~-tw~htGx>R zQjY1}X{U#oifNeqtDm%l+V}lj1rOhiwVIcGm$TjPR_+h~^Va`HApUP?@TVpE-H-A6 ztbr}ZFq%h$^{?&cU(PDL+M>u~WGM+B?-fD#8F2V|7)`*HC)r6(Y>-dQ?{82TWgtKt zRVeO$~@xKKm%R^l57X_b;XVU*D&blVISAH!H5VwqE95`E{`+ zUHk8=b$1JoO{g%wulJSkX!owoQWG#aklj#a=Z)qD}SFL6Z^O~(y>g;lqk=#&KCF3 zgL@0~KqxRtWov|x$J!*%{b+=9d|8;9y@g2{7t?wBf7jlZ`l$NeKLnvq7~M^c^GjVHElNL z!{wDCB3>v^uRKLcB+XczgN7aJvZ_Y8LI!h_i88E5i$WS`BV3Y5ni-Xr!yTdvshVFk zrz(&S^QeJ+vQJ%^M2vJxN{>AgNM1f$=^0UL71E)!M(Up)*kOd`>o@z(C~uAP*_bgu zyI*%FZYn>n1B+FH6-zfPLi?C?*UztcSB=@T*%FoTC|aHE?%XKPU?v)=KTvdgg&fq8qgAaHt&um3EU_y%sjufv~kV5W5et{4x7DX1|o@JIQ zq*#8_Himo@G(&;&X@b&G% z3PK&J@B)9_jYsdYsKTK2**8f~WPw2TvyAhLJ0QLc_P*PrYQDcF}QSR4wKFxR28iYpvYZ!YC zi)+Taj!p_c?7kLibG=j=vWQQw?o>3l#5o72rBzXG_*3?a3qLc-*W~tP4}Ogp#4d@z zyuLWlHo|XBr>riGK2KBvsi~4}K(|W3d#?k0!}F@t2`mqC2hlNmB?}V}S5Xfs@U@Cw ze;FI$#~$hYpU@im&B0RTN%1Ac7LnxaG6TT|`s(}{9<>bcQ@8a@m}HPD&-w9A8wnTvKDkvaMstcl67AN!jpqBaO88*B6gH&xRMyx>kDZ-<#7ENmVvo8N<=u5)aUv z$`=>Vv7-xb!2yuWhmMPN2xaXR5r(fz9{R914-XUFMgv=obv3Pz`I8KyXMHESD*0*L zZ!q|3Abgv@XqiX&ay^eQ`9ocJ1oy?pH!{_fwfR*dBX4k&7RJ2C3S6Rf%GV(;HmTob zG^{CR4q(t=rCUaOC?kZ+cX*r{rv}AB#Vj@}P%!c^(7Qw8Q!Yb&m|3TpG--E+`*3tV zMzj+1EZy~9-1VsyK`-pBP+)gPiLWySt#}IsqfoDPTPp;d2PvfRiE5HGqgTlMqP`^*W{CRPqC)0$0ACrzG1q!ab_UO-!9qrk4koRS537XhUU-^ zBmHF{%KL?Pk8Kd}$n}dgqB!ukyly~$$KuROwP)y*$VjsR2QhUIxf0do24W9eUsd<( zotFRe-rpnz`dmRyHy@n}Rvvz>v@e?u;30iQ37YMAI6v#c3;5kYhK2g%uiFdQ1a;oB~r0|+5)^*I31_V#<9Jq9=)&JNb&rfOA0=}gY6`!%5gs)aLzJSNzqADfA?@L&euHBWR8OMiTS4c;-#Q_V&pq2~o;++5GyC#41x%lSO? ziw@IUN1PRP-!K$>jUU873lR$4*{cd5Rs75&OgD^~{V1c)Gs1GomIMMtV7J+GO}jj| z_;O9bVz-q@!6IVUGPMvM4B_Hscdj~^>v(ppBbK-~>}M5uLedseAUI%fMkt*KTF4sg z4*?)Al>`io#mr245|VG={>4RBLL4Nu2)h@}w=k}v#LK1E%tvj4eP4QI;)w;ewoL5} z7I*Jm$(F0h0MQ8YpJ5Ez0u^5-L^7M?)B~gX=pbCHzrjO8XvryX-YU*v&`c=n#p=k< z9eDudrmC{$iCd*f|H9ww$nex4kv za7^MoL@%!Yw49bFnJ7hvCvBlm=EK13RP%I$eN8uhi>hDhpnT_nMy!S6X!-(~ygmQjP)xr}%*&S>CdGwo$(VxCkRK zb2W?m#yi@In&|aT5L7XEG=A{x@ znp-WT$z%VTE2$Pl+!2jQt;&QP3nlTz!Bob#2b0FngDjj5(v0Qu8<|j_WPcudsO z^WcoogV>)!9zEVhimZ3xubJlgVuOnLlNC;z;jQ@Nhmr@TYVXy`)@+-XJxk6iOUJ+G zX5R=p*uSgf|Ftka+oVB}c*7fGvHIdLj7WLRohxciWWE5GZJPKnV)VJ|X~gz6?TA`t zdG;5MJ&%|4%t?(?7`VjQQS9WK&EulK|Dh7|ONj-T(|)N|_dB6c0NuYk;T`4RQlQ+f ztl#g~rG<%NAL&LDAYbr3LC3s2U6NdJWC@CPR|ciG&Q14H4kJ+SbLQUPQsVJjJ~OFY zET&e#Yyp^5^~%MR)`Y;h5|a+nA}A|%olH(-Hxt?zbHmYZNe(o*JfX+NtnjCA4Xx>U zF$*LUpAMbV5=A>$9sJCffJLd0Fb*L-Z^%w2kRA3;BHD8u&TROlpZbfHjh`r20=K*l z;n!Yx%Bf)+sXo5=5Si_7qog6`yW89Piu=n4oz1XB6}BOwA?cQ;^h;jUcG~SJrMUDP zs0&!jwckaGFeV`X(J%{4LFyo4U+wPLOV`Nj_^m8;Dkdwt|8{pY$}>x@78EVhoHx_H zF?o7leaa1@3V@UP3Ydg|3r{m;h~T=0J{wAkWXn&P=&_L`i3lxCQ;2bO-lZiINBS6T zK-q;g)mEK_@K*t0kIy>z_)RhFt{vkS@^EQ5=CzKpJR>k|X_kF29SRbhnaM!M)KMPT zX6GPQGbxlzmVX<)p7k0ftSgvC0GD17=k5f7(7QR2G5bp`QQ(t#h`2vcbOb+&MHxIW zy5HP)NY3~fRt=v66zyCG@{Q4zg6=&{$NO0k?N9jG*K;caMy3e7zv9g~Y)JiaG$r-* z_1m9(>adW;S%UnI+ES_CpMBe|!?Ol>iT|^H{;$7{J&~5X5$z-?d)LmpKZKh-kLeBk zF6POMeD_#{4S{8UiqkL&wTaV(5}7$P0$oOVzz`Xioddf+Ys}`vZIV|&y{dx_6CF!B zWNU5!9dmRwe*6d-2J^7p#)5_Ec)?=7&6=!lxo-g?NB^wmSCDy(ua*gU6ABcgr}T~f zVnGIm&=T$J3GRL&-dqOyGkRgW?uBgC${FE$1FsIRJ-5$Jp`KVMuqGaF0%Jo=AtsEG zCQ$4LB0Nv+T~$-R3dHi*&PPnYpXH_H_ablx~=uG3jv&-FXo2D6(c-; zDGtjkE|*Jnu4~8~xk3c%&r6gE6fjBSs0w=vU)x+?9fKJ+eK-;(vXna%|kNi+3D4SZ8wXNVw#fCw&pZO?vrE=*hTm{gLYz(ORs{H>Lw z1(IZR(j^8Y&$I?3FnXU1*pPrgpQPDIh)~sx)A!%Nf@^TOeN5maL#Fza!AubBU`ZY9 zB3EhgSXW7A=8>YztO*W=qBihzO&9%$MovBNm$jbw!z@~${A$}VtZT)0WGP=YT7ymc zRYk*GH+f4?61wasW1k^pOGwi6?VEWC9IfyuWHRA{cdd|A!|Xrvd{ssE4@3TC(w zMpeP5Y;P*jcKE1^J|4GQ2$pMC9Sx6@y!=<}t!f;jPT~a1eK+vFNo;rXB=FQ$_a^A_ zP;RM|82RFP(0T41XY)naqmN3n=N*Sr;djCNQ?W9Nf5#dAqhVu5zB)o%M?(rr?gYqE zgC^I_DqNa%)V~aee-u4IPbG~L!g@E%Lk#2CKZ{?G{pmk;ww_LSE zL@olZ=jD>e(t#fA_gai1``QmorOFiKiwUm(UtWc>o`AchH`Fk%Er7`Fx0GqP>ruhOau^ z`Z^(g2yNIR(i9O%U0XF#alH1b7@9HWBHVNLtq#SM7-y>|SU+^sEJX_iT9%|*sk6ii zOKiRNR|_tUBH>~fVdr$6HC<%-$yaTno%NfXw&n077&4eN<*bs?+AsNWqC6SgbX!Ei99bd2*MXugIefx%rrRxJxXPTU8m`CpGylXT1_}l4A z22+}E$cms{cRBKyO4?u!3kz6$9EBF=mm-l4d_{p$H#Xq-jh~GT4~WQ$l_AOZ&L=&5 z+eMsXD^X`_BXqSr)0hW)urFgXjr_O6`iN!*&i~c|2wGNZ-82tktIIAqe>`}rzXydL zH)AM;RH-(ib(MvqP4S)t#J|*#Ga&}m)WKK8y5ljihzisYNAxl0!fJzggLFEoWKvRb zdTyuF)(xf0_G+~+n31||>r>iaUu6>?%{MC1V0rip|2rn|^9KF%{qXF>_Z4x^_b@=% zV3b~%__)n%H4--x-|7t5${YckO1(o;FXi4RD;9;Skx*#jc-%2DX8~t--<cs!6UEaoZ^5ZF3*+l^+VPN5hSx`#+QCuGbt;u|~ti&4F zdYTs(r_H#q`hR)8gM#!K&fi5Tst@p>fsP}h<4%9`Jo?n6qQ9IsHl!sRe^#DIvNeTu zsuYg}ibRv5F#I4?n+MM(?9LxpDk9HBT@FjgBv(_lHY7jxG)M7*O-fdjyx|s-d1-x< z5?#l6W@SpzDwDh`(h z813*@#QMXP{z-klDj{#0NsXexrO*>9wXmRF@=FQl*C@`yf_@1#Ao_Wa6Vgr=;B!q{ z93lxAHr|!0ud05u4ZqG>oNi@ec2}3=dxy50hk~Q85oPSzjseD*PAv6y^i%;h0r?1wa-7(qb0{)K3>Z(cvw4cu^_9Q6D}{4 zE^8f!2FMZLrk7SpCu|AgSn9_SLK2B;_!BuiSidHE^J~h{^*7alS+RWz>YUAk5wzUc z6l{yeEJ>|-+;jv)q3+pFCE3ZKAxvP!$}&wpObjJO?FvQZMA21W$@W%SzS=x5K?Na{ zvgfelPqeDAXvBE>@3!M*fe&h`1D_y?SeWbnK3h(NQbc&4XeV#zcp+K>Y@#Dkm^!MZ z`4VIka2Xiq8JeUm*-2Fod^5yHa`kSFuzrh_f5c|QM><&ddrafQW(D^nCX)zgPw@6c z(&yilb3xq@@hRU}kuN9m(w9cg%`tAPdv6RBDrO%9i|NBJw z-+CMx3~6Dy7PKt0o|~aH$rNJGuMG@azpqLpl*;48SyvHeadPbKAkw*(&O;0js-(BC zv^k@S6gSKzRr_4r<(&(=yby;dr!qyjX!k9*%OnJZ1zLy-(rbp+vnsIB=fy#S_u^bk zltk31R&U(3kjHzix$)zWqcGOfEYr|UBYBvkdCng3RlC}1Y_Vj5goX19Vs+cRTg~Pt z1{^mjh@OWE!;g%<{a{zjtPcGCNZ-*H6YcQvrqzFd0}K^D!?;QK3jjhu^Q-1FKUB9(IoURuwLYV7hIQ%oXNdbr`|TfQl$j^2saMA5 zfurj4bbv@(n5DNl9OVzR49IaBhhM2JbZ-r0#=HJWgJF6ZM7b#B={S)cgW0pn-NdmpFevLSxbWrx7cE~AR;7xm$-3_ypR)2&yIMi z6JD~`r70^!Pmb#fK^RK|oC^U-vl$7_KjJ&OTp!#**jn}3R*&E zXa4+sU(=4C-%+5yx@t;VpZvf=Ri!VDB3L2)&BTrU1DO}6Zj5RwNbM*rX>{`xN$Rb7 zS{zf|(P`!g!}R(s*a0dqqd;UHbr3~{Yn6#QCbz!nQe7o%AVkO2GK_I>5}VrkVcckZ zBl~-(lUHARbi@T^gw6W|`)?-et@JWBV=%smnV#b@)$e;*ny(GL)3$BQ2BTRtUwY=+ z|4_CkdmTt+v)rT3V15=rf5d4z9ufW`Ov)waHxHJ)U$!#&g2Vgv5b4AvdHsIC50tm= zwJg_v^q-!@c075!&fifd?M9iBY7^ii?5ooHS}x4s=d+AogOZ%UJZwM@ec!VTK;J4C^8+ds{FmpKlTHmwl$Mk;ZfFBN9>G3p>%NriyOLdl)f4!PRi70 zwp1xOn7C$C?NsGjMNVY~`nQEPKibhTmrRv5VJGQvKJJnm+u=Fpi5Gx%$WUyy&Jm|Z zLSeWOx1S-bAz>H(%~$o$yL)yTN&koKUeLoDnLMprzt&lru1Bn;!PG* zyCTfr*Pakz!uq_fu1ZG@!<6+^og0-?vkiHNl;_gnTe7S~qdlduNdCf}$zco`=>eaR zJk^S1FbT1*932fQRQ|0wj4_3D;T)baI_}Xzd*LO>)qRk7d)QNC%XU_yo`|<6LLZ49 zqR;)}d)U6e1fox%ofMMcjC(}N#iV$OVq;<&jKD_&?yaEfs0yv2hzw&Sf?1{m8D9ym zv%7Ds`_{_DSVrvu2P`p!tBT+#q5k^ig6@TeWuLmT_VMW$YA0zxduaPDq09eDwTgNn ze_uX{sXK3aeNp!`#th4St^08$ry*!a(pUoD`@+LWW{FMDZz1^_&FIzZz^&(g3#hDr zmF)!4Bjw&7SnYP9(I8Xe3r!>Ec&}kJ?^m?ozIcjF{Xd}*!0Hwq)?4)9TP}QJvm}R5 zZuO>Ef#*M@sRuxs>Nmd9;?mD!D=&W*kr#mrfH3M1tWDh*Tj1amMtME(6nY^npp&;v zgaT70Bs<+K`k+2$4rAi0cZr4uPLdblyEl%P8&QH?ynIRs6i zDbax@%bp|NK`a7uM;{-9{IfWUOGr0ML5sf+%btkSFAxR+#|nS7@jz`MarK)ILWQC( z1zFX3cWHNAM=Ri;t%U~@r=Fi*2p0=`9*K5fWSx4t+Z7E&6Y!<)Y;b zXa928i^?oxOF&3|rJ(KrFU7koxr~d|xt^F~zgEsJC@fK#TB|mz^S=|~pb}x~XW}Bc zlylCDjAm3V;V9u*GSw2f0#INU6Sz*A&# z+MyO+r2s6azHJqhnI?K0X3`Ag{2-inLz+D0l>^;pPqhhH=xA!A;TEQ>V15^4V&W7x zbqE2{)&`7|!;EeW9sP?UoUHHV!4LBg9h>}06&Tbq{<|v^v!YgXKJ^TXtfWb^cy-;u z8N8M65%Z*vVbiI-t$_7(Hc}d9!>B9OMaUUz`5PsZlRm?D}?`Q8V23+*w~?s{F;5 zsUZ}M741Mxvr4)l%xiwKGZ|?iCH0+RnEem0UaHLdr4gW!+bNLM6KyiW^gk^TG#Wn;tz)6)(+Z+EdrbV{Bo{ofGEGY@B{a3DoFx=W&8_Jo!VFgPX7&W zNN1I5ySDTA%rhc=NRw66Hm3rHjOyHeL>7E~)tL5lky6=z1cHD8Fdq-HxVX>C`#*XF z0+O0mxLLr14#1-zM_KuZ!T~w2@zI}g@iO`wLY(#|DdHOMzU)#Z`EL!LIR|S zv(F@eB&M0TG)?HX>-Tx^>{SXIJo4uG%=|xba^wCc3Sp%qMyB!h$X*As(^r|)}I^d{Fxr1shlPp zN8e6MQ5O^2@^rqqD#wDfy-#-g4eRGRw@fT1@W?RcaL`I)9`|aKwW}lnHYx#KKl^j@ zYvbaNJYl-ei)Iaeys506hM#5@!S_?FBDPyGFg4WZYSkt46NyfcgygZ5z-fs@k=MB~ z=QY3FCv&3EQL+{%t9JwXBoFT+mX1^h*BlnsHMIbmSBd-%ZQ?hr`3%|-7BJkc|wMx z&UQ05}hs_FWf9A(}St$k>JqHfq)csYCbndI4+=L(UeseFMO9^Zh z$fQ61Gt^%}BkoCfY3+8;>frEs>U-ad1>EBgjIJhK12meTh`00YY(W-KayLLOJj{WwKiC1cvw6a7UVnF#(y(?P3Do@-1OGv}Tktd^) zOCHm#sj3K_jr@h3l}vyPRs}?`H?({@<84=6EnLO z7(-nWgr)n&Rw)_3CaH=X3LO!uQuaAd>;3EX0q!rJQ`RhE#eDWZxa!}SwT1{1m zGNRO3h*B+(JFn|iFN_fH^&Sq+5kb^8DAhW^MHtEmD2hQQbWs={=c3j_aJTp4&;axgrqv>FD$S1(}=0w-O`re_^raUM{%f-r0VHu@O`884p&E6N# zTe}xNhf12hD^W&@B}W~($`X&))A!{sl{tUruQ2F?VOBL$6~x$3X$i6-t_!JQe#`aT;VD$c+Xw9e`Q4;A3p;S z6F^OOIjhrDdxJqySrhNwW$vsH5FLCV7J&;t2_|Ud=A(3HdF@Ux2s(B@&Nc`a$>-syq5rg1V^J(jLC!mD<^XCD8k*d$$J!$gre6g~cVuB-gr= z7a^W3Ek8#pN997nlQ!ol{cO+>pTIRxA%BIJ+t401hc~_SBGvw~;X@3mz~0o)R;Y_y z1)iLtzO^ePU4eC0QBiqd)|^NOcM@TXPl_63Qm+g=nRGP`Huft{R>~$UWC)Xp+*jBH4wAJC^k%xoeF1negauW;G@;mz zat-l>$pTI)-;h1R*|HJ(*UUWU<0l8>FT|Dpy#qPl68)425q^xLE>Z&&;9HV@idC&uVwn5Ve^6Z=X>^L#);)0MTRX@QeJ+UIaecA)`0xT`uyAL-T8i+ zRI`-$>NNY#ni^Ubv+YQ4^|?0nvhb`Ch5iHWR;K8#-n}56N~zxnXX=#hG*#z>&)lhw z9@nl+gzQPw%_oMgX*Pl@7j^B8E9n20ekW8zbPZ%PN5QKOq>th1HSaZEWX z%L=9RFBSR+Ds3_E);44gTX`tk&t5i_9@O2O+z&;8D!;a~eD;4lHA}a#m$|f(y)cwd z6ZSl)C=aaQGE}yPsQE1G7!EBb;(L8*Qael`hVyx{mWa`Sb^u@Xj86~LExtMA6Dz@7nc&H zHf231l%8|LEd}JcKu^6M$Dx2OQ~r)MA`kxM2Rq$#BfU%dc*uEbua!S1l4K%w%G)s} z8@d!XA~IQFZ7}m}&9z2Q+6=)SJDfPwC^$hLHW2!yQc#w6x}OdEw~SStubP)QnG4Fm1EW z7FZdH=}f|fl`3kZtC;)Q85ua4A&?ja$~aUtX>D>;mi#yS!5Ct1en~4@|D*V-RIi+t zSyO;*X@Iq6Op2-nV7C2X!kYMOkfGL?8Nc3 zSQt92+GXnMmfwtJ7@_Q({3iKtuqYDx)*vxDx^vO}jLNlVmg*?`JqZoD!Xm?iwUOvlrw5+#h86a%pROS=T|6cBZ-U>;no%@gJZ*1>Da6u$0tp@6UV8`c*nb6VZAr`chRO3 z|5|87=w|_s&)rvz9tSu!-8M2GSvdSJRhB0OzLBB-z(mS?yg=I-a(aLEj-O;v=z1)- z;HJENHKiebhH5ANY^+R<`SOBz678k2aAQPAFjNG$khG({%mSC z)=m-ds+FTjxYE*n4&Yvl@aK+1i}=LE04R6lDqA{f{KP6t!@1GXb=oc8{RFer*%@l5 z#e-r~d5-gDENUcEhIYdYhNUs`jqG)ONA}G_n7BsR=NgrDOp1^?yfZNOBVnEmeH;n+ zANkzjF_sw@S-rT4S(|t zV_avg({d^XYpa?mSE|#SB6Q)T-@ybnCJKx&|51n;=SFqi%ZOHPc4sp^6E~~1SIavV zfTy1mY@@6=aQz}zA(cNx4``Wi?4hmrQwwQWgU>s){00%j6d=2rn5^CQ_|yX5c#n1w^gxqaZr)nDURQ|$O3%vwr`y+jo+yHu4*y1i z5MjOS2zg0O_`>dhmQ7PjDnkMj^Sw_ZO6X0rB>Q$x&^7KB>JwHX7OLfUR)36a+$xGRQ;wBO7@%5!-)5OfsGV51=V4qrd^&KNy!Y_vyG_5W zh?bq+cP{`WjWBlZ`fb5>rv&I><31+=p?u>yuCB04@L}VvV??S-PLlWL#$Ytp;0@0B zV8CrcofI^0?a?;fBDC;4Y+gGWC1ogGfEiE+4F6vQCFE12beC&IlG7_;7McU1N zO&*z6g_z`w_ed^Pw+td3ZqbAc(C{b|#iz<)%UG8Rtbm!5+(^*3HlhB97Qu$L);>BJ z9~(@$*s)K#X+5TzeS*|1?~U=>Y%*KJVoMgd*BG2frHc;I6<`w2Cyh7&@F_-teC zqhx}+(?5hRd^u|3MG;g-jyLN_$cOtC5Xv#Xz^*|^_rO@WfCkG50Ml>fSzi=vQ8F^I zeEteH(J`?LD(&ibBXk4TX2tD))+{52Btc?>Db=AN&j}vqKWdIC$qF%XYr5oxV@joe z{>e>3P@~FCLW1j&NI7Kl*{4aR0fP!R%ypyyQl2`6`c!P&ECqBTQbbu4(rRPnviD<+ zaFmN(%`QOF9Oi}Hm zr|P7tThMu`y5T!G@I;DA##Fq#Mb&V7A%pdY+xx7!Lx%a)A_1m1{}g_9iWKGHOQGzr zaqU3&VNN%WAK@7{{_e@Vnb}z!?(}ix+h9SN%%#U`$--Q&Wl7>b0|qh+Nje1#B>+(I z?Daw*X+(si!cd@CuVZ_vywAYdK0lwl^t@oF>e%OeNxjDNm=Hl|6);5yAiAB7_#g6| z1;GWe@1=}OWSl!@i>dWIZ^I-~k)bmDO3L4N0qHBO#Z+mki%w3~K;aJycnb-K@hLkA3fcH#gjw zS^?phq*SvV&Ky|`GOo2qQ(o~&Rd3J@-5;YCI6Oa<MEe9 zAy05n*;y3VO#;@Hq}{NF>#FJ?rwZg;>>N>_t8~|K0?DOYb!ovPPO=xH{r^xs`Bza? zkQmd%<5gh6j905eoE}TX!_Iz3%+|`;^KrRFYe2Uc+_`nTEU6W6KYLlQN3}0{J>ggO zkX*UUL&N)57{Akz0I>WTO8!Zj^(uck{S*l;veVA+l>BDrwS;fpP4w7bNdv&6*!k-X zZL{#4r0P$Q@a6URR4;RGkyWlYeV+X!{SjkEr#FKcZ$=XJ)1gRdN98lUH>M4 zOR`YbwtQHhu7x*~DT2vlLJTPf9E7bPBl}R;GZteYt~CGiyK?FQ^JkZCrpi)=)#wkb)$0<$j}^P1mZBh zjuy{DJc%PLJWVO_%sm~ zg%qog3==kKzDyGVMdV{{H^v_0nZUoz_b)Mo6`iLo36cdur-d-Dz4+Chw@mP?1fucn1>$c4jx23mbH;NF7kK?kYh- zoWhor#CG?O0(<{Ci1D0>v7Sk-Eg#q??Z-4GvzSdy0MY>b`9-Ow(;G$qkpig}ypzvK zFvBe7RWTx1h-g@_x$~zy?u}tgOd@)oV?9+KAj*V6ZOZ|l5%paIPmDv1EI(zert$#O zaTh!^K|t|#WRxLd0DT(-vT+Phi`83gT((yK@{J*uVic4-l>GDSbXden#Kj%41AXP~ zX3SsOigPEr{&m;v)E4vOjqt9={(;NO2cU$6Z75=bI}Pz0&sI7T7Pe^1z!U)gKVs7{LI->5g8XyR3RGx^l`LaNB^w=Er+0S~~1v{&cPvj3`-{39k_ zjQ`+O8yzaO);WgbLKrRU4rJ6239r_&v-sb+#1lJy{-X9@G=UjAHTH#i)zjxa^-L|8 z;P^vx-CZvL&y zBaAmP`kf`?oWaaBwNn(GPt-imEt%gp=*$h0Z4*$dl#;`C zkYC7@7E2-e1Xo?>0Ic28_puw>jnhX2F*lmzxZ$BsV-o3-gN?cR`~^3tiwoW)6X@PB zNSeS2WqtCOG)8H9lhiwwR62g1XNK%FD)XdVv7mp|#l>WglW|3~Msu45DWbsCYgeQP zmEb+otVbweO|52befH;*GrWw-KL`5d>XkLWXsCbb)rLaZS&4m2q7q~^pfGkm2Jx-N zWlc3Y1x&WLtZ!|}PsI{A!vb2Ajs_S~PYzuuOt}~ouQD9cq}pj;5Yy#To^EBYYrZQD zh9r}GYMfBK%jz4{<$8SbK@Q`!)R){x?hnP#tg%g@FU!_yZjOcw(3tO8&}$fDgmBxS z&8YDFU6l4r6gk$x_Ut)A*7DyJl~3@6I+1g(`$)g#iFrH9s?xtawF`tKC!38c-CC0% zd&YO}EHL`s)En{L(+th`UYxvryS?vz^Yy_NPw&;tU$GQ8B>Q`6m^lU$t=kmt3m^{9I zH8VbfG^q~`pvkbltJHv@z<|+HoBiCXbUT=e;y|36z?hhXmq7uq|NAVDWWF#{>(0c` zRSn9yjEa=_3ic$TRr(uplx}h?3$>qcehh~q6e%RE8PZz#e1l4(bR&yHWb_Mte4baI zvk%wL;o+yJ2@-=&F}^0b3d3KU62Zv>MUG^EA1stQuFjlIONUZCrU*=FCY{$oet{6KwDb~WY0pESH`2eB1C_js# ztWBmx+5lEarO21of06N&tF3K*(|L7G+uePa%VV*)Y#!#S9d5OS&s7!0G-IBfKZNmC zaUc^EUw__`gzcMjCJbG_KvfH4gzDK)BEuL?AXrqaIKI-|;@|RAiY0TcfwpN4CKSdk z{`N*T^X(HR`U>;>oH53hBV}=Lfmk*LYbGTrs81MwOGBSQR=5}gtNT7GYG5z{(Zl6T zRLRUgY6Nt$3m{*9mp1gEsY$kw#=tsa-&gnfvUi`%U7UH7Rjmmk z2gJQXfd>}N=NU%jPdM_rSV{bV$m_M7nX;+v7=fHP4m&%0bnf-s^IIlwBSrDB68U#6F5;QZ&~LzAd4p_@Pre)pVbma9pRm&M1lLdCcSco z0?4dmsF=y*?`V_;_;diHnf4A)Lx!D%J*6L?7OJgY*t~rC>E9D#g6= zTj(u(JP@&dh>A43-C+8OkBecIR zy-U{y*ggbcDi&Ua^;1X9>hSj=c*gX~-pxpt3qT@@t1hkDA?*$5y5?cR z*?_EOP+M*Wig);s`?rG$-RXNq&glv0ziuyjY^!|~$~S`1%TRa+x&Qu%0z>ft1z!}1 z=F)KqpA~fN;XJubGW`*VqUyAqscY$p@o4^V@mFRC zPeVNT>y9u5-c&9>wPx%J$3x4`<@2p;%vQRarS@a&n7I|VzpDY3f5QM?*zSOO5kDXj za}m0`5)L*8hox3#wisgDW;_+kUn1hK91-D(>mP&mfFk?^r^1;XUBV7CCVh7G_OH6` z?`BUYffh3_{=WOXl1)egpBT>)$xAGg0HdkxRoVdcHmu4??bafclajGdC`4BAc^=HmckLHqfD> z_*I!g-5G7XFO3VXO`KfRwC$Q*JHXvPT$$eiTuWcoC&goix$>|P>%)lfY)YXW(Ayz? zJMT=l(I{X(e(+B+8l{Y3ZM#RTb0d;?(H4z-1%xR0I=VRN7uR^9hSqy$vPhdic!x!p`rHl@14!LRVFL$jVS%G0=RrckqJ{P)@4B(I#??oDz%lfL6d zrE0=uD7#(vzpQ)WF$KSu`om)Ilo%^jAnUZ``U#PWc%3~V2}77yaS?%vH~5AAN537l z-8n%o;i=vE(1GXiR^Px(j(x!Gr7WO~!;5Mpl zc;g%qBY5~xM0gG7OY7I>ZhYvN_^DF=af?l|iGDbHUXm#)|4Kdkn9Mo`M_wD)o z8_LfZjP#t9Ns!}b9FcL zXRt|3uf_$w_0(XOc^+NAgBK zr$fb{YE3op*{69BJD-)eAwT11qR$H%NzOa=J(dQoiU@W_iIaV!+&ft*3zz+}QQLwK z-rWz1*c*p(MXkv3gJfd4R5z1+O0>srb7qto@V0TrqkyW;cIV8TNFmHGn4~A6#-|eM zWM4e!hIqfEM1JUqMim=XS&C9aWakC3V_l4k*F$act`R3W6q)3Qs449@nVEdDqpGlL z!1p(Morm7S5~WM3*l;lxr;yLjyvfg;&;J=LZY9GIu0uKTTO+5QIj6pxJoUXhLGq^` zRoV%{$tD!GOq>hx`EpTp45eza)iX7Z8*?D)^H7O^i{ z2S7o@@=iL|I^|#xqX&n)GIMc>FEwuTI1!DZ{m19=zj|LAGV8M?&I5X0f-i|5?TuPg zIN26kJXF^&-F<$)$R^PNq^21sB3t!XA%wmX#GIFZy zc-i7stgV9JsL+qij4md@T|KEo5oh+!^6P0omzGL-4ihFchx@!KCvrrph_s|?exAu+ zbMZu6Y_o_9kg2tI?KwI{C5?j1CJ6f9@@r>*Y9@+V@n+>hNB9V-|JqpG4i4s2%2_;o z$_@uAgOm`MI}`yc)37kpgZz1Ou(&ueZkuKtv}z~5nXq;(2|73!|Rko5m+PaBPpBGFbds zHOo{(zCcp!R-SK-Sc67vL^WBsKA65=OUop#_pLZ(d2+LQWEZN$iV5ppUIJpXcYKS4 zy;>+@p6c!5!hhJ917)M%!mmH#L*{^cAZCHrYs50}KA<~oQ6XgH{A}PN;QJ{5*KK*| zeAERkroOokeskRDQ6ggecQGGp-e|Tps?Qfv_q$->P4Z1kB?)W%F@HzTg>weZp4s~; zLU4Y6=DiCiBF5l@+he_=4r9Dh?uIgbk$+tzm#Y~`XrW;qsW2p>MCnQxEA047qoN!< zY4H3V7o;PhW12B}PZcrwX@A#wS*mT_Qm{T#&(drSUj-=wn@<;B1J^r5@=mu5NT>Qi zOl*^%Yv(%NXoD<2aenr;Gc4WRY7=R&P@D%i?{2!Khrj*$PR z-nrj)1{pLn^zy6!OY&f_tOD#wh^}C&w&ks9^xdq~6PduZgVPe3zYMGNssfh%(^=#0 z8P8KM6_P@hQc{G#9J>3bqKWlU-cvufl2TOo*&U<6JE7K84 z*XjGCt7ZQNr{qv+Y!nnW-Xt0-%r2H_eISH@jU_GUCu%!H7*1b@CI534P0VayHXenv zi!m>HgE7bj%-NeX`jNOlqISR8v(_`U@|Wbqi=vgQIWlQg6GJSLcNb)T(>3vnFKxacY(5)T)~tBj;a>01F{5J(Ns_fiHcJ>F1Zv5}QBn}JvAK7)UPW;BuDzpwPIOI< zAqskDk-e=pO;-JLR?Wd{1I2sa&+y@oiT=`t$Orvo_{V!Iy1V)ajuhjlY6o%hQ8)1v zs0*0eR;yaQOZ|&lx6YinYnYCDCM=nVHP2{iF)!To$w%}yeP*=id^-|ZmizWTw1nt1 zb8(w(aA#UUDh7XwC9885 z*cogXwTgZQRMUeSB+rnr{&*gl(xgp6p-rxKD%0Xl>I_~mb+oC8m4)I_54fP5(T>G+Lu zI7B`ovka7%Y!sLI&wBu@OiDIdN;YiqNfSZLVSiTRHA;YjApgS?vneDnGQgoRwwN5W z0YMEdTJOFW`#~jFk3@2Jg`~JAJj8MswQoL8BUN;SBBPS}o12a3V zW=I(Kjv*CI-(H0~@Emkz{lK$8JLgjbUH+=LBj2k$1|UC@-zNU-SV8jf_j_IGlel$h z1F~+eRCDbC7#73xu7C(8SEKp$>yW{etG8xUmDRA@UWoYxQpvcK`qyni69kE$PodDa zK26X`4FO$}Ym?^%v`9h;swaz8CcdbQHr^JpAV}Jm z23+q17Al5U60xP2&mQ7i`eCR4PzL`g5YY4T$LrQ8k3YwOo}dqJr95KP5Fv8H#11k{ zacw2foiD|n_;J6sx^aXrpIQq3IWx_>Y`s?YY%E8TPGy`pcey z&pp)Mea4ug25ltBfWrXYc0~gn%L;g`(Qz`@%qTkK=7Fa*W|3We487#XbcQ5WSBQnH;N~tKrQz?)l}^{I?e%oD{Ty4XPthhIe$dh(MozM3G3e4pYVB zUPLA5oTdx$Rz7Vi{M_yTX}kIGPU%EJ#Gf>%l!U&ce1wqt0}nO`^JUMYhDQwe5!()bktobz{hKo*e#fR!jcM!_JaH;Er2`~^#;54+Vw%;lp&aq*C6X*&$I!Z zR67^9=WbhLuh3b=AN!byTU>7%dsK^=0VTmgrgj?8QBVOYgmO>CU0 z48aZDQE*rJ%((qNX_=l~Lg2!WQgN%D2y={X>lbvul)%{+5o(`D>h zy$mOEtpZQ~#+g?Z7YUKF6cD=?IcM>SvS@FJe${)NYDj9B@?$7Rh;QxvP=oH+ zwCC*lBBsCR9D`uxANL-{Or@DoH}ygrJP{`G^z z%sBYLjC=Qbr#8cHO-gn(e^wae>iZ_!l#rwg=~ivzSE^0!jW*3O{LY_(=NaIy7W$bS zx<1Dr{D{SmCOjc;CT&uCjYeN}?I0HWX3pm19RcX?lHi+@gJ5x4ipQoH;=MoKJ8}E? zHw?9J1#@+Lp$3O|FBdpaNCX#X4D=U953@+#dFm8R?LzVm1_!TRt(pY0F#b!sTJ<2R zdzfhr>RAX`DA|gk9%*G4zZRo|D^aWu+vR5J?%g1dviD(r>P}C0RF(fF`=Lpr!rz`cT>ajn+{*D&19>zQ5veHy z@Xl)IT^^26-YR>($Iw*=yCpzon8ete*9pTv`*Xx`^D^m(%y?bXt{jclZN>um6(=fA za#5Ve%D_l)Fu1f831fNS)^RuOrYUBonnQu&`Jk=225NjZ{TfelalHw? zd!M4D}huSz$w>5Ih|P}QJ{jmo0;q+OWfr~v$XA@e41&(7Jbae>oB-w*x1#MfB5e2 z$91Z*WuzA{Ui!!yu8d!U8f#%C`wd(>y#$a#6LUcT)(7wY=lKyR+`lXbC#An=+p1+n zi+MZXbFVp?&Tb>23V4cUOb&(so>u%y*JXOTx~5qLjva_DJtObLfBxs`s%)>d*sQZI7&xq0;uXlBKb4pTRDSoTrU%P%=f~nQB)Kn-n1ea`dzEo$ zQ`$pCLiyjz(xycRb&Njo@!GA+*;Wcl@*nUfa$g(qY?=?IqV;B*!Y+>Hyba4N3NwoRLw_QM`a-#y*%etQemLytBwVp0la;{9DzWOfX z2_OL$?NJ`D|Hw966}Nt<3}2dhq`RAX%6b>uvR3*PHJRyO%Kk58RSSB0SdJuOwX?Ow z45kmZjF-+GgE`hJR~sDa8S!uv{?ConS&s-y8XgjSF4BBcq&|k|rlXs;+xb>;<+X^Q zWW;{#93w^)k4?p7x~dDNl?#klVQ?`&Vwmh%8{tJ67Ft8bGubd?P;`vx>!7WRCEB+$~e zV=(6(b#F&xzhB|XiF&7m)NbTI=DsrQJy^<|>It~mu{@#w;-l6rx-OVnntJiRRO;6< zfK)R9OF=m6J1R5Fq!l=EOwj~ePxy;s|1cmhmiNQn>Ta%+9~0s;;^K31+PlligRlys zP*9r9?zvel1%AqYtp}<-8w$0IYqLN>0`jpRMWKDuYFR5x+20)g{C;w&US{c#YksMP zo0-Y|4Knf^R4XC&35MDk8coa&DNOv0^gt3<7ev%Bd&mn%{xBCR+5?~gY5$0$f+1Oi z(ew!EK{3vK>efT#VdW8|Huu)fUyXJ@if6j}V-Rk|ckmXK8xK0RzSlXA1CRFmyVsD%&>Hw`d>ki7K5-I>tr3*`x zzrSG&+y3z!nX9_Y?PtKbixoK>7Fwg)s~Acqsz@GrI&VrrPX4Sb#C}jFL{cQ?q@A4X z;ym>A1>!;Hd5qqRdy9kgK=>cxQFxBb(C|e|dlzhgmjDI3G7_pP2b@b0$v%fjI=tMO z21*9#pXKPa*AlTjI7oy}u>)0OIaOoBJXEZ2YkWT1yw4EW{@|X-J|y_2_~m!)cbfbj z-$C@2L4I5YK#<-nX&X2ki-xu;5MA0CJZBRJv(9Z>{VgbB`Y>v5mMay(yV}nbZ4TD| zW};SPux^SZa6pEP2vy#zs<}lfam<8OegU1l1JBDepT4BxSL_$pjPwNl(S7?E9@$&AY8Ab52L4z%`#TnRR+e3E2!hX2=tt#$@H%U7fh6I#h}u z?Rh(`Q{PvM+{pSGWtjLNdkgsX4>8q#L1VEZg2scnCz4n?;dhYS_>}dZMj8a(`-fP99Z{MW-OoLo z9~1WlD;w4O{)Q(o>%b+RYm-?Mek-MA4z(YZ^&lx6EB8X}(lVz2e#hA?8n%-RWJkRA zAqD^R&*3#VAR2tOxn_k)#OhP6{A;I-!dm&{KZ4Bb$js}K%uF2HMW4}?<*b#J*rSPc ztWMo!fD|+rE?Pk1J=E0bTqH7^b*?Zr8qh_{FhrV$wf=Cql}{xtgR^@U?&%Xs7ZwbW z!#P*95KjrMJwGLmEiRX!eBV9no;O-6rnD15n;Ly9Vg!18rwBjffWa)GW2oO8&-rXX z@L@Toyis1+A|@0d#U(Z`gOlzL-jbJgI`1_NW6p4y)h~OQUQrhFRzbJ;)mgwt zg@0>It1y7kpPr}C9>d3wqd(sddRqfT{|k>^e$T{bl|k`j44$)-CTqFE-k7Nj-z{*l zfDZo|#Habj0_Xbodb)N&X+5QCm07uRSn;4UW#GmIY!G?fFRZ8`%Jcq$4yhOapP8Kh zJv=TZqLHI2QvfXmex=w-UGW72tP#hgHJn^bpb)7kFa>wjQO7Fm{Y>lst!Umnm-nu@ zRNB0mdp}}*s%_m9T8FcD<>QTdza!58s(boN2!~%;?k66PHxNTg;KrK6VwxvpZ zxMl5SMqoAJzxl*NLucKabl60ur{_b1i4MfRk2KX-4ze%4XPrZ*)J=GGbTNM3XXe#i zD)06+1PQ9V&ms0|Q9(?dfMT)Cf4K?ukj!+%WM=D_1TtV)U$dwey*FrWBy4&rZoOSC zL+khTmxB_2TAb7%4&t2Hv*rXfma`>BW>o0aQk!MJYSK*88m`;kgSY7UPOjS>;yYq$ ztn$9%EU|YSpGUmJzk&u zGdM#Sg|yuB_v#k@yi;fS8&SDHLyJ4(+Aq`bb-QriNXj zRyNvA7eir>DACCijhCVnOl1RsQ|AOnA}m4TXz^2M@NZhH0GtpcJwemOb?~ocgm>B@Be1r7Gjj^Hx^gZBbce+aSUZX$IZd`|Lz6^$ z?}tL?ep&U!u@*=C5976GQoUHX>;mPyW*^VyPbFkA95R0AH*pbNY@#y-Nt#>$Cil!D zMq>!D#>~Ot*jnjt-U$>>=VcmxDYb{p>lf(TezwVSSJIu1n17!nU{Dd4JVL6|}@7#Xv z^I+VNp}o8{FJ~cNS zX|3F^K<>-@XPQMNhNI9NMT6U&9tn*f^G%y}*N^@nyZY<|dP_~2oNp{ikqrOuy81t- zNaq8h*`=lYL{{~2H&KZzefpsF#5SS8bD;@DrJ6i=PpnUD)@l9^Fq2xjDBu~$kh^{cmnbBFSXBu9Re5bZwymp;vssV-712t%2eie7QQXzOu}jD2U< ziRpu6@A{P@4%MB<6_Hh=qnoR`4h(FIenVQ<OUSPYB1qpUic(usqSc_!jVYx<5KD~!%p|ktruvX>ABW_%uhUizal=$HSlz4XH zlzn-F!H5&Lb-t5$bJ6SOIK$08HhtFMt6#Ggl0-(wO<3or55XAPJ^kc%+hRbe(07cj zR7lP^6ThIo6&WDeiA}?|NW^v#8HEfbP)U;s;ZxgA6rfQAQj6wp(Zbb2e@D&*T<8ry z=)C8?)?#r9_jmljQVN1gsLaZ?*^4rmjB6{K6t=2P>W0Q)hzZz6m)FYom%mq5AKZ;g z5MV}3j$c%(FJ9-tf>VA*r#=Y7-c2EO*ga^Mtf1QL@WL;h&&yk&V#Z{tkn10Fu4Tpi&WFEzG6*7|tqfJxs2?iWV+V97uz-po_qS^bNdU$LXGZac7B!+BL zmW4Y>%a3<7JLC+Vp+KAu3pAA4eWR?ag8H6F31zW~yeDz4>?qJv&ukK9b(-~JIW|An`K%x!@!M_f+c*#3wk zNSj)@adbn_u3oQ-wVsRZ>IQ7;#u5ErJ8Cyt%A>@SOs#TmKz2`v3@H1li*@JBbp)US z+N>M_Qm3dD2xO>r3$I=pG?xk^?rAY3q%}WFG|_b8jHN_o8I)lBvqx@@r8R-e=ZEa1|sphK5M+<#Q*QjF|Vczbf z#(<5Uiy~>0=PY5O;vA{sJe;;$(`P%FI=eE4mK`)HU&roqWV*s*oiJWT%XB9QDpO$x z<(N8AoYbvcrl7r6CSL2lx38UQnMNHL684##wssN4%c?p#-Pt3JH!& zz3x47VYfxzSUi5K!fha$^ zVGmX@39XUlfN-HsBu-P(As)&6PmyRy&F*YP;{3*_X)g>`ve2@Y#%M?0JRNC+qiu6( zL)6InK<-sM(aq`d4N1=1J)QW2iEk!wR{~4dQ z1tVfOxJ>18-!Gva-oHE6E@HhH#gvS{U;0(Ngpr94pcV+$7tO~uy(CR9z?AqswN&Q) zE^GLqC(z4X{Zl2wzfwHZgJ=Oa;elxZTebmPdM0ArlmNfKXURSO=J~_;@xQs&f9u4- zjd9Yyut=W120FcuB4?vUOq;Vy$!AdYfCO%dYieWfBaw!Ohw}Y~YzflSIWj~F$S{H$`^b2Z6pACX@OkioIY!z^=st|Y>1+gCfip2#JV z*qhaX!VJX}o@AiO`4II^!I(LLdKHPrzOa*h7i{SM+@KezIi}+>1y8ky)sZ#TdNeEB zo+nhDhh33Hd910UI{a~A0jwZvc|T z+GBp4Is7mgWw~yeM=dk% z?7=orFbfetDf+mRtNGPugW$1IfItnzJxMFQZG1B?pRBmrqJ{vz{!7i*+uf(C^6?$o zc@8Ek%`TrkYDzUc_Zw0cX5I==gD#l8QjbQ2lD{KCNxth;YkSW)MN<4>afUGj`!SvQ zM@hq-@QrH?vqO z33KCo=Ut0k?x#ihz2xNn-B>aP-7Mq%(}#XVLs43YDDAktcv3>@z%YFJd4eTg)n7bKqMF@V7uBoU zPi`Ri)Ai?QymvT8?uW16_Ljd!S37o-Kv|j= z%w+|aEUHuvN>p4N3j)T0K{gyzNu`bk^)gcm8Q+uNIl5#mx3?iZ1J?Ch8Z5;7ds1}0 zU9r49e)$wI7&v3Sk~nv5iUed5F_J1y36Tg2Kc^h-bI{jc;LUT^8vIQ3mvj9av8eDO z>0uDH#OCxo2JrCct={wIA1g>051?Y|6UN%!X_PwEB_xQrq! zt?lITDca;VT*@~hQa6Y3lZP`@q+)UH|k5Y<;Nq>iN@v2(%b+FJ1N4t z610H5f`CutdtLwc5wsqyW959i^_VW$I3Vm$*Mva<*jdr6$`i1s<#r1t+Zi|?nIPdd z^^)=Q4D8w~63X}+<~nU>r(YH|Luf>NZiqI)!3Z@n4Mp8k4#8&n!D}D&>mw3z1GdJ8 zA2YSic<*~r%=E@n9(~}%AkLrsr1GCPU)plZZoR;|(KEk^&!R-9?~Z-O#55o{JX;xg z_X{_j|K7ay{nGg(q4MvPmtXkb=W$LDz9^V1o3(A_59yU+g-4_3@JBmmUnTn=iH{g% zC`)GhR+k<49KZ9Q-l4wI9p5r??7qe>Yi>?li(^^m%TJ6)<#ZTXj`rF+{Ex7N_((p#F=M0%s=&Ynci z9zFQK^;@azy0Py!8qO3Un4{(_uDzqhxXWFy=WS%`hML3MK3aVC5G9OFJ#C^qh)o~z z$3dCkch_MI0aE$^@K29GgszEiXl8>x>P{p;rH`?`+|puGnm$(*L+Fg z;v)UaQi&{8cfuhE+$>ou9oJWY?&_`J-k9HH!CbjIBDEWFCIdn&QF2lqL`a!#gj|;X zb%cs>!5u%eGvg|!1J*8a*s5k?h|b6X5QZ7}YF?7gt1zH}Ki-q~BOiBPZwgX~wKO~y z^Y9H7Reb}$xq*fp4HLzuzB01f-un&K>1iqWqfWMj-PY&`Z>R;yiv=kmMnC?e5EjG- z3Uw>G&$%6m@XXdPWn8>k)8u@68P*X*LMdu@^L6K7ETp(a=h^5=E)vnVq`YX7Z%Na8 zNz>^`(^LY*FNrygBxH(^pi~BkVRiffp+My-3Ns-qmxV0>%CcB*v-GpJ48V;{8c`&%&uANM$~ePe7aT z6)NoHXqiew?4QA<^EKh@BeYf7u=PB+(etAia*taAQW>Q(3eVXB0DZKHc=ryKnXZRfLH6qFQKZ&)BDI=dls z5A^qO=^ zV%~xE?Be|=Tr3yD-=fM_Bh~E>pMq%Se`?C@4>ok>N27CD10Z!OZ`giA*X9|IgN=dh z09^Ksl>*!Qw=oav!(B#;B(5)Sa9^$ETp|gPa-XE&L^R?O_Z-H|Q`NqyPa*`42lpgU zcPyiOX{l+TPz`MT_D-3iJJzjG*Ejz1Xt^9atSd*qq|?M$L|2SOUfdE073i%}aFA1;nDA}VKtKLC%cq#xI(I6kSL)hzx1IHC z++Pa$v9ZXY3_EiGI{-0<)VTtlBLR<%(h7H{UYm(ij(Q2_oq`NKYMn-5jffc*u~fqQ zi>2^WFdJc-oFZH%*h6;0*Q|!iZYi<@!L-E5v;(cdwhKTA{Cfj%f^R-wm~ketJ@hUB zhmh2)6N~Rxl8ICHs5^xUsQA;wAujQ}cE~k;v1syudf%rsqcnZn3)s-7YG*lKDZEd5 zYh0fba=g@Bl?sT``IOS$^(V%72Zq~~E1$1%kHI+h`;XkeJB!T1l>)=rLuiy(WZMrC z=8_0GXc)9JA@}mrGyzF`7NfA>5M<6Dlq!7-xFD+?$jS(>lB6UmGA<@3W9XH^OK(iH z%Jq#KUXvF|{IR$;ifbJn-h%msS};2pe6Q}KyI z8-p0?LFWf=`SF32Dj@v)7(#w+VdYDishU&SWIq5};pk}x4kHeJUP~+k;>DkN#g9+h zCbJK*yQjZ-Z~mp!aXiVqV;DnKcN!g(Hw}FA-TnLIUeY9`fzO|-TLQL~VP^b*k5~%j zu>Q-2A(n|4y!kEz`0!1deXF>c9q$@vh#{0U8m1tRZ7Y!Bs<2o?Q7u|LX`+v7-B_u_ zu}^i^PzgRve#v&5ip4yVSbv;ic!$>}^Uv5A@veshn$8YQ!JUJK*X8K<*$tZNJBzJ< zo=$wz9&Xe+dPQTBB2>-r&+dH;BSC+gy&h@hXvA5J>EvZIEEhC*mRKK|SM``@V9EEesPv^fFHck@Ly zyks!-?fyVA#3bNI#G=l5f1J4?V3%;I&P$^i7tZ!e_BEkQ8+$e76b`GEVcTI8xgoZP z3<3KF5IfE+8Xt%__v2%`IWG}o5B6YC2MV~bV8T+Cd(7pm#a2J;`K&K?p;_kBAqw=U zO0jX$e$5CS^h3M5${favA8nDF!3r!w+>m_6+b1oSTvDg3*iNc*VZfnnnuo)-KkgArUXvb81W!C$+&=@)2uVAzzapX)ir%BWv;R zCD?8y6!!g4SUl_NM;veg0!Z9n$vKW}mD51BeP(S~hs_9w+5%%A@9M_}t}q-5Hn)SA zF|Y!TtDbq&(8BtvIoekDGx#D*mHJoBK9^*pXRnvJAk`Jy=tpwn@q+Jx`&2fc8dVDy zuF|}0r;^p*-a>K-U2SdXRYz;E)QDitqTjwGi=V=lag}@)k}foP#ykr5ZNL+>125R38d>@4#J!pb|(F1@MIvA^%{@5rx3m# zIvtCQcj4MK`>XP>mo(HHbg(@k+>4;`lc}j@HVgBoiL!-?XEeGWy5>*2eyGmB zg&x9L{r$LA#2kc^cQ!;}Ip(?e+U9L@UU8Dqt1|6amU$491xaKsw{qUj+lzMo?}I&I z)4dB6pvi<+BTbrcZ%w#<4)c0wh1;~Oh*OECrV~Wd$;P_FD<36S;nToNbTelFqz`{` zH#i8~3G_d6*fAGX#g)_ADuNeOhSE-$S)MHh3%~|M$|pVRl~c z!Sz|ET2V~pHWm#ai{(&2@qK<$Q``Jm@+26ap6P#R*zN*cv=+2%9_9nWlfCE45^MqywPnsz*H1sonn+QwwwZIlT+4k6j3}qG<4+? z=_^udUL1GlP365l^DyRnVsmf_`#Hyly?#Pg>&TDs?P0aZ#2;27;J+gn@A1y-Z45R3 z3bWjEf{~x8Fqg?aES;c(P?aC9NZIP6&44XOD+~W<-id7s0GU+4Xcb)l^IYN+j@+&uX?`+nx zs-i`$Wa`bkvQnT4zw&$HHwgOW%^7V<%1wf}kAYe6<#&nN{0f-V4PV)tg z%m71?6GavQc-|+wmUH6G!mr8dxWEfG=SkzI5jKf@Lo7_ez={5dgsk`f0nW!^DNFlF zI38?Ya1dWVs5SZ3FZUkg__Gm=VD<_5a|#yerllTaeYP?Oex6z8YdWVWX)ro6Za&Vc zx%knAKTR3l0~aF{Ss4clrrHH8>-6GwG!?Fe(i2HZIce{OvtTmKV-9pIptAk?Tu}E) znU;5urMa6SDFbORLhA>Fw&p@+BECapJ@4U!O`0zEBb$i94g}tW*NY$;P@s3uY%eJ< z$YPQ)4berL^%s)>ouOHmMj}pS1TWJOqK;hCq~YCYZ?^mIktY9Z#q-;yFzmB5Ar*~U z4tCH7|09V28oJJkN0m~;>vfzPJ^!J*=9y&C={~+Ykx%&L7{t>VT;GM#5eG=2acr2< z{&4!5mwbt9U?|1F%u{X9NsCjZ%qt`HP3WDG;6GJw@I5H_i028k9zaC zpX=7AG!qGKD!@Tj+X&*~W7mOC*Gw$`*P2BI2y5zIc|Mx{xhOz?jxe;_N-}MJR!%MS zeH1-Zh)Tmcu;Yc;eGQ{GkB0KWbc+EnGQEgC287d-ayZUc3XE!b9%LDDJ*dxW!^nvW zjN*mUQN3!U&q-=y9drhlz1pjjhmGF%1cUkL?GbIY2jJaI36DDqC{b8ngTQKcy;Kkm zJIyTxMc>p_&)N-{MGjc`&0IuT(Sd8_#v>z`yn+oDgk5M!!EQH~GDShxT5d8+iZk5kIYLx0>L_X8vI<`3PzrAh4 zqM0Ywf(Ca8yvgWxQ9~7_`}1QY2VoCXDj3Nw(25lY+tPZ6POE3-4Bv^C*xN&FEoBEz zM7W}73@Oh&SA8iElg|HJvpI)Rqf1BA?g&p3!kR>-dE~eRlW|IAStn3sv1BVq{HfBy zzsds;;>83$eq#`N@d}8`l=QknIy44jFHgiQ1cTV-Uc^W*KJqJLNYjwBYzQ_D>!jvL zMlX;llUEwbr&k!4xe{b4qIW9lE!5_F`f|%@`2!Wc>(T*6x@!C&%OF4eGb`h%RUlnr z`6O&%J?-W}+{Mh9pYy>-95&}gp5i8+w>NG5{m3YP{x3&ml6*N(_^RJtNgzX$KjT@x z7GoGD2T8hXYvq8WMdMaF{GGSeC~up=8)Wd&c3skGP82;fhHz_DDjZ0{G5H5!0Uqax z5;n&mvk8J-8R7tKMs1q>PetwdQ^l6)*v~GxzSi2e+GVH__N!~WZcmTK#($?N zR_Hlwj+O;cY+wD;_R4>CNGC!s#QLc0vER1XamIc-ls;H23+d_h9^ZdQ_F}rW zOXKbXdbFF(-B}H54vCt2-T?@eZn?Op%3Xs6&VWo z^LoenUSx|!Q!$~C&)nf^JQy4d;M(@7WSf>P8N=EvTq)?cBPI<4b|>>@FbY%nF~rQv zD`q)P^L6CgHqBse+3?q8;V;X$a_{Ft&yQwWH89p@Q5`v6_z$#oT3@LzVonplrLG!c z#?KWnHx}a_fqUwo}%G|;dW=*K#?`+A*RaFVO_x$=I!{(Vf`gJu0=zN5_gf#0C- zSf9f7w|lnl(*Di{Vl}sarb%Hy%jm1vtM>?DTa>3jt-s+Z)MZE{>2m)NN1HkdE#@`C zP8j?872VXJmEQZ|I#TuTIJ1bFRcp?2Kn6C78CFQ{T-%Ahobn#1IG^SI0&mB0DGrU4 z4LyIOeMnkfh6Dsc`iN|L(NF~nvEVsS3NU#MGoNFjmw7Z1dqCgiUce_}QN7fqx)%Vw zcyQJQNiewHdf{H7lf>~;cR#d+#=0D->o!CoV4jraP>|r-(_J#BET_Jo3%3!XE3ig( zDV)U)Nt>6moXtm2ROcGmTb*B%rm}Pk$D3uQmT|v-m}^$+x03D`XHL(DyTRg=Wq_!l zwB5l3$PUqR@0n*BZy2x{udMe@o0S#g&+8`5 z>g%DYjwe7^FBHG?J&jQP{y0T0JXF$=x}US9W}VD78OjAct(}<&iETcK>yu`&e8|GYkc`DN627}PcVeo3D3+md9n`jl5S1V0i zvFB&Gr&p7+9*joEcj$z(Pc`__X%oq-lYllx@Nz$XqCcy@5K~{1?*-mg(wo8kgg%H6 zkr+J#J7$=LHaUD>&@3Hnkz$G-rPIDd-CseU>$H3b>c0m;`Vs<`QYcFt#HEX=5R%YW zt_KL!45q|GN(+X|vwv#12su@eP_edj4C-`O5%R?LQFipG^6BwO@pJ3NWjKu44u!Cf zmG@6=t9)wU*{fJJPQX}w;O!H{{l$GJ;8nq?9os)&6;a4-=1QyGWTtsS&AX~qjg7u( z>ChU4%$SN4s3v(g7CT^C^Z$c(4nRRcrF^zyx8h3oBy8-1a6KVlGcx;ug9Cx3XQyLo zSo2p-iy~gRKJHH;p<{wFKn@ZYg5S&-DAyy;D;2`^=+&G`Gh(~xWb5)-!y>>Pn@7ZzLJ+ltWJuvf4vUhbJ zSC2n`$wp$UpqW6x+9t zFkWm(m?*u7c9rat?vg~zygR+l0na)RY5c*yNl3XN{1k+4&fr})aN~kdVQZsm^AZh$ z&GQ$aW;YYc!kX^BALLTweA#(_kdi7B7!ab97RPEMvNU4`?nZkN3b}GEjQc6ti-~Gt zcLOtbk0I)jW(WyIX8VN8~Q4835brVL%!tp^ajcv04 zRo|AZmG*-)TwN~brw0E_-g7pB?#^%BFV2~QB?YWR>#z1Foxvor+mAFkGO2duR4Gc= zqHpfSv?=Vh@>^x6+69>>elof>jYbo4<5F3rL1wCd^$+?PW4s;+?Y~k*18wA6D7(9~ z7PjUcQInE01uvJ)NzTXCs3W6JN^-!$;m427@}az#l%h%GcTy zVal5D@T$L)gW;Q)zzUU2n=zUeZX2(TX_X_ZEF!G1) z>skq)xdvq+S7Oxp9jQMhA&kXUNYEH!JP*&e;^bJG!nAnS#`ee|fH@|teH&*ivpO7* zTOvFNhcvi-HMM-#Oz$-k5S!fGaiwq>y(+GeSM*9+!Y4}F+>GZ6{P@FBM^L33qTX$y z`zzW71zLhpYsdfe4Sbn`3dKbQW+bWgSd`uHS6+^8JF3XF$b~8R@GFC)TfY@PV(Tx< z!ypZ((pjl1Z`r=p=^Br@6SvEVa1O(qhvefR9@nn7F3i2XeWpj$=y|*|UnQJ7D+bS7 zreoz8RH;JREk7Z*V{y3^@;ZjsX7#@HC}QsKok3`WbAAX@+yRm68w(hu=fbLj7vqLq z4bhSj-VE!({=|#X_of^R>X~A!oBiQ*!@;rG-YXrm9Sn-A!mJ4Ql<@T*g1W0yJH^D) zxSm`BRRvkf*zn1v<(vS|S1B@{x=I1reB3<%^c@S{Uyc{nca8y6s79ZFTMXc^<=GNf zmCIMS3QG}4VT1`MK8F%HLliQ5Rm>$-)iy+D z%SLD{D1xibE{7m$_Vj{jVN>Uumt^}m05kW)i?B5>Li2nisCcj52P7xG{u%joe+rpnl8;WhTcRDDO|K9E57;>f z#GnY{+cNNU8QuJGuvk0(A-6n�Ec;eeQ)INE0ptJx2m;IfMTn!p<@(>b7h9bPPjx zgGe{hT_Vj$sq_d)2olnbFo4p6fb>X9cSv^%NH<7#=MeAT>wcbhy&o>`_XCT?0)|;@ z*mLf4@8kF#&v4MG*7&U)8K+i=8YAUOqIYrUu3rXX13n}BD(hM6v8tH_0s9)8U$642 zsyVEowrV+xF~dI9+VJo3Ae5cW0XnYDc^7+~2-2O7mX4qhqMOTfpUZ7w3@J;g8_hGX zv-i2Ig?ysDORpxVCf3N&X5O~xt&^kP;siD9^#GQuw8lk~S6TX2fJyF;D=xpOf}75!gDZ~`Dio++_%s8!$!_0XpN3X{ zv?l-S8UCMnb?;+7%ILn)hV9_uD0V4Cc{H}V2N0%A!tQfp6NsI+T3*MkQ*Nw;LNdAI zdXC}YR5W6QyU3#SVFoPr5;BM>#PEBc#t4iX;(LbMSN&2;w0k#Vw4>cgKd>HG1e!6A z0!b?L#n0rM7FO)^|LpaKFEw#ORld1QK?heK+ceUE?$*CrKjn`vmP+Hha7u-AN`q7^ zV|+wIIsb}i4uzx*6+_*%9ZwXxA@MuS&O2?IdH$MO*^vdyOvtQjT!yfXxr%@MTjp>->5SIkWA`3A76wLtEhqK?m*jK*@w{Cid2eTfrC4#` zTBI>hGebrzkIqxEe=J(EV&tAex3LcjTZnBf*8(IP<@6|_5&H; zUw^C)3g)+9ycQE;&gfG0aN$kcd4lDkdpV|DjEyDkBsq6IlZ{oizEW6TmM_k z??pxQO*L6vKz9e6!vDJi`hUN;lng8cOsQGGs3xdwIlUGgR~F?NdthzL-Tv8J%iY|w zf<9}`|4*euSorAhNbjhkyDV0K08~F+h&(c=9w>8UY;=qiO;kMn;wcrf4(u6fEVEZ= ziRji`7}&V<1IVz{m=&Lje#CT5*@B$HlE`-*k*`e8Yu-r_sKZjWV^h#TScz*4yu05anmMm09U_7EJ)#^?(4I@>% zy#1>O_3yecD3m7@L9i}Zos-J{uG&5pc{uU;!A%Fid zP<5D7IL7CJ#%N1gV-4MA{_6@6Ng~Rpq_-{pi}RQq-vUlOOEhIdB_zH~&+}XGB|Woa zf4bjS?Jz^(oIeuK{(CdMC4+`#%@sq>e7ARslGU!bMBCd{C_cJ*TjOkbHF|7@H9fNu zaOmMnA1fYxKx0uSI!-?V8mHD`X5h%4bnbXws*M8Zo6mnp)aTSzYJkb{5E0}T7HRw? zT^Z>9o^qS(<{TK<-Ek{4kF7wfxaUd&!2wySPm*R}!EIE?!^?P-z zh?1Eb3&jYMpJ*IwDqTt64UZd*2>w?KkXQiZDA`JsD!*FrgmGliF>eQdo>5f&4co+$ z#NPDrjr|yI^Gg~t{KWl=7aA4`jJ(WwERXF8wO6#HF-#YXhl^V+NH$S(&F z)0h(T(UD0ebcyBQC=w7vl+41BXFBsWtRh23{TlTZ9;pS#nlh(x`D)F99~pqcf^hF>ug5>8e1`{(I@oRVavh157!x?zqmiVLQ4WL zn1z;VO(mOQnF7+0vO#{SK_5onI;l-tvE8CEl!oQkpA#T8fmw`@Ksm^Vc~B+@`yXC#0YXFY;^XBZU-a~?W@$`g3)(6Sp`a; zc(s7uXsn~uUn&h4mRYR0KGT~CAi@F3t{^LMyUC%f|M3F$iYj84>1zm@r_FTkKugsk zNbtOVjPD+dWKMlK?|aGGV$L4QAvhN)6hGQIwrhSp!?6?9LXuiW--xioKu?k&0rK4kG+bvXuZl1*g(NXRq~)h7`HNw`zw$E;2_J!zR_H`r ze=?>Z_Z9LX1MSLjz>NQ|s$shN+H=@%TOCz&1D$L~Ag9buGW*&if8Cma0qS}wKG86h zUF25!+)^^rLKql@#Sd0j&3J{7s4jVBNN6WvqMhGiF_UBhLP%S^9p}0jaPA5V;;Ab00@!hzr}OuJhM?TZ~sy=meun zIEZ@d1B8mX{l_zV+D!e@Lt-iYYwwvo9hnEqC3R@hM$cO}Swfb<3u2F$9)*4&9(&kY zI*me56kab2jP*|xXIUmXmniR(TT*B^!N7@40suq`Pqg#K`<<-*6t~qE7NeRewgQp- z*UIduunLpnJ_>BLoJaVs--n0ojE~#I%!5OSo#)y&AESWQ6OkIDjWOwa9>nF^*&aPS z3K)J-0I8hGHBjJh_XV=S1?&Js?c(J+%d^jwMoJ1xbk*UeY_!=;lD?+ZpykbRi z4Ji=Q-0FpU@YvBD{p1&ZsjL(ge)(}Sd=6FpWh*$GZSns8BQ@o9H=pP814Ek3Zq(mC zdxB-zOxG2gR_g|Zn)^y=;*nIemSu}%sh+xnsGQcc7a-)SPb;Qdq?)Ty+ zn)Z@@V3XX`$+WUIc^Dt>{@&~@m8q4IM-7jHw1SyhN2D{8tt4}4FT?qtn?{#(AjZ>} z8`F&+93dwkO7`@}&%q{P#3_1fi5NvkoWr-)9 zhD)mWO&8T?BXL^5g8Am4>uFAeK$oF`|C_azlFis{6SA48OzI5Zv*BRoE@B(2C# z)o+u<0PhzRZ|FqWLlGY($N3`rY8k9&FB}P1gLP0rn?XFI`C6w?Emf+Ee4tzZ4oEil z?O_$bobR~GC;hYfFl9Wk=De(Q5H>mmiJDgBSVj9@UDMdzGTqgnuSXoQMh2|1^!hAT_QZt$k#2(&RgIA{uX^?q$GA&Cz!-{o=ZLy0l2Cp zDY9V5T2;>iDHyPPYjSkGJ6K?5@NNCd!+X1l#mz&xiH^dXR)W4g_f`Es@z{T^wf}GL{GYDcv6eUq z*UM#P-;}MzMDn`~{{3yK_8qsWk8Vn|+tM>^b?GyN%l5PTpQBCRg11&K{ewA%CP$kv zs%ZY!lPfRlX)WWl9N}yOT;iibKa*4f?0GHhTL4KX;5+5+J9X!xQb+dJhTxw@zm-;t zp@#eufzf3*E)k$#Br|by-@exJ`M@J{nYtXTEq$440Gbr&!J}lcPzWGzI|Q?FnFLJp zJ#jUr;dGhjsp9@_8YN!cs4vU|$nMRoh%Jrt_ zd?3QZlsQ0Skmz~{hekCr)VsKSX|BQ zoJ#o~Mj=EEg6W|kT4oYm_2n|_U=p+Tqh11*jnAr1=^n%ib~7a0Z-e`1Fba;BF4BfS zK9`4KdqQWtRmYd$7G-MK3NT+D_k!6b&53(qy&DN#TI;Xb=Bh;d3a>mCoTPbh+2XlS zl&mw8Ghrb({eFPa9@GUOc)0b@anPlz1TaZ>+OTOcHhKLleC_cyc#8RaUX+`Y2t5z|%%3{5fe7~pHU2%_XC zT)j&&A4v7B?PTHcAtXOv9;4U|C!(V`ahD}HSuuOC7wIw7LFI%|tARcjg0 zElSiTnEaqB!)jYKJ8pN3mOKDpsA!+J73!t=44~$#T%R~X3bch}Q=Po4{q;s`4@H-F ziI&uu5h+&~Z6$xZL)X2)1}|R9j7^x{KfByHb6;!RH9g7r)va}VDxpozA%{{M*Lo3s zPhNHU;J4-Wy>7E7{kF6@MSSU`_Rv#e1nIAJ9GDf`T6#BII_mDz<4zOV{SEN$5U?I? zWkvsbGAzmDZ$kP&o}%srSSJ9l@pxOA#zYoc-bG0W-+Ea>7kX$XLBBgirVw&`QFkBo z&B-!I@3*1Du0(I=Ah=Ak}TDz z5u*~iYq!AP2eHLmD-op&>XJ4MIqUO7?=2%?Hv)z=#|Y#wL~95F4igKjaD*agIfo1{ za`am9$V;-25bF%`Ki&;fdn#gn*8#}{8-E$l}UGMAqwrA_2}7JlDnHh=qx#5UvD>(|0Jka@6_;mw@x{$ggo zVEA0nJd@9=M~ zgog}&0v*XfW;3qeoiKp9nY1+6&+? zFfm3Mq$Sb1J1jR!4+GovBVUK7eC1>4Z{3)7&(9;ufIt)*mpyfY>Y{AbkxfQ%GQT|> z9`NOpbmpl7P3_b}7ZMB$5CMg@7KEJ}VLS<-PKJ@LCUSxIL|IzZ{Myti+klLA= zJKuHZY^3F8Y%U);^iiNhTx(9PKaYl2oP^Gd)c2gbHBa$#t@~kb7q`^EgISl^0LSv= zVIN?@B{%U{VH2XZe~J<+9C+EdDRma*-ncqagO3ZCasS&}!2AH(g+fgY5G1*(oIyR7TFW7YQJG{YX34TyIsx z%L@Y#7f>X8S|*pPpV0dDx9d#(cX8gxBpf!{ej9zM)~fGc=R1mLjKzl~pHofjl7651 z-Y{NxcJxtCym~TsY}cM$tJu~0ZLQXiJAPLL(=2U7_MX?e4VDn zO!36XhTp*-2!C4BO;R!q4uUR>DFVlQhpt!5JIj|>iy{zn^Wo?(f5{+O-c?{S)G>UF zZ*%ot3AQvZ-0Ps2Du3qv`*}6FIt9-q68-I6_gV$GaYtZPf!8p4@CzOZ8NA(kiQ?vL z_;dRgLUl@H_%ZXRFKf*@$m}lBol?w~vGQLFmGzRZyxU3@0^|*Sq&ztBlBqI#Cw2I= zI7r1GW->JL=sgu3<#~oBZ`g`6#Gme03mMEzXX5eh?!uY*{R{?Ak&MHQV_M7A{tWAP zPddEYBwrCmzN)f(T0`-e1{VR)k4QLZ&MZ$13MUE-ralstKEc`&!EaIFcj*zK@5VZ3 z5sY2!$%1pEvQu)9437L9W?rH=56Ql%N{GM{Q0>Kn{|**&94V7u$dzU6aP#biAa`70 z6pFm=!aY@ne={3Mcqw+#r_flsY603@*IW5U(#+>%Dxi)h5c^pnQS*#OWjy&qh14o` zv8K_JOo)@b35Uc~evNn9_k~?}k$}GF!NBL2?2nAA<=^{V73fa-^q^6*By+P6T4Ln@{ahqz8B06NB;#cT)iI zunM3P&t97XlkE6~G%4ZJgkHW2O!%7>a`}Lnj~aKoeacVzL4x$z{bpOngWmU+_3-Fh z*JYHbcPMdM_x#2;5{KdqfAtg<>0>&+la;hesnjafU_#xl*`1O4xTJVxy1t)*>HLFXT!>X?-Gc8+_|M32i zW)P86{o9JwPXy+#T#sE36Afn&IeSoS$CatqCraCgrC<9ger^bU9k!92`utFTsadF5 zti)}wb9S|j>$TMhlB%*9_^8+5oTX;?19f%>FMIv~&&FFc=fFd$$w^g;-D=#nwqV8< zOLuxLXF}I5${sYJ>j}o#0>htdai`^LFtWk9KanZTHJaA*yyvB8W81AowKRDhw$`l# zKHLu_rW&aoaWLvBrZaRVREupxO=S{E$0r&2oYpTZ|HJP*c%1pfdAsKGPE*V3aE(It z;*`(JvY5fApm(1UDi>)hBQ_D3JgJjCf&L;d5tiyV6lcH81_Rh;uW;^O-yI$8nrh|! z1SG4ao{{l?w5!DU(#n4nZ~#ImSl}RT`4`Fap1EyKK>v0y_UF!@N9#?(t7c*OH4{)= zTulN&KF5xK7Vb($Q6b;ozIdx!_++aK!eDNqS8owgqc>+%S4dG_n1 z)VFQU#D-BM91WWcsV497*y2;lSA8gdkv}$XdQX*`kWqv{yK7}w@k7;ow^M?)^K-t& zA5RG*6H=~n;4s75j^*my?J5S&_a$p_Uguq$8Q)D$clqwjuznnp(=lT$eM-cMsr%pa6U8vX@WP2z7&^RpOnZ8_f1U+xZ z@%>u+z_>Y{;-i3CG6d@mt}^Z}^NuWP z>SK-c*^Yd+EHsz|82J?oq1jrbLiz!^T6M{i<;em($4KM$$VwT?k-4c(e?{RUl;miO zAJ~}Ye~Wk~H~uLgxG;*i#2!m~+Pdb=$Gr38 zFRJdzTjGWN&R!gZzioR;GlW*-|69UlGy48+)XoP!k=MNGpo5@pMl{!v8KM1k{NB2t zwmjtE_v9ZYi8rh4kn%DG%Te=X6TriyZeF1<5#yo!?=60rJId3#(y%jQ&lU991|KoQ z5+nG)u+%dV9^-Gji6d#x1uc?9X)jgk8p?zEsx z1HMPE$A>g9nmd_Aq1#SskLuYo%g_>k=xu2xJJ|o$o+SQ8nIZz$)p=b!mia|LOTzD zD!WeXIhXL*r_C;~Igh2>L zF~Qb1f=sj9fC`W-9eXNuu6G7VlKK@#&8WXqoiN~zCYcQu55ghUTD!o~W}Bv93Ta@| z-5P;Dh4A&@pbwhY47W8R;gJEN*f3GFL=6Tqegzz6)=#`BFuaDD@mjNHQdSCRYv+@^ zb&Kot)o?lGgSVle^>Yv9%I&0$IKm+o^JEPrO2{;D`!7L(efeY9mRAwS%Q3QMhKn%; zg%H1qV;>k|eStx;AuF$dYw6s1#~=&+5{A$rO9xvLK=s`*NXV90odI7Lmh0~|NaiGY zR-*=Rdh3e59kC1j?~V45|QR`+ngT*%d<3qEFl0Uc}=pXbu9NPLp|&l_E4VAqi*c_wJDoM z2UWqyD07055m|I}`wFR)vHT|0)t};#b8nG3EixUINIS4OPaN50;l5T_jU2M@ojqq< zw;{Yg>O+5kmthPh3BhjkH9ff;-kHtK4CVxu0W;Qu`%u}I7rqtr{*K|qy9)2eWEK88 z)h8#ayZ1HKXnMGsH_pGFD6J?T&8=)XUwQG+Dau4thQ{OHu{r8tg&W^hxP9l2cUNk{1MlB_;7zX_QI#@#e7=-b50`BZfu{$O=H(!Q*LTXBl;KiITve%xbA`9bzWT6qC%NbV~;B$O< zOZ;IBySE*p^2oZx7K2OSst(?=^E4b>CWpu|wLwTO@L8!H92ay`)Kx234O;Ms5m~c9 zv-2VkFs@V7E&eLhu^3@t2q!KC#(g5z)e|wF$wRP3UL;q|lw0Pg%q=jwVw&&ZDvifE ztG{pysal{P(|1reG`i>+w_}H}sdWU%_lYcRQLuoQQz#@s>fLvgEj%S^GL+iej>bqh z#is9lsV=S70%$1GC1qO@jShZksYR@EgUj17W<th|aF8J*>Tg(S&dIHQ8JNTS!` zCq|e!GMECM^>Lm~4ou59E`UjVBK?8P3$gFpu|Yy+4)-9W8ChmhE>67W^)Asq!d(BJFBr}_=TojU<&;7dqO;V8VmybYpeLU0meWRS6l9k{P68&ye z)eW6*o~nIZITq>t6fv08HFT8hRb1xBVsmdCGcAWQ;S9l(rT~6Pe>E-4IzE-a|7 z-JOOSfJeCFjq(`KzKs%}Qo!Z>K2Rx*0O~`WFnGp`;d&9tB*xGX3NpsF0IZE+dvkim z|J4E%pYJ4rHb>3K8M@~E>Tj}EJD6SoaetoG_$8CPGNYZ1cAwKzj<<0{usY0!8DYZ5 ziJ|%mpt}Gh;+@N22G|E8&9IkaQEC`il#kT&Kvcb3-&(@yO7UMeY6P@jB=e*R zQB_YV2eb!6+wEcxi7?fP5sOFj9YUOq909v_N(IQ@b7UE#g@f>#j9x8eeyP^jDJtRA zra*?RNDM4%%H&vYOfq+EW~F#3w~Q?@=L5L`|2{5rXGFgDJ^7CS450XB9WYzg8>wCbm@`=<@x8d3+Bg4pJ;WbBxyG`xxC*6a3i#{cXQ4`wGSMe%(~l z9{?MLIRZaehl73FmvM{jd%wBb;yw?ve$M>YU;gv3011>l-Rl!z_mrJ7@%s2rFYmj} z90+nRF&W|pZGH8By^E|!ETZ7wFDo&{*!kip;{!1vI1WX(j#r&Rv+*J0u!SA5%y4A9 zLUk){6_JAtU15H%+Av78(7jvyCXMPmnd{t3uU}`^ewHsRM(q_ESJ9Yir6y;wc(L&A z_b_@ly+-W|#E5j)OSdS}4(G!FxuTVNK{h$ba6 zUqoRRw;ekar@KC;0-}cQXdpB~19@L*m+%4_yk{NI3?o>GcDD;)MMIK2R=%_cbC?d53q|zF!!O}(hFqDdP5>42;Z_QsBY6f$ zbiQcE$kWBeeQXcj9ygQc$wMLFP)ynpM-6$9@xDZ{P;s05c=zM-&EgBGAKq`g7L)T{ z%gSrLY^}2NRU-=E z=4-785G0aU&hl*W@@n|eP`EScyU{dt+@zU4*l(z}0K_Eeo%1TEHF};p{glyqlfJio z|3x9>WFfxW9s@+nJ}#hUAXxlN7C!%i=(l&^JU&>VSj^F8N^C&Q2| zAx%g4=2N4{1zu4xGXCLz1*tt^~ljpOk2=9;-s6#D8uYH6FVw|Nl3 zqW>4~+Pf_@r4|J5R+s<%6A>3$-dg8EhkK9#f?nFCJ_QQ=PflRl-JQvN8;X87);ru( znr-8LSPLyU$6az_(!+L@`|D_+PLAkmja5d)!Dp4)A!as{N_lyU`RKsCC1nxFilT1{ zfj|AP!$XF^w@Iy)83>v*?+r@v%d;_;#eOv)2=t;mM7=4Tyr8z6v8B~8M&3=UuF7<4 z=%;K%5jNeM?hmWbs0328Ispg;qxFwgafgRTf{?Z#U!4Z7%Vi`44wj*dg+6cYshGx0 zITXLAKO)S-IX`A#T{Es>pT*UH-PN!lzP7}5yVE&Elc##SQychHTQFt^;VfsL`0Ug^ z>Y=)-qLihgwCbcZY%-mDnu03cGL!e-=-oNHeJ#69O@6E}I_sKex$S%&A9)uyJVe73&OSwsf z)i6gp72=}p1mukj^FE(KHHR%GTzQ4Zv{SHgEeDK>BjZPM(3hNEHNL27jsTw=kBji9 z@?ELcSFZvVHky91f(hrOk-dcWyY52?{$Q$w41I@&j|~7L%GfZjqOw8N-T#<;toU+K zt+w$usmp-WWiQEQv-N$2mY}dKN)H3DN$6RAOrOiLgCvAmek=FQabJ$4vB@w+ChSyh zEORNVO*1+~|HP-ImRT9T4AVbJr;Z2%iaRM0uZor>UYqVSh|<v$T1ifBi@l5lJA%*nXR^~MnxLrN+)=;?Bw*C)bmj0-PD4f{SH&x<3=$OHqEP#N_?;LwoO zi_a)9WDcX;k4P}wG+TrICiwt)sfTk%jkg)6A5HJkSW-1enb!(X0HK9g631l@2DU0i zF6mc?npv-h3hyswg+lsA1DC(ME|(I2FZv{<12c_(KffJah^IY<=d3p?qv;ATgr|PE z+?d`^!~#B<_o76i2>eCmRkfgw=QF62&f9wUzxpm8c2P?rC;r|`MCqU`J=`s6(OOVfJU@2>E*4+G=#(DebAh8-nl;Yo?%l|5WmkC&o#;v3ktTKE)|CBxsj^~xW zer%s6qjfi=vEyJrikFy@0vzr!tisR1oDqZ)8CLNl0Mr>Y(2bo!XPFQ@6$x!0K%S-% znB%=4_+_ms3tg{?wO?6!Z^-%t1C;eKtXnYeBO@$|G~Hl(#J2Y7+l7QL_6@6@0t=15 z`tl|;GoJ?|^Dmiy)?iPm;N-_ykK;A^R!J@8weni4zmnX0+>G;)y>`}2RR!~A%r3j7 zv6_tkN~(S^C7+bA$d&g%?{Fm(tkLKuaMd-0K%d*(Oq0cM3L$GmFQtpevf z5=}O*o+m}BD|5zsN{{ts-;)5PUypjrD(o?fGgc#F<#d%mg#pr`CNqcF!-(|I{5GAyQb`|EMduMc-0LHkj2Y}snLC4 zELbaZ6cAr4btKD|R`+w!&x0LtZs8M+7KTLfjeOn_J08mAo77w-3zrUat>dHm(-VwY zPv?!AF|7Z*$mQ8RWHS++j>Sx{9>pkfVnp2sq<(0lB1#}yJ}~}s)DVKBjh z?7!pJ?>(>Z4WzmoYFFfk05FHy0I`_fQ{e!z{1~`MH^}!Z)c11%DlCGwp+A+4hcZB( zdXFSf4i%Nz;Ta1q7kDcJ?>Ej!MjEei!V9CRCwqKKARNAL=3!4gAS<$SQ|Sy)Y_79a z(wf-xFC8JU21WbPFRDndJ-RB^Yodx?q}FCB?p|N|?eWnVPj9xj%E$(tP<`8-tES_V z@8Gxelyj>c1_qppuX2|e662hrhy`Bu#v#K5#{!E0cQqA8D&+FXJ8q!0*=J7X)9$sL z)lV&prO-`+44KIWVgs{n@1ToU2Qpq6%YnCc$v{lk%N4=ZVM-0whE!JHYm1j-P90RP ziTe2y+rWBHasS|RyiK8o%IE$j^?~tL`Tfh4MT>33azj{?>8f|n`jUMa`rj&tM*{i& zG;6cvVnQ&5=B&2L=z_6i4C8&nexi(0U)rT<-s);u4}aiZ!SLu;_ol3yT1wjAvbK>_ z3&)5IPZdA-Bx4k^-e1mspxFOx`I>#^>}yBEd7H!R{@f5kuzk+5=yU#vcc+f9riK3Y z%>MIMv3$h3oKKkulQX>LlNP|Nyi=xud zFSTBCcImhba)lf_U#GTOcx1&zDfK*pU$Jdw<7}{AL)_iwSuQ#6MRux? zcJdUpAdpR8YBQruwevJ7Xv~@dvPHl^Z-MMgo{`dCA0E(05wm)29Ak_|6ymyYadcRO zcj7B~xcg=IrF7}@yMeF_AGcJ879Rb6_z|zlMF5ifH4B0^@67k0Zm(%Y4;hAcG;^*~ zr&&B!phf&cU`L#^Xj|G1H z7VJuyKhr41KplZU+d4$Ajpz6AjCyTbe1ej=64PE5>kgS3(zzfCy%Mpwka#voMQ<%PURpNF?SjT4g(iQyHC% z|4_#528Dhi6EIU2oIq{}3=((f!IKpA_Wg80+K)s+Me`B~bPZF1iiCo2099omA>P+4 z;OZ~VU~L9x3MNF_Dzk_}bcI2&DI;oYVLOM;ZO14GHk;0mSh z%21{WX{ulO&+SWO;oovWmM2!8D(1m4rHqv7!#2qp%CYi>!b4o3JiPN_U5sBt!a|0U zu59)-fAEXH?D{29VMZsNsBgbsrN3#}&%C9}JEU#JHr;sk7%vp@_&6m zVA|kliG2%%KJxpn10=>T_7TOv4~jaX9D4LfZ2d_Iz;Be+SNv-a{~riz9vW~hmTP5| zIcFC?oi;yfH;-278~eGz66xkQ1edYlO*zSHu220-f#ba|`h^=JVahQp#Fw;>yd@ ze=M}q?t#=I0YR&tD=IBk=C|;diw?q+obe))pUX?rfEbHVK$I@I3VWh(MuEB-`m*VH zBC>FYiFR(mx7T2@6QsuHta2dSD`&gG#8U;K0N8!Z`o7)KzBnJ#J7jJ!>M4;vMPI9J z_XL<_Z6zNS<_#A3ea4}G`V`@0;ZyWGJm_5;1LJ0%B_4@@Duvbu!ULmk7MnyuZo-z$ zqf6O0`C8{rGVubb_@YpaP1?gJ+ZsF1H&ej^V5Drngmt{zuWLM_&~{n4JWSUCE0`^a zfpTkYI%72k@3zl93T4)(v6;aSkDAO(#(!qEscF0vMReS&2kBdFO9Wv;S%OZb zHru&HRhv#U4~0bFWl{$WMDYnPy{5`exO!<0AJR^JS^qKlFAMz_>8)M#_q+v{A6uGE zXy;oEg|)awx0kL4sOv)VXP>JrcRAb(P#I5)*$#ca;A+}n6u%yRnE3yey3f+3FFgR9 zp>L>&EGGpHZcHGxj&ObE4}sw$G3Fu$=ilZ1|BNQ1)KKvH_#bVZM*E+{{mDPwzUK9j z8+b;a!c#%apNtFCaVhPs8k$l}0w@>W>LUhAtR&ICjFf~U}rMAUiCF^eWAsYn9sIv zs5^Opd<%U!D)FY(DGI(Y6*8Sgz|rDVv+!~-(6=Kk+_$2}*Gy=yoG)mz_iP;P$i35g ziHS{<_-Fo~_{2AC?yHR8W!7@}Ywp=$nsYZJ|R@~`ouMmdL+8;Lo=<-Uz)LDB$~ zDp`|7@M}aERG|%BBeF!~Wvo7Pt%*(@B(^<7n(waC%>4RN03w3KD=C{5%I^OH4Rmd8 zjMQ#~svk2#pDmt(FWQd8K)b6IVR><;c_>IeqQ z3mC8gIJS_;eVq6+9_?RqSeCwJ8s~j6wli$;05zX`W6hfbq?Cih#&|3tzND=!Ti&Rkjv73& z?%f`<*V{>Ak10BW^f%iZoQ(H<#Pv7r*~iPllwLBugbKy)s~SgM*j=|6Y-UCd7_5b?=?KnoDB6hb7fDVkev77U`q7<3f)8Q9L1H7l6q zG^?LoHUn2FB;KgZR*}>wj=vws&qRV5h^zNrl7(tLofb)oG5u}Xf3n+yIqf6WH}JLe zNeZQNzVFKet!nXVabw$R&mA`X=>u&m8?l>t00OBHspK4%@hD&XZ(Z{RP?0q2gCdO~ zxeE83sLzhAG;8lpdf4hu@3W>wtF|%J%x>Dp&Tea)tItdc-<7GFJayf%Njtb|8aC>p zEBi0#n&+wk4<(C3B1`IjD37W`@`f?3xGAl?_rH06x%+LpFP5Dvbp5SQ_@68K6elt! zT96!H%IIpwRq%4~u*^wV{IJwXSU@lU9PjR6)KFq#UyvZo9La1)B2jwR_K0r?S|LBj zBzy2Zvl?9%nj@`)Op?GHe@!O~mkq|KIQHqLiz`yt zE{4@$QrhFQRtY7IT$su4YlbElvj`a$LohO9v>?Gm8yGz(F2kBz>1<|Tba8PC^I*Lt ziIW!xOzRC#Da_t&bjD<^@{;A;+WEv2@34Vay3& zuQUA_`74Q2=MS`_{ob@cuXHDjsr630m!02*jvU%QZ%JGY7H@f)wGyDzAszWlf!&k- z6A_1cZ_C$M<^>7{Ky(twPiG;Zo1cRkf=8l{-GY8B65t`GPVt9Yno~WhY#>^LRMqFm zhDNj+jGjM&iKX{?CEd5m2T%RJ2E?z}%K+_Wg9`GiiV{<8b~uwO-7lFEHWHjkHHu7l!{-c;Ng3`!hIf|auHjO*>(^UkDOa5%UaVJHN^3uC-N ztPlt$iL(4wH^?HG@#Yh;SKls$x-tsI8$lHVLBi}Z?)ADpozRLZy`=0Wj7MJVmsrj2 zf<(wD^{K;WwcuWQ0>vvcF8|ZU_6(hV0d908A(!VSpZ3u+7hZjHOU$$U?b(f;_+o1P z$*_CT8iM+-OEyPcVv2{krEirF1JSe-8!A60TUK_h*E4SSV&5@QxtjxV?#=YsYV?RE z>MVh&e6l?My$tQs!sczNecB&?7uJcYWBc5$(&v@l@03cb>nRz>w!$@+=bHEDw*Da1 z@m#4Po_}5H|Hpz;tt=~r?22WPthtTjf1UV&SQ=43Jo-N4p;0}8=*=p>Wt<8dEt?A> zI5G+aVvUIRk^qz4#=U-v)`3%^5Zrfd`%X!>HR#LMGI9rzyCcB5ux6_^GX8i@8j3aZ znjk+WtQ#1jQ*fjXqjRpQIJQwx*^O^U5p%kX*@nI|*zR{ZwN2FIt_Aouc~5m}9(g=K zU%!?=1;sIj;dbEHTaX<8cFXX(V?4iMO2c3huN=+7F+`o6rVFX4tWw<9OflFOt`@gP z#-U|fV`~~Z&=3_RUv5I(Fg7XPNqqtT5S{>ACoQuSEhY4!0RFaYl`82ZFZb#G<8Umd zvy0$+Ll~3No%q4#kDWY;DPx{?>?|bC{Va4otBLJ;Z6rY?*qiA!ztMv%5C0ig-=4zm z{MX|L9GN4&IRROdxVsUV5W-563>sp})aEi9QG;?yft(`2R%rqtInwQF}tmMQK<0zsXcMRNq@#h;V zLG>pz9Cp)I-6$Qx4czoxNU#%>FHFIHQDObPnREcTK;Lc`u>>PY7-_${LLVR5dC>_j zZ0!(mOX>(kr{Uil7N1Ig35SOw4_2KL1+@RNVlJ&7Aj9Yt+IPsYoONHtqZ{r?<4OE! zKW-9?<;yU9wH#3z?vwmPlOm=a7!^4}V&vIf(*pqq-jfi}6J+pPBLPio$jW0Co6mfj zy%1=4w`6rgd=3EkqvTo3u@aB_UoAidkjiMK6QoWlqL&(T$m~pcij#*76L7dPiy#4E zaiG-QugI~OF+M=s1(*D!^WGF{6qDgB(c1Qn=N$BZe+>hZ^ROEw2^)GvS0c!k#`uz? z8-@+5!2h~F)REbKlQe?}zJp{vT!ytTk+4VDEjN z$9aU))rqZ`>-r$-4JK#oKLZB;%OQg)N+=jzb^vNqD*k= z2h7K%%`jO>JA;}@@0`z{N`&-2#vP^hA^Ic8jW+of<;YJ)>bQ2@5C!9ekj~6by$jr* zk-Gf)n&Yx*HRL9DV6rylORvE6{)ymNlkvp6U23kmp-}zj@S$qip{IotI|FVm#j5N{ zbHEeJZ~a1f<+5rRhf2{|qyXi6a;2v+fJzz~sx-uwv9w22EKNIMDpGK#I3P$#3#El3 z>K|w4P35ruh22@5ol;dle=Q-Q;(k^BJ&tumnNi-rYOJ{U!69=T-=7k@));Vi&+#`A zHA;t#YtBDkS9MX2++qdiND^8|oxyNa24$Hs@Dj8&S*H&9ixo?^bE6X8Bgt|yXu@mu z4m?b$&n9FsBQVp=+{lAMdB6#=?x&i-d0`-#LQiDHv1t+H8_*$Q1)8RhEmU}uFL>`a zyIn2%Y$f^ZeQ-IQvt=uOn`k_bur&(HKu zjql3UqOUNrD~*H5l3*RF%N|#i9`%(lmots(n6Qpzk?BNt7y?4d4TdI-+%0jhF8XP)3OFZ zp^yTel|f2iasiCK6jVYCoQ>c!6#|N2lCvkk1Vk)@8f_37?v4=eH?JaK_uM`4q}J@c zF?4~l;e-wkb)FJBNXt43lZ{OiTn|sQSp6()>HY0DD{e4V^;z6F)Fq5E9+%mzRO@PTJ`SF-(emha8oT#M z?+S{Usv&BXjnGgvDwknWb?{Hs;7J?JYnNeK3svo}S4jmrZ50u-ytn}?gSmko3z<iaO)6^YP2a~cHF?th4kwxo`{(UOyY*n$w=)?boI<}Pe8lJ5 zunUA=KB{Y8wW%X5Yb?i88IgWA5-?!!_MR<&N53TbZaG>zq zgccJSD?y?pi|6GMZ~8eP*7y(FSMKi??}FfRzb(23us~jEoTE+**_HjGdKOupDg5xw z9gq;D-6t3mJ7M%gM^nOfReLYObR5COR$M|HFJ#lOz^VWeADS!(RV#C|Et|`kQd1r% zUk%+A`cRxGoJYpevAQhUbKs`;#v(%Ll_KgZCzvFrn<|=(l&6PO%+<^Q(SdK^H~VvY z0y%`Ple&J2{Xz9uA><>r0r~oTiXSPg19Ip*KZ0TOU};z17)hEG)avwcu$5yi;Lz(m zaVIBq^m>xMC*oRZrnMN3SL01gp(mvPW=N8e@4?3`&>a(YR?YF-{^KwC%`>guN(N;# zjl4}pAIGgW)ZO1qjhUlfGFbJA!bI8&@OS7TGe76%2=~vg)4iOu`%3cyU$6VvL-OR| z!p(b~(IJlo^8V9_LR(vh=p^;@I9ppk$xv|i!J`0fv+!q7U@ZP@(;|nX>%q;si2`WN zc{>LOM%424x%0Mzan9n|quH6+yo_C7oas33CY*?FDT9l}rNWYp01}ceP|*Ai4-7Je zDo0Px{wiio2z?bX8+&P*ghiq!era9LL;fY<7sZ>*_zAKMJ)sqRj;6WheDuB?pq~34 zMig{gi$}0~p<7ON!7iTogNnIQ-LS^^93p$P-&?rqtU+3evQgbn;&o$GDINWbCUr7L z98k#i(7^6*=p8Qx2#bvjz%j3_LlNgZ*U?O}qC~`S2wEFL7VGxLH&LdQmPg3RiC7c5 zdtyGf%qdo$6C|}ci^Bol@nYa)32`>!eAs~o>yIl#6Il2AQk~Ii-#v;todcRc&)l zx)4b}wQ4FWhb3MzG2-VRT(y+P;+#7p1;J$AeSRSt@Mi0SW|qTq>V|^gP-($XSTL@6 zHUeiJATQg#fuZ=;{Mes=ZnMk5eO1`V&4^+|X7JX`8g0Y$e**bO3k6n@tvia%{nC+p z#hz0Cg2O2;E2x?tZM3TM-CX!MPHs2no$B9r*Nycz2CP3?V1FC-VD*7F!;Qwbi?IMd zZg|5fdqB+i6TjUrHeA&2{`r&uE@ec9OvQBW)YQxGf31}U(%ZtNZ_K=>ffO?V2J-O# zmuT)Mo{9oXf44aaS64xg)FECM9)nkCX236E@3RljY`WK{wv9+r#klYndVUV%O zFmVxJlXv$riZfrovb|n;?SqDted0Vhw5=nv!KwC)3VJdk7>+(F6=1fLYwb-IPQufo*+3bUyXTx<>5Q({s7$Oxb0pZ-C(gvp{DiWsGa1h9wO(`UP z1c2^pHd+~9o*eH1$=H_xE8;jfYvZsEGz!p*A}VoXXciW0DJk9yo8z3|XJ{zKz}m8O zv=G8FAPGrZw&zAE4n_2y?8%8c9Ys`a^m2PDLr+k9WkygiI^kgZccp6B2~#*B9Vz#e z=pVig?2@GCIu;URZM_hlsAPFGRGH!C!0eNnuR{YlZ<`#XJ}(fsQW%3@NiJl=U*3XQ zfCv;DuT&aEC=|tY$82l;RDxg8=J@zfQCb}czEtjOW8*8C`35GpI>lC{jsjxO9&5g; zbeh-Cc^>K9;k$Y!paK;^5qvz&G%K<-dU-Xvys1xaALk5DyQm`{EQkscP!yT|9SqrK zAgg~kY=c^S4PLGek_ZWnBCZuzp0p^c1k^Q&kAv{%ln1JsnyXp)vR+EdfMWLrDS7^J z(Cq{vtv|`#&)aSFy?|-oR_)BUoIY5%`5@i=XSWiaM8pPTqvcdp$aiI2R!4err|hQr z$>^23-!DWRdg4DW_&;D-J>XJ#T^EqHcz0H3vY=M^cTS$1(I&ohsdm-->|o<-G94|z zr20QNZp(`7FnVyr2Y6Q1SOop!?1R5p`-cubUnUQ{pBgZq>_3qv}CKUK5aC0S53ts;&!^C&)(oGTZ_}L9s zn#o>u(S)65VdD0r+Sa5?GB9lZ^h3-6ka+$PBHb3!;+Pvs*mm!t_X^Og^2sTt@SpT{ zJPNueMHhtcE$q$1hb9w8BL4MO^d85tkiLHL) zrImi;Zwmd^-$@8TG$hUzQg@^NZ@_nb=B4@Mo@b>Nf6GvNg`dF8tjM!8nho2XRjk>j zBFm&`z=f9nZ4{{hYqz%$5NkuN4JGPUkYoE4Ybuv{j$;)QM)d+BX=?s}PrIILP8$nf zyR06UjoVst?seCVDVXGaC@=N5qR2nBV7E>=z6~@M4)2d8M}yXp>^}BKFk! zC(~d7erBlNO!4u@o&J>UGD)GWZu@7Vk)ni)DJH)a?R&loOv z`snF3e5&fePc|-AJ*VWTQQR?xLSgCUne~35Mu58$%o}gbe@g@f*fOc$WMJEiA)ua& z=18qq*-lbsDNfulR8kZLr^RNrnRbbmwmS4RtG2KWtBjcrU%E4h1N0rgg<{hPUg4xMQXgzhObX5Ilr(qinzKLT9g5_OtHJB;hYMrjTBF$ixai+q8pNft+`R9{2R!y0 zJIcOL0UKH;wQXynaBJ|Bpw2hZ+W*JRP22ZCL0p^_5H&)7dy0?&!z^Gg%PM6~qM3z8 zOJZ^He84!QF&%yK;2Ubv7$ z-8BR9EP-?89vZBxwa_WT@b_QWu4sxeY!%$#=cB7uAQ>4ZJAh*9*Zo$S6{FtLCVRKzUjxG@lpdE}Pn9SFi|Ft^|f3T@J%?k&y5+9iiQ;A zc^yLkSiuiqrsmm$uM_OE3Ki~29s#VFv89BMfWb$)6E7}`UXV7;ntKGo^kQ%nA=RfH z1f-c@2^l^hoAm(GhWU}9$%GIQoR%P!oZ0xVD09Q)e~mcHNrxr9m6&lP*VgUE&plmr zprzqPA;p&8qsjL?#4lRGsIIImYrWO=p=0L;*Y;l@4wGa_Oiwj;UV8Y??6}-|l#Pk` zERusN@Ue-nA_H5rk81XCft?Ku=~OlqSA_7L7}tWZvSE>d9sHQcc$H@U#ZtVNUwmY%=g&X#WCnFWFZkPkKr zQDmPJG=7phogsBis|KN6*_d0wyVqE}Jhp;DDV0TeFDq2c=79`PTq*&6lNn?^Axq?q~M;EJBe zCb`!x{GGpJFIPr^liKBX>#%q}3Pxk~!6^i&dCD9I-178&zaF&*Jp8&wsF!b(xKbHIIF{IBix5 z)9kqP{!cUad)stGsO4{#NTK{`|?k$^FvHeH?KajNcE2?>p}kut$tUwA)Ktw)V$n z)$fkJ08aG(p6!s0cd#_|S~%_M9DMjc?K+TLe7C|dZm@Wilzdw+!uW5K{Qr#70RSPp zwMKr;KPyaJTxiu6md#G@pOP8yhhOY?Ggkl)0cj6Kzjk$GvYW3#0}Wh9Ig1x3)3t&g z{Z)N1GGSVxKe8Z0oKr@Ce(*&V*s%U-FS_h_q`qLK1mNpstSE|P+mIhgv(=MtW+y*> z)ac09j{nxwl&D!ZMHUxFhl>rOu^JhSs*LllynI*;Q>y-@5Y-WSXMSK5I_IpMVj<}D z^kqCXo>Kh;gJy+v4qYa;oR~+kdYZW0w@DhMh6ceLuVz#^Zt|<5A|ICFXm$J2@0$jw znBT?>C_jEv$olOyqZ|dJ+=9W$LisLu1pI-F={v2$Ix%DH)Fmb z$%{zhHz}RTz*nKcn|nKIw9(ivxt^WdHy@p&{KouwH(I_@mU`&jI~+g426Z-vchUFY zmSsI(n!|_w+G+QLR3u-EYsB!UetUa7RPDC9DmE9jwCl0bGj8e>#vcuv52a^lx?Q%9bWD%}}Ok>*j%kjxyx;@xQg9WlJn+xF~MACpqO%?CMmD-?C!#7%0 zUs0wjPHEdW3za%(AS`yWS%WVv^QCKGuLjTpkXn&VQ&ACNT<&@OjgSna1PtkEOqE+i zouHVGdfK7r=IMwQqEQp7V^@pOkrKjXObG}b7i0M0p}ElLHc4&rK4%>K83q2F!e;hb z5K=f%1AcF~km;0x^y{LsktQ%T2NO^`HQ+zuJ~7(WJFYC>bsQ;P!>2fq6tLtenI>Qt z(v1s(i)Ss~pU}6T<9DmNmco`put|>qi%B;?;o=YiM_!5E8@I;qQt?)!H!v699PRKW z0zpLL$|aL}`bn3<$;w=l_BEDDK+Rtxu32}{CF4kdQ~!y!bAv23>Yq_G!}|xup4U6J z<1|M1@0-#e7ZESq(FDMwPqp|qG@MIWGTZF0NhHrz9p488Z4f(t5#}>e+xijfzpYzM z9{ulxRw&y+FH7vG9Fb(GF0g;=)$n`|LKyP)Zx{EQ)t>d3{hva28H{N@^tYIw?{4n^ z@+*OlBv2XA{`oT+pLIo+;dSHe9rOX7R=-|F^crqoNlV6uzw?C!ab>9%c}7g*+oERn zX}Zu*K&)5f)1i}P!YC8mn11Bi`&C0qpC)BiomeNq{Ba@gyizZ zm_@JZ*(mHSg=QL8O65_K-|cz&FP1oU*epO;suwO=esN!aCO+73cj_Ivt}G5mmv%c4 z2!GR|N4vuul$-fCbk#pB*6g~UsPJ>RZWAG9 z=mlWcT6`43VI$pS6KO1Rk6cyj($8#R$T2zSGfs#wyXj})QwIDYeBMKO%OGy{z zMd7@@Ln95f2h8m{KM9~|n|QOr=w%N5bQzO4qW<4GsJ`AL?`J^D4-#$7-?I@fM_sv zx@2645W7GaJ7~GMUxmLEL9K)}GPX-@GX#ZuUEiugdxS7mAd4THOuQtIS_}=J=<|mB z@D$%qetBV3Y(6j$PJAqdmMrT7CY?QRQo$}V9>!w(Whth5+IX0)WkR*IeZxD>H9RPBFhIg2(^!{tMbkZ~HY7pvKp9ZZzFMF}-oX-g?R~KJIbTdnzgt14_j#p3FOD>LacqhYgaxwM7Sw zNZok`f^L^?b%KMe2wvb3I-gdMLAg6aeK3$eTF^+6;yS3(@URP?Q~43H_MF7o(N~i)yqTmNRyV-O3;n$}+=L4qbZ(M><+)Chr~Psql=9FKk8^bCcL ztZySievzT^(T^X2l+^l=0A9IpoMt5L_cIYdUgdYCkAc+4#dyD^Sg@8CL!=;sntnI8 zU1_dsb#16`ko#ktq$YXh*;fBebA=bdg7^Kc+m7G)mfQX9j}d}(Zo^I-RoWe`VOf1lL^F)l&##dQ=z&v0As-(!RqOAX=3T2oE#d`-4b|CO;K0OWq)-|AlU0 zd?g|G%4`t^HwLn{#28&A^>M7b!nH^9bAO|kBM_DGG+NTF@kno1{Tnn9keUuRg6 za;lm4TWPJz-X-9i0bvyze;Ki}jW)j@am2S%OtE8rj>SRc%|@-K6aCHa0{#l%ICDa> z?oCrLSwCaH;M?z`N_r!J!@j0XC2A{N*lBo7ItYu7#4T!6DQpcSf-0qS+!0~mi*%Cp zI_$j;W?(U~CWdVGlzcWhtPh^;v+-n*(U|Hn+WBo`ZkRmY^rxlz9>agL^RS-&x8>Ld zVrjL?i@Zfo^UT5RjdznA(r^zOWC@eDh9Z>PLAhRD%FCAVv{15ifPsld#;MjDAD9le z|B;tYGFH^gDgtCKi)CRVy(Pjxr-cy$KY$?~?o_r`@yE7MK@KcVp-sfT9=~SMIm}3> z^?2m+$X14kPQ|`f9OGeaqw=Icl#}HxD^FSJ*mysudxN2|0WR70*4%z9Goc%1r_w*y z_Gl!Y&q;pX6fVgb-0!IyfApiP&2ZcMhJ16CAK>xxbM)0>8(#XoW6OJN^{nQg*Pgqd zYp=-f`O9uE!YdZgE3N-^cLvc21QGckgq&mo)|FwUG@Qr>F>)A=eme&5P>7rVZS4OA zs0aA){PXMjhBy1?bx#0ETaEm!jrX=-7V4|I1k(h*wu^C$ch?+_#3*s4W+hhMU@K{9 zd0w0dH>CqZXDA`z(R7O?6{j11r}A4Ri$+`yvbs=3a6E+b#7kPOKgBcy1?Q!rD4np= z;qQRC>$}<2D8F71F5|hJM7`ls0F%lR6k;qKI`_pG#9yLu=r>NDYRwNVr1ZB?9}Q@k zV!~bBX*v<&(oeJj^`eAdc>^o$Ku@34N$n+xz*z`kf!s2=g{hHWtXX_Mt7*P~b%` zbj3y_o46C%iB5py7u4#;m=hwBxe< z3|SSk?8`q|xDf`$x&M{e#t+B5`16=4{PtD)=!X_8D2kphn8jC zFf4#3gs2R}?Y=(Vj~rbkUkh!lgooq3BcpOdm!dAGyh;pUIIoeHn>MRD8P|ExbHZI)Xo+lKRE>0 zrX1eNue7a_>rW($HB?l}jNsbA1&y;R_~dZtI1_dT&p1q(OnkHqC}c|-u_tt?^#{D>R$sP%uGw~JWdrQh-a%JZRi zrjk?T7Yo#5yRDanle&_JB)bnAMThYQ-_~w5)CG8*E;Wf@x94&3d^@d^@&fC>XZv^h z%y+ySAi)u>`TlnQ8B}rkGwEh^DsXci5uvBqYKofh-5Tu;EfPxlt;>I_pK9D*WB5Tg z?>ZuRalCt&uQs&WNmXgsH5QKe%yg8}#sKWbllpO}b|+XHDGD z<|^wAS9gS}eeF+QE?dN`4I*_i-(GmTdfb8Z{?fNDLo<0mJN`$~T=l%|m`kJlKt{o! zpkhh^fgKy(;|3K{c|4>+F&0GQQyVSEWKt1UF8#a2L~BVU7E}L**SU&J`|~$=&U};o zak)Ts;Yu@CJ+m{BzbobNhA6M8en@5|qV}4Ft7p3`!ogf`>J?F;T1}Y$+1;wc=!DiD zlTv3_oN*MvdRume9}Z3kV@hY(q94dO&b~nO*L5`P>B!KJl8>&SQ@rLOHN`3HYdfDQ zu3v{wu@Ub!ioa8KiD>lL5F>Q)z}lxAA^b#c@x^fbHG6-X#9G2kA#QV+M5DVEzLv1u`LI_LSbwd?$w<)< z5%kV^Bv4EwhX0IApm(q^7igg`R4Rb^KAI2?p81~=>bq49#0hR});d0SN0VSe z?Luzyug3ljfV)4K2cVRx9C2)z%s3!E#Oz$Q+cone!!zL`{`mrLVPKe*R3$~XWBS6h zgL;StL@1yQ!S4-zsGo@($L<#Ju5+-Hcu3I(3kSc(<9ppJ^NIb_#;vG~O+;o6613fQ z{Efbq9#Xpj&CaOn@c*US5hVKz-6J_h6Ps0|HnOdaBvV&PflBMdykCYJ8?)0lipE>K zA7VZ)<@k(P9Lz_K%6K^^f+*rMC_nM3y&F&TSP?MPJzdq@E<(0Dm6puy(6xFVzBUOZ zJMs(mBuPOi-*NZ*UPw@;09`$R+H-XoUkdI}t-1)pS*Mi>;kK2Am-FVrP zjO^?EZy9e|FIg8nrgz<5xi<(z?q*0uvr(zCky1yq3rJ_G*hpj|0z`7!ot{z(*V%R! zL>h<%tc)imfDfE!c9t$$oi^7=Ustz$pHA2O|=5n+1OZ<`4sF`VKkWc!0z%4%E z>ei*s^`)Op$#h|%iRXt*|2ui_Kc6OPDSDdq5Rsxr_3d$H8ocETr{?!OTJ|o!dZ! zPW93p-5+8sCd&Jt6z$yzH^gJ9a6sf|kurKH7KG;@$w9!`R6SLgaTn)(OMH4I_i5?p z-UP*3sKhQffADACLwE=sID<$nQj{$iLTyvLpzxTSl(OvkHx+{qY4i$fguedBm6CY-{aP(CGWUz#(6ODja_O(H1`+|8K^O@?q;FHfhri~& z-x_(OhNO!w>Y|g1X>U%y?0TDc%UM1kyC(to>=Z5SDU%tkvdt3+56a6`DlPQya2)m!Bi2S$1yeY;<*+&{$CVXh94jbZW4z}1sM_1z8zkWobjZp;RH5+Dran{9m+8g|eSLx+7OY|(f5Yx@{NVDt96 zwJS*TcbqVF{yshIsj|J>rgA})7)Z@Vam51u^^+B3?RDQ7mQo!bRL$l%dW>+Y!2Gj1 z`-^zn(p-2q1{GAO2!@7C&ye*LXnUN8K!V4N2DPkjkWI8V`4)sJ6FA8fO(6<+DZT_>ssuNvvQe2d$ZCqeH7`|iMRWk zJ3AB|`fK;1%+5{*6_EKGx&mZx17;w9mBlXm#3}Jh=VY6-Af>t>HYy#lruaGhujnlV{%j0TgZ_`T0--yG!Tle}>dWu|x1u?x zn#BEiAMu5gm9>oaDXWOx$3e$Srxer@hc{nh%y*@)mGp1NvZQ}dWgq_IY5*(=RKHDE zQxydx{%2pGRn08Cjl9d9_9yn+DlvXuai4>;c}ldP?y=~7?C!WTb4+P#t~}NenKtKU zYj6m^8$70b;)6j$c)1^Htrhf;UC-(m#G(dKuHMIHxx?CKMJ#I;@@VPwQ}DQpRnI$U z)j4D}(&^jPSr~O=Q8B)VK=5}={oZpQDKtbMUM0=C#kl=#O^>=imzGeuztalv=$tyV zimUSni2PIwLP}5Mj?60#v?qED}uE8Mb zt|MKwVmyi>2uhv6u7(6Ci}Gy&K?0Ly@>si2{#!wmcBK8<$Ee|$jehJ1 z79@ScS%a^Gqmm3>8PGF_o&5AAcvrOIrH6d*Mu@)a`uQFQ1r~$!2D-JI`Six#_uayFVhC*w5Qman{{eat@En!R$ z7KiULx?SxY2G!N~xx|29^bPDn`sAER0C*Dr7d&z0jkQz;je7`H{(`+S1QhgP9e?iMCp=W7d$q3*ngGIk{ebY|_)MKc;|0z0rMB!QSXWFI}8V zi4|}Gq)?9tYWIEup|P_Fc8{$myvbsJ2J*`M(DB!DU?$Y=E4K=WOhJT6x_+0omr->% zl234cBpdz=gn7XZ$zu*Z$}j^Mm~N$`tseCwGSae`ep2vTUmLp7Rt&Wi$N06-T9t!Z zW?tO~50#h58QVYKx~MYO!!XF2-vEV52Ob@-+rzQBFMJ|4h`eTr-EJZW8-|!2zm(G} zP>PdD0xS%qY*cOMMw8&_Gk5Uh!A`7f+ya)EisVRMo32IUMbP*japh_@6>>ZjB6e-=S8 zmc@`M8IiG1{=ORL7$^m2vE+?D_Gz%H51-npu6i4+{ezstt+aB%D2MeWlf-X<=ci(k z#@M&^W@!H040AR@|5#4ZFb93TUsksDEe?>I{O$cR`2J%5Z0YjBl)>)KODx)RTviy( zpV8x`3(KRPf}YF5hQ<7e`=g2&*9SJ@|Fqy=)HB8iV`pclx~9h6V%G26p>Fhv|I|`p zh5rQ2){x2FHdQYxVK_+s6B(yJhv*?kwxOE|VK9znNq|DmB4>Tl~NC z)n0Z4HVEG)OOGIVIFmdZ;E8fP5#F2`2{1P8a2=75+kpIy^d9P0Aq^)a0+a3GxOEk! z(zsZ<@roJnBZ?4AV+j#JCho^}I5FW*WB!v^W$XtNd9rwN04B{w5QIZTP39Z7(ypyE zu$z=Xmzkb%{pOsX@v z>D*QoigU#Axrk1+3r#~UlZnlK!x7hpugx4f3Rfx$!Y@O90SI1nOJn(NOnu|Qay0DFtnsvr;}pB8+48B6nBuU2l&)PynwR8rPL!J*wSlzHe8$*L)8WNzR&6`$K= zoa?ez5Z<)<`1TbCk9vB}-Vta1P?E}!wt>o9d?HI!?ar5PnqtxcM?!THO|EJJ!vLTHKg7b^h4n#&JM)EZ=LRWrthb7F> z(45R~NULuEfg{7x*QE(y@^APno0;L7GN6*>uedSza|bG2-(Ep}DuJqB-orrbClT51 zgk-~*not=g;>TciGO}{*_z^Inz|-C~(~>?dr4nTaSx~YVkA9U)&Fg{Lp@WRIFhZIF zTM~p=_@xMYc^b?6nDZ_K$_F+shIzE=np-B!Cs!Kvo)tq8YSvHT-9o@}V)=O!2$cSC z`bsc_Lm~w9i88^9`J0n-nlRO)Kd0oJe+V{j^64GU!m1ktD_s<4?0Ib%vXYB4(i9OI zXI-;vwdzbEua%bp^wSA zm`h6Bz#P42pxD5`2fm(NzV1WU&ib_QrkrqLdxS59I4xL5Dm%LXPs1okJJ241%#S?^UNbQ6+|t`iIYoxmdSp|9Mrd=w~jE zy~g-AS4e4z&qH#J#$gY5Z*uE2@5ii-!&nwB!gYOi3~WxrrJUAu$R7VYQKNqQ0d@#F z4-b#gsekzfA$|SzTkrc=e?GsinMFId@oR<>PA~ zwchH8f1f8&n4C9oqTO$kK@|r(CxwwFp+qm6dOTWMQkm?-i+DP+CKNJ0dS{Su(L{g4 zKyt7k-!USGtl$F+wcPvFsoDj>2B!*Z?=Iz5SJXa#CH-up*WY~}5Ip)!r#P=j1 zB&94e&A@M)Agp>~!V)wIZ zSXP<-*ZM&gEiLvQ`p3pv^0bUXE}^KWcP&vmN_G6)qaWbm80L&0U&AsuzeAz9%TZ5f ze0k%!li#txWCUZE+!KnpF_5!h7J_ESrw*=9y5?w1;HW|owaw|6taBHyK%beH4fK4Z z2;G-GP9{#)1)+dOVLYiva^OR+Q$^|Y7P-mMBeyxf^RY6ig#c-H9i8IlCT;{%^6q9U zCMHxuW}}0%hxxDl@Tw5QkU}@$BV#ZT1z9GEdM%bemaU$I!x0#E>F4r>sAAz$5tvtwqRBl2b-KvmUw)NC zHocL-Cx7Hd&=^5_bVSPzCVI)Bxa;aoNkH~0z0$67b)a9a@y5$R28D|I%*W*<+}AC7 z)qAn##++^7ApFuPZr~FplJc)xii}y6UnX@t%5z-oMV)4PBlS?(2_>j+aB7uAZF8Vz zFi57a)(mKkK86tsQwwJSz(^%~=LGH=m;a9_x|owwm!Alna32;VzdvAvfOaGAX^PGn zb#2-3@Gd0b#(c~Uw^R+dT-k=*}hTsA_W%TqT;)> zd^r}l4w#}rptQu%;X-LJMDNm0v;3Yam`s=)N>)@klOGiX_u=^MA(gq2+#ZNcO%FY1 zYGvq?EJh9c-uxiP40TZ#%8T3BCBk`?9)}K>RiNYkMM)9_KD{uG^~@C9cGD^P+5}e~ z_{#<>*gN_xgWS!x+;&SY{#wm!`H`)0IOhJ-_m|DRv_sEm^pXF<2U~kE+64zM(KPq8X7^H`o}#M?e9`|G%bc zfIs;D0}kIkQT`WafH1eaQ8RnAwxk13{%u4cToikb;n66cD$S%Kgy6*pa%0XGZaW@V zZ2L$qR237HX3LB)s{?po6Qgjzh=J|!yecNKX+$C8d_*B?c!ULQ$N|g?7;szOu(%I9 z_ZV&DU}$p9LHHANWbnN1(b?SaMzi6Ed>8Xig~Qs&u4a<)5jKBCKVTBVFn}l4EmZB| z+Ndrb!hed*GW*3=WY{KM)%K%mzD1=|>X(=86X{l3Je^){_3FVKuM)?5IK_M;o>fh{ z(~?*ud~Dqq5qS5q*z36IRp?{8Q1=LGcax-w5g8^xc`*6TwYWZSYNGLpToj1SsBuAP(2kj>#bLzd475@ z8(=+-rz*%NNd3niUr}WH-1g`GSavIm&58w(nP#Cf;^(qqc@5W6VI&SC{z-AGYg zIg7?EukuE-RsD$PXrN?+PM>e}+B%3kLq*j@vZ5mHKk%+0G05~qRpx~3_%(k{RZXEv zGdCk~rkIOHvt@vYIH|5%RvZwy+HXsL-X=GDM7uU7h=37%z=RnQOtCJ*v~*u^gWYxB ziAL^1x_ebisa>vIvXKAQufYu>E_5@k}C!J<~IIul4>;Zo1!RPek>8WaJjod==zFXtbcQ@;a++ zZ6p)rad)6TVcl}@t48{#vRfz-;NN&6xpXYaH?~+w^KVtxe-D}HG#PZT(9hV{H1|G! z-8gl_8M&(6cx6DR>D+-YA$guY*aMjD`oxeNIbS%=nouE9Fx?{q;j#<%_uSMXQiY{R zZ|2>%@u{kqSPk}UlV+ZyfwCM9pE^UDVtCcx1$A0|MD#vzrf`{ zT|Kxvn-U?&T;e$~s_34-!?aKPr{R+fF<89d-#}WsGuwny&ZCMnRdUY30|a(c$elh3|=D3ItOJJyR!i*EfXvt%Aw=H&og?-r1K_qV{urb1ny;^rFc> za{cvnEtI+XDdPWQ?9HR0{{OdeGX^tbj2T7^*~U6#kL-*ggqTDq+f*W@#=d5qL3Sl1 zG?q}=WyunTq6n2xb}gjrd$!-}{kcD%-+iC+eZN2V{f{|kUdNo7^P1;luE%v1FU58 z_r<1b8$TZYD=}hd*!RN4dk#X7=oZgMd?mbG0oMSwWc$O9J1q_!khf7I+aNXG;~L#A z65liZ>QtXhj^4df#R$FiP@*8uIq>68E0gvYkp1eoV;!Yg)ibx^IHg0n)W{&dQq2pt ziRjnPWKYeDPR>N&gaq`Ei5;`p$(rv~OoGvQ8Fw)0o(zV*jZPX{!%E64v0Fk~CyFGP z_(YSlANOgR16}THj^fnGJRtY*&kbqu%e0?$08VA5ogb=6J>O0y3QoW*-ko<6uH->I z-)p{7dWQEWmz6D%iA4;PIRLn4*?I8^8EY@Sw%5I^Nww(%OnL>p4)+gi(nF9Nd2HF#W*Bj`d31DKa3x!rvUiU>)bxx8Aq#nyFh zAyjPpD$-$9g9Z4h{l1&~J0_WXK;QATeE5lWyW34z$#1_Zx5hY!!Foq>h)ZgycX!2^Wo~p#p8QiPi8F+$Cy!VDj`=c4gY-!Ae;h^3T>ks z*GF5UZsbE6E1F}>_xF}WxWy=+VK?@^Ruts)YkPhk75L6E)Ov9J+V|bCMZ7B7dyCK?tPv~o(yDPYf252o6e z4STG1EOO~{>y~Or@AL`t@2e5OoJ86}fm_Z5_t!QB&DXFUtosZ6)DO~>SG~9}xi}}< z>&3_Xlk(RA8|#Xf+(S$_QJ?*u0f@mbW+f`Ib!jm0Z2hTkjZ;nE9J_9w(6>tn2~5cQ z8S>2$qK`9z`Z4EsM2$maIEC1-u#F2`=i0%kF0Yo5J*YAn|K>9d!2e%)1$BHu-y&lKY= z3^XT@b>70}L`zeek?PtHe2cPkbs}#^p1u21e^`LdcM5@e4MnVm$R=%jCjipNS0x%8 zecZ=6k0~0?yQ+wl5Th%6aAT27%jV?;$~x+G2t-?JI3bS+fqBj&Ps|moBP?ITuj#zs z5H+^U=JYibI#mWH(cqm-q%tcyADtSolrknVX+%rTe$O=~>%)0R6rjX5cBQwAn=(xN zzo>i`Y=UB<`yamhV#^BcaVlI{Dx8QvXrg&12Y{75`i1`-@gb?V$^%;gikU&Dh`fC#i2=_&Xqt4|zHyJ7 z?D1h-j$h>KZ`(mKoESK3NzO%Cfk>M|NX0tK4~N+|!$JBQrA+HMQf$sy1EJdwitY%f zK=oE3pHU(ZI85?eb=_0Kv`b0Z2}|2I(S6`=6KSLYp976#Ke`AtK?_Jsn4%+qY&fxo zMDL;rsi7zWE}t5Qfdk{}YZ}tN3iK2~mx^wB*E$BjPRSFz&Yqd_>D8s(b!;5;?TX=I zO=M{M4u;Z>Qp$#iybU$Kvb7=Qbu=<^v4JI);zNXnFXGb{Xr2A7bcZN5ij zjLKneW70hidS_f?k?zmA)#chWsRJC%s0Hrqfjk;-!g8)(4-1}O8ki!)U8XT(AH&YX z`ds>4p#qZ-1J=tbC*h2iYb>X^JdHqb9?8PX(j@HIM|ko48q|~%BdyVmJ+4pH8u59= za~u&)?1c}1OEYte|KmY56nxZag@5jm)5{f$b$B1@aAak0X`jbn-BjW?aHk!?+paX%gJI2| zTa6>h7IaS^WYYfIE*UP+QbiX&=L<*Z*Odh(l3X_i z-^o>_0h+}=j-OlGGdqTo%D$#bX6<<*(Q95yAAj0mRRcOFo6EOx0SI~-es-fH7G02J zniMMZg`@C{^PP0&hbJ^>YI!yKgA%wN(Qt%-;ao=334f8vh9Sml282$Dj8a^=fG1(E zm=p3kV4uS?pUXs|-^-SlGEGwv$hT=lmDLkb=C_z~CQ{zsyk*QS8ZDL4k=DkL>1Wx{ zAF+rqQ16&h?l7hZqV<{$UnW2WOK}FqcwAVyjcCa<_0hAv7jkZ7*_j1_OIT+bl$Xl{ zYmOcjplVo-Hbit_B8Xba==)HNU$hj6x^G2d$i#dKH8Ccul1ouN8=&%jdd9bbE-9X_ z0=F~ZgX4*O=J(q`qgOp47A7vh^luz=OJ#4K1>U( zVBWtO6Hd%_awL6Q5WI zhdcfp`V*%{CfbtYB2W6ZQ7&OQxLDxau^%APcHoUqrlgClh)*gIxO^}@CD?MLLpJTk z#uG!wNEnM?;RXcrHYn$4XR;R$m6S@P5XfeGDxm18Y#$zv3fsEvuBeUhJ&MRarl>5MwEErz``>RQkue;O@CPf2eK05C&S;G{mM`+ z@47eiIP0uP&XtUsref0c$PGXp5ux1+ zX8=jQB4(LT%S;3aBE|n$e{Pr$DFLjGgPpdbHFNQ#Fzyh@P}8>|Vrr5R#p}Q0=IYt- z#5qLi?+}{7NO%1qk*v@3Gtq`#H7Y)+VU)`u%W-yz=3j<69K= zvTMPz5eG40Xt{&Vrp*gAn}lFP%Dl}1YNkMSA)?Nf`|m5v|0)N5$0LFlU$8kYM;`rs zena!ZQu6Jk@nw0ZgIdiC#nyfbT-!gL4uto9J=)&UzFl1b*d(TNmO^H8Zx*QZ{bTX^ zccqEQF>yXVz#c(_K+zIbB`M*IwOR6M3V=Lr(1j%{kx$v(lZoTCg6gjYQ<88EQ>!U)iJ>~Ch8-f+>W8qH~ z%O!d~bx}^T=4sv_#|r5kyT==$6J08VGgpgz3#eyiAlg0hT|(S|bDWNup3KU2#A z>Q59TCPgiPAz~89bgks6DTNNH0k=zhaVEu`>+?B0U={(W z^s*x__B(gZ_6YAe+E`1|Ig2OPpSc!?6W@7otq1cA zqu-UxZ65nQ?{e36s)YKbCz+R_hK{43zf7dJ9hkI*GxmL3kTNK(SA&8KOa}9y zshOkVcvK8%q?Uy==d9(fy45VH;PG`UCIg|`;Lk{UWoVo?`FiVGAn(DU))`z6R-!Y+ zQ>OtmIYP<&d$0)fU}(s268is$L&w zeO}+oP^2@lUMn1QO(CMisv{{KYf;PXFJ@T%jpC@iT3^`~P0D`f!#WPcq zWS0x;)Uh|zneM7`b(JSz-ZsuO+}IP8=e%T{JZ!!J+<6?4FLouIoy|FxJL!sg*z1ia z7ia5ugHkBU{7hoZSdKs8_-Q;Z1AhiO|9g0TprV%UbPH}3OCWQsn$RRm2+`^=C1VQR zObd(Jw_lwGRU45!9X<8;kU?}(U%ayoD*_fG{u~HKbAAD)Me@#6!Q|6GPZ_1L+~=hc z)qQ`#mlz;wFq|m!tL#Xp=l#^-%=*hCA4C7S6f}gwd_oTvDz}ffdhH_{l9QA-9@lqF zKiZqpaj#O@s4{n634V#xcyus!c|1WoaBt_5o zlNoD|4)=1N+&Fq&F`II$R0O$puU)JBEtN;BZWq=#7w!8HH zV(4=!n#BqOR;pQ{kdz;uAHKT;aQ=efRarlLf`p=<-~A{2(*i?ouXhbJVs%3``<{`BHX7`y8(%PretH z&q8p2tp|U$-jPDogX>o+D4#&R=7v#Iw6wk|>m8F2y`oU8B$`c8GvhZ?0%fJ#A>Tlywo@*ZpyGNc$6kb8 zDrM&oDvgrRm6zdIJ07bvQ|o7&WF%BIK6mrV%r$!a2{UiwRsOq(R6QA9S%VVPFb71| zfL{4%Caohpg~*EVd`Lc-zfjq0sbiRXXC*a}X;e^;NVb%zG{m*s`Lw<`ojn0Vd=GnrFxh|P&8s91e`C+!dW+=;8Hz8h zsq8EwX?@ktoP=Ate~u#j&UOVw<}Xlm76PA!Yo&Ws)lA_#68G8}2j3IHfQ=@t+nLF! z8^KlNBkPr8G{E8M0eSb$C6Rz*PDOY9u**$scp){$zodrir;QpXum`P$4!1;AMsOTz|9(no$yot8KUJAKKVKBjc z281~Ci76!C4a$Qkuz-E{E)}fF90Na=?%a-2lVOem!~cB!vxaBHl6Sw4APvWuBxOp6 z_aFb7U)GP1RGB5+;naBd!2>zPuso{Pd^^5n-nk8h=tBw1h|=Jw4~-}w5uKEgs04x= zJupJ7@J8XuN)Y-EE`b%8yN^K}5h2;S&?%%_#MWqIz--71qM>m#HH*s~Op;efDQs64 z>sbaw&#-+(53GsBK?MNxx}wL%bxGhU{oJ_L6yQQ9>&yIXiVvLH^t!TDkT2`i~0UR@j}1r@5PbxK(`d=>gXf+?ak{jPx3{car!Y8i{6g+ zhs%|ZbJ3>G@v?wVHb5A4fDel>bIdw+S}rrF1${yks4E-uU5u$Ip80* z3Vxj=x|~uV()KW67;LJ3ddclR2%E|43q?LRV~-@w@p}q-5~*;sY@t#=D1vAYG-?Fe zuZ{!-{p?2Q5s@323Kud9BZZ38tym-zSR@TfD&uCOd%kO-FI^csp`a!az~3+wj00b6 z40c~V*ZrxXDp7@cfzzj)X2^0Ds7N+HVzb|2c?{#SkHp%2icOn{RR7oiQJ z@5^N&`2ew)P)H&xh5z{?UZO!y$zz!0qRwisTB+RjBNWhSRL&1x(X6KhjGReT#0yEk zF8@5UsxqZVV^Cwc-p;!BI_H_i@&NOh(Xer*$RFD0=PDAB(qNf^>2R0lq*T2!Nh&73 zhaZED41;61%*ogQPIt-Yus>T6AFI@wlKvWNL*sVW`NE5=G7?CXPQfOaTi^9p zZY~{NWp7ih4=!qmNI;al7F9L-j~9TS6gz%~1;#tg%m;;;;Yq?cnsV~vDQYApDf;$n z7+M08fQle)*)i!u;A|?%+#;5lohlJ9(QcH~6=uhzS5X?dbN(!lD9EWZJ9dv-Rl@W1 z#*((kr75~6;^ckR5<|JH@VhXpIod5&&W$dPLXfjxXhb+s?x^$CP|%liCr~!eNM)a3 zlBJ*KSC2tVh7C3Mf>+C~{3E!C*v}@^h4A);d|lfMtC{SVtTFY_-TYHe_$stt_MqO0wzl-A{n*bmzdd{N{U1X5A#UWZU$yZ6Mv`nAf*);nN>17J2MtZQ^-|A= zOdfY)y|ur1YocbMCVHV2@^Yle)|?S4S0eKSt`G@W{H5sG&Ts;x_nWLtw}utUMiD%xpr6IV+2PSILCdE$Yin}T_Fp9ntLmflWzaZ-N`?N~UL1EyO0OV@ zPqK%u~R?RKAHud z&3yJp{S?%4oZ}1MlHH0bMyAsxmW%5`=4^_kdZc4Mfy^3(NM-P+?@9?ApI=ue>BM+mblJPpS^w)~Rr+`k{r>#8 z6tJ_zCTc15s#4ghSg(vs8^Fz$H~&o1{1?LM6)HkbHSII%fe%;AJy5UcA`4jotE!8mg;R?TGMp9 z8>dBnurwSLi_nZg=$tU`rFJYuS*})>6|%9sSMcxetCi$Ma9rP19?6KP4$ig8 zkMuIH%wuME34cn+`(^cU;Qk76Ja_2LOY`BbAKNZ!3Z0vCOrDzqWCqNYQX4JCo#*=`+o!ko z>RhhCZairF(E6vi@?iMUXRoHH=JvfFN90HU)(v4J=h>V8gY*5*DR1M*X)D&*kZSl< z-n98mJ@jL|Bh%sLVdz5cjfX1kVUo+7tzUPiF9-kbk48%x6b}5C4(#7ZL(LdQKc?_= z^6R6pDG(SAnEJ&NP{r|3jL3z6X>i7Df6bHWWu56UOvX7?VZwUk=PCdctO&wFiCkci zsuKDNV^c)U_}rPdK^!+V{GdsKS-({Vw>iv|AMZJ3c=V=U;enX~oDd0XRA@s7@lfa+e`C?)NzGW3OM;oIx6ZSuujKJvE#pQ`7 z!58*#vazMXyvQr4pkN=+BmRn#Aw{*{>S$SX{X)qz@N881dblsom=fOkBoXSVz+Rqsji{ zeJ3lG2~6<4`37v5Lon(>49f`Fsmc6;epZICL>Z2Uovsi)WMY}X%vRe?ea3m z$O~OxscKGdR6%g@2wd?O?%)u`G{7pT~%IUT>16liGF7%)WW! zMMFR*O9q@`Ip(#F$A^q}EL_=9bDv9Ipr7K)gzQ>m`ESs&nr?Y}I%9^cKTQ5SkdZ$w z5EG*W%A-NAWGf&G{nehr**rP^)UqO;#&Vy89_K$2&cG@)-->F_ z6^v)2s9{}C=O)RA7qv?IqS~3YRj1Hp;~b6OytfrRf34S}At|_IT_4ns4S#{Q>Ba{y z0yA?TO43QQ#aFN9y}zN~@>z^tIpOxc#`f27-QGHFWfdXH=0tmsZk?1oPdl@3& z0*Pn|?hA5p(`V;MxXnx8EXkb}-)WBxz873uV%+-W0(TSDc|5*q+XP+W;Q9D zs0FD`h9B|Tg{Y?8-DmfaK;bc6k-dpb99D&-rDrI-nEg3l1PhFXwa{3dorO7`UZ8gL z66aYjcc%tREySDnYTqqSG`?^NE$~h>4w;0&oqj6fKgq2j0gNk}Rr8}HI+flSRt{Rg zgzbft3>r@MIzP!6Xr7^}J#rPrP8*cM`bCYgk+e@!C%y1Em%_e8Hg?rW*tmc2G0vhE z_mCU)4)Bw!8TY*TnBgGjt7Om@Z*K(^O;d4m)vz}iZ7Y&G=p^lxJLO~e>V-PjW2vJO z>1{9n;!|(?zCfB^)+WtG$aXGK)J3Q*eH!Z;*URml93(1JrvBno8wG@o%?4UgAS-85 zDgg{b59kMwtn8)7T{*dwNOQwLdTN8P>?11I2PHk|W3gsn9qcO<5590%p!|3{bx$y@ zZ~p!mTs)ZcOWw~_l?m=poUB=AUMDeaM^}cxynjQUc;%@3W_uDBn}N?Lj^H-z09oDD z861|=^SBLrnsZ8;7jH(Pf<%9Jb|s*=3}Qi40%{B?C0D$RY%rdWhrLiqz$pQ;7G|(cI3&d1Q~X zG(TY_9RwL zW8JXI{sqb4T|Mk{s7THl-N>29=i`Eu;&;(nqA<>~K9}i)tpw`h$qY3dk@gd1c$)=| zmIT%y2w9U!*9on0YPbv}KH*ZgH2REk8d@f`CxItWpy7#f#n)V6c3t|~@{SHFYThulHGkKOCaInIj?2u=iTU|i-R*f1{k^+>&8H%+ zM>%@|yodU1ztWuEFi+Ig{sRvhQO4CBETFdL>tq-vcS-l5BxR2+6f*C$<9pVcBdPG$Ac6%o4(eh7729ToLbj+rf4iA>5lQb7c&dG+2t%xq) zaoW8G@D58}P12|{LXiQR*iP>*VfTKXqzr!>;fpVrcIHoY4`sx)Qi3ur`k|gC7yXdh z3fROOoO`7kHu$^d6MM?JP0ho#;jMAb{{8gf#gJDvc3*+TEOOf~mv&!18yoej`G?Q= zZ_x*2>U=1UIvCwsZGEG=wbS3h1*W<7Q35w*?1R3cZFRx~)8!ojatRtpBjhoULY=vv z4;=kUzN4ogb&3W4rY8y=5q09+naumAXOnyT+wy)M>3#Q{e6x`y=IR&JE5*<9b7%v_ z^c!|+v83>m`~2nlqGFvapS{qoR4pz~)6QUAu&C{iadz4i(N#ZP-H5s0e!k#y+YQ)k z7nqCMugH%0w$rQq)JT{ffV~$^{2&DDKi39yMU6>k^-e(owKa}G&?e(nA6)m0jw)4j zKZ=aGavcgc-q>jztbncwdfzshveDosI4kU_Oe*Uw58e)qy&sBqwn*POVz&%Febm?KC_F#9kY?|QU4 z;sY9Smp}R%GU|$f8V=6CVr-IaR2=h(_o_c!SmLy5Tm+_sw4|~#Rv+|b!TRgvrUfs` zWf*>NHtVXAwl3$wXFE4@3;pX?qklZ2%;r$`(l1VRmeq5S0``V3N|mox)sLo^Ro88# zw}qPhrR)TJLXsY$TY4a^=OCPljJ_`>WMZWP?>bp+uAQPl4-7g>r8~qz;mB^|JmIL3 zosOiZaqTRHBqse=P+tdL6@((gS@4(4R7|z5zuV5M!W{oa)^4u_VU%t6U`?;bk{s5b zt!o~2=pS`c*1v8$44iXTGF_7{qni4}Q zTm1&KLTy?3RzhXvKh_05xcI(n0Y_UEr<~ehl@A*NMU8c}6Gk{Suu?w2URUG?XESHQ zE7*%(Ca9V90I8C31u7Z|^W|f>4KTOOqNl0afU2Vq`*ff}#hLV%r>3k!p)i(2uKR~2nWvH6292fT(;m*kjPa+*qD1qD)@bIG)`)%qGP$eHL|$|xK?qcU@K&* ze(Ig>2D?plPr1kLJ>8vq0DapUG(2A)_uuH6f4$3*>#!Rv7!i|L0`5K-Q*PhDbo{71 zqdM&vh~`s{>}+DClRUU0rc#=pSzpe46AFH@QhRC(E`G> znoh|O%R|12ZTvPbQuK<2gaP|C9Rf#A-ZYBH0{t{T^`V^tM{A^z3ZqZ=#gCcYyx$f$ zuZ>4^XW+v3eKhqT`j8ZUxS>>M4*`95A3=ldWX0L&^#opIKn2X^B05Brx;Fyj_3e>% zMLhu3$ zaRxaH?@pwDRg6r~;#}7@956irW<$&Kix4uuSB{yI1<1r4R$iH{bGAa?s66GIFAOpj z7%)ZRg9>ZN@lq?S!uni_jo!&Ng)XnpGvqp(#N>s#o-qITN@rgDjsACf*MqXc8HP<~ zzROw!!m}F|PO1ftdQdT!o=lYphBYmWTwg+5PZqB{_ZLxe?(_xirn`jnqLd=ow7**N z$N9G|fO##l_P)3@S_X)*O_6|Ikuq;^Ajs7dcw4^s4)H zaKqT6H399#dYIXqM#-Pg{svD9h~O7po0a+&YUB?wZY3^4?$Q{wi5KpeK9tp+Pc5rzYC)_9nOGh9yDyxV`l_9{Ah+Cmj8wVV^8t3~H^-Wp>Rr&dsTeMH2K1A?qxYAj~6;OP|ASMDnp$(Tg$WyS!;<3kK; zARtWQ6JDN-JTh z{RG?QUd6Z0f(wx1(8GzU$#p+*-;p|1Kd`G~h~yRK18=?E&sq^f+({j&j}BvBUHPXT z3+P%X%o3G#b*$dr-oZb5C$@7YA-9@$ANrMP-**?7uh-_D`PpIPo3OqrnxeI;OHLEF zsXf%2c)~SI4;^(t_4B`Yiv1AJskb`ky1mrCIMqKKGJI^h;L+YXawx#p`mf}>n5Lzd zyYqf^E&p86{-gA`!l-JvlP!C+IOG&_X5+_BM$3I0j_EEPyJ z@L;Af?qR-QrX-0kq%_$!f_RenvwmC)KhQnYmAp_GiC^|hOaij~+s|e?fCXqoJm^MmUjri9oA`7R!g6E~( zw*&b`Q2m7W{lT1&C8lI$6S;`Qc4K-!!Lwb&%vQ@WmOZ-5r#wj?vtrLPhe;u|8@a=s zAoW8-UY$eB!K4|pSC9Y2SPwK>dlbvTO6A4!xf z4=&VenwmVSy-NRGbF@gszl&RePU#Y@h5IW-DD!fWVz-4e^lck`K<6{$+TVTVSlFsQ2YXnbfo@`+Ogg>D2LZv-3Ka+M3j#rE7T4n^PxhoaTU z%lYT>2RIN78p9S8f&FLDQQVlGr2mH=lBVS;Aj%1*_xKkqF~wX5NBa;VNvDh)Ekps< zVYs}a`3N~1)$OZY<^uK$vBB&vQn8V<$)T+{^Gx?mDIJ7U#RWT}~N(C-WD&~%)J{0zE1Q{z(M1MN) zgsBp^dzVB?~ZsRb>Uj3x(~MK>W_e|@6{KcdHd?QPUs#{-MPD2bcFwA^^@XF@Nx-z5{_)XU#Q(w!DFWY=}#m_6pf##>L3zwDE zQsjQa_3wHx=;XBx7UYe+lHh3rHHg<5MWbop!Ps003wy6Hw z{Hvj}S@Y_~nl^kMZkIL_H&t>r-3Dj}vpPuI#lij;rt!YmZnYl6jB3y>Q@ z9P_n&_Y;FSET6L*GJTFM)ou%e6OgeQif$bxMj}1kO?Z9UO$W3ZqTbuC;kGsrpmz4- z6;5nu#!b-v2&Vnm-d854M*8Q^c;0l0O*wKTmEhR9Oz&V`2^y$oS`w|vhhk=`+#Zac zALCe&YHn9d;?@{TUgXt5=G4~dPq!^=f$b~ZLMXzGGE{a+S9(B!5x+wyn9YQi9ad@9 zk~$!;66+LBjC+K>lymP3BP%|`!Ir|SXLTW(0Jvl`QK^iZcRQGSnAPfY8K2F;vqRi! z!>7rZiDjw~Sot^;2UCfS^gvtSD@}GM^|1b`)18KQ8%0=reC|ow&n1~D5#BbLWAqAd zU(_~M-S#sZTQDKFy*WrYoWYsdUO$;Paiei{-N7Gi67W&Lzd_08>0tbq`Q{rf;uKLy zXTo%1i0{_t7iWS_1A(_~Qte(NF?y{=!qb1|xk@W!+WF4JL$J~EmCLVqMW|zk@xsJ! z=9>8+X)GX2YJQ4Dz!OwiIi7}|NqHD%w|2l7;UVak_qPmU@P+&PI(ritCxgzCQW(cO zn~2LJ_q8XsQ!!#6HABhDl}_hH1%DEsb)2t5dIi?v=gffV4mvK0vMdw&ru`W^zF zj8kkp=Ya(3ufnwPz__f=o28q|!%h^Xhrw z+`@zy7C4Zb#)21~PH4<|rpm-FFfZ9dFKOmRNR7^^INP69AllSBC;KGd*E3M>0^cmz zjT!Axk>e=0H_zP zAysS-43F?6-krQ=7tT9F5ETGV-P%5L4l8wjWU0+f7=YDKjG-Vt;g>?mkTYsnm?slh z+Us6Jk5y|sS4#41=O0Z?hDgZZfE*fW_gG3^c(hh#8|cO1&C8u}sY>R6WB)*C|CGsX z!PBopNi(T>25>aqAiMH&R;1yxQ{R8@fzA5+I@ZSkmPH!^025N#(B4$TI;8P~9z!Si zlb!cJbpTTu5(B#yOElA^-Jib#I`_j$9>@o4@c&6YY&f*&>~rqowhdi7?!55z(U+B= zTi@VEU+!zt_u={ix4vD!F?;o&PzjrAgkKZKe(0eh=T!2+cxy!1@;CV}_x%jYc-^#q zsspQgmv$X~_2W&Qmp>=#PdL07dU|tvzu%ZDRh$O`I|LKgA@_oVdh9609RU*z8le52mDR>&&6qk>Q5cMO`|-0*NBhvO!FtJtF0~FG_QVk*DHHJ z1xsZZGT#h-ePXHz2;cVY5&-xp_2b{H^D>?rg$9nF|NJG$)VZDu05bJ=YlAAZKt`8) zf{{#r#*yp6S{sc5SRc*G#%kzzt@YerB2i8cLQ&xq_;F{S3kd9!W6OC+lRq89H6K6R z9(gLDG6AF1S@-^AvzBF=LK|G$**20xKbxdcKwE?E7SYn`!`Y)kODE4%k4(AWQC*OW z^=rV$4w}Q_hxEFzX8|4*BAsv-G@$%jKW$3+UpAC-c|8vRSP2B&@3|}>10j%m!?W~R zV5tUIDzz%xcH83TWD3}@I1XuP0)b3_{OhFPCi@j1Hn8;I(J3l7z10&izp?0#R3<>u z&oV@`x@W~_e+cVE^YE(6L{PzKcR?iFC#Y#MX6Xf!7=q^C{4x&o)+<@$Er)bh(XALn zAI3i$%4LrFwofo~37iDxFQ^a4cX5?Zwyt@$orS>d!e;eSV^;ztq(hhhF=#-PR&Zs` znYhuyd7|COJ>f6%6 z2G4KuL%}pi-@(0N!k06gZ+|X!2iD~Vz?1gIgcgk*&fobjxT0;sWXcopBxI|5|4{T8 z9By5Hv241uci?bM|MB~132>mwUa^JdR#YprF>KO^tUR}9|5(-b%>5wlET?rI(i0W` z^J;?;m;jv`;ES8uU&M=wcflM&1GJD?FtH3`c@EZ-> z90KVv?ir!*>mWx!sF}tu_(qHduF`VyrDUk#nK7&-;zP zTm}I@t)L&EP|Q`lmV-$Tl9Jh|>UFMq#Bi67>O&RV7a`_F!=nTN!>d25O){^8+Lg6jIVPvoFut%&Id)NL6a?RB{&e<<>O;~g zZ0&nGmn0az)WB@cVobI#Q?kUG1@G)Td(=SuG(pU8e@*hUuw59G(p8~0pGd5)k$_H1 z`)EEJaBzy+_#{5(JCv8q&7kG@c2{FWz+cu`I#L{Pwqp|wh5zt z?D}F5Yomr^{Dl?}M;hZ72Lo0WrQ^R1?G?NroSpJ^ho$80tqqkFN^pIJhs!@95}RCt z&RoamiYE3|pZH0&fbZ6m%fb9%!@Km*VVjBN*=v#w`;fa9P zX*#JgecMMO7I!aWrzbm}Ke`0Y+&`+zng3(GTHn300f^k(-Mv|uguMZv129aJwTKGS)gm-;dJ0{kS5FK58af~b zJwP59%E9u)ehVz$lzHWBCr(k2$gbowQuuU}@kX?UatUyzQsIG)XoWFzoWknqfhyER z=AHpg&)nEG;z;*^NB0OS6BsK+s$!l+ZCW1|P>+7_s=78fNn$n}3M|mqLZpw*B}oh4 zlxsSDSk(R`$xBkYu!}dy)&fU3#cDb*2`L4HMfZ4wCj@oV?Xed5=CdE^kG3 z!D>TgSL8&-NQ$kM`!tu|7x7vRie}iED4(c5jV`%cudD-Zwx2u}o`iF=ZG+b8=&?(J zX|&5X2kP#0u^6xMSIfl62@HADQW>MSiha@3PeQ-ARv@mIi&qx>MeP=NUT_&sXYzMLO@8cib@k;P zI)4P1PX2r*rjReK>ZtpV^cvcAsY*zOoh}M%1>M*=j8fo_z^-eWGHyRdA zxkTAx_;Gs@J$?oJjCN@kemD7!?CQs?u4A?9lERI&&%E#r^sq7>!dwlPSHmr4h>$Wh zvVoRZix*fNdM;OtX+LR-s(p~ydK&^i4kFpIfZ+vMQ7~TV1Q)Hec091+uw75uv$Fg# zn5G6bXhUVN^7~wSe#efy!?IR zVlMJihw6g+$B(a!$lGnje!sDYqt_>qVax9=e%s!*v3&oR>5d>X`2XOigZ>3%?1^+z zDx=0Vj|t=0Kp^3oFoM(GfUbuDv=w+@S*|N1m6t11@ONxwkKSuqpQ*ra_mXx+Ug`Ch3FS6z zr(_Y$yKyI@Y~FA8$=tnpObrWPl=6`rxeS^;7IjF(4D@GI5f1Ff()SZYH0CyY;-{vt zI@qD_&M%j@ztWv!k80RcxaL*s5Zq%>JfezlN`OaJX0c$dV1|loR;%m9<-}=7fBBhQ zoPztLf9A?q6%)VZIbbyCS8XWkxL8!5LUHxOZ_C~DDJcy0af7#*e12g*kd>jRs#Ar6 zr7cT1ACnc2XyLKMrRS&le~g^4Y+Z6!dc%qnR6`#_C;&V3MrmyIO#+WT{1DEs)9Zgx z*^*t5%Lby=0!!>HMF;_-Cvx@Frq9G;CPFo{wQgr+r%^P=CPHN*qOyTeAGV$Or5E0= zD`uM9+bK?V&Cuhf8O|;%chipMO)e0!5I9~W&Xn8uF0Q4tikX+i*kR{X$D=N%#|Mwq zUfx8&{M7Oku6@{%u&tz`jyN-5qh~Kl5@;;lmEk-ZDxNc@KE-(z@5U=(@+&0040E-g z-#*w?6vlELDgN?BJQ~J>w|AntrLhuleyhw{s1rqi0e~LS*Ajjto%IO(yObLq>iqu^ z_SI2MH*EjJgpC*jMoIUiQyRuVKtPy?fJld+(%rcc(h3Sn3;_Y9ySo&nB&EB%`?vdk z-sktv``pj_*E|Q$JnZ2UfhVIsh(lo#NTu_Sgx*EU$q>Sa zVm%go5XeE+!&N%i&))zDmvXj{c9_tHJA-B(d>#jah2JGSNLF?8 z^W~Utj?`$ZTzpII2YJ%B$Ma0K-)~+cE>Aw0&-(|K+}?HIulffX`ft`)Eciq7)$$&F z^FDptGxdy{vHp?!bz3(Nx zf4kQSP|P9lq)J+yj6Gq8~FKq9U2e)i$PAu%5Dv?SKRk~Y53^BGZHdUl7)6S(9a4O1LKGy zsSjod>dXgk^8+Df=wB)^@oheZ>dRAZ#99EM`AD?XMKlxW?p@ulk3RQc5}DYbzS%*P zZxlZF4&Q&Qt?Wl0M%>vrU=0=-_K8Af9x4xaLg>R5fWN|o6IGCv6sAwI7q8UOhsw2C z8`JKeq(lIzUT6w&JQ4#J1TLx(&p zu`bd4WiF#?bU_?u$hhUW`AIrH;%Z7SNcHbsu1`!?&raja0m3sDItzXZ-&wx9(0-9h zdU!vi`T15mjUJ_!l|3-7fO8LB31FeeUBbx@u#m#D#e*~Es&^*2NH{gkU(@+{RwHnq zLjHh}J>j$x;kFOg!1hNBo&MG3(=RR^gK5J0i}xlX*H}KIq#rnI{uLQQ1ZC4PaJ-*s zSWKylU5%ER3p{hva^=o8O1YllUHzed#G%2@s4;iJ9VA5E>_k3-u;OJ;c5*)#DPYs7 z>EOge17GDlL}2M+H_<2*<2?&i+7GGWeKMV%TZ6kaJyle}1Aene>C~a%r5kP~FA}Ir zZepx^Z27 zSpS+zLm=R?MM}WcP~1&>ex;N+@OpjRourx{5#H)yd3kw_ZA+){EtUT%-Mw=!iK-`u zV+*YCq4q-l;eo zOl)gTx5kG+-vof0kLnT$0S_8yJvZ8MM{Q9UW`%I0NLywB_GHMI4URWal5Dto`r}Aj zb50GMA*c#iP!sopF-VaNGrR0EfKLcj5k4a4;V2LS5Pbts4Z(wAzjt%_A-OKLaitCP zYmjD$IOvP`K@kE8nN?2q}%kN@d*;#%-9fA z<=n+RbA5>P{qe!kQe$#SMVsZkUc0SU-~TWs;A6cr>%%_nCHI^ znqz>;@mKxU%bC+AV}-tK{D!sjTbzLFZckj)H{}7J1L91bMN@dgME^wdm21syvma2v zKg=0%onJZWbWmi|O5=asTiro)xWjX#aHvp)1&aoz`S8uY;xP5b%JuV=mqc-XN6qav zfxFch*Bc}A$r_VzZog&X`J*TkqHT6niQ?RHlIu(PI?D<9)lbcYH!HjH&6daZ)t`xJ z1X>c?M9Tvf4msx&j%{rBrMOlqL0og-Ry0?jFGo_j8n06g z24!b(3{|D+#fE0kio95Lg4dq&7#=h1ORE# zy_XVeZASs99012jt(b=}mI-(;y{->JYpG|iwzTzKVpI5JFc#KK6Rduj{rt$eW$vo| z5S|Rl2$t;BnYlR1ZO{Lmi))VH)5fA2F++Y8B6?B;ku%S&-Er#Fm>|RJ22Lba$BU@v zJki8^xzVbKm7R*>p9F|Kkrb-ViI&W9)dl6$Hjl5?!B#_Wl* zP1Hmer@4Kv1VH&CO-?lx>TZD4*)PfDK=5x#2B%xg14=HP!~7!u2|A(FSO=x825({2Er z5h1$doIhFaF6UjTrzoL%ou;wsNM^T8k+ngrQscdUUJH(M8_@z*B-4e9?2%;Ea!Ubl z1Mn#5=zqGZ0H`Rj5gctrOu|^@grHyW%_8D%pH3NBEoJ8W3 zvO%tqD_^Nn5j^ufkmA4%x?Gnyf16x*+6VYZ@{+_pQ9Ifvkm9s#xjR@&d%xs0n)4e4n96fl3_Me z*RQ;;T+^GqPspytvp+CRB;*C0w+8||o44g;bjFf&MHs;AyJD07H%mpx8Z1Js*HsII z$$EmR@7(J+7-}b@)U5dopRaXYavX5`1!uiWWlIs=QwGfSCus( za?}%y8tX^s+3FOSizK)W%OuRVkvbz3ffMxRgrV0IWHcKtHjxqMnN1OJ(GYPSE!s8| z-k4;?qp@?DGK!C=3IiH|b!!VO#1AZ}jBkOrAQ21ofetK&&ir=5WZ;uf2{M$jK8Azk z5C*a*99}|sstI+Fha{zngy1*VkHe^YC+oJ`UFo}tsRZ~iQIzINat;x2pAI2qO81$p zsD^2d;ddV{8E9cvBj{`>N+A;R{bk!O_@j`4e3>+gcp4z!p;I&PXx@T5cqD)zjU#+V zD7-Ho8>dB2)d8a8&8}N!f+nDMDRBsFec6Od(;>+R>pC%(SLTxu; zNH2;6tn8J3`~L8qdj;a3P*h5fjjkkoH2}PB1kU(+BOYs)3<0727J_2`xKqhYbGuZ z0@6~MY9nXG9WT`ityO#!QhFHxm5v_p93>XY9NvAu{Q%=saQLm(S+(aFt(0TbS|_%z zX8s-;+;-fHq8w#JM)Y8oVyyYTdSgB;iu^F@aQ*S9;v~eQ2xiWbvdI!cNWhl$yOL;7 zHeqPFu1+z0vRh+K4CuU32iBrhoPxlzKMBxw3><@nu-|MQv8E3oaQW)w*xVLOEc@O8 z$q-4{OM#pW8>vrJ+vZ`6&&i-=G$!T|LKf@Ka(x=L0=;wfzA481;Mps?`)g4owL3OB zfKcp7lkr(Er)LCnLk#x8_CvNvPsE<+$0M6diomziIqieN%P%;^8?U_fHoaOnLrZ0I zSHbe(B@8+#@b@H&2?&02*61|7J{@l=mk3oU7XAp%fLLr44V&ips>^t2D-g(yUCK-& z&5@Cr%)FW~Yoi>+nl@CHY?e2IP*rvusV2l;P>G^s`wHt7QM^OWrEKT$-{6K=Gkmng}&98Crts>Y| z-%sVwe|hR;l3EsSkKG5(C;PW`-3iOmH*^`b0``i*OSO{^X(%OcN&AE5U(H(7h5`PV zy7BT|9qs-#k-q&m63Rql4xjl9$8WOQf70D?bWxiD-S4-y>N#ISWh-}%M&{GpIzRHl zB!x2t#og?Y!H2dTF3%(scJH8;?TzIE;O3L9*fqsM>Z>v;&mI6RBMy15`m+dlL4m8gHWo$4 zcJ{fBF~Hv}(l$+3-!|ImdT|9fBh9LnClFR6w(t^QOIq!^loPY?i{>+burK);ZA7pa z2id6#gB2c8ayj&K9?Ld&3kHF@qs?x_P0JeW^X5znGr-*6in2PkBcY_8ELf$oWBwf4 zDx0>7I^5enT~#ZJZ-1H{lRQk|WEnwpaWY7%y}A|OS|T@JN8w-)M9j^GW=hcQfILQ2 zX{!LBLnF$(51SpOsu!nx@S++kgp9XdluVueR#(8k67(iTfp~S^dbd$dsA_p--igIo z@D-^!ZSxj?ude-F7@E{X1h%>B`(+2Ke5L=^FL&^$L#kGqr9NZ6CC5uVgyT(m?7?}*j{(O>h3sIBZ#v0U&kT?Z5)@sno4Z@nMPuw2II z`wut?h*a%&^U1KEfE4}L&P@9Pw^e+FWHa7ZHEO zJ*Dgaglq$_-P?EdKc*NS6{OURu(r1+|LoUua0b8(tS^5p+r&{MAP4j6)=rVKSg}B0 ztI|Tq>=GdZB#Cw_+*1xJri(%n3Kx`6>g1VyrcYkOsNy2lhw{rzR6wDY7HQy>c123I zprU9N=BI1*aQrHU-E;CI0?<*|y`wPDQE5m2Uuiy+MiJHu-`Bn=Dxq0h1 z{(6DGaeOxu72}h(f~5lL`x_WeM_N;7c85K_n#P4zhp4h#H@u)A>2r%;k#%agcAj0h z?_kC&!58>$ITF&delP-RdNS-PIEOm(9@tfCo(*rskcHj^{jd@;OBQ^{%8e0Z&6h0- z(xl?q90a}sQt7E6-`!(6VP#8(Xry0ck+-5`9~h}TRm016qU}tjHy)2Bq>G>=5aLw~ z@!BS*%yu_if!k&ZP&x?}jRIgxSc#uf;S5W5yta;}4Isde-Bnpp955k_Ef%k^X25olEFuZr)?AUrU5~e<0(&yJE$a&Gj=OYhs#8r z4f+2Wifu&#c51OJQ!BBF)AZ!QNBst9z?rW}?P7OfUq;A9VgGo^O!j2(?ZuznI<{0K z?no;JkexnL0$Irx6iH?W&^2j&KMoT9=|u)zbAJ*xfAx>7? zr~2JQ^O3k?sKV7acX3UOetrA;@7($FjO(qD9f!;R=KlOUMYIH40J3XI!KC&VEDDhJ zrT!Z)=?NGGVbdV6M+11~I5#cI;w4iJeW_>JAlVM@*LDt!Q{9LYoRtlY^+Gw>nQO(j zJ(KiEUOglYLb$+sh$3@z%PsMg^9DXU2&O+E7ql9WcM>n0{Phi=Vh)9R0-S@H5be@? z2?pPO21ma|(M~R5o4O?v;}M+;M)x4hrVNCTFnGEd6g)Xe%K`1_pARsSXhq>s`=F3x z>%tmB77?r)exQ979SDD9)}Yql=V4uUT$ly6GwRwJ&{4?-6ULyB@wY8 zy*7?svZU@Ey=)_TE-E@;TTT2{a<`mOuXH@w1;LC4m2L>myO_~ zdPdr#`hoVcF?3Q+R7q1EN!o}tmX+0frz~C0gtr71v^3@u!&fpX5`{O?1hQe7N4WTd zHQ*nLs8kGWC6gJX~WM7WI9N?SFs#kro1cx|NG(*cN`*#3(qE8 zEj1jgbOdMnJ!ei_^lKoNVUDm)yoZEIvd2)l;XDT5-1}YT#Dqb~t^tvXbYeCBQy4e_ zn**eUHd~eTPI!lPk-P$qqT~QJF_)z~a_bL4+~Hu$0|G9d5*qa_^;f=oH6uLAKxmg? z7a({sh`-lGzsU2lWQHF4MavNw$`KmyR%e1SyF&1h2v=*FULm4TFQ+Wl*0_>rTaOrW z&rY1aC^h{fTXxCFt2zo{=|DLHjHeK*zo4}pW!^qhWMZoUHj$>5(uMZxe$MM`{Mu5m zV+19UAaek>cLy(=mGlb)?`P)ZI=p&vIlp}wGnTcg^`68ylEqy74d$-C(L^-wK+C;_ zGA8`tx44-oXRJpv1bs~o(HzI`0OfF`LUNNa2t1;feu>075!NdGl?;JVL9(+Y9MSO* zY)%;+->`Mc^EfZ;x2HkZh;+rDCrHOC%#5vK)&yQ+z4NSXo&#?EaxqLrFyMX^t1Jr$Il*I3I>mOHWBIF?6F@K&-<2icAK0M*fy*^ zKQZeqc=*pl$pBCPT?;;$EKc>b$K=SC&!fw$>cC5_vx8j!@8ToEFIT&^`>~GAwXkDs z%Xx8VQaE$N#-jW=)hxK$|Ca{WPKH2w@I3w)SylbUyYU=G`rKxch1x~R*Sp3|z`&!f zFLWL zOvBYN3By63!iU@Tgv{6C<|fJ$9yd@g)W=6YMj3eh0$@tEECycSZgJ&Wnz9$sK6M7cQuD~|3EmNC_r0Dt zRcC7Xgvd94Fll!>UaHEDjiTa~&Hugr`>%WnQaAT6x%qZlk7|w6($L-n1M^9#AM9U) zwyFUnuqj7|-Yt_8G-=({^1Oy3yJI|HE`8HzK>d=N0>GB>~Y! zB$`)jY?bV^ErNwqPrk!5=jJVbKV87qc`{1Y1QQT^k(|dSurv5Y*zFJir6EpUWMC5-|^|VgGePo`Nq?qeX3Xy!gRs(*6nbX6ta`(pK}gtt=1o8My8hxDyVn zlV$#9hF6C#L+U~SVJ`{V#d6COG}k{V!o6^yYy$d921R!%#q_^*0U-D9y4&7I<`@5# z#Y5Y7fS&W~FZck~A>thrt%z#@D1kos1qq~QZfgDcy$r^IJOkG4Z#va}j*KRG+7xsJ zFINqoy7=7P18utEk~djNqB!VWq!`*RF0dp#Iq#NRz0lU_dhNTM2y=R?1sU1he7>DMDK@6zv#_))ERug(7lw$!J<` z<%0MyYcaJSQ)ZFD*g|fQH77;{fc@{#v23&;U4Vb82*IiXk|C{kjk&u(pOD28`32%1 z-KK#miMU`eoG?^A6T8Eke!*RCMY6HK@rzzK1jj|f=C2Yg(PcAsN(}7Co}S>;7uhUXe>@zxb%Xop z9Ov|Uw7AW``FeBAZOX8oRM4xtKGXkb+)K`zV7mE2@G5Q@JWghF8@DG>t1Ww|aGTE@ zDjFDI4>Ua-kiBYW_7&_Py|Q_glfn4&X7KRC+(XmLADbR`Ob_0EXdzTMYu)sam5Ki^ zOYHwRG&qAvvGa@hMe5#_w}dga+t~n-x;G`ILe!s@75F;fQq6G-Q^BD^MDM^EaM01zZLOt4B2#hcL9r3cCJeXef<9wplaQN5p& zBqSl)QXNy-4j&R}a=;_{d=PTpI-l>L?mm8yb8-U4JBfnq;hny{( zJt%nvcO!{t7zZa4!9&H_QJ!q&B^hOX6(xV}+tipl>)^%uZe!T7&m%T`5;ZG5WZQPg z95WaBw_WsD?<3@%asec`ZS*IJSZ;2@R& zZ35@z`-~nsAJwXH;4WcZM1?RC3}l9cHVCvpKsHZU2D4BPvIkBPwMzAaIkQd=O7K@o z)3&EJUD_}03O@1CQ6iJsk;&v$UkhzRHXSVT$(weuyEV7YbJQ@iKeW(l#N52Xgf5%9vXHscC(+%c$ z!;;UUOsh!IIS@zAWtHj#=fp>|VpRw%A)%5&Wbtj$aD<7fnOUq*=6e#T#i#vCa@D61 zPRQ6pXR24n1lkdl8-9galXE@@z#{EIqIs9tLl}OlY6)bO+^fS6!lyfqEEWLAgZUZI zN~*E04}S~WR{g>H1%!cG(Tk+FE{jcGrO(*^X@4}+<_HROa5>@B4lc;pjy&1ARf(QE z^9>~3@RYT_&3Kd6O2r*7@5Y>ZO=B8}zP+A%L*{Dc5%CJULtbW7nGU;{71gBW{nHF# zKmiTZhFtJ#XBhl-br1C4_Ea2dJTbL$In?)~ zl3lDf5#5&zy;Q)RI5=lisBSbd|Ch4iwT0-1om;-C!>YhW_T0Vf4^1RZXBju;q-D3n zJ@5Ose>yjvBn2VokI!l^ck1V_cLQ&aB@-Fj|4)=A_YMwxo#b3pPNqPjfu$C$vF=49 zmh3&ezj8MqS~Y$rd`Y%>9k@CXhbW4$-zZTJwn#6stxh`t^z(zq;rH-}=$*Vgh++j; zkdpW)N~ZLjeyJflAV(NH(^Yz0*-VKeh>#JvCPx-d@qQl>PNgmvJli^8KFGqlhKeRO zUVV%Zx4pW*(3TfDFTy*?Z@nWN1ai*6)5_CQ0ntuT>%wSR7W`Q&BnYT^RPxu%LF5df zkf_NxTr}sAAPUOsvxT_4-j{_K$ePCSy&q6?Lj$-wM3jlW<%5W+9=X|Cg1ATq5mJPA z2)IK~tx~p^T|r8}1YqAKLCw#B(6=>gl%>+xAO_0R4m8~tsi|gJl;|c%8xI;=Moz&I z6ifJP73UH507lhfS{O#zx7m+f1wmR7ms34(R&zAaCQNPK7NG5Q@NT|?zq)1rxdUr9 zq&KBK99t@v5`417mvrS$+SD(}T=Ud-<$*bADZqpEFDW*w?l+fB0GFKG*k7thZxDnt z`+UUu<3%Hw#K)NDavH2?(3H_hw!4Sk;;=vfqwMz$EAh}xzq{2iV_)0*!7#UEmNqY* zP+p_8OvD|MQuc2llJ>=rOtlHX7FyCA*{npAqoiI{W*g9TjJVtCGU#=q& zgTKh@vV!k~1|^D`qj@qemn-BUKc`PbylC->_OXF(iiCu+lDwo`A@;T{6lxn{+H)Vl zUT$V>+lP~1o3LDD9a;JZW-(G7Xt5|QhvAo!h8ga7r$U*a%03)D>m~(FjD%XIgeFEo z%`Eu^M?brr8s~z0jts6scZA&#^w5uiT+N_(1Y`0I(HLt@`r->Y%&s*N2OUA#*Vi{- zlbZ3WI$ya!s7UYSSM_}3A*a-%+j38Cv$xjz{&TnF`xdk8BIQ*(8xEC*c{$XGUlst1 zmI7)-RoHHMs~QRB#Nb7kc?%1m=Y?HKyacYOP*8NHqAg#;e1h29780P(5iVXn=O6w` zpTdnDLzg)JI2z$usnwZN2fk+-`d04NBM<%cSC^w`P8(0-Xasi(`>t{t0tlkrxcpP! zy?o+RIB{FWlI#EIr+bZ)T-X?w{r4ay+)zdOtjCT*YU6ev|JZ&W%*(PgL$rZF_ZYz8 zA(YZU7JPK{*)xn#m>o}~rVjL$Z^R1k0a-M3V-Z(njedCBosjFXi&;Y1PmnI*D4fUJEU2TKG^dP$lU#K(n} zDoYjVSd(`CkbdI|&t!>k4rToq2(7v54tK}|+2VZ+;==szmzH1<$?aL__=Sx^Ca6#- zxJ@De|Bb>;6z|sKdTAa|MZiw@ zekHYVVw;aMXp)_L=_}J1x9lA)H`jCVv66Jet0gsvde1LDQ#mBe0rxrK5)(2_JxA~7 zmktw_Y$5v~1R_NbnBz5G4(U*kxq>F=no(y_5oC=Wse#oiwnAwTVl_|=*Hw} zEGQ`Z(bG zW<&ItRiH|>W}Hb>N3Az>5Zb;EWp|)7(Nbko)4>n9Yvg>mHpEW^H5_oKLwa2#6?c@% z>@HO)BInaQKbKT>!OW`+l*0@&S#}NHWo)Ykw|&|a)$3oG13+QJ-xeP{WT8D9BK%4x zEB@Nob~H!)#B=cLZq{!HAwO{z;Ol`!btJ7nc?c)-!e_)JuHt}1-26|tfB|**CuZ|s zK2mBu_|k-YB=L&%eee)e?K1{J>2Pwx)B!{oHCyz@)j$4(H8WE;OJ_fw`4oxTg*Vt> zlJ#AFs|BfyqT`vJBFRqY%dMfQ8vb;N1B`b?)z{YkB;3PAqbPP$Ev#=17=>S#aSQgDbYYhuagU4hf8^%i3~MtX_wI~o<%N- zN_ve+TaN?-qx|61#tzJojceFc^`d-t2LS=Cjc!vlR5V0Rj3H zV-;6X+b_Nk;=0+RyDg(t*`sZ}#kzKO1Wry*ORKB9-7{Vl-p7Y}{9%k%VNW5Uhs5)= zqDl=&nDEhn?ap}Z+<13Gfiwgsopp8z^pgv}&y$V@S}x&NkBgpQwe8L-pi2U724zbz zbVB62R#3i({2D_z9Ib(UDxPp;$8)i`WK=jBJygYc=xq;ptI6_CkmcPk_D{SlX}=E3 zRy%2C_OAoR=1Pr8E>Jz{$ExgSiN{_Yy=WJz2MD6x*RZE!kMjyx)4sD(zwwad3!Fi_r>vSBWYLg z_)YNxu{6^^yQ(uM)X{a**g3Z2=#6i3{GO2d;?t4gTHuYgUR6fc(BqAnVnwkhMv_zJ z<-u_`Ezf`TcNVSKHJt^XG4H>1^trY;xdvZkT%M_W9F*U#;Ga#HUbd)T)ERMO!Q$n< z@oc3}$)U^TNcVpmyMNDOuTlypXM{8XvBSjT1XibUDI+rl_o-H95&-H9T%ue8J>nn)CixNj2Q9WwT26&fSA$|`$qO@tAy@VQ zp*ICvREaruO%p?&BNNPxT%o)H8w(0!I<1c&Cor26af&DF_{ezJyl=Da8bw>y$@<7B7IMGBDbrW;5Zhb| zMR!YE(xfa_?tS+46K%(|ylKIt=&)wq+9BZZH2dik?}uYL;6r^UztNS)0rqsS2S19O=Ga=FDW;Eps4bGPj&Ud{J;jEiaS0urUSNaK=Cz+rEHrzWz z`U#&;oJT-4p{Bn0#k=+hZPuB$m8OmASTs5DQajoLJPK1w$ zxa^5kU=9sUCdxlJxDPxD#VuucU_rnQ%@ex65lrx&{G(R#kI;aw3m|tQmlN|N96mK$ z7m@)r#O=@fvS%Ocx20vI;z180NPZlXi$`D+yA2t%UDE9jiKG>FEKq)_c;G$+`bC+N zP-ZApMV9O_*4iig$ll`uGirMp;$vPNndamBMZ6xLq+33WT_I0QYq^*cpWgE)XK%T* zOe+eo=gnm9H*|2$=kg4XsJ*IGPq9)Lo8)Nt{$u}Yye4OY8drj5PK^js4bIl?^V#n? zD#655-X>j4N6*2e7n`Ke@{4RLG`Luf3MXqGqU3=8BwRA^2JgOvgtN2jJKoCaX*oA( z#)`=_Ol+5Bie8F06F;RI44$d`3Lv^tPWPK5YcWOg>vveS_}56-TWra(MTD1dk((Tu zg>x5Zni`AXgNgj{p?wySl%oTrMw0s5J|V`OyCA<=ngSQLGw%7I$6a_i?|C+1i6Cgf zXXM+D>Ne+<`#&om_OH7eu2p=Rs{3*xW3OTze%&;z$b4>*5{#AkjCo6?^$|s=30Y`< z1=kc`Wa(!72N?FRIpYd4@>~2SwDX<0X0Z4vr%-3)?2Vik_m08S@IU?JYAv&VV49f& z{;0s^6(GZ9j9h%MW3U-{W&VXJ=fnK z`pv!X;BNSDEkN;x)Q4%wyGkqKQMQb7y9>Drk=i$H%{6v3`sIo*>=|dT4tI?&5_j5} z#hc?j#O0Xg?b#n&?c{FJ1g>4=$N2-}Zt7=^*1uC}%|;dnj`j2R--t5fbB*21>dPPP zE3|uFkJsz_OcA=D3@DM!yBGUTyH9-BQT`_k`EOtuOwSrjNBcUGzSR3h_Qcb(R8?($ zM*tuFNn5THmTB+1+RsiN!{!i=C;|ZPmb1c%KBVrkAz}0}6B5a7oXEKTTrY>TO;tMt3i;{JwupYx2zd0EqkkLp}5FuoI!4fmmnUQG*gVTTE}dO z3hA+;jXevoWjo3_C9!ANpi7njo{GcLa4d`H*<=`x%TW5#btUbyvEb3_$|&n}E>9w9 z8;p>L5`rtb6+Hbk1^-<4Z7pIfQwS-Q;PG)$eKC&}36)4jCyrf=p3HvO=F?RhPfVJcynv8S<)^LB-y6Nj9;vuV{LnT-nO7^(AlW*+fsL$8`n$K|ObsRFtPsybI@|0R0*A9VG@3O+?hbT{Xd_t$FLa zo)i@1)^KvY-k|;AT&%kBYI$7J|L*HtZC7Ij|GS+rq)WV1T_D$G5ee`5AHEV^(`ij3 z8qdCe`<8|cHpXh#LYssnF3tDDW$>R7{5bF*J_T}4A^ZagkEiX2PpbzvE9kfw;<~?p z@gsU?D%SDS73fnxNs)DVMbqG+g4OJqDh7;D1JYSTCRnsAlu2hmu|p+}-(NDsqSqpm z@9LF#9#o2%C(*L4s%Ca;-A~4S6$BMAux&ERcA7xDPLMg@_YSo&dBbURGTt!ptGM^4 zvt<8AdN+s~t>Z5H;EYlOr}+I1Hfh#Wr|2H5=(S;*hygSI=+XVMPQp7}R(Vb!E!v0` z>@e{hG7D>-7nZGnm*vr$tVPuAUfb4y^=nA<^w4RxSJ4GbHk9v|M_HoB! zq@(-e^2+J zVV9}EU?D_nVa7wc!Eg1FJLza9_Rncq{<;<3u+I1Fe2|?LMIMJ`-WOTjJWk7=?1eoF zXD?^6(i%d+dGn=C;Rv503#PEgWO&dYRy}%c!1Z))N^rhLFru#cZsI^23RllIv+X;o z_)Zf|%4P_p%_tLP)P%CjL|LnF_T>B=z|6*Lbkv{Tv$C2^Cq{8=~;30Nf z4c<&>;Z`_**lcp@pA%b-6iA~+f;FRW5dnKc%H&UY%#P+i=jto z{Di__fy8zV6ME8MWSej*3>rinHnyOw9D5dpMix*gY63OZVBQz>xOnZBuH{7iPp;Ud zs`sXBN)V@0+a9jn%_Pn50+zkD<$DCp@jKcu@9s79B+AjWfwE9kc#>mxEviMkwDj-t zJG%RCo%#=7RB6RW;k;CizmGJ36V0wl{#N5XJmne4b|S{P>vbt)E`+t>>b=i&Lp&8O z`s704yzGPd^~q$Z$K|#nKeIv~9Lqx_5ee3xs@x%Rd=vLnF7lfiJh<%}P(#Al7!P1` zeq&Ig`1J-*In6(OT9t#X5mAMkM^&?9Wq@%)OmSyYY_h#U(S(o}wkvFC5f-u36nrW} z+88FwpkJ6E4QB+QfE%kKZXJ2>ZB{w~}}04W(qOO)MRlY%Dsg^d920R0NTV$h=X zgUK+j6m(0OYOpGL`pOs>9~5ZdqI-*jIu(J%DbKfQXA~tOwPJ#??R2N)yIbw_5TxYW z6^%4pBGAzy2%QF{#SHW;QDeQTBqC=t9RqJxMZAIB1RnIh(>?AMVaBOx-bG2*$v=oI zU3V1DLSsJ*Kz@#W>^_~h(r zU9+iD@$Bk#*?_h?LX!1ZVR8%iuw{_srqKYpZvZtBBQpG=_lO&r`Tk8-YV4tld>UMY zF5~5*7Xv#!rAv(WTS9G=-vpt?8WuKiGZMpDwQGK6cgU*lR0aq=F15|<tviRCKcs9P+-}^%8 zw-($S_1(7OK?c&wbhe#PvRqu z{}cN?zZcw#i+)ZcD1tO_{Zq@G-g0u-FRH2LzIwizASPtaMxn@d_s&R*gRs*~5-vJq zFJ?kqNIuk=l?MQQp`-YmmmCR$nUCP0M_iaFm4y`n@6?oeyeUj%_mpXQDykwL;PZ3X zHjtkv;du>U&|UuKlk7MotM9k(cP#-G5ur4OHSsaTr7D0G%(uQGQ3F=fh}hva`R@(w z7D6#8$KW#IP%7#1SrKeAv;^6m0}lcTH|I8~B_a3*pNJQWrfr-(6FXLvf-_5eA{@?6 zAcUC=C#DNCFvn@S!(tvmK+uATB0V@ryrv8TtOg?v9oz_40)tNq%_D1+$DR_yN5u#iSlQ(Q-+lv9G7G(C?y2ozkbg3EubG?By$x` zgoKtS%?l6{83OwK)PS05_?j0}CD_Dx3d0~F0EtqK(8e69%#KOazxQ-yak6MpDZ|*& z)qsFlpf{jT#4Ws51d>jFc@UoMyUy1VddT~pMBVnvDnY>&Tv06fmnH7wpZr$(3i8A7 zhzq5TE}eU-Dj?8dIh^&Ws5hk62!k-W{|+}rZGZ!Tkq^j>wv#7@O1ISp^W&Ba;DAV( z*74A|Mr)d|p11ceL0ohmvmAx}veZeS1XnH8{BalMQJCeCN>je2-A;Aslibl(fwB7= zY4R5p(@u695&aU>QN(JthO#MEY6GJD32J>UsV9b($?+^Q&q3-86mSWmyVr2W-pR+_ zrpTBFpJ6NIJ*#CfA?4%;tRFfJD?@bhgV^TLU&tM}yWhfPS(5~WKDr$=Jz|XUkAJUl z;ven*Rczg9hI{3j@-&YOK_eGNnLnhV49}oye~BoqtVC~b+uPaOTl&5xem<#NJ!mV2 zbQG((fA`T0ccym&PXFN?Ck38N*FF6SQ=FSY|L;NH#Jm%}$7t&0z5o62C0%}wCbpB5 zC`A`vHoc8QOlSSm{mm#G&_y;A-h=JoYX-~IcX}w^E;U_S0d5R#TRIB& zhEy9tvC~So_N#i5lbe3AoMt|`gu8_q^?fp<(=Y$a-Jd}Z&-5b4^i99tifH(V|9~S` zQpd^dDYJf4##y8QruiLzHw1B)f?6QGe zCWg75et&YuShC_GC3mk|;n*_VrRNmuuUJf)-GAS?B`6;}h~_Tx&Zs};+PV7Dc~e}w zSPM4Uf1^J)sLE{YUj664Tps@i8cVq0eZVKGmXH`6pH-a}3n9wzy>hmbKV?`%RZ*C! z2$>HJ*JK{Qn4*4NB^epdW5G|69|h0U*6MV7qs|(N;EM6Pk4A9a!8#+j3!pVby2;`F zuz5ZmH8^W6+mf6UsS)|2zX9Js4QtV?qF(p|kj)@sF?f8{Ahe?;thI_-(G8Ah1sQ8A zGteIfn!{2fN$s#Fyhqf;R6|t+xJwU4?=V^o7~53K)DRSBpb10A;)Ua!OrzHa!Hk*% zcL?}LJz1oCfY=I=@524&A&33TmLOq7)a^s}__mbQ+Ov#_t~*4(4toY6K|;zz^V%Kj zELkX~tXJf%)@PVdNf!kUNXj-o@NEL(^6uaPn^sS^3yU7DgZ3Aq=P&}nZ0jzN&E1ko z#;n29TJe0apX?Ze`8UxnJm8=uzUFWHB9##3~Bs)5t|X(kg33RJO>uW z9`wkBr=B;y_Qa76d{XU1HUE^l{ErRBiN12$UhKpZIXT&e8M8inbMwPIh;k^rfH8G{ zT$R8wY*e&Ygo}uRNDSMbxWYHIqSpoD2ipqE5)j|{&2|HcRloNlfajRH^N+ojO> z6d#isuJNpF31?*gyeJWx$!DJ_q|Yk>$2BomKKWxPm^N)8}f z_Og{UBj4`}T^>d}bl>qBrP!)=i@Qkh?&aF)1?`c|q?N1(!LSIV&swC%TD0dy&d_Q1 z{jYg?Kk^ba^7QhO68cw315|NLh#nq}gc%dxwgqxokT`t=UQEhWtAZI8`cm=$FNG9#T(fh#5m zfxEHJki9P#&!t47_9MG9Bo>Pgx!AyBwLz4BBHz@02#e2Zcu8k|v=H%8UC1)CAq?@<9T-bJL7`jVp00EJ1$zec1 zB!*BaX#wf(8ejlvR0O1E2qmPXr5i+G=oXL`>F)FF_d8##bKbqbA1oGwvX-*uey;nv zuP99N8d}jZb4;0*kM9I?JDyo3DvFTTyS~})DESgjB{0CdT}7XC9|L=%0*c>B1nzvm zj=9c0=i%aQyqg^=hcB7m%MJcQ_bZgtNX;$>0ph2ZiXz4{XFap6^Fcy4{Al-x_7%hF zhr%M(>#_6E2+Sfgx~q(C5{})LKr?qCU+@L{es37%+rf4<)bMWfj%Wm)l7Wu<`)kKf zVBJke+po3ssD;QJD<(2UlEG~&G}K3?Qju8Kt~Oo^u#yVt^3W8X_1KYd+mUhEkp-E` z>#_cV2E@f?Q3&WdB__u}ehx>w7SQ@60b=21HD#Exhn}$wL3)XF(@wfWK=z#MW{WQy zZT;_iMMv4jAeisj0#A+Iacn#tk37$8q!~$tn2r^_#OPfY78`gV%Rjwg_&3>XCN0B#^h(B0 z@v6Mh65-U+tlp=@)@`rx1HO8*aOIO=$)ZUaU-$K$XA#rCHt(-D|Dn$kN~lY3XSy0j8V7yN7<)_gJ&OKqpox<6pT#u@OYUY#I zI(pKHpR@fLwH0o}vL#Yl78m9KXPTr_n|Rg$#|u7oV;;A)wLmzyo6`!R3u4Q^qFRnu zDsE<(9pw6!Mfxqv8&*|B9n-)UGxKHKgtk}RP~ZK5{^0|C_a~;Ox*!eN#JTtOKUM;= zx^WvAGxybjT4n~4(LN+f!#`}D4e|C_z1*VJU~_lN)PO@T%uptQaKfv&H@TmNc%Fy; zQ!1Nw(Dx~lg(?tlzO;w7)%dgB0!(K+?xPoXI(fr?BT4}l;ZUlyz&86IiNgZeC}j8Bu%lXzPJrI3+ijQfK!rClW+dhQSJ2id5Ot3zL(_8ep4s$MrJTg(}@f%>0Y|RIS-59VyoQyPJzWBvX;}F>)7r;4s=3+>&q#Bj{GqY zd%nFg`@24^0rz`Lvi@rh{(BNNl*?70vYrW6-`E^)(>x>vp0Fv6{LC6ayfKvBjj+vG z^S=FKn9q@n@xb~E`kdo1n;e(k2x(5PS3l3pl6=b3>Y0ZC>5}rHvU|F%c>P4K z9T>!($~x(H?2rX7G9ZOI z3<@ELEZ{2d8*^*N?j+m2a)q7gkgy;4bhcqq4FdgqpIBc_4P5>iK#d*+CKNC`=LAVc zm~S`vlB_*rlkIA=jajOd&jRB68>%?!4~eWBYc+E&s$0{-k)YUn_jsHf)9MPb5UOjU zdWjx2z!jx_R<=g+UE5kH6tDU6rAl-CX~6X2jB-_NtiKao{0+01eE>ZvkPYX8_V!b$ z^?qzf)OX+(L7o%H-O20k>>4QYn-DL*-CHjVZ4-j|S8#J78Vf;7o4+B{(rsW$ts_cL zqcPYPSsjlJAapDm={W;}@Rox?WOaLbK*hsvUw<&BTN@y z_uPh+*jZHBwI(`y{Aso)VqU-cQvM89rcbZ-!`h>7)xFQggFdl$^Ng5!dRz2zsvsa7 z4&v8UOP%@?z{|VwafmGoOs~Tmbnqyy*92rN8*oZIlpRDnoE`LGd&YJ+cfvllZ*MH$ zr{mqCNwRqd+Hq|~O9EdkHVv4%WRB}uC*IiWW> z_hYnGbDC03lFI!Y#eyUi@f<~wch;ez(06N6&n{l=fi(HnF%`2N`|`tu6k~~u+PrK6 z=pKo^WBd7&VD~*)9)fD|*osTU+uh>l0OF7_W{o#y&8rMC%6QoQ6`8cf_jZf;Mf#Z$ zy^gD)|6>2PjoXVb#n&|&KlsfHS&@G?EdB*5uNMQwmrgoA?`gnA3BNR>WmDx6Z`19z z`w6(Lj$9^7{+L{Sv!IOjr($Z1x;@+?@lccOh0lv)PG+4AvAvnbPMl}j_+d_tX&#UCsSt%g%VQPwDuT*{*X@X3nel{4ch2I7d##@`Don3u1hwcmv~RnzB;71c?75P04MxFtWf(884j0c64ZsT>yuva zUXL%swLJIxqyuO%MQ>~7eGyw{_=~tZ{suSG0gv)^`M~b01}M^XWk^QnQ7}`%N-qOX zer@oj7$8HVa+mYg`014pvc~xQdUwjKqyA&6PMw_PU+3s9(~3OL`Xg+UGn?7%+L1kP z^j__VXie=?_A{2c=RvITmn=P*XM=iUXh~a+RU`IsL5EkGFVr)Vmb4!6sMWa&lri1UvQKx0j&0w4?aW$})zJk;B!MKl~St5{=X6`jg^p zJpN$9r0h5*T{^eZXI(f(N;~gWFOx{`UpjT+J3Zn~1V9jo!9BKr+eNs~5Q)~H=UL%F zg_PhBk|@)g*d9@(`W3oT@DOu}Ci8H~TCmS#Df<|<7&ur}O?KFn#>ajdbsKw+*b#4;fd zjtGLE7wT?TZ{0YwLyK_cM=z1Uw4jnthqFH-tOX2INoV7!Ty^WpgEiB(Oj_0Adw;TU8Uh@C8JjsF_sCa(qVaGFc_RdSsM?;WM}p`w6nT9ZWPb*Ls-b zQ?~F=I%S~x`TLp(V|)*4ulOdF)#yT=Qt(38ca4hZCV1H=1mEmj@XliGs)`v%UB9{H z!qX17T@RnOiQ{wLUv7?eYuHGw&qHOU~f6O3v@-fv{uHq>xH-tUOCn_ zN?lB~9(}xOFu~6O(!yQuZqCe{MT1QK*DFm1FZ}5Rzh`buvs@%-;j}9+QAERc_XmS) z$T~6b2L3>yp=M_|zx0Y_*(R9)+g7Ja_U>#58;SnN)g#654h~U6IlTi-0$pq$+!@O5 zrO18<)Sn=(AGii6?H*hXga9lJD&`FDzyVU9**6Uy(vnigb)~UR(z>-N*2>vHpmc&_ zQm95iOJ6kVQTosl2_>SAS++cr^C_Cy-?WXyelK**u!F8aZ5Rfk&BL0$BO~yNGXT!f zgRgMo7lO2&PL>;TV#1kpser*l%%2`e^eWwp?q?lGx`(i>g7ocgu5 zgdcqj%7k|?1dcErP4|xHM(77&Gl*zOVfN9AR9Ik8;Q?WIY>^enShgXHXv_qji+Ul{ zW1y@J&BVI1=nI~_F;8<^E^% zJL3Gd=cQ7kq1B&(vtG=f-1N-L*20PyMIYg8&lsCPr?x5fnAd;YreED6B^bqm45&ID z*MD3xIfM(SrCz`!u_-Y}0M^7D$rO%@N21)8C(lZ}=rZNxzx|@bR4idx< z^LZ$uQju;{+5~hz&c{Cb%y<+4qc^m^nRcgv& zD!G0Mwi(Wf+n=B|HEUO9C!gG~=$P3Ud!))<^-Y?&*OxGkOD~SQ%}(7bX2T~#s&209 zq8V>!?9h~|aZun}IED00<#;WXq&uS4Zdglb~C^lkOjds*^${pJpT8aR)I43)~*$pP7wQGYS zS-o~*zf^qLCV+fNOAik!I!Va}-3;YiwR};v{I4eOwusfY0bODV&vP7JK7C%bg3;*5 zdC}=--h8y3{VtZPSk#<#0TA$dZ+Q5?k@98|(PHv%# z{l(tu1Mb+~Cn0frM;PBEuOBd_V`s*N4sz4*$chL~6G@@11WzdPa->JgCpeQZ_X#hb zly>j3H@h4$l^c;v zARBt`CbmQsvh2yor&lei2DT#m`dp2wNC2i5+&+zgCp#Shkor7>2a!Cup+_A`7Qt9LCl;qBjf9ab&lA?T<~*r~xY@s*1R=`61#>J5xzh>0k$tXs0{O(xJxpi>4@HP`ZF#L!#y_(WtD4USt+00 zII7DQwg^D*xA<;CI326!kGZTXUO=@0dudh_D62P91G_KjQruZJl+uV`1Wr72TA{X# zSx$n9z@bdgJmRJ@4Ymx4-pEkObco*%^yu>X^)8*Wmhwyna}f>F% z?(@aBwq}39$>+h{SHho2J0pM?cvrzJtx5n%s^7bZscSGl-3FLetkaU_-cXrbWXV#o zV@oyN#w2dpYw6cb;5Z`zvq}@(G%n)+hQ)-Q*WY>M{n4w3z-hahv2brM)V^^7L*b|W zJ_Z-#k#7=Rd^bdhp2LB7Bz^Do3^5Kao>+9I(kZZ~EWM;+VIw#cZ|~x+9_Z~lTh36# z1#Dvh{Z`dKAV`J?BLW?y@{H~KgyjA9G&t3uRlD@jHHM()B~g1PIahTk_!TW%^ivcR zzJ8wegJ%(nSPl)D6s)zHFsI)R4LeK=ryP3FhySK;Ai|nKXs)FL-i%9_*C}t=$x>HX z^v0Xyz!dw9Db;~o)2qVSB{B z7?1Lu;+%6b&B5?d>BA_Z8?5$>!^kkuDr=N`5fp(xxdv9>19X2tzUQ9UKMM$ z6R;|xZl}pUWO%{P)rRZH&FUsPDi%8{7B?h*pCu35z-iqi4PK7W zk5Y03Y+OFJ_%e-+(+dmTLqh^h`iwJB(2V7S!U-#GSiaR4wTI720F}}8&tDCNMS9uM z=w|q_r=jzNgRG8iVUjWS;H>;Nrw#*SlYkvs^$Wh@HFiKgp28k;L9AOOHCiM!r7tqX zlZ%VbkK{eOk4<=(uY^`?$vH5itEYz9)k)GXEApVyHTvar@%lYU?!SA@sf+V~tKq{` z0?NQtwdiZ4Q0f|EJ*& zzMU3&x8x9dj!C$T(YApRSH6O|(AAh5@^Cg#Hf+oKO|3Uo&RMCuy^c$m)fu{VWr5c= zuy5muLg|V6Wl;k5si+3{bh1@?H5|SBPo|PGRV*_3b2b*=CAiY!#Cpzkz^cer$}YEC zZ^?2gKf7OJqFjvj^&jn0PcvuRr|^!24dKAk$qUJ<^Q|j#vsMrAitX)Ep6_bV-6`y= zVC!nZ`E1CgAl)U)UQX}+4_n|ez9HeWe<;ba8?fARwcE*&DC<7hTg?2wQ=8OOQhhEc zkJsb&o7F~tAh3c{ger^0`=lhO@z(H!2S*Z`Z!EFVs4%IbqrT>;Nj?ANc07>y?$-kvh@hr~89WfuG7wR-cmpgIq`{n#PdM4tP_IX<8Ap_{l6@(4 z+(mxT@>I7W)4-Dh1SaHGZ+<``X_-CYO5v170bQ0+q6Vk~C1@h2*yApZ3RA2o^A6WC zo_oo$=|JPtW<#GS-{vnh0oqclRaa7uYI;_M2@HQ)y8K>(e&aR}voHGbtpF|nkSHtY zAVD7V%f$BdEU}8bw2*dk25Kf9a|QowY|0@>gr@+Quy2k5C+U(Mi5_edp!zEn*N-8B z#=T}S!@db+h=hrdBn~VgNNwmH_pqX9V=~(bG!=SqK;%lX1Rp(Y^pmn(vK=gxq)8XK zRh56faF^DTt(0s#Dy0|_2k`=(+G5!qIcoRb4N9f6JA`)VbB5_FBRkTc?uXu6s(}Pd z9nP0Du$mnNf51RsGQD>H?BjA$JBPz zND8RA!4|H74{2`5GK!Yl+8TW<)95H95p3dGo4)fSDi`_YM^HLr!m9-y-_T!ihOg4` z_`iy@5iPtLgEPd;T9jppGX>rsB2Ihd>IAr`MmK$# zV~oYj=1yUQ;t`UZs=6}?4t&D^6SGKe3jlhe7(a~9GyU)^9=;C502i%ZEG^zUB24P7 zkI`uk;VpY4y?{|<^l)Xv`{1}+?ls+M!{~~8%VA*gc5i~k&NSYnghYk2eWfl%8hR;0 zKkxlLjZ-}R_ginWW^hCy;oS{(nS~qPZBGaCH>Mn}OzwS}JUd0d79XjknzW$;6yFVw zJ=J_u@in~P*C^}MpV*iFY&$&_sIB#>Xy&Myv9|(F=0fa1@e-6#YCu`MX_e32fR~nD zCSS#1$y{hjLd+{Cp30%&fC^ss?%viQ}PXAgBB(&(!ju8z7{ zkAcb>Jh3X%LX*R&W`8e*VZ!WmIv!OdadYE|<=b;T;wv^@P2#pYWnsHYYbQ5U%Sj7J z#}(LWp;hu)p)S71ts&Ddo-#zA{|rN?<>!gy$He{5H7wu$23A^e3pMP&*0|emT)!1t znHQGC3|#J!Pt5c5+*u&_I0x)R1yg_26Ki}O!yLV4a@fC(vUoP87wKwZP^Hex4)F;y{=b4d9jN z7$M-{qZ1*f)&;a+u#Ss$86m*!taoVm_O&tr7qQXz&OOSLVgd<;U62=fqo-3_0GdMYfNw=f58 zVZF^TKWryN>PhD~u+5Y&6pEW#Dtbj=-em|$GKnv^^1AYI>wLO91wn}R+8#jZo_P-O zODWJjC9Yat@qk0e&OHARJ>LXY%x(hy51ZDE=e)<$#IiiS?r7+IfrD!YlZ5l3<@1ErG6MDM&v?-ICTYph~C$c*({$1{rw~%mZ)BHR68h7*tC}5 zLt8MGUA7df*(xL0L-sV&&_n?UHvE5v|3C` zwO9iAK1TEmi@ZTY?sU}PtGT@x^>}IY1ypLXw8U3o8pf>D7o0N!QOd3 z#wV#WxA3@8N43OMwQ&RjFo&{?kEK)Ie|WE+#D*DkVM5vvn2?$=NPBwfFn_AaOdNR_ zywcu;d7QaDo)A1%BnG%~Mqk@AlpUMiN4rWfxiXVP*^P338+-hDOtJB4P3*5ryy9N1 zT>opF;$H1s_dlGI39PCu25+CgWz2KnYXgC>GowE_!=d3cBIv&O+gu1$mN$_x-H=s? zEq=h<-1J|K>p51PH$w6@&!3e0)`MAOyfmEALB$KkB7GmZUrFJLkp#6Nr8 zV%EEIc#9VN`*}qQhWD$su#U~LzHHeb(p#E83~6t=e&^3L8rPZ~)N68&i9G8+IYqMvc<^BnpHEn_d`%?o!EPkuWN^%JRehqok zdfI)QD*XJ6X0e_K&<-`AEqrY6-JP)BhEMGDZEc<3n8C}hms!m=1CFmZgl6SE{-vxu zncRc?I{w8fG!t^@CVM=l;Kmbm{njNIyXCx*)!@hNwG|uNp;7DY{=1y^#Y_0zj!|R7 z>e1p+_SsTY$axf*7wc@pzkf3P4+o~IFx>lHV7P?;Uz!Edso{{*Dyge+y*O&!`-Mpm z=;}plK2_Ii{DSIN>g4zmWkJYwMF3lB64ke8X~x#1;6wS@hDvU zakguPH`w_{x|W|$nnHH!SBSM^pM2O2-rNvtQ~=-670DHqn({pB_Q!|~^Td&@ZMIBT zJUvd?PC5uW?OqcZ9w9dvAQX}ipsXX(g!`fN{OnTrWYRNH7^pN>%ZTZn1+QhRUx?{F zC8~(T8G4SlbqB%3q{rj8p>7=>09eeJW!6NqYLBpL3n71NCu1jBrU9(Vdo>YMvRIvC z*)%zyyK`9TU6Jq1dCYQ~LsPrJoc7YwLCo06S0ecI=llXN13523+zyZ?2Xg;>!ADfX zpm|54=(85CDl-PcS!D`C#?*@G(o=Kk8z&O7?DfXbhkZp?6ow1b(2m$o=2L1-e>uYN z5o5HJWyDKqYf0noqj?cd)nh4a%C#E*;k69W&4_C5O_D)nNbQQwu;0ojm<5E$|7n16U#-^iG;hD}xfN%wg z;ZW0Ba=;0M<1_p#LL@r1J@n>lUuMAVDYiIukkZmLbgo?@n*N;-CqVViwG%R<0=!|B z%DbQB{XzvgX^j#z2zZWdi!l&hoZr0Qgfd=TTuM6A7h8HoIMkHaYT+T_w}aRSObxZU z={MosM1H#9sd(#60ahGpqmlXU+jTB#@2^-Nanivbi#!8qxvZ;27?n!LdV@=Bjp(j+ zSh8onEMGfgZ`6ys1(##`g7QTT^Q##0s|>A04D}P8kS0aBCZ$M|l#fH}^diTF-363f zbkTdXEW~(HrzT&VA1ux}@MK;^P_g-!pMHCJ{LP|t=MU1b1Z6m<+Bx259TowYbrPSP zSoV=~rm3^1sDrzGEeLnK@#Af%;%$iHBlW*&t+@H;5UG4OU^Pn>LDz-{g*^q~6kK66 z@7bk?>iD-NXygT`y9jleOLduxb(l+axJp6S&Ou+Y>PZ~9wJdJ($46%wMP@RsGYgtL zq91eEU|Djpi!qqzCzW}m)1%#6r@E1IYrF%@jxU=+n@josIA_$>#QXBam`KDsa)p~n zggbJ@+doRwm5n#gT}=B+bpkY*AmTj>L3^ zl82&Oe7FQWLx{l>Jjq4ZEf1ef>J&R8^UOs6$*luwz zxQb3m;P5+3<=;6v3)ytq+}Zi!88SXI07y8)Q$wzN0OL*|fIO!J%Kl~Csr-faR`4bU z(V1~+6}W%Z!g0QGSR#8Xcn4?gE^0k}7CV!3LELk-QSSHR?)K~!hwOUg%)~<>_4krq z&L#D3>)ox=LX>ye-<`w$6Oy*+XdoBtD`%jj$~pYe0`h0+!%K!?pd5cf+nhj3TM`(( zr7Kpxum(Y!XvznxWCAr`WDeu!@3Yte;9pxH6a`!%y)8$pAvqjyIv=P5x=wX?QU_nc zGa?>Jbv1|u_#PV~c-$(}l``JK9(Z$sl!*Z%72FwtN5knD5l?>A{1|V>Sy%{F+WzfP zKH4vnIRQi5(_BeJGHi#>oF`a~fJ#~+uzBBOI-!BmK&HwLg%qe|h#txf5xA9X9a6+m zf}yABa8~)v5%}$hclX)AC-nq)Dq&vWCOQ+<0axYO?8NR<@w2A%6W}j228G^?$P0j| zrF;KiA$st@Nb=i=@ zA_m=p^ZIW*`G#egvTp)wVz9)+sk42zo>qGQ1|qmVYgz2n&V?l+{Ca=;x5ud-csxnG zSbqZOE;BZ2noz@C-Jq?Wh1ZiK&f>rdSznt$5*HcNQ%8W4Y3Z2Y{-0U^tw2*Q$2eCg zw6Bm5MlVUv0OW>Kk79^0F8Lg`oAkL$0AE8LpYE#&59=c8JJorfjX-Gzg+Z zh9h@1_|#t4b$-PTg?>}1y~pf0Av0Z8SNZbunndi+bRda{=W9<=d?|lYj{8L$A&BH;L(DgBXbCRynwIYiop2?=hdeHvI{1#oufe zBK}ErB{NsJw}Wb_bNJ12Jp$p%rV!nV155xa_zcu>Zab&`o1WiBzv)tew1ql72^s8* zs8=#+e^tR2r#}buL~xf`g?vvjhhix+@N$}XYU~|=0zbBBVCJl2j6Imt&g$tYnzJ=( z(N|$lhp<0s%NA>K+~Mqkk{??1Ean-H=asaz}W_ zGx9z0_oWa06Pu)#z3tT9^Od`E)e)(yAK%G-8;7jbCH1Y*Roz`Hr5%5qYHBsR%_3Xr z{dwd7xW5&dt*Z>h7~>HNnL&0k;|c%7x&Djsm4bpiXPu4%jon%BB=d16+ zsO3+n8?gn#R0e3dIceG?R0y{M0HL41o5|{cG|$Q_h(%4C6v~N~hY!#`Cv`wcgT=U{ zz|ZJ-;<(A5a4Jdx*@)3S)=_x(silC6vt=NT9qNcagF)xeF4O6U^dn3wS3dJ7fiOEh zQfVItSDci0u4R-IDjk9)yuc;9s%hMX0!OfoXd@NyW8-ifriD6fG0y;oP6CsJ6Bt~SPQHXSP&_~gud=xH(0tEz32>f|!FF?ur2eL)OD z=E5Wvj}b)`Lb}Q>G`D-={VoC}JE1@q{xTvrB|5R{f#s`F12(F>3HtfBvaD}Ivx`XF zP~wEf0TDgsVMA(0slR<=_(DB7!#r`+WFROSrA_IAHC$u6H!rJAM2(srnF;U@vCXF}VMWiq_+g<7BQ`=36=-y5qh zeKBBtN=+D-vHJ(YEPYeuCLDC9#(#N!E}FRn{yYf^{+zI2L)SP+>dmNI)lK<*g10WF zXySwi9Kf!Y0!7n z^)>DD$BiZ~JPzw0o+65MQ>t|eka7h`9XDVQ5~lrb$J3k>#7gn)h3`Q{w=7fZiU3pV z4JlLO4XK_s$Gd@n01=y?8OQ#Qcu-lP(Pg_@-dvgZ%f+0ZJhINGTU;?^GUzIU4lH54 zd6=?CKR*YJTm_e!KngOIYTny^sn8wb$cp1&OsA}86(b@WZ7|Lh>cW3)yx~Nw%d?;4{E3 zVuna{PwFkR7Wgj9ZHc~8aTe()RIUQ6ZOXkoc&b6GA*40bK}3~O2u04Rdf?E5p? z8m9xdYBv+iKyc%A`3l|Lf_>tgT$syMtxIQ={4uIThG)U(f7K!xbHbfJhby)Y$Gdh| zv?i*8cmjWcGW~vmfLw6d3u_GOm}hLrkCItHzH_ZIrwBG(&rC5}R@g1KDMk%8yu*@q z0!o@|z}zm? zHmSoe34I|ir1Aw!Ny1F&<|u`ur!-}wQ(wtK92a}Gjm&<$~AfJZ;;Q3pY?Vj!voy+wO^Fb}vx724nP%B}y3<9gV>(Y0K0MUo69 zA{l~4Ue~Yqxh^&hg@%6;+=d&pp>4E!`&bBE1P3s=q_Xe^Z0)g4>=Ioo#d{tX?@-tW zvF?oElrBd&1c(t)e}cd)A{G~GwTtU;V4F)3haWspYK8)=3JE3!VI~c6(3*OId40j`OiaQpVJHZ6lLu#B3BehE+4&;0RM<`>9LPmY1}HIVN>>S$&xW>Ym2+TN zazgqCV|tQHdeSQ(afY7sQuY%y5|+UK1?K^9hS3lV!trWW^+J@7n;hUB-C4jvrp) zC1gppJB9x~SSc(k=XgqQBF`$aPRE&f_tV4}vx45# z1@otE*|TrnK6SMfjWrClgPNX>D01W|bG`O=Ju#I@Y-45WACYX~JK zi*=_8{Ih%adWFFVJeK(q@d`5+>C*OTFm|BW0}1i;e)g1p@MH0(;!$(EE%@Af^+epe zz{lSEk7}RjC92~w^*U($gj~2}hTJh|wYaZ?3;5_+(@S*pn$4(CgwpY8v~+Kq9& zcZajfRWvD`FTq;GekQZi;lG_L{$6Tqf3y6>o3zwFe)#EOYk?tJPFeOM3tO@ zmON;GU0|MlX02M9epFV09ZzI{>vq%P%7ZM$uc2`-;pwTqPIDHPs9 zTYnu$sTWWJGR-FZdUkbV4+P=%{1g#gq`vs1st{gm={{E&kjQ}4!-1-6UFW(b3_ zulvWFkJB{VFjZd9JF1^g6t`<+jiRR7+UlM0uAN|MZ44iqv{=v*xYW#E^_qx^P z4xWU6x<|Dl2gKERgKyd5XeO?;Av9olI$f=o=f#RS*!-7TZf8vh+uMEL74u}4}SOT^& zDGqh;uSy2UD<$Lcl`U)R$uq zt{=Esmi7r*)TAk;z&ijT1s@@Tj{`x=dz{De2@i-LJhDNq~Y$SK$Vz{#KnBWl@>2)B3h^|N!6)CN{ z7Akxf*RC=U6hInBK%jt;-I>MI6P2p^<@=M#WY9-wH=|8JL;%!2k;eA(h7x3XG`{ts z^&o~Q;Ss*9Md&3_~yf4>@UoMmEJnp_+XM@VGwvpyOzIX+4D6WTCyIEmh zsN>G)zDb7K|39H^{$N}Q{o_=jvi;xa!36)m?oKB!_M@3XLiPHY0Y@O+O)%Y!b5y&C z(I>&-SWz`K0`Rj-dHWBzS5%ovw<~~f$ZRvDua3PGVtQ!dl&YEs?#Tl#@Oc!1b;cx~ zb0nU#nw~}x)Dq|C4BSklzW0icE2)ogxQQ8qT+Ev=IF46kaUN6~SVT;XoXz|0^Rjw7 zR|%nrm3Ht^7yFX*`JoY{Fgr{qkHH`DZt-QzZ==`^i6CkN#1!r|+ga+W7WiedIF+sa zYMaOzR5+DUH2{1J2xusGCmlMs>&W+>e>_fM%srRk_T>N<%eJ(Rw~Vyi9^$UFp9j4>YM?e*-%S*9G5I_F~@>c{SC z0$zyw<(&@^MH91M9S!eQKd+V8L^iokzki}`G1ExfP^%nJGdp4NWShj}#ao(Rx+-q ziN6oY^vlLZR%H%;Ob9*ofN9%qcA8ofsKuQJ-xp#l!`)u zWo}ej$wm&y^A(>!D3fqFG5_w9uQ?T4Qqp|bN4=Jl4x)OuEy}W&O??O?^s+T!5-J}? zD_sBSDvs(cW*(7dRbE?<3Ka!U1q>B2TW2Ss<02;913~>OXP>3dAg!YAb1VvqMbu1y ziO?a}>VsHF#kl6;^2Bx1$lAF=zslHkGICm{p`i$QT{{yBt2fiHe1mXldCxk{BW$v3 zAMr)o#gVSWpzr54Q?wYR5mrxW_8E|PvvdmDr(*~e?v5$=w7k@66u1q-#w=cAAQZz> zL6Xp2dVt$$^@Y$aiYJ~sVbT0oia+DJuYOqBDEv8S*m;DJ7t38c7de&yzolm!Kbpfr z5R-q~L>-0)X2LYzF^nEFnj@1~>L92`_yT;JEO|LqA@eO`D5T7tZ-%w|ymxl6#uZ!j z;wMd9>PsZJW{i}lso`b!cTix7dpWR^b(=F)2#oq9vIi{mSP}O z6+*uDglB|eAjozb0`^Z$VttPzbxYr4Ba%dRb6$rgg?6}d=E9-4H#+!2Q0DK^ABq@6 z^k7DfbcdaI%7T~ikyw4ihmX-nMZzNNA&5ory?{m;VjA5+h{fx~)FUx1gYqeA33||x z{FoqL@#|+Lz0}VOckiugYQJ;s!7z!gl)xiA$*A{dm1KT)H&BK5K#UFSqv^$(B7HbYNSlzWpc0*`|@z$=0ghti)wQdV5EW;e5_HxMO5KwTVElZ8*RD6Fx`=4+os zZZnaIgnnZ!`aoVvOHoAq>?J?=`Ha#y2I52n8}XikSREiK?AoB>YDkkB?-nv1Pdvis zPzfQ603>WVq7(wox+luxX6^a=_R%BPR0Zmelx`A}m$Pjt-I_05%&p4f)SKVLOhLLG za&=wIUB}xZ^5_}vS>;zqzWbL>A$h!JbP>dzf21Xz;ZAGnDPjkwC21=ZAY--gq|i8u zcR6Z0+9p3VHtutAvQ$mIUgz?bkc55T(BVXTNG6W%3d989;!=O0(NaTX6Gf1@ztLsx zJf9{|QB@I=mW~^t;N^(!Wv3;vmaQ=D?KmW)O?^>W-4xTE@{CWQ992;l<)4Mu<25dq z7_uXk)i0bmm9=9fRW6g!xj8O|*V`j3CGG4mD*;eB;95+=M+W?@_tH(KWcNP}yv^QV ze85?u(;in&BZ$qku{Eajzw z^JUpW`=UkA%8mk-!(@r(7gN+-?uWVX?6xHhX5q^&`20@;tYhZs-eYaOmP%Uk-*=c1 zt!FQOF3o=Z`0u9ie~f5uQA}v_`uckP{5P+G4;hj!BFbt!;1q8Ftq~u<~=cr1AS~$Gqp@zKj^LvC* z_U)W^`BPov$;rvV_QLcuy^XD{8xSfg@Rzyn#}DF=S|jIw|2+C14=JYi7|RhSM)6NI zr-0+LdLLvNL1gl73=*=JKZ>SRn#Lt$U^{l}P^)@l$CHnQ7WX4wQv&bo07tU(zlwEe zd45ppPRA$1iT(XY%`?$O&1!L_$#`HyvJ6n2M$S0L@#PCeI7wtbazqNO?XcJRW9n>1 zV(B%MWD7#APH&gGJGWLnjA|xDF#a9}BvGXhtFYrHDFAf|qu~s#HO2=#J=Br?egWa`tGPQTcZ|6ecf9 z$855lh8H)2=)o?%M>;Cu4ASdSuN$8PlXD;vNGwC4P98!=^lfC%hT`acTZ#H2TQkEc zM3kq^Gddy3gxur6w4*s29Gf$bL%R%r1p8-W5k4u?5l4mTFV2FI6$uki&4~c@jxh+&m0?lY`7@xj-081)MVWUSW>`AIQ#4xN=9RMy-22cvX*0W37_ z&+$_yACJyJTg-wOmZxCRFELx2?jpd(5ezrhS6&v(`G8({RAB^2w4?&{{~F7En+%+$DnT-}jX>A2_;6`MEKW`pfEL z3p66U`7_2}W*bRxXMgji(;I7RYhl&-2SaO>7ve*j%J!?=x};5$k_W$yKxOebzVW6J zbWlT%O%F#qi#^wq?O=D5g$@(t)~SghT_`NT&%TlJ$`DDD3YfXdN8y_Krrct8sRc0n zfB)>AXUu)gS=*?T`|Ih2v!mwEt}ihC2~*ZX3Gir&yoXD_hl{*jT>bHbDls`}hr*+y zqf=7t)^k8@pwq|-t6pOBc8j3$rB1M#wJ>itY>I+5R~4Y842~Gy5!9n*E;Szcab&4r zF6SBd>65&tOYJs8Ns?yI4P^xbPqe>7qr8X{33;zfVG&s5a<+q#4IFfMUXC$A;@ilB`R1&pN(G-b~oGy_&GD;49Mqmuxvo z$UBmWFKB)DPj}6eKVX7siEVQq`~1X&gcg|zf^`TyVw(SW1o-8)z8MWqdXW^t?r!aL~5%TmUzaeB*JjZl4wi_fQ4 zf30UqdL`!H^IlE{j_aR|QVCmIS*g6M+IpO`kFk6DkalRoLgMC4G`R7_JN;|*Zu_UV zOEW=YvO)Z#`9|5G@ar1?dt@G%<)a1IZ)P?@#AXs!lzf;+`6;dN?lk`|KK5Uj@szZV zzuYZG#8|;-@WuUCz;fk2K<_|DY#@r2Oo+f!eVbl!BL;`oh3BTA@p_QOL|Kj-i2`?T zX^6c*ish{_gCJ-@nR?fY&Y_cPlAkw%FK~zzjoRSrG z;E2imXimL)z4;<`bozg^0JUzB+P%9w8kHIKiD-)6Fwz;9o_-8bri*K*xnf6{vA33} zXOMD1+2eYebzs{_9bqC5!&bh)h?kdN`V;?$~$Yzi)zJiF8R zCP-2Jn*4EUfHxaq=b8U}v{C67`*l9Wg|U@EfK}kj_^MvO4KdPpkKwysE;mjv>MxJ|AhVrxL%7I?zy7tA} z9rC$EoHs&-@S>>1cLroDn_eF}tIjJz!K1XR?*HSnZ(giM-<%#P&;p~u7>lqXMIgut zQEW~ATJPJ(f8E{foE*4M4*W|31NREvhysVEb;+BThMxwer!iT66TkBFjU2-4NtpBQ z8uBqFe0*5?(YW;EZ6vDrxtqM_?};Qut9r$_b$KtJ#>&QM*UDJ^;#iTluC(vb{EM+V zDV)#;2XmCM*M(nh@M?M5F^m)6j}t^4ggN@XvB{@|38mdr{Y=w-dk@$~0FqjAHCDih zU^YDdZo111{linj_p$ymGb?Ybq&>YhvQd1qV=nMA@&7Ub0q?9~oss+N`i zj%q0}0)Y8;LF+iM+jBbnvBVWf`VeQNSE~R10bJL@p>)Z=qVhGcNsL5_qcib4W5RdF zXIg{mDzQyy#(m=s(zX>&E)D6?@BQRDU=D}TW|%i(Ci#*4zt!P$t@_yVYV=ZbWZdbu z>FJqWBJtB~;+n}Np2~y3cj!iglcCAzu$g)am3=zZE?sZlqs&XbbDiQwvL5X0%b z1pkywSoO^xr~jTw`l%3~+E08>VB7gATIwyu&fhD_nh+2QERK?qkx}qnqA|==sw^FF zB3_kwM1Phf&B4V*GiRTvvXp$enEX2@a$Q$>T&S9bGm@nIrHseq&648Z{SlX2IGf%6 zBjdoip2&j>g)3aPbG?PfAE@hIDRmRldx=T+QytS3CIZ;BuCGtM1#k zwz2i8DJyJK@Sbpqb-iP&&M7(+0k*E#F7M=Ndu^olL!C)N{@<#V|89Z=`7v#)(3^It zNF8+BUMZDW*F?FoNjxzyM^&0=G(Mn)=>K3B%gZx*c8}k-74G6g3(*=@oyVyt%7C7f2^xdc z#IOqbm1)@M;)K8v-gAgaI`xtaHfkoNNs}zf%3(0%lqYUik;|`)93~q}>*Q{%&UV9( zNS&JDbdLs`KgYoC}D4HZdBh*B4hm^fIKbYC%O zzUgpcBb!vnwNto^p4MpNwKkYDia-Lfh(`)yLYmoyMnG}JmDMPuE;X~#Lti>aRa(iq zZt`C~Q}nS++))k*G!M@vB~~)aE~bidf#0>!7;-8C7iFIb_5AfrXw7 z@?;Q>5+D;|K)hN*QUbR~BxAb|j+5x&+Vp>uB@uUWTg>&yPWIEf zG(PJxg7^C0jX$fO^Rw)+DRu}EO*-UQ-B#d4e%q((cW#qhS0*L~8y%j!Y*c~aTg9#~NG=y`uwT~w^x%d`o+V!r_d_;js35Zh4C{b?HQW2rt3!XOj} zV6@%=@Jb~vS63_&n9Pa#0kCD$F4EY!77bdheHFnpnKFMrbF}oEwMn_lvtUEg1 zyZxy|6&)-ts9~d%+d$=%svK>?Vm7_F~4|` zJg&rJB&oH`%0uK5R(=q)Q678$lJ#1J;>yAZfyb7sih0G>m(^y`%?#&Tsn0R0|8O)W z^ubSaTdPO8-VTr7yNR4|KUM99?d=uf{qw3hYu!QNB-&H@9=@ z!Mr{{QEbXjyGT0H@Q05=>r?bQ2HwU$$<${+>eXx36e(@xc><)5sCI2|F#IDL&u7XG zXpXxP|hu3*VQ6Wuhge=Xb_HO%s zkA!1n(CjN+XYq^rzH48n$UM0Znhlk!Pbg|XS=2tOblbNbeiDjgX3zL6sFSUbGC9bs zFijS#lxpp~sLK#BtFCq$(FOhYPxpfnpQ=oZ7Mb1D(qo@5Ds6fW5FoalMptyQ1LYWg z)%q@z@UiwrLWuBf?HBX(k?h%*`}y?WR$iu_WL2v~tDl-R2Q40KRv=(mT5IRNr+Z(| zQf(qHSi(*gn!WQKC)8#_*JNkTjIK^R2saydotz)cC`25`2CR`4w~wn|WL!=iUvgW9 z{<(I(YigF+2=tJX+Bkf{=qX^dNdz*ULJTYq+V`p`R5k@(z#qT zx+LR0ZlQSbX@jED7>`_)I|Fii))#Wa2?+^d=Hu?H09euwtFqT4qhkBNCC1y^*Wg## znmSRRe{f2|`CDUXAWfG_mh_dbqZ0dqigZhlX_ywSF+||O+TWh#>hw_x=OR|#`%tm} z9)A2L3h$mw4%P*ITj9?DhIe&U9eQaEFJb8~+3`Cu9aM5A?8%lY0|HNJn3bG?kDk{f z;+GZHVGtZycS##i`9%k-*yGAbS@wZ8QM3h1F)YlXS?zq0aEp9$*y6h{gx?ZwIC#E6 zeTGNdLxPK0@#J$JC=3w69z5CjMf-P|pCAXv>71MLoK+8cW=$`LPtuaa833dwHXiq< z%azy|N1ong1mP1JJjqqsO6rnraEJ~rUSB&T=^m`BV|AaS@gCHx)v8%@od0phL0`!}f5n%%858R*|uWzi~SjpfFzq!AEAf-PxI+*|=#xypjg`B!jLtZeLDyVvV zsCqbXI$^-5{>RWPPURANoew@A9RYfLrAU0#`&ZJ-eJ$MB|GXx8dYk>)y)iq|KSMk} zzpK8vqs{O@&!4{;Gc*HqJnLawEXb+u9Gjvi6tPNlBcR3|-5fAXmH}7C`#1&JOD%}k zGfO+tAoc>ib)$fMeXzoZacv%*tX7_0vY(5~l;|4#aGjQ@28K*Js(qkQp#uzusICb? zB)`l9vw=2KsDF&#b+M8CkqVDa;~LuEc}J#}W31e{{FKDON#10=-de;M6>e=!hhT9N z_JjSVU=|GQmuP$$nXUp(T!q_MNs*rKe8TS%FsubjV*8#YvqIAb;=q%n7?7!H_h6Gu zd)`s>PL&?}XnLG}ujc!8C8OqB)z1^C*J~u3`wqUJ_>bU?h3WyS{jgPj-4OeSjFzqy z%2=SME3Nc%cqfR9=k^$#$qFf^X=GC~@lu5iw&+4CUN_9@xUc;BXP@aw;fu8Nl0CkP zry-U2XyZb{JJzz=FH!iUKiAyFn2|Lbq!{3A=&Hi_*ji@#3B3uO+*(8-eqe@Il zc5t^Ey$u2v0qs1~2B^4o)6;IV(uJY7>os8qP@uQRdgt+*pDb^FK8AF&>?bEWc-u2Y zFT7@l=AS}N<8%jK|G?JEJGkKys>xA!B`6t7h(9%?F4_so1w&_en_$RAut?f618eaD z0(G^RggDB>gT<`~e8bApTH7cPd{IktdiJ%hPZBxyHjzIw(RcdoNAU;-nCY?&?E`l1 zk!V_8*#28TQpoOl7RTH={fjEjW6Ru>&O8IvTZhV1=c2@Ya`52`&776%Y2My|iAE5} zbG7U0*^Y|R<1-A-P@<(kU3rZdJ=jO zxi++Zm~;Jlpzh;-&)0C$9HWBfvmYDrR5Y^a_kAF?!Xv@++3-K{$^r>@{|e2U6Mag`sPZqeEno=~%EGAa+D?w<~e_VLPtjTxcOC23;H% zM#ep#S^Ab2LMwP3q94*MhDfGu%!fPz&wHD+U-fLFToXaOO1g=2O2w z!i(vd)TFdZFx-yzn5Yy_EHzUVHnyrbC7Jtc_MCfk{tqVHt;*4Ide}&(r!*4vSCe`= zv1dV-0&MxR?IH-9%(>Pn1}QlDVgH=&GJkp8|A;@D;!9DoTs%!xMeoLJL{_u)RZzOY zpCdB3rgZpN{or0DO9eelRuZQ}bmBv*@%0;S5J3?~gO54&@pT6=SDfWcNXFx=%>;4a z^?g)(Rrik6%AX1j&Ad42yPHH1px?`YEWiPNf2!*vdi(~=NOl4ciqH!Cd#PD%F_1M0 zCiaj4rWnw)j6?|Q{Jt&uYT72-&%l)pB?a8W{LG@E$yqNHf(^KWc@H#V*$1Z2L5L5%=v1#9F$5B${!`!?jHlV7kU92S@{WzSUVnuYtDzbGJMRp1kACA4-5Lg%ML^6*cID1kRd@vesc6p%;Ee^mTRhgQLZ+B&V@Du~M?`KT|+p zmKVBunWt~;7X`^WJ6sDPqBo! zT_y^D&2qUKFl&;h;9JpLv2mcfBpaMv}6L!xFI_%Rm`hmHVL<8=$Xv_pa4z_vDo z#jIqe<*ggR=g$PKRc#2js2eBjo&K2UyD~Eo-)Z$*Xxy_KG)7tY?RH44aQ=Y0EM74` zG-R;YP3cooU0kf$G6Kmjm=Pu=Q|yBA!+bC~%;M&Y36AmrBd=wY)xn1X7eS7h1<4Vq}^*^gtUeHxjnpIP-X#g|R5D5k9fUAZxK7MP8rjkwS)wQ?nB(bL_ zx6k_G+SBVf+P76{56+rR?K<%x;|#WLD7l!tWoASdEt9^&Q=pFk0{fD-=^Z^{Nczs0 ze4QcTdQja#>esDhqD5RV^BdBa|9PsK9aynn01rC&I`W-G22eH6wzxAqti~poX z;aM+Ov!{3}$cEm7q!$7&ueDKZ)Vv6ftS3?-#tx^3lTu!6r!s7Es2ysi(EgiDB1&jL z96dd4ecrcqPNx~uuL3j;>+7!-)a%Vr0P?vV-N=32z(M?m*~NzKDaG-ft#IAWNxJLx zFT>WRDXQu(PeqT{nvaFnKKNw#&dp7wyW(0mRx;zMD+Z_AV)&z$QVn&9y zs4ijQ-5RA0`vOge&F4(e$_cbjOpbBJ)xd1azAvweckS-FEg~mv%e$K?n{j}k% z+V0EbIp*wRt^5z+LX(p-GqJ5;;F2OCjg5`TkLaEZ{Cn;W{N$Fl^eA-o)afXjZF_Hb zs?WvHwGJvTtER-pY3nz4u9@mzv;1JIay=PukAVt5qKHDc-Qo~VbOqqCBz=ep*qqhD zemjybdfbzS$s}#P6-J7&hQju7cpcdiI{?hwX6lBO)Q6*J_@7X%bbd>uw(n(-MhJjK zA8BgkJpCJAQxb2}7Zr=PnB1rwGSiG1v1z-v{?M*sP>alTgy2*#uy5ZK>yM{O@W(({*UiO7%56$ykX=aBIO)X* zU^ihb*9bCYH}?_Gz4q7;}3!JS4O> zHzdUS^gNix$f!*KBO`NIoHP1WY5!8?idWmB1)>Yjq7%OmFE#i zS7QII2_uURMRy|oyF1!{H#Q{=Ys|Vt3sO?bhq7V`g;t@Jg-^RE+vzZnFu)BWi`U~P zwqhiq`m7~L85fo&c{hs7-&D>sAFD#~bT}$|Y2chbV?{owGAmr3eug$CcU$orQ6<}s zYy7WuE8R7@l7+XgVyU`;`5lv?`QwHZB*HR-E(1MLVV|dDZr2 z7BIcmb7biQM0p+3d103R(i@r`RK0fmiO3kG3t6KZe`w%35Sl?g%GWx5uzjR@DD9@Hv9v#Fq-Pl<7sD_7| zvu$pG4@5yB1wR;IxMGs>msF+wWt>0UwGM6`dC30Knlx>VfRwxIe3&i4e3f27$kAZ; zzivVc3%@(OxD*|swppzMpC1zVl}ZUS^^b77Ly7_4$VIPjZ}WBN#!B5%dRlIL-{|gL zY@{901?fc{4_pOGOgXZ*=6q{Si0b!RC1*{*2B^eF{=h)2v;;j_Y%-btiD{AE!x(5Yp$NRRH(_JxsvTExDXzs zK{r8P+cZJj^z*OB&(L_5FC|}gOY4~a$y^0Ltj@n0_O}&I@?)Eiq4K*o{dSJPK?;Gs zdDRyhO>yJWPMcPxCmaeJJl3Lrbo}`+wQfG~kR6nd{(HlYkmEhtWq{0tA5q6rIPhP<)yRZaq559z<~SCd6rW&4*SC?3!GE(xWgQZOmO>eN)(zV3UEowiBtt3h}08y%`O1HCoYpDD!SzSAVVp9k1k2)`YKgPU`G8(g9zf@;pACwh4od> zO`(Y7@VE~fZhh}%+!|nq@(z#PvWnR@grl$?w5zRX`Xni4XQ!)MVw7W^(=9tS9&Rnj zNp^8}y{tHDI*=VcoB>d~Das#7eX~wtp0JYJ2V`xxeD;0P9|NnolHXTV4z|8{VQu4- z*O)x#@RLYt&;7$lR=p7|E%R5-oQb?nYb@YxO{kZ1|656f@oP49O7pfB#DHLljHW;p z4g7a=9=Vv!Yq?UH21wm6QqCrVOVLf5URwYrRTuVQWp!OsA0YTML$ThE9AnXl5^i8s z%4px!Fn35KPnA5EVwSE5&_m_@JRB}ff5?}y?K$(tV)F6(Ns>tY>Q9y~Ua3;}Coh!- z$=^J$@AC4(nRn$N$27{zx`!)W$(;)g??x-h^nwIXeD=V!J3gQ4dZMHu+gCtAVpXAv zSw3OU(wKv=E|Xv=r_4IAAy&apEUwFXt!?^{8-AmQKno--iUcf5qt?um*1*5tegtA< z{CyS^_CwdOL{|oQ<))C5-iL`d!_O+&aq0O=_Tt7XMrU>?QM&>xyiaoh1B%SeS#lwn zlQjgYZ!%VszMIZC9tKGZ=S5#j&f*4cq@F2`^w1?2i4I$`8juE?$&Te}umXnkyo=m* zex_EN+UJcXEEp4V43mMGHwr~+_O80d%6eu|DdR7~OE_WXi>;21?#&Th=h>DPqK|{D z*c7GwsoT4yGJ;J-ZaN$FP`*<*PWWKNN3nY+xQsn7_^xIw`VRtJ?G{ue%-2tZal1ne z^i|s@h6HvkKd=gac|&zW3>D@__Ikk~EdwhJY{*)HeH|D3S}P`P>hvuhK*}qR>~rmV zUz{4;_dGrr`U%~|knGlncCj6n-5YD0JHC;amDRB_LZf5U4~PyV<4w&{#a(hutiz6D zm~TAQ9;mB&LH4G~7oylQ16 zCG792K2|w%uI@T;%mFhx*Ax_(Rx*rsnj>gMqktp6AV2p({(XA>N%eU5Y}=zpXDPr6hr9m#FV}vjZyQ!GY&5Cep*Vlk zWY%qS`#%@p*ZGOJuEOXUEL57T$3fn!$LW#mmU-&xHyisRYs1;;xA@iaKswn-^%kLO zK_PEC_#tICufMSu*-PH5-^PSjf3E$jR5gX{1wY#4a|{MU-qYXj`~Jg+wqL(k0|EkS z7FWANKbg#RU$3;Fot&I(m|9vAE*xEx`pYr!+7PvRGOPTqN^?-*6|ivHbawTD=q74; z$zNPH^L@Gwp@o4ESSQyA{aLD#?AZ7uUz_)Rr{+ucS4Pdt$nn%$zsmeKM1ERwW*7x5 ziG9s?VtB#xn*syn(Ju`n?zO0O7>_t7M6&T-^=t)vX^e*I0|)LS>GRs(O-*Y1`}^4H z>eOXpoQq6yo{QpX&jFzRB0PEhpA+~0GaH;k8MP>gn%ah)JSKcm3(OzL zOvt^}`9E3!Isr_QIZ2Wp#6Sn^paMiv)7F^02XI_~)>u0-mZB|YKTQX$`H0<_@Ljxr zPu8A&TPIQO7}cSpCG~fbVpXR#%b5ngXf3wooG1D!jac*EH9Jj zZ)dVHB{uWd-r+nZB+>$@MY_E)+vL*ek?h@as{lnLFFWb-Fxyze=pvg_qEthDAuI)F zKhPS!M@b~K+{X8`&f{AHDOD9ojPN%iE%qpi(aVabn1C!FrTvJ>KkV%Lgg;jMDhW`F zyMu9bKP03i0h~mV@JHvNOhKhue>N<@v_iu|{ zYP1g`BJoSgTKG(MiIhJX~6O(SY8y`{JY05jxn>+YZDWrmmo1w=+cp-?Ccbgg|rS#)XYL@iL ziQ9f95}mvSxK+yA+jJ(&KwM+Id#%j3cwWQTUABK-xOW^meGl03wPL>=#H})1YuL+o z+gf}r#N5){F4x=Nc+_;)lC$6q z^lWA`w^$HG3K5A(IYb{~K8AAeGTYZHhnrph zP~oBNn-kw3c2-{D0WG!)C!RUnE%#i&cI=6+k6BN`i>);3)Dtf6F=Kg!nrEx^9NC|Z zSM#iUnwEx>9u$9i;kjU~etER>+bvajLRjvQvw4RTkc55RU^=}GhiPE*2KGi^v5mEDijX_68=UAo(b$VVq^>4TH|Gv3FEzC9hKSk5(18DaM zrIR6{zRZ}g83|=&pmE2lF-9|338JJO?36=e0AxVq!)s;R`vB23ypg^4z%I9xf|(4G z2jKhpH~26~&Rn#>R(dcjR|%3Jc(+oVj0I|ke8>wG5sK8Z6!;01vcsWidY`y*N7IV5 z4+QF14GfG4s>O~uD*75IU>z*w<9etlZY&z{S3eEkRH0@wnYo#Ut$dg`{P9sbA9&2Q zMgf>$RC}QSzu2c-mkyrFf4V^QKD1>S@8X*Gw zifYxjinW}@or3{ctqk0p;EdNkcM7#{J`b z8oz6%aHM~%6f)nY$Ba~A-tk8p0+(lpH<>2EfcO)okd*BReh}Ct!b%Xc5ruELlNIef z;WkB*ac0Z4^^;O+($(WIYGYj6`tG20Gi}4f^O7OOmA*f4Nz~W+59_9Z@`mbrG&=nm zXuuX7a#bRC^Y3X?^Zl;PJ0yX3fuO0ZBSg&=NTRC&o?YR5H4cilr%4Fr(-?CLg8g-u zLKI+uM)T&T`^O41c&$0y$1BxsEsEVc1zVwKPK;qhP{|<+c#;cV_$hpOe$t}PnE^CN zq1XJd%IW*%%0LKwkKC;hS!x=pyeR#CqXwy3_*r4uK;HO4 zIR4^X@)DssOD6>A^@%Z12^C7%VuqYFhl1@{KT6b4?`ZE6cp)a@#yk^%JZCNe8V*6> z{uDkW;IlK2)%C5;fiB4>isX=`CyFKESfr+ZQfvn$cLpHCSeh^<=yy?osYnUSspWU0 z>6FSI6S*(CMS)D9BO~3PiE|@=47@dvoEH(q>;@l8(`t<#XfR5k-2xY+(@acj^qlk<7LtfTXzu3C1&eR!ZM)985+wdyC+gi?V`K@ODr7(W$ly|EEE5`q?VKhp} z4v3>Az5V?QYokT~=v&#+0o_sF1^;|Vqi1S^+utu{ zN87fSvsd(-@@ymtfd`LwmUx3ChQi0&WPQJMw;N^Z`VHuwDAkrv3|$*xmGJ+1+bY`@ zXqjGGa(ldnhAu15K9dMvy%RX?S#C~-%FP>a`z{=QQiZhZ85ps&v_uoALkzG4s%Tad z4{&2ZhLZpDopxu_%gDtNqgS&3!36jJFdgWTbiQkOOR#CfCvjHw%r-nL2E^B!fBBjl zlcqO3O2XT;(2Rb~sBPH|%7Kfr00SDst~;-NG%88i4e6I93((Lw3z_GJJUfJw)dSVCSU_SO~_EgXPQtHRRVjvSI9G;7U<@DNT=e&db^qqfa(z z+FQ_MRy2>1$1T`ktA`4w<>AA{=5}{DiT90E^Co-=GQ&;pHCXkCwq+Qy{iP@7V#R+G z*4mMw&?#S!7kt>2zj5EVpLm23AvI$hZA6jIa~j`@Sw{wdhNiVT#f(n3Pc)tF zVhIx3Po=IRcq-mfo${Bvwc^=L6a#)dq83jKJ{e>A8f1wrGwswFY;%0MR!0t&2j&sX zrYllfdJ6m(5;`>eTAFYZqYs^wBJPdkOBGo3bBprdDb}MUzRHib$86@$V<#_pH;Li6 z;1cBJ^te0Gb)j6q&Gk~oNz7yn@RU)+UHgziW_TB9aAu|5+i~9N%1puML}2sf42B~b zACSJasC;hG_;a{^^21oK*A1+<+30r!&!|4*e@T9*MxRjOkgw4|>_o@JFzVzmYPA6y zk}^^>@RW#o2E4^go{J8p^>Oce;niO4`H^yHMsodDA#c$#M+S1*EHiZYhgl~uxGrQi z!ltdgL1x0XH25*v%G^co78kGKH~aCL%a}1=MFU1UNrm*vHWk`b{R^M<=xvO`L0T8^|tmO>X4A zx*hRiT&<*u)yoB&;*GbghL|WcS3E7|eoDn+SX2ADbKvuETAE_QGnp7j)*l3=Q22l( zqfvp<%ejjsy6`s5*K_JJdQ{Ha1`J5x$xbf2x49ikoRN}6Ve^Tm)IuAuXIQ^uW!s2L zdzWe!q{K>_Q=ia&1tH{K9X%eVVSchI1xJm=Q31^zn+5i3Bhd^Pwjs5Xc4MnmTykNg^i*5>c7CIU^P#t>|}e_0ucusz}P!EE~V0M}yNJH0tCN zU>E%GD=B>OQFE5+#8%Ri{ePQ*%w3pF`#H3vX1A%8U2!IWiP|LH`(}j*k@tW5|+#8u}?{ zYxHJz|HA(2@Yu}E=CN?|N#uoSMkaJCsD8>$A#t%bkS}A?{lP(!qN!JdpUPQS`~!)I zRkxZGfeVV3OG}aAguu&V+XvZFP1)5*_Gi9cy1DhGIibe0R`7#U`Tt5$clyu8)c}ja zpSLdu%tJIok+LEuDLLEEG`N)FCi5}55=+~ zPF=*w*nb-Q>(Bo0#s>NtCk<3T(09M-4DezTH+ z$DI_w$RLWefv2${iWCZuDEq^wv`_ZW0YOb)0O>Jm{nFXIA097`TyZbuh7|B=-s@`d zi9#$(eN_umOlOOt>J3j4gD&=eOw3b_Rx1qmio2HnC9$5741D2Fl7j2t2=5wrXxR4b zJfnP=&JR%jJ1Is33U~{{P=27$29~oJ>cQvjaWDJRzXivIXDXwBAZnfClp|Nf<@P-zkQ5?ZUk@PCMu+=Vq6fzxCie8e za<_ZlpItl=pG+m&bOS=_4B`0k!jTeM|2@1yTI8*CP@R=dgc~bf$Ud79f!j`+D8ue7E6%Sjl=^v*Lkv8t)N<=SZ-{a7>jhirXQY z-~&qP)N;~FuVn7Wq;txWMiK7S9}s-ZkK zKpORH&!PXVniHK0dyBwiD@G+*Eq*b>lJxc_DY&hRO?2$W8+OKN0ncBq5LY|75I&H~$t|dPM_&k0{Iw$7CWbpm1MJW}< zq#rV5kgQ7os#4x(K~oyww>yBYAo}9Qq6FUvvq}LPai1WEbOtHvBzj5ej}<(aA1x5h zEqnf$79#GFk)p|AooZrgo? zMirprlOd=2^`{O|XFY!osQ86)2j|YuGyI$i4pz8Cuc;mDl9NyktGa|_A4nh;$jsESmej$(6DUMm%QlY-2stNUMJZO$x9c&NpTRjl2_kt-0EmPxgJ@%u#pY|nwy%z^Cfq6tBh@%F>AeE(**T~$jf`pRHcU5 zFFhkecf)5f&+>WKyLM&_1aW$hXWwSg-b#V;y<`3yu?;7?t+vq6Xv>h(xCkbB)(3!p zw_1@N5a0J-y$+e2ntJqQ`}APl>I33vwe#2dfl^3F$o9xRqxtiby`aD4o$Ml+Sy@X@ zBwmiZPRIRC{a+MLez%DCF0Ot(C>^F(@9lSR@iZLW+cUSeYCr7I!Lm=bW5AY4B%~0F z1+6wmkhIVdyoe@k5I%2SLg5gu%JXZRox;I=wp zFRhInYC0W~CQ6B6R+#B}6*;)L8#RF7y|zD(@bEO>`(A0CxP$odT53LnnEKqsL)d#3 z?loaP-+AZ|4GsqoU+)8m@xMA{-w|AE$p#QZ8i{d?R_gJ`ij%{z>}GZ7g&R58a;_b) z4LQc4spJN9j{pp3P&xA9EVKm%=pxze_$L)AflFNDwsZjG&p((7x z2E{tpp~IRU_bT(3YXL7e?YQ({x1uw@dYr7Go`+TeLp8u;kl6fH_V7zIpaoJ9V|5*a ziKYR2r4yN%OxS-bF@gDsCH2{d0Zk02^GNZ={hrj2D6xi(E4k0wCqL^Xssq%X1*^&^ zx9dEwG8=n4I4q9r0w&`auaMVxDkmp$Sc8O<>8+3>*#|=L?q-lTD+fS*PP-Ps40D>C$u;h!akK~)WS zVGEzUkHS}~crqYs-Z>RWj(*U-n&Sh3C_GeURlcC{Vubfrtb=+Go&{hx@W>ZFr$2m{ zupUsYshox%8C2S2%vl=Qb>TMaVCIA@b@L5OK{WO4ALtOIP?_ge1LnDSs^v1JEkTSj z=XxSjo!v?+pR<+kuqOT&zawV)1YrU zz4QFH^sTDEFsyedMH^ssQi=QVkObT>WdbPgU6o&AH!4WM2Q4|;xutGz%52QwsA<8j z0bkG!D2>pYvImbd$~63opMLs&jX}T09jNEE@NhF}bcrdG^^HPi8i-?PJzSEXA0ul3 z=XZD`Zc@OA2W>?E8d;4_S0Ng7#=Un;L0*`mL15B;D{|JaEv2R8(Tuz6{MHL~p2ItN z9{&DE0@Kq8rh)WzLyaqIo5aMJ_dr?yHN5N5eeQ(e?N6#mn8JK{6RrNje@;AGNZ-R3 z`XgC-i?ZvE&YC93JS)!Q)*5FMDC`qP1Vb?gd9}P)~f2p+hQ^pz$^o zu)mdX6jQIWSQG@17%#-Ugc*qfhEpA9sJjuYEM@v-8Hk#@eW0hA8|j?E_{BTSnzZ7#^5e#A#@Y6s9az~R@)v!(F0UKG{o%mGQ zX#6HNAjkrDaHA?-pT^u7t(GLNl!kpu9v&pw4$Dq=GDZYWSQ9Wz8x_Q$gQ~xEP(a>^ z(;yAs9*mC&i!Cjn>ib!KHSQ%shmjko#f<#2 zn=%j7@ZuqREl$S%Up zhGz%WQ1a}ZFWUide)T&C5gb^gs%?e;kh?(Uk0?7tH3L#1WGNA#xrA%J`olZ%k1f^N zsF=$ffWe$_Bgdx){Ua!pKNRt$m6g?FRIPK2b;Y2A*AfeWsEK?_DG<}In8xSNLv_QH z0aYgZS7lQPG$%j$P(CUn#CPFixqP)u|L`g8044r#y_~<&BX-x1duI*#$#LCOD*4gp zs7JL?kkL;hcmtyid6sY*Vxa*)B6eXK`xvl*%DKwLgNOLe*Mpm;BC|7^IEg`NB_~^#8tGhin^beH#0<6uK-ytb(*b241m@%FEzSH1L=!a`=VfUP^?G|VKS*HuWU1) z-yWec?9HNn<6e@i-t#*C2GL7KEv1NIG(C+Pmlm_ZrT;zgEGM8c2(PrJ6$8?J{dwIfC6j;wchb5+H93G$SfN*<{L&C^98|z~Kp&Bf{X=6~kX2SJ z6UH)iJ}>ZgP3Nm{<1{y{i?%a~Fqa zQA<-7R7)1j=4`p!hV#RbuOzIoSG(`NY`z)2hzQ%?QM$T{p4v=lu_H}uI#R$*6y4BL z+s}XU{NINoK`^F*QP+R+#GSXLVdS%?zpB|+KbpWG$H#zBYzN?^Q2Yd_C4cBdkvy3V;j{HKv9niiBgcLSPgb#PaO%4Mz8zz~*7=M+)a{o@fz6e0!}LL0 z$rJbPV1N4Ts}V1rvCGA8M!%m0HeZY#&L_!o^(OJ(x;=h9xgzA7&HeFZ!i$O-W7f;L z*-Kc{`EOC(hksslN6a3D11T!~)%J^S)p%+EZfI5G-TL%P%r~YU{dklRQ>zS6(rp1c z(?vqt_3W@$>sI1Nd_0AJzx~^t{BOzDR1R5B;Q8kOM)7YaC+mdrriR4IPuK3hODzYA z?pqHYlr?>|cMDMBQvMFN5jW|AtokoHZ0))}|Xm_;= za&UC_X9G!&@u&P%Rm;&~trzYd{bI{K@-S3)dBKI-!;*Qa5hq$Yi_SEzM>(=k%JNl> zZpPnEa|n{lsY5Qm!NtOAFCR@>3hDHM$y;fBoSYkn5=4w5MlsWLflYlmC)@v{1#s#A z7<2iA7;x?vX=qckRaNB!i~#P5ETv9bBahS_#D#h8XTVGj8LF0hw=1VZCI1|JeM&6Y zT!j4}M7h$fZXQa$#25rv5tx+Or=);Y^P=z!crm^k1p{w>rAmC_BKN93yM=GmqMBA! zqCq;pTPEBAjuBUK@UROIA%7ELa=v{#TDfn1OOPs~2MCfX@0Ya?3rVRMSeF8Vs5X9j zd7%P3-+27py)bx_5DYomg|P)1i);!cf`AN6XmQnu}GI(uu7TZx*N-9}=G z!5m)qU^w*Dh$YLxoeRS{#){7y53tAsqk}M~^krp>QpAF3KEW>9_$k%;eXVslE#U8( zT&{k--n*k3A-`TYu9XEan5_to&1k%qFMK9L%?#S&d^(^_Jss@mV*C4W5YhO8G}hN# zNz`z>>$e48bu?(LffI`q44ZmZmV(ovvBV+5J!zUcGX-fOg~Vj}?&Q8UhM69|puf_a z!H#bLfIF(=|6=T|qoNGheNh@_=pKd+DFJDaW>7#ShETdix}=dFN@)=#1ZDtb1Sx5d zPNk)rk#3}$`?}9Q=d5+t_Ph6Aa;<@R-skz{vCqlRN#Ih^LUoLMcwY_S`QB9BE{!>^ zlGDYbAtNgk&ELc%Q$F`JdhU6D*$X(0+txEosio12kAqw`l!q0TMMr_;fas^CZjk{B z@?K5Xv!+ACN*@70r5DPRpHZ;p%HCWT=2#cu_$9(IJ*kaqpkHeV6c79lpPkuM^w^4# zgsJA~l-O`h>N((p*XSX909fpZLrnjHMx(Y0;T=yymLmh)=xf6FU(pqKWo>P#aj>X~ zQmS!eD{)}3BB4B$7qvPY$1U2J2=CENDDT&aVIq=1akyczJ@5d1Dj+Ci?ck5!`=pnI zR)`V~apXwVK*lDw;nnMcNS?n+>OYgV5=v3!VhUFVZ5TXrKq^;31JTMvSx;_b7gwRr zv?rnvLKzOY&_e83mY%alCyDVfgT`MA*Yx%*`)LDGq0bA6f9M18RbY_(oFb6V17ro= zFNvnm<{CR5Tjf@=BAmzi1p1#Z=|%R(NS#vT`OF|X=T!r%)J1;B7S@|nnn>}gl}P@dxgf!%J`2^dk(dL~h~?y1ezH_iX~SNtWW zcloiytD8=$N&&s)?|Zd@ONy3 z^H{I#yM50+bI!S%4vj7l<01<%s|SSKl*}2b(*9U%Q_d|ja}hO-F&7u#FMdsd>VkF_ zt`#SZn&jV~xhNiClYGpUW`DJ{O<=pAi29~|JR5w=d)dbQz4*@P#9D&H_^Zb+LB5v;Mw5ugbZUdBZx>)g|t)NlFv+=6+!oilCRwP^dMalzA3F8nj!tCM+rG z^Nak^$v;t$|9%MV>frbSnM11TY?!W1etHNgqjaAGrM?rB{MsDYK zMDZfBo)yd%QlkQzyY|2+ujt@aSCC`r_cF>QeUN~oB=4W}6AP4v!EgnQ&)jdD=IMC7 zY8+1Q)h-lMpzgD-o?UG%I8QJ&V3S`@GnJYdv?BA~NbGji?@`zi6B6s@z zEz{{!=EJwEZ4ospU=xcQvI^4~+x0qc#+3Z0{B_Lm9<4WFPk-o&mO2T+)A~84=KAlX z0!gBWpQXa!Z6btx-_`DIePejE_<$R*dcKwu{HB+s-cmHduxsttf4J!Hz3uF3>HCWM ze1~+~`OAj26=CX2$|`Uw6@MxR2a5aeC7W;D8UftCxfjT3T*;U$VoD&IkV3X?_C(p& zh2~GtH$Ow0sQSE;Q0dLZiwD`Ql>L&X`2enBUmTuRl2^sAyMjZNnk)F@vCfxYP;POF z90Xk&Jd3{B;48Xy1`(lPJ6S&)oIhkRDE`K-dNA5K!Nhmpp<7yUu2pJ;TThGvc?H=z zta?d5b-1S2t$q(6t|F;6cT=FuU$*B~NOadfI^mv(z z`CsSx1tH;(qLLB@3lMgm8wh&_1+8!8$wb?W699f)ZQ!6~X1Y3$d4a{pwXAi|&C$4d zesmJ`Y||l|0e-%wwDOIdH-9OAEsAAwSR7~`uc;O2*OQN)>n9!Mw;la?$3#(578j^K z@^c=CMdH!?Dh>N}nB)jk=F_Gi`KgYoC!mAy0=GvAh}Tp~2{SIKO|GeBbuc5K= zi;qAPGClA1<2wxeOg)tFG}RKR_>WOw2Hw#%AV{$k1$4lM^18$KoOr|4#oq|8eRd2; z5P8vqzGEhJ1pQ*pGqz>RjMpGqsa|R_T1Q3_MXHLU!%~Tcky+>4Y`rcA-#fE zO!WfjW_!xsWl2iSh~O)l-2o9@$eRL_ZwoNa=7bk{!P*I!d$)axT{*!kjLdW6gV(cz zm=7W6fQo4qF%1b)Jq2v>S5PM~D)K0O;ma4k|CQN&z3b}<_VoWs>o0IUAAv~+1T^)B+Y`_UvqpenQJYt? zfL4>&3kf5>y6>tAT!^&H`YsK;$Z5iAaZ}+x)k>(f_6R`k2}jSo7|Fv|SY8Q&z+2

{%;6qL8~J9+2LT)?lE56_FJ)+DC6Aj%EUXpgw~R&eP>yqGVf z=G9W!kR3E-e^@{92+&?o$0si}n??@VV}toFg>Zl!GhbX6AePdl8bLNtUh!kICez-{ zw=K9?f5TOeHd3+_dBXyVKVy{})0%6tThA~SO->ni53I@@%;=Y*Oa)qs42IDLPj{Xw z6G2+-P22GDBhL^z5j4MMxN#!`rm-SseQnSQGI^s}zm!m&$AS4tva5hji}Q_>;Q&_T zRmqmqQuW^E_woi|06qIy_DuEbCSdRGD!Ri}c&DStwuFI~%XwHCOp8`m%6e=xcet0? zEnxYAPmGn*Yq<3~4P~6Lb}0M3BKwVzOTC050U@az+KHH|9#)t9)4PA)@)a7+9u<&> zjU3vJu@HmXm%~Ds2{^JmT|I!Txmm!PSQ-(Cip#>g9U425le-Spn7e}R>l1nb(h zf0|NMPe2P?@E%R8=K-JBqM`YG9b(~k!a;6x%K`qo+yI$rO;c(91!>M#q+7gLKII_i zvHKMV%$nJmvi2gm|2f20pO+Uq(kg<3GJ?aAq6g6$g9D}qf**`+B0YfzCzVERd{r^? zS2tHNxU`5^?2q({G-7#dAC2T)oDdL}>1A3!|O}-%@N4Og_=9RaLn$q7= zF6{*>lyFK_B^v3TmQ|MZ2&1sUUp}`#zC%qy{aFv-^g$%60ZE)lVEJ|kcCk)Nf-9>M zfGoyvDRxPUuwQUN)x_!41WL9HVdNS#YtZ&|C)8PLfCu0 z&8+(+v$vtu*rxTj4IXb#ksH`g=vjB}L2{N4RW2nj{LF3_x*jczT-Jsp;;uI)&PZjF z^|KvJ+$@16DW~xNy?9>X0S5E^tHVLh^EUdX&?g^8Sv5i30RYwRDAMM3d*Y_XPkR-Y zwv48#^;ja{%_%M}PEb9lxZMefPYgQi2Buv5^yEpKsQE?WV4g1T0eja7-$(zgOyTqG z6!I;0->X`4zZI(a>xqz(yq#?MS9JFpkEq`MI8h9p8;+Mp#-~2MLxFeMc`rD**P!|0 z>CM$%M@K{_7$op9D=SDT5$HXT8 z%MQ#O2qora-%C+#JQK0w*$B=u1@mJ~7GVj?er!*}27Ah;Dv8?@m=sA?^$fJGS_7pc<=s84m(gI6mmYj`+h~_}yG8FmpzL&_p zd8Yre`{atff8$r;>vISOP{C$u2~;iCp>Dp%{b~3T1P{n@p7X- z9&gflZJ)1kHYR%N7iq zcP5s}Jv5G;3*gpV;o^!wbcrsl~ zEy(}<%AK(2|MC;+%41Dhl<6X4DlX_f? zLuj9hK5ir>f<~35Ue&D}Ku#t8(dViw_EvD z)^Vr@2{l?bO^BkICaaiB*ht$lkADavO|mOfhRU7ov*mdQ80`uO<81g|0k4n`d=ao! z&i)zd$yTH1J<@?GD1OYIkHQCI4C z03!nNE!VT=+1NnV_w4lDES1K0>c$Q?n7={3r6HEHvzaGrsI#n;^fzaIgN zPXF&8-2)t%8!J2f+et|ealo{X)m<3TKxUr~d!*^;QE}v*uP%;AchAfMLLM!V$M(II zIN%1|M_!+Ft3Q){1HS&RdkPvdI^kdZ84gng``33Z<`{Q(lQuq1*MZ^F7TTDbn!=^o zX-R2y*RtV2J_5j?Kjs#moY=yROc%cp1yW&YwE)?Y%pL)6z8#wjs}jdlrxMacZ>?7A z(kL&exV>ls&i4UGznV}hxofLR9{GKk&%= zn-!(z>Q3`FHpJ$y=uODHmqZwk_uZ-6zlNCo2c{aAMOpht@d8od5;y8s13RNI{me>g}#$CaGoaTJzBjP z<1+Y~vdr&+!V-drW6#g{c4m~a_mQO9zh)gv8eVlx4MQtOT+%e~w(DIe^_$x=?}pG- zx!tU~sn(eWTZ-O~W4^9Xyc8R7y-Fl(p0o|wMC^4lnyZ9_sWiEMz`E9WUNgR&^Qlk! z$N8&i2@jVyUaE(0IRj|MUax0}r;g~uRq`Uv;h~Gf0@&Oi7IJh(v7EcN7 zNFpVjxGVA^hM3yXx6@nAi{K|GhT>gSi-ju60}hK7i(?NDkW==;et|A1x5Pjkr6qS- zl~Bs(rb@!!U5RO?k82O5M`YAe>mG>YK*Q7x!C(?V#4U||B0nBn)>4R~443pOk zAq!1p<92b-3PEMM1WHi?HYj}9GAs`4nD3Ea-Z}J&j2}P{>2+tNiesrnL(y2OgROV>rm!r%Ihvb1Sig!0h{sdZL!W3IW=#@}(Dp}g7dWES! z0VeCvhv^Lx2K?+Z0a@}>3UiV9M z!ax}+VIphvmJzBPQWW{H3;k|IH2(+7kN(0#`^(a*J?8}7b6&4UamNftmIO2&WqtL7 z@Nj)C1As-KeNeWx%v?tr^IjS3q`t}x&(47PzkCZ6y2tXo7Zwvkq^CQW*-<3&h{Mr9 zh|X45Seu9G{&^UTm8CVJI$2vW%4Sn5E$sfem~sreRh-w2D&ny)X8NbZ*AmnAY44{d zRs2y1g(w7e6w8#EL zv5il*0$KOz9mPh(0Qj`WwxY+gf8TBd9~^xYnx`;Ut-~FWEec0_wB3ZZC=LQG6HHWG zlY5Ryz|SpFZeA5TGJ@)Ttba*Lr<_F!@Mjnt%7=}e|L%~; zyvt@|{66aNit>;k$Q9R2c85D)4k){qw27Q^ota8xj}j=kd*pump$&X~G(p`#{iuT) z1URI#vK7O=Og+S>84$7#a0PwwT7AEOmR_ylo|6C9n5~V)Q?)oVBgwg0^A+iq&wkfL zcrZ(ZW~OY}X4%^%HVQ1g-?r<0QQ&+o;|S8oI!fa2se9GCq>Ja?5v(S5;oTh3;}mj+ z&U*Y!{(q+RfsE)lYJgL(V8awBUONN=lcUP6Df0%vB zwE2_Hu3+DQ^<3~B_*})TL_mYeo%*cib}yt=G4Qte{wVxrO7YU}>^$cd`1C5GzU=X* zHbcys!s-3MgOr>O;KMmK0Rd329Z5?JXQJEzvWxRl@IQ$B*+5JUe+Sw#U*BN>!Tvg? zUp(RQr^uqSAK=OZj`JASNBf!;nk%?F9R^HVyiW?6ue1 zCaOIgA|n*Ic5pG56o?&nMArSJyq^LjG%w|x6>H(_q)tiNd48MM*Gnhu2tv&QY`IvH zjN{=09QUL~$eMSV8D`_%t!UJp=^bL+C=&7n_VDLUh5!cTwwcgN1gDTa_tE5fV^JFxk6z1fAtpdqG(AXV41d1P)&Chw?&cTH4x&2Pb$V-xjPy zRPbSB0OF*M3Lb2Uh@)||cmMRO{e_JsBW@%!Da}bV3GK5BFmI(astfEr%|6#HFjFj7 z+6DU3^&?{q+oTb8nWCM9v81tdhByHt;LQU zs&p>5w}Q2S#Lned0=5C0zxJljZ!BceKqR6hy?a-cQJ0o@^n2qae5=KIgIYu*HDk(c7r+Xta8)wFzxKG-}aSLeZ&;qiJdA5PR z1X$sWYW;$8n}M*^TX)sc!CpqdCCV15%1lh*87;QzqEll>+l{SmwSH2JtsQs-?2 z6Vpbkp<30lC>6OT39(Z1h{-c195b+Zm(Rsn9EM=oiQPbIeyR?0Hx{S(be*i0cKaI4 zeYXF;5}Vb#0p^10X?gJ(vncQvNfR?u!-e)w{ZJvdFFp=MQGVQs)^%~#U-BL6;;4DF zEL~mcDm*>YGC#@0J{JXzmwq`E1NKS>5B5>*z0BC_VSi0>pIIwtA)x}4#ZQ4ifjzQ5 z0B?lvNbB0o-YGS+O;~e;L1xRu%*g7UyZ1_AB)FlUK=_D~;XH;UI))_Z`zEd3pP$hK zOHawO^mb_1&Ilp>_e&K#+yN+o`j^TI0d|PfmZ621H}hSoiLyGq*415>YM+x@EuoJs zzrTnX$_2gOYTKwt%gTDlo}$sC0>WYw3&GdQR5s`DTaw-T?F;z z`D}KvsBTARzvKK5EmT2I7U%YYr4;tV$QP?hg9KHcxdn`5LaL~e_UDXG&?!j<vTKd2uDXMVekb!FH_ZX(g1j@{2^K zTp;GIMQi_EgIcrZ!1OTK1z0&kOPDbE!MFl8Oo`oyPyrd%hC|201K~Bz7Mf$>)!;<~ z(nK9xnl7w1q94xeIiWjrW}J?J``N+8@>F6$SoDq|9ZJ#DKjAkq2x>P3>P{JKFA0IS2gBo za2>y*dA_yJGf>NyNBQy-6+n$q*8@~Fs16K2d)aLE^Q5`wug)kL`{%YAPuQOXmm(dN z6ywIIXL$qdRda%sP})kyr{YeuEZn?;l!=@y21^;f#Pc(eYkmO=NDVPm?u_|HLBoKcF)f#j;rOTiU``a+z5jByq!lU)9T(P z>OLlHUz4Inu7#*>LQQlHq7Z<78wqnd9%h+dF!GAZ^1#;Z89&5st05rqXF)JT$^Lxt zea9a{GPwI{2t|&HQ00{BNiXKUT(oLoqTURivaPj88PyRG;{K)9H@^;Lkw19=v|O=< z$g`4~q$MGr#M4yE235+KQRq*)p8B0lRmq#qe#QKH@pJ;pu|Wc+en|7?p&5_+!SbWN zdjgihRxHGaho*X8XnX_pa}s4TfJoCdZFiQ9!vuGYATp2cWEss_Z2&2Sff@L@Lbchw z!q|$*FKRCaGQ9RlV@ z9PXVP>L*@L&bypYUe4eh?YFoXaUB*NCKW2RPqS&~t{BcD>p~L1Oj18uFXtQz>!Ot! zSN_|30?0a(2HpGDMAOpwMd5}*t0G_ST7Ahb7;hargrtkBvp)q3rVaoFu_Ev^EjwUH zCTo16)aHUvin|D}TMg}rX-w|<4(|F~k7 zF8BYMmC{-uh@CAHPkxZ|_!h90tXI$2*BAps(d~v{;+|PseD4Pa-u%y&5-ZwhDGJJ|f5`Wt11GYJTI6$-$Aii{zkf$W@#yPB+Ka~%W{CB( zMg9yg6JAuXgg=sO6`3T5)FUy$&xb-Iv2W}Ed6G4{RiPo6{w@=O80gOnMV6?%RPQSb zUa*X~Fa;x9%KeW6eQrcZgh@DME>%Vtu!a{A?@}4M>*{8nD|Lt+x5QRwV_8W{DiaUCy z+KG|Td^5>wRpRWAV1pt29BY&AF968Doye&eZQL7=1!iwzPc+YovfI|%^+VKf^DwrGbU>v?&;l{S~@zX4u zsb-^2v?cx1EHcG10a@HK#RT=m1i26nmPEi`0(f2q*JEAZ6o!2DXiKi=Bds$jcwpH? zYOE=x^oNLDoQ-9{v^x|Q1!fi=$eRCd$BF|JMj4fCmO!QB!<0!o(;xF%eVchuIAZ-V zX$Bd)JfzZgn#4qw1PKV_WTos|Ws4jqtn~E_l2stOJ1W3_YVWmX)G&V{;ro`8pg}AK zmG`ot%nGe0Lbl6*9%4f%+bt+y)vmMIt^JO=U~fgQyf7w&h(rA1Xh$nD;);)^vMO(v(O+g4ZUO3W-er^m_0C4I}9s`}fh#SYVC z%fC)PdI|~@pp7j|CBhXrkO+O~BUq`&GY?+)SS-^920B2JHbW0}(X5y-mZc!^*{w?9 zb+4hl>fwphzpJaOrA<)Q-4&f7@?ZK6w{`{GK>v)UG7!CWWFG|O&p(EsGK&-+h^FYM zqei}+14$f4b1zLn>`WvVv)>iQST6ARL`~8Au);al%)2{z&;`WW@y?B|R^`Ix$HYGp zsg45KEqzy@zCJ;#7s{B3(O>DN?waBu#f$56&z{HrYs*^vq_OC9%X)RM>GacV_?pG( z2~jQdYB(XU0d*SE8xT+Fa*9Zepm1he8jKHsL$#wE^E#v zZZS4MkeX&F)tn&iej|=b8 z-!o>4PZG!dlzyjfpWTRHwd(xGbD*Uyf4_&34ZUBjWJT8*$V~!}X>|N?9ZNACzhfxm zdYT@{MNoX>gDHd&(;0shrc;WHqg3@XSLHZ5`hLCZ-optprCNy@a>5lQIEndf8~4!W zttb(DqmL;qF4ckk&e!cCN0xw`A*tAv9bA(3!#xCve;syulEmM zZvt{ZpAnU+t-SF+I%DzfZPik+8CF!@-6i10R;AH}U=!d`{Ic^RilsXF&Q35-5oGZ+ z=CI3!S%DQO6sa1X$8vt#RY;$bOr#pan z^Y78~b0LL`fQSd0reA;{l21;p*^A=lWoh)wy=1(ESAZds1xV7y+Q|tUHmqijhtJKy zyxnB=P2%|p8p#Oe$R>hI5r)sj323?~<9~^c&JA1E&w4}n&~VZsh1Z)(vRRKvsa3tB z$E*=??hGx!!)3r}x0|4?I{iI4YCH^aKIURHM?MxG$i&0+Ff>0BM60WAXcaJ|r-bP- z@LoTB3DolxMfTR!`tBjqF3PYdzoszG_tTdLPL^~iB2~E6u~Z! zkS)BHgmaH2-F(gQhTLKDTi^J(&f@+2ScTmn*nYGd*8Z~6ZPhBJaIc7PFcZkm(C^2J z+$ZSCf7_E!RnN`98`wFeCmnK9SzM>VEfA_hup9Pkq${6;v}s7Z>AVmMm+=lAhne7Dc}KI-L2fNQxrISqvJsR%!&|>3zV#NXTq;j!u&6CW*xwyZT0N8)Sbr6m z-D&Mb8qcAsZYBO^suRd88=%(pgJDNbG1)|284Z&k6J9@!B3!5AZs7l)S4DLk8_-$E zS&-6gk(%s>B2G(_p_)Gk@dGu(Wm=7nzGz;53~v7Gb1Sc|lZ2#Z@a#W3H-mDUH~(%_rkNh71q zcDE~4jlQO`W;m?*>iAP)5P0qdabnO!W|E*L)8-%l`-0oAZ%-JmdhT8Is?04bo*@)l zOcwYVz9+?ZtRV#dSYty2S!LMj=up^-F9q8= zEb>wq7SF(MpR~#;2E&eau7yZ(F29E;c9p9Nczx~z(S{O$Q%`c$2)r`zch;E^;}G@1 zagWNRGrI6?vQQF+-la&R^`XvvSW6D>Wx0TLYoleIP@f&)iamz&KoaTaO4_|!pUEz8kG=ry*r$K0G8_DS8ryc#C7!;DVNciMOdpsG zxaeaL$T9BvvSM2T$Pjy0zF|CjoIULu__DFOm&v%~g*Unt4D9Sax(g3!l9I2pzom7) zkRz$n{rp`+X5v_w)1L%d__(yxqK*m%2Jy#P#lVD|OcXw}wyzB?&TiO#l&^4hlFVvL zLaHp-?PT)vokmcpEZ|~~Pbj~M0o4j^pT)(>g?wbBwJoSs_Gd-P%d~?i~ z$U7ym0N5E2@VM%WL&+CEQ+bME1d)Lv#dp#Jb(vZq+n<=p4>yW=BN`7xw)~m0RjVS* zwc(R-tfLqf7{oU!m=c#Kovh}-F<52TW5bO{!;elKw@$ zoXHv@aP@Y*3SwUEe(RS3WS_p=XW@P@#3UO|urcXvZz6j%K{36)KJBbO?C>RMqmmIU zuuY&2=pGQk7RqThYhAOyANBR+v~0J5Oh7=PhE80OGMIJwRoZsLzZNGv&_3(WvqrVh z+H~rUwPiVu z93Fffa!_-_I^Xx4J6@{kkdPvN?wWPXC}fqY>A>Rl-3QN2Z;S3p4C3s#;BObvg!WAv zYWL!D;Wqecd+=r(C8S69Z%Fh1WD1ojVqaW`*s_v1UvBDYi#+yQnX;=hZ*&hU5K+Z5 z7#tZ_T})5=B-Xvw@|?wpMk|lCTv67b%R1d1Huk>Y39s=-0Dd<*drhPInk&j<%wC#m zL>MCtM_Vbcn0APZN|Vt;W8A+(Q#v$C%_|sSKCuME3`RiHUGbpA1E#&m3SlJ_LXx2C z%};w)Q)x2tUoS~m(9utupqn#)$X!$Zk4ZA0p?ssvx(IL~Sz-9$diE13bD&Ob zimZ#ii`*;?{$qNS9bWXQBn<|t>WstihU-hkQUBVioKT~J@+`|N+s5bWkT9d+rJ>Tc z-cW~%A*wDqZZ4+bMolN)m#n(dZ85$gaa4{Lh6@|%Ze&^}2IS43luA5cxcC_fjj9&_ z=2<(uz6uGcj8lX)X>A`2@J-kC@i=pZ?Vlay7beW79+0A?loYSM<^#YPa(CGj(>cX zk*Enxue;ONch4#yVVXm9>N^h4u>}uuCv_ny7`{9CE$4qGl3SR$_WRT@x5tY02vA}R zmps<<=nc16rZ7Pns?4F{#4_K*-4M*7y7QIDOw12zmS5Dl)KO$kR4o(O>fhzae7299 z3BGSOSg_Lq<^1r7b@OH7 zL7T!QhDT>%8lxH5S<}Q~*Muo=m^Qtb8f!iq3VG0AymoGWdU~SS?a^D(+bg-&bli;bElTVr0#JTDch~B;&PRm2AE(G^=)M_|r0~TN0VZiH2rrib zM~N^@l$!(&loGP-Sv$+ca|Z4&7Jj5Z&OT9PLc9}oJhv18 zB(D@;!N^Z1IBKX##5Owe$9cNeT}ghN(e!8t7XCv1A}IR>iF74Vu9zl~@@QH%J|XFu z)TlzDDlzFOeK?K-l%B_{yagewTt&)R5x*O3xHvQwlJ&6TAwkv#jkNF31@rTLz-Er6 zTe*)!+=V6$Gf~sCkhrT<1p}q@N-E!y?He@jAd^H#OF@sAD7DbqpmFCTzR}&pp<~r` zGOy!xF^CoM>rmJTP=c%!iJRdg9bW1I3z3H9ZJ|`yFfDfbNsf(cB8HPJXZAW%Zsqd+!g9g@DjT_WQ>mP&oc+=2k#C2^M?GrH3=gunFe z2|ChcE?t6IKbEncE!QX~dmQV{=$LL2N76PgQdqNUMz=kfl`kBW)zyM>ZMT0HjTrl2<0MkN;ppc&vFrkNlOc;KEnD~;jJ1kzQ@Z%98jF@V3py@~Q*h}AR z59H9Bm3P-^mL-V}>Jq-)bH9U*m8{-*-9Z<5aug2g9Agz^BoaHl0+7Pi&UpUpk*axC zYe>8mDBE z?7Z}GCXez0Z-*g22_w(S5>(aPgk2Ynu=7qz`hqra{=+k<5U&b0+{6Z0On(3 z<|^a(yH#r?Ul%vyB}^O3-xNuGDPhn4VPpLgO074-yBS~&P%reWB2vwvb?5$lf&y%r zk452-70KT}jWRxqgmsINhSs2sqYt)TMg4#PTUPJZPM%A?_m&%&Y3cIacE-PU%~^k( z8)`*7uV(i(vG1dLzxH?(E_K~HhK+BBSgn@Afv^G$x=(SN9omo?@=XzJ5=34Tmg|S7 zw98VmR7Rf_NK(#v*4}PjDDa;wfQv-jN{YB=CpFE?f1`)Uy|-9osv;I{>2D#4A7#DK zz4C>`Gfm&Z?oAK0CF*;k+#%V-ghQBZK+v=Rc;7s>5;r02e%6Q_LozsTeN*)ad#iI%gH*x!hNLv{1-#r-V% z71`YnLQ~TYHL3JSlhTB?{YRF zZyc2t8W4^gWx$o`x$^%kzn=U#6Vuj5 zw<<-54?y?>3@=&a=1ELKhcs+fS#b%bYTe(jwM2s3J(Lv*1;o*qurw5c!g1eC{9x=G zbP?J2%^zrfn zFy`)&?;MPcN0zs(HAqL6jWnbU3IHQ=NWk-|(Zl{2h>?$_esSpk{a~w^rC=ZnkowNl zdDb+}|HOOS;_+9&xD@D>h-vzxc@&zEu|_JMFJVa&t5n=5*ao778_rF&%nuM;1yAHg z99{aAr?uj@OCxdS^_v}fl#H;bMCS|KFyhP$X>(osNEld?GcHNC#%AfP3YYdEeggY+ zc4O{TAZlG;(DF?+4hhv~8NvK|Z2dF_G6;``RmAfMB*#33!|#0`ylKulI2^!)Hu z933Z#Wm^Ma!qEe8iq^7SxoGtByhK89-Od@~^Q2bTwL&6s^Ru;h1u_CbeN^E<+F{ei z4c?OT#N9MkAS;ZVp6-Dc;LiRk#@0>lrV@9gGW#hWEaal^;h;CxoB4Fxn_!)sb3HM< z+Wj{k5XN2RXAJ^EPOU*BBO`wWgtneNEBf(Wxfio{G)>wA2C7=T&@{DnSXvUy7@#_- zeyqR+h!@Z)rhSZt-!J(C$%rQ)BF-Nl^}b#O?3`b1>^yO085vDu&q-E4bBoBzzn=Oc zy84;N<6;yf&^Ku1VFgHVt^{;JIN&kdy&*mSKmM#E(kN9blYZ`ucZUz6?*$=S+_TN zCyWf(I94-oAv?Is_#-~Mw#+Tz^oH9^%$c3+l!@H;rBbXTE}zD#W5 zw7h(YXKrz=%yu5&Ko!Fiop!(AhE%D^3#UM>WxH1(>A#Nf3?|rry1)s!urKa+{9XFa zRxEB-R2G&(Zn9asZ!fC%?jNnNbmA)gIoy0C*5W{@|OQ7u}mO z3urfCRdcY~<=y=)Kl3>;@b`${+P&)wl+Z2k6Ym8d8-yJHWpMsKnNz@w_zpdld<1ckm{6@Md=iCqLk#Qv(tvwIqP|C_&z~mLYN| z3_Qg!JmN0V?wpMFr}0uhQD1C{fWw>;*BvG)h97*qL`>}p=Y{Qlz@MjK|+tE z6%qs?fV8J1dCpZ~J`7>A&FBURQ)^iyD*VN-Y>(y^@qYUD?S*K21eIuXZZd3oVAm48 zs7y*hC09GMoj1>n9RE{0F7bD-B!T*Pk+R3w3@s|~4qe-?5B8&O9yA{siEmZ=r2GhT zf9e@1UiO%Q1~5lGUY7(8z&kWZ`0ls2p-l;KBzt_Xfutrm>{ESaH87}`gQBR^N}#a8 zqzDZN9bqo7m{aF8NeF{FY=)7PWa`4KbCbuU(G60oT|b!_0Y`^yY147Wz-Iz{6?GIY zS%#LwJK4&mBr7bsgR2M#RN@theB}~z3qa~S_WP+9*231%cO>9xFOX&Ug#aS<(LF-{ zd5r!8RAK~`F2OA1Ze=6s$ktGEhctNII$Je5FPi)T*a1omjrz1_0u;$l&DybU%`(~# zu@G{mW=7*6D0lbVzu2gcG>~HfDWTR8N4*sTD!6N<-45+WS(1+aj*v2-*1%*Z|Ug3dqYi~ z#;YL=?di^8rFdHKZqOol9e!cDgc=3_cjFaR>)?H*`8aSznMyaZ0+ zXGQw(PhCVgg_%x8XlFMoH#^4E9U$)0*{WVTEV9l)U_fh22BF9EL0DFnxiZ~>Ysn*? z8k#?}Hb@lq>L~qAOfrkW2Bvz>HE+am>Z0$HQ?1{Q>QIS!G^-|?UYZiO1-wc)Y(>nj z-0LMnERXg}GZ}xXa}9!WBJ4=cj*WY3;opuh=PG9^7h6~=EG!h$1z}3~G#9fBcl@)o zeXr}_mzpkW6E4nfE~sb4xzCDF&-A>%r2&0VK7|qK8&s>GdwJ;(dtT`F@{-L$vkDgRiE8<;KPVuBMRor%zf^o0nv?MoFl%&|qpQA;AdKh2yP!;va)! zdMcVYbtS9Pk#T9O%x27xtHe;=A2W&U&nRySUBh4TQ5EMn*5!D=U*mB`>I0g^-%?gn za{u!jTU59sTz~b5Hl(g%I35zxcv7eYT#jPuH={IWsU$b>iSAU9Ft z5v&}fkr-NWZ*b6lJ2RM2`gZ4~@PF#|VL{?4oRlYG{#Hny+i{W~Zp}1dbgnW(F2oEo zG8OtdS?X+$XPB>6q7~nm>#e9M`qrNn8Y~`3$-Qwdr{U>IlkZKY zMRdi&*|D1I!tM$|%2N(;n&1iCA( zWOd&yxost#>diURU!K|N1BATn7h4fYuvlh5A4rUU0se)twI6a@FR}_M!D}abpiYqJAHo{!M-j2U zU4ctgczqvBy7Kb3KrE4hLgJi@_kK8_z&v_jib)&}OL9vXapi?q&zog3=qw`+>Q++Q~jweDHMD3>)!vv*jt80`KayQbPOHRHIgFT z(hLZQ#L$S;h_sY+=KxA7jnn{=QX<_$H%Nzccel82*Sq)o?0xL@U;Ft2%om;E@V>m_ zJkQ^X-Nee*yN2Lj6BR`ZV++$d?4J#(@O3g&@yKoEeE!^fr>=P-1drtCuL=d5U-3H1 zpu(fbi_><6J{{ITge6X?Sl21wz|sy?9gsG%`tFhSO*yB>IXqx-;5$q+Gi0W*4m|{2XEqeB&`{!=+x$<73$W6pxedc z?XEv$F9HR=&y(xYb4AsquGoFiHJTSF4J&!A`%QPg@DqolAax4uw)8n%SdHAQ+Q;cw*cE^eR(TgthixShD{ zZ_RjOfb9PLclJ9qeQrMH^cO0a7yS&oy1JB>Y?V!7<#V5l*^@T;pS>a!4ps;^2_z$p zQVQ=z1Kg$iSKiwmjoWUGd>tC5O~F>>-RHfD>WjglgnF%~>g#dptZ^!Az}Nbp@*j}6xFDTG6ghFR%`a%1<&+$% zaf|vr&tBrd{DWWfn64Y9rO6`<6cIvwZaG39JRjE|5t90z1+@rg2M#zCL_2(Wpn<%c zbjJg5teF#o=9*u$ws(y0C#fM|HwfUfIE`qyeiL~ zw4QN~b3xka1*er}x*OuKy)A!^4hKB_Nq7t7z>yW^fX|^C9*ER@Y$JzM<^ue}sBpfz zY)srqu}j<^6747}Gp?7_7cZ<+yIFAGkxC3BLs3<%`GwaqX09(rtjU650?tIy^aZD@ zMciV&ysl9RGpJ685VGP|L}@(uXf(3#mrkk3@tH%Ia*;6}fe^vr=b#?5Hezz?nsN2= zOL$!!dVz~(i>ePKOLec(efJm!My{u zZ8^ha$Khh0ltNFKDd*uEO=~}$1R4l%#C&hCc>hfDe#YEDI)GtdYq-e!;XcD5MwK0x zZs2cPT2lDM^}z(t&SHHRw|AxED*0X&2MB{IOzy`Hc%%$`G2$i@0q)L_N$o`< zic_5iL8~Rk9>SqLzH2HZ4j=`e*pg+Q{>4r}xCo?i)Z512JV%AA8_=-n$Rd&^+^`2A zaFXRsb$U?`+v3Bi$_DL@XcfH1Qfc?sQipk(+^Xs@Z%Zs~LBW*FjE$A9ug_IA)L`DW zG}_}j1#znR5K0tv1N8JLd;zl}@efgCwlgtdJL&vR2#tQ>0ETl-AfiL+v))&|xUcQF z9`tz?4UHl0RYB@iMRp;7Ru7B5Vx}9WP1~d5omFvAutT^hqTd&!iT_}Z-ajFk^lrYP zBfRzV{4HIW=Du<&Ea`F4U=Q4Al;SZCJt4y0e9`O`uDke2NgA^?b-tjlZ&070sjl(s zl7W2Rl8;{139O49lN0yiWYe$_$1xzU5%MzR@9e)xh#`=ml0;C$FA;G-F*ngbKdAl) zJ=;kS6%O}h!zd;#D;|9T{0oQCSTV|;cVx)q9cUhn`?wm7r_zZcQH#D-JxeIqVRSBs=@pHnl@Z!u6TgDUvv zL?IP$i&T@qot}~iy9E>d*d=<^OXYtmNQZ2wfByIr5FafKaVgmu-u~_?A2an7GyHO) z^^U;rsmjD8@2Zs)+kDRE8s zhB4^0;>V_er_t@f_~%jPAuH2hYiE3Ep|ex}I;Pyl1;6=~wrERfN)I{$T^?^E9BQG6 zW$qwI^*cq3A?LCACL)2i16|9ZsLFMW94xd7_OX5Z>rd+E7&1l(%U*1Wpu+(mO92Py zaUi~G;mGiDeW6BaAm|Nw#1_98*fDmoEJKl~`Vytuozcx$-_Cen$Y;g|@W^N-=2q~; zpQi3_#=c^8Z**|GX@H-3HI^7xwER{Dj`_;v_J_BE%H{0+8^lm$=!$%-Gz}U^BU8eo zQSH+eYmoWh0H2=QV}`7ZMtbOsgRpGrxa}OUXoBQ6L|WR%+U3^ZX{DQ2QsKxj17QP@ z-F2;{h&V{_Z>qO0=$;7mf;A+t$lqtOJfrnmkR-;) z@WUhh*%25UX!V0B%Otr(D1BPuF=IV=_B@-WCEQwV@NXt)Qnw0v&AjuuM+8-IJZ$3)GQh+zCd3V1sMEO z8{n4BALVKz7G#J>2uAx#hx_t`(@pxu$mFWbPSL;H{qbca(VydO z$~d!-6G~Q_tYcdT2B}GlJ9={2ug zV_cMN|L7uUp@_Rh&92jNW0Y2|e`1h8OCTsxxFV;$vjU&e!2ZZ+$cIMHJgn|0LBOs} z&7y6SSC}to#BN0aO;1;}vBl~-#n(>@W1PF___%XdvRC0Pn-9Z;Tmn}zq)gVI_7@J-BH0k6V=|Aw9s zpp?HtD;rszV-vcX1ZXy1Ygi%<3vB@UVW!TDtdW+s@bECFrZ#+ckwM=)e^k=lAlDY_ z_I(iK@xnb227yTEfjo=V7TiD&Lc#>1H6=d)t)X40CL|b3Se+^t_MM1aymuH!_qYGC zxTj1?%ph@e(@7HzuaFtI@ev^ua1E~|iG@XUfu520FhOJy)FlYH3$6O6o%wtWy;PYD zj!ey!&J@(^eS?yUcR0DBAau>6R}s7z=VN!FB(~NgIf1rx_^Q1FC-feZNsrhO}P zM`wb@#Iqa8_(sRny>$8K@G{t@xMd&&JuC(tUJpU#fqI_qzX}5Z%!VqTSXDRQzYdpc zhI#X9t6Fr>>mhN&Xc}PT!TFP8SpF_ow>*2YFy~icj&AvzZJ&rP9LHP=IWAkk-u0bi z)beo2Fum&8Psu3vXJQCyrGXs6Qtty4xciKm>2A_6y+iZcy~Q1Wjw>5^&&+X{C_QF0 zDa~Zc)JrC?XfzpTb_Ebd;A5}b$i2P{ws%5?1R|{yDj`qZk3w)W9t4h}4oYW+Pps+K z@tX;kA@e~oRNqFQ6J)^9B^&6y3j`kph7fXY_pYP9Eo=}(H7OJ~1yM{yEK@I!W;SnbqAHN0fr?c3CxL8-f|J#$)rptxYo z1|D#<=-l6bH@ayFOgvP6FImVRC}MkVjI=qxZpY+K1x)JLk0+o7Ql#L7(R8BSrh^Ob zZ8x9d_j_-A{Lm%lG01mon&Bpm@J~mmEwN+JNkR5a=gsxU!|6s9ZrsiaYYXfsfhFe5dw@>~lE|nL zchXtckLB8md<7#C^zza%uK3|Uq;63aIzpSIZpE~9d(x-)AzYcV z0;!6UpA;T{T33;Ud3h6V?7T|I-{gBH2#^^Y6nE`1!@!egC0-3dm|*HKIu4G{0%kv) zh}+;F-u5FSaM4J|sZ9cagtP>dZqMMoYf;gdNi|h>#eeFd4mpBWlmhT`yR(+Yekl3p z*vXqkw$NUi1w=QIOl3vN%z&E|d}RK_gViFUrQ+*wfw(_DzN$Kh#X})_VmxO`EQ{AVPL%JEFf|-j*pMO20Vj)O*cc1(i0o~ z$bUcg{~u)XLrGNgDyVR4>(K+lAHeNbS>4cYAHO?CL!@6*TUpy2-9Jo7^t5hdhOS={ z7S*M5pnHwN?*L+#MBy;v+NzY)Mk+{CLO^)+_()k;FaoJ8M98P5JQY;Tqi9`;ObMYd zY=gqWnxf4M0hh}9)epf{G`OV^4yPo_6QS^q89oXs*VuS;5?fAr`Y*4+a8yNWzl_(b zq2^9791gmUd%Dn3G=B=#Je#5O!-^n0VH9f=8SEMD(Hxs$@q_E%h1rn1?gDxxOk6{u zxRvW$dh)nwvcZ7?DeGSp4z6bMc-+P2uxyAh-8u}RY~?fP-FwQ1gXjzTO=899HKQ&% zL6xWFKGBeV*7b~0ScSX}fJEeW(#ezTj(jzYdE<9JGSuiAD=qT~x_xgXb=0!RASGq* zQm}=Xz8a&wF23~pwqITO>Ikrq@hL3nmnu!t1{1LS{shkW@PzS9)3aqD1)Y5HW|Al6 zRJP>p{q5Iga!Pg~S@1LIRNqWz2#x>tm=ZOsk+erl2@0Hdy|7oZ=LssX3mhMl?7n+1 zkzJu#p7U&qW|H33(9QKVh$~M;77>i0YxT*&0dcarc(kl!6@1fJp339m%Zst$_V3Lz8S1Y@;Nt&6>F)CXsbDC0%okj zyj|5vTKb7JdmUQ)vT8-_D2PIlr`1z_n$dHC6G@6e8mXaf=%8ZI?dNv1tOx@zVE6Ht@pY+hA2d)rz=W= zkW$9Kgc~|yNAJ@7F`MxLFSj8a4MV{g4sWQDFiuqLNvS~d!Zksub-F1*{ z7j!>&p=;DwA7&@2SNT351P9Nr(U9EXGPSt2+inj zKB?N!Q~dT&yeKj)H7geyjwpUQv~l99yStDqqk zj!)AX1NnPT0F7+y3Ad5^KLGve)-djue8?j%4n1#~rNF=ME-+D|nVS=@eAl*p3|~_+ zA4|2<9C^(QgK|#5nJF%mrn5 zi@f7sfX#gC@A;I>OzCrr0kr>gfBBy!?~v=+H{Z_xr3FC!``E3BY1Mfj(75+W8JKQ0 zRMmeFrm3y}@T-)2AE1m^oT$w_hWS7LZT7vr8GpE2Y=sKy=};5e^&a)?D?m+_6xN1- z0U(HGuLS^fHbZJk?f~8ub!Ek1G*IcTv)@WBN#E{w?7K`PoJP)Z+?;X zjN?$#HqFxr3Sosy(P4ee1-yTPj^Z)+uup~tnw%Mv%V;{WR@yb8@Du#Ho+FY7fJ4`d z(DtH7jo4cCqq>c{i4McM5TpV`DPircqxVfiJXoH@M%#k{cpP!H?av8_AcV%yQPn*z z-Zl(+<>SB|uFUHuKp;+G%YEz>1c`o}W>2LA0@4R8+Q&cPy@4q~KuTcdQHa##{nQrQ z9mCpaEIR(&c;qtFZTMC8D5;G3)>|CziLIhYmR(`*5O6Q)3Gg(LlsSl2n(Uc1&vju< z8d8?Fgb$lV#B9yejLU-UrBUHJLpFQ9Dwn3Chp5nPw3188r~A%&d|&a%E%D%fvMYU6 ze=%HD^FT{C__w7?VO(DO?gO-Rdy`T^wmyZr?)LVRSEENiM)zv!w9foAJRZoqRYggV zv*g>4us}mYVZ{72Y_gI3+_ltZFZbp*rO?UJylyNR(9S15@zcvczs2-$xbnbyNIHdL*Zh@0+pI2FUF-&8<_BhodU8b7c z_Wamd#_{}E>6HYLH@@U)NJ$tdLjx~Bg!(A&l}>YIO%QF#UPIB!m%Z0BrNVUwJ84hV z1p4(eSyLR7!mTJNHUE`Y24Xc{JE_CE2HuM-nAK_+r2XZBChbCep~3wOt0VOF7aERr zMl3o3=@b=Kd*d{H0FOAB2M1~Q)$P`iMHN2n2<7@3E2LsA-a^AQ0R^j($--?7q;3@_ z7}-X*&L$Qf=WkK)3)jB2V@tM@<)esvrOdbO+n5#d+k*;SG;O$!bopYk4ZIMtSK_@~ zu$SWdPyV#Fkc>9#gD3bh7acuMLs0|EQL-Ih%CwmtfS|^)Qf;^ke~fv#uX~e@{&>eu z(Ci$wHqpr5E89;-&Qskk9@hS5$YF2Y>Ec$}O!^P8fnpT66MJq=fcmBDFU)133cx%H zcY$~L~8?+DWW(g2$eF?)z|;&KU3vOkfl%EE%+ z=QqZCQ5#B{dD*5{WxiGwjd?n^adIByY(BM;IpR(~>^vuv5zN%nLu=QuoWTVm=;Pow zLoB+x0Es4}nN{mwt>4BM&++&X@J%uC?k#I8XGq@S(|ytRbLbcl(5JKjJqZdO-kP!Q zgs8jb<+{_glyFjV(hA3He zsI}~5Wy6s;u33(eVvG|KA~)&FKqPfr7>p|n^<3Sb^`mtpo{?x(8A$ltHXsVLW~MDY zhe7kF&UvFa^W+Mp-$T4Dl7o=mZsB$%CUUW6NC#R!2&mCMtmS&Z{q!-_sai$_FQh2~ z^tK*qZiST)`ItgGLQlcmP)Ie9(%gaOedI3TH@R(m9py6v>(O9$TeTXp?hvdq0ebkS z;6~RTW3cB0qh4ToQ3B>ls2?^*9t;gc&A#u!rIj)*3tkJYB>CQSjT1Iz4FtN-`ijaT z*b)i!tbLdp$o3(jG;9;Ng(m7aPhEXPc0CO~&A5Iqe7)*^KeRWhXh(m`6a{4twx?49%5RzBe~YLu3^05U``!< zGBdoLBe)%>n2C$UiC8;`-?l;J^FnsErFH>ys zBGQ^B`g5k##Ma|N`H2!?MbKU~&2BYk>*B9Pg=Q_y(qdbYM@pYq@8~|>a80`uP&nH< z6AnbW6!Q#$llz>e(jyUP06te(tMIl9HGy(DboZ>XvKmOHnVfQKZfW^Y+Dub(Sv)f( z{Anl`nx=rz(W^G-7n*?2+D&i?ErjXKMY=&Q+R)Xp0&xruvo-*ma5Gu9l102q7s zkdU*nv4(9`bN#zbfkg$M1bnCHaXx!wN+zdsbdS035DnmN;Vg}0pvjKGHErBRgocOH zaO*@Mg7gV&se;chI=cQ!^{goQgd5x3-g`65-v3pjkbYoUT_7c7^7P4$%X)oLJ@~Gq zo-Spt?$%P__*FLS=JP*Pn;>k7pp_nfwA|f-SW_G~6iP@y*5>uWn*F8qc67zbg0mK| zPXVU~^vVkzK0L|GZ5;}4fS(_9>!bG4?`p+%A|JzB;oDdDrb=&Kzh(YUQ(%lD+Fydp z;zgOq%1hJRpHjb=ZoNi({#=T#o`|-fE__S{(Zx{7AyVxmE;Lv zru$QO1q&D(32IrRKWcNl?{@qfUURu>;r0KlZOUl8OsFE*-@^Go?V;UPv#>vAlo!oX zugj&b=>D$Xx`)0LFV-QrhzOFLS^voKE;zY$p&!+;=b4IA70x9S`o z!4Jwm`BJ<8CEaU_vm^avkUsrm+`|Y2yg#icqNJ-Vg&|~{ec_vMn1b+8Y0pXKAv$)?RNtMb^zdxC-+WF!fm8cy z)DJLntyF2-^i)xUFzT&u({jWIEq1xvH^6}+iqCKx1@3#8s<>5rXXqt#ScDL0!BpKo z%#rpRJeQkf&kG{FaNVWTQ;#g-KTX2nm;mxS?dH|qO*PCEy6cpgo|J<(XO$-JJ(q{LhC=^>H-R9 zz!bthoDM651|sF9jJ{VS!vO1W3qH|YNK+q=!^WnpEX>}KcCy)i;&Q^N$^=N*8{Nz4 zQHFg1^Nx>e5BwA*E>+epm|l2C4p3ZWY1pFT z_i@>T+3sD{M$%a1oXxXdV#`K7x-OQS2RGk|1Y8-IJjGpnyDePBd(OW1mgkzx z$`{NkG)^wh*@!^?lg;kJZ%jQ!~SlJ88=nrsBwxw58x=Nw0XNZvd-OT(j#1A{sc}YI3dX3~liVYAY5Q z$W|K20;!Bqqdvpe^-A(X)hn z0IMY!etIt1Kkm}2kw9gkn*URoZ9IZKem5Q0^ao+fqi89dk}WzvV_|))pQpmgy;<0R zTL}ARlkJY@pX6%C0aw+sIewDyGgPS4j7D5BElNMU_=6Gb0eP$b>C0o+#4hF8NA162 ztLeww(y7q|d(M6LtcdR_eeNPRmCg(ME&r)W{M%eDum!~i+ye(m)0;=W0Ijr<_Z5lO zb-tq~{?h$L>@qE*--h3-f^X|nxULOSzPm1_NXFk+Bde|VS0e~5$Hn-%Z7Z9< zqdFVAtOT~vqbCJsNayT|gdX1~NB^2Jl@XYrwHJ}VPC5>sGMem^ZzXiy90Lb@L>4_C zPQlq5hx)NlMB&QyO(aKpJ_hBpjZp z^IG9Uegv+{?KwS{c`pV*E&;1-)NX$3lO$QhEQ80hb`dNT6DqAd`{lD?Pfm(Hq4aP? z|LZe~YhK@vsZ<#-h98?bkyN|!qjWN9-JU6y{?gU27M&LuF!4Y0K=eqN|H>vDXy-4= z#=U3WxmHe7o0b3CGTgxrzIkkx9+!l8KfMroPQCp|$UQ4c1 z*Z8l~U~8mYd5Cl^PgqL<6w=~Tv^2bi*XqMOMz4(inc9;N1w>!SM`6JcC!Q@t@8&?w zvOazHWhXuC+;}S)gBm)aQniy#-8;}+c~&!~mN6#erhVweaEqSx2`NqBBmMc8$WK2s zklUUcG{Up@d3$sqr1(d3M+6Ws5ReNizNDnO5NSAM3|I0?b54mfZKOh=;R_d0xMmBh zQqee8La?6+NfCIMyB3&$LmI}9Tz7R_8g^DrfHYj>@i|rqep0Txr>}G*{q9A{;q;7r zxF0dD6XZ;G&4=L~?Px{pWHZ(jr`r6~Q|@om5{0gkI*sT$4GhH@sHCaif^l4)y>;VG z8t5fcHRxr|;$<@JWzOhh%2alG*-56HuhkH;o!;oWa8a*>!qD!1-Y|XeuWoP;v9#x0 zD%d$KTP1gFlJOJ~dhT`REu|<|G?WyXlEqUZa`C6igI)IX+bzGDKW^@B`X0JVQdgNq zPC=8XvgCy5q&8t6h}%$zI!NW@;uMG@_(FaZW^=2MR4B{?Kd3?SG5SDcEDESUUQoNn z;iHj@U|=w1209V6&LnTd?q)Z^jNo`d;x643kyiL_77$L zC&))eM)hHl10{8Jz66v>`eQEx-@DRYF{?iFqRNZ7FvN*n)dT0i)8)z-V5bdE~N zPm#`s(ZTVXl@sz{NNwN2J_p$S&D-8IJQI$Y-6XdxU^kY6&(5-$e~#BCLj$t;j_=X{ zVmt1mCvScE9?d>tC69n!(oQ>bT~SgO#)Z1+PHh|_o;3#U)IV1p(QFRgnZ;C98_5)cZvd5B$J{2|opk{_^fR+@<`hR~57YKTL zV@D-6{F({-sF4aZ>#Vijt6abKPf}|f3K6|l zI`q%YhJ9=FYL4HE&mVf_Vx`2f2ox8d0*7LL; zD$aYna&Ic3`eSSpEQq!Qz2t2UeaYLz zbP>rbt~2b#1#_X(D$HG_gDMlw$w!WhwadcjdkPAiED;~N-_m1{iLkSerW6C=g6|)N zs9?UR?=M5G=Vo}q5>dgj&14Qo)e)17VUl;_?EFa_s~{)lHC%sf*z^&W0{uli_j8m8 zx8;)9U#cy@cOD!gM4Ri?-U0TePUj27`z={q53g8?SdoR>?38E{n&=pNr!93=Vm)> z{>UztrsufmxbTTYQFBj5 z)mWu`>Qwmd%}3JDPjlAl?cXI}lsLy1cQ7BJKARdC=F6!ig2C4_xyoxRYJPRisgFz= z8_m5lcdHGzYcw{RKRBsChK15l&_g-qGmU>AWUO3f+VN(`Z8_+(b!i~T!35^GAo4hX6?UZLHwprV7W`eG_9fs6azv>p|!QDaS1 zw4HvXzdd#1{Fm*khNyfJsInQ&igAm^<_cGR=Jj|hHV8eU!T# zCK?wTbGhO)8FTm3JP0OLU<159H_D`~QOC$0KCp4`BMvT#u|1{jOH4MF?27qV&4YZ| z6@&5)C=8u{7lSMb=LwzJPgFQQ8AT-8qeTiR2QlJ@APQE7*cKSVJ8E-eu^cCVKoDI# zJ`BjhCB?QYA?(Rk*|N5HZrynuNBfpCJPLS9Ibjcq?FSsjiiEP~90*g5xF{`uBl)HJp8I3bR@#d& z-jXpv=r&ZPxZ^6NsXS1xr0Za_VG`+JN6DMs8s(C#=X7`q0#ZK>+Z_tR^JF;+=&p38 zX~)y&-&TF-3nND|jz_UNBGvDjGZXx?_DZ7xETjD!E0=LO_?PW!cap0+HSw}9#_AbsZS=|w6f8vazq?hQA~LfxN^ z?hIqhcMkuiBK@mOiS^>Lv|gE0ef!fB(u6DODB*Xy`UOQ?H0zvC{nL5Sh7|!v6XTux z&KT9#-QNb|(ndWPf%<1z$wU{qd}q^8-yRv6iFs>UtN&1noVIX%fA5+0giD`;eec&8 zw?>{V9`)$>(Uk{W)ULScFa(g7dv6OdNLGfP%+36E=npbwgoRp}Hbgi|Uy-(4M^|0{ z)E-$a(U1u+ttpv(w~EeqjqiQKC2Lfs3gLWa<6tFMJ!^l7jckNzP4=ISyD6t(7qxSBIc!? zx3MhJ)!SK`9PB#awANVyjqik6?tZvVi+8pBFfYoCz&sI1&^rF87D$t7Lk~lIe-arY)!p3m_2-B(a3zEK8+4P7de*&Gs^5R_qZ=U^zo#)karhTL_4rg zI|S_As0BepENP<2Ieb@f)4i`@#HxkWWrvnqGmYQnYKUK&WwDycu1L>=r_$$Nn)$g= z+m+~7P)syRye8xT;_g4XV(IMg9K(%SmntZ(W5r8AWSc>da57FPm09TAiz~{f4Nh9@ zo)`uklzTc07672c2m*$Z|pwc6-|k;qkN4 ztBSoM&5qmDPl0}d?3h_e^dJV3y|_hx1qMN;U8c$)5frwyGiu51?ADo@vWObyp+Je7 z`WQbf?sY6qe~O6p_Btuxp1L(jTQN2e-xhpfIkz*vmrzPD5i z&sZ<^UCGQRi+Lw)6OT^r35wA#&apHAcSx~S_UO(fL*3b^F{<-~(nP^e`OXe0$-AG= zB?BG=GG#nc_qK*jkr&b2uHL`1&!JyZ6#R;VaDSE-Ws+|@`})#VpWv1iK}CK!VE-aS zEi^6@ot~!RSjZow(-Dif;|fj2dkok1v$dgMr>L@cQyc^N`uPbyAt@&no>^Z9XVJJ+ zP~(#^XN*T-X5i)va*GX{g?jjyy!13a-)wrjjtfQf&a<*2)sbQIH{VxkvUb^2Hcl5? z-xIqp{9=64$*>U5+tf_fJY?}t)h1jd=sLF?y}vQs{q8=j8ddVS42jpZsBkO%+;M+k zgq%;Au%7M;bTs$oS^Ll0u~wfZB!L&Jo+;Kb4T{oA)GybcG`oH@{ zY`&nx#7Dl@9gN+rxB7oTc6N5<*A$~f#xkDoafvb{yD!jtD4jPuN!Q?3F}y9S2aQVv z)*cNrGmGuFe?x9-onc;&cukDDUnc7x-@^wyPksuNnJm>U4I$BqBm=YV`?5O!=548} zj+S@Kbo@7}8x!j?ccM}5d@02|jv?UdZMoRsUAv#H61&>35>vXEty&aT{!JEMQom7I ztjWQol`=ZX(m)LLeCPey8NyjWnHEJVQm||7qy}BVc!LI_SM61XJr9Bae7g6{*z)$c zLAX1@-xbk9U$ z$%dLK)xNwq&hGel55@w~cfR7R_uHxYlq}!z1S;k&_hS?dtMc#;g_9*WG)W%o13E~F z-uB7D4$3fu1cR*DytCu$V-fd;8T+fs@k5v9`IzH{YI>l#TRXMvncm+b2d!?CgE$^_ zx`AR2rPOnoTTo5}TF6%Va*rd)7qgu&frzVvT4J+|%r6~ptNO~KredW}FxEn0V_|m( zn&tiP5<^^Ve$*^lqG6FQS~`i$Kd3C zfXe9CT*c7IRZLmAZ*3?$)&G|kApKVCBZ>FBxcq_CZt_<5%^wo{r0n>cc;e`2)0*g7 zg?t#;k9eEL#5Tdd6fN=x07z<6=<-VG@KX;xbp;JaGY&gUP*D|6e#6A;M*DXMu62tG ziMI>Y_P{T7<)c9Xao9j_5#S9NpVI=I) z3>AxEa?9FtNltQJIn_;XZ3;fqF@4p(JIA)IZ{aaVZ%X;pyl|?!UdKpETC>N%9^c$t z*mPXL$JJ!#!syp?JF72MJ3rhmGW&u>m2MypC~GaMK&oeDquaC*jo4YEad9~$!9}L zL9=4;xd|NH?wwD|pD?Qp$bp2xMA!7KSx+VfoC~iA3h_bSSn}^ZBoP155x6xt$P4W! zK~R9GVbZEEDpv|B=`ZowurZq-^tECR){FPzHeRB11!h@jv0kHmcSciNNjpg;HEM+q zADofz!EZMld(QIN#9+cFhvA3ltRTUx<11O%7+_i8eWW_!c7#dpP2|#M9UG2A z&74Om4|qmHckAoSb!Zii7^OG0DZ^zUoa@9-^y(&e5tAQAchARcwdq%0p@I5ZXIapd zb{}Uw3Y{5SSuSjCuRosxPBR$-c-nv7=DiTYCkLTCv9R>dgdw}nf1tpJ*ckkV?3`BH zu>mYX+DB={m0ad|*TOQo8h#}yV8D&NC2?x2HPu+K(=T7X-L)_>1%#2fxSR{W7T;v^ zee{kfJiOA%2HqbAX@597IW74$Zx`+(wzs20E1^2$o|xE=*7{LG7mmm=zlawYkp*ve zf4cmV6&qBE!k!1)S$-P@{I^B@L=}NFArF!6%#j5XP*QX33#c3HypW2fA^^gXai?_( zwX^vUkKs?GJ@J5!Zp8SkN*1xlb8OeZJqK5Bwo0|)Mb3hwVOhbBCR%3DY^CX&leH(J zOK@i>lkSe|4&3BYQ_*PMYn&D6BpXMoKk%lwwaFEihH?utGx zNFY>D;8`chA5&+bsKp89NsLR-%8sklVoxBGEEJG_vGF31v_&#Ij<17?>;j98jW&u{ zOU=U40ats78d&kY|CN&Yyk2X=16ery{_uSL#BEQ3@fh!$@Y26;j_NdUS^lg9w3%Ia z9FEUj_Sy2Vv?bwX8SVi(}sDG$`yQ-5M+m!LA^erN~v& zJGguWYYpx{_kjCP{H|yzw_Wl~&sAKW3va(aj%^VXCUs7zjCV6V z$4^^NpJpFa^^B=6OSG&>94}tAP|DnQ$V8>4rsmRz**E{2h2;PF7l%s%S^KjuoW3p{ zt)-Ux!ePj>5h-@r6_=49uzXtnwE1XUSf4UN=_9#i5O4v`X-6R%76s~8w=#^rVC&06 zc!Z>m*t3{At&VK1=UPbuX4w^kmzb0S#&lY}vWN~WOja&j!@{Z1$6@zr;^aFObL5W; zc6@D<3Uv`RAM5xeOC2g#qn@29m=Ik*lPMI+Xpsc5y=?=BQ)~ty=~PmJK-$35>K;YG zxlWlA++HWiRY$3nf_i^xQ1&K}ftOAZW3s2W?Sp?t`z1m2021y`>xje5M(qS$=^zH{ zOmB9}!WtZm%X{!_2oQIOh};2xjcn*awiYhO*KA9K&f9kaAevmqr zEYoutb?LUL{!LjwxYc@YvrpHlB3a}uDzK`P3_2gBU#9u1%{Rev9BhA34uaSaC7O^Ureo_5wT5J2w2A(d7HFV5W1jJdQ7*zdAr;(d zAYwJesm%akV)iKfY}CWEHm>p>nTaMhu%K<`3Jnj5srLEhQH0l!AwGmt$hVXQ1cHT` z!T8dHuwBk9;^gP_sq;p6HmT#FJpkUs?DpQfZXi#T-rGF);C*%`&B>dSK7yC!^GdZ! zU&9@0V@=9q6NikyIaF6R{A%A7nG|T6JcKol_fRCdGioA2A@y8s49NnVll-IQX?mQG z5}rN^yHrG^f)-dYmPm9`SiUV*1&#>Ae5DewaQoq?oX@i%Zm22t6a3>TuFu18S<=5a zTnkA2lC^&~$XMmQuY&ddn`e)}AUAofl)`pHzIqjrBhH0iLa-lhS-GQ`y&u`M@vHfn z&csP!qotYdIkrS@qooDK5?U}#AOcdf!qg?8VuALkNJs387{yN39*5S-xJPcSF#JBJthm7pkgiInuh=w!(`Znw1g$m7N`~=<1?u@8y|< zvuGE*mudG=b zWA7;(o#7P0xBd%L=KNdFr<@{S)K3Sign(su2_ZAoMZdO5^Ct*52>`tQi0MD*(=v>9zU) zY3t%Oq(1-*_)qg{S@Ax=PA>N7CiAHM%KzwUHQLd4Eog8H56I5*2cihV2Si&=qS5OK zrnZxlQRo~0a_O$?ez!B;%Sm3&nDaWKid6qU3s+KGkQ&p+UPWSd@CWnJGpm+cT_Q3Q z&j&9o(0pU#wmT3pA#P+X1|*l_JwNX=rotySZ<7v2gTGWm1MM*fA$N+z)8fe@038%A zHODs&Xi82f1E)^Z=q%~GhC;zl@}Mps5+^=7y&rKs*p_f?!bt*>>yBB*;U7*;U#>tl zM~%+!net>|yJT3_h>p$EaZ4sSV|6Sh9Wy>Sju!*=s?AW~BFC}DSR1r~HW)*`lMfHg zd%+P$a!{?no+g+OlTkGYS#bc)@np{9!z2nvZKYe>o;sSQe0Nof4)3s^CF5`v%MB;A zk>SHnaR6-Oh*%7uUt;>Xma;q8^1er>JHfSwj1lyal5qPe#_(fjVsL5JCtzpg-O%$Q z=oz3Xfkf}oYa0YUSlDqX_;jZvBh z0aCu~V~bFW_`@s=0ZP+AIi%e{G9Y&l#{}I)Fw0FA=HL8`RQia;nV{%>#E~5*p2FJD z`_y(j8{)^40WI)rTp?y)$%a;nBQd?C0oMBpv7R|pRU*unK)q6C$z+<)(31CY0`Osq z8-L@#9cV4EKt5Vm4Jl6)fZQp*c2~_nq(D%=D?c}}x&a0lj@I%E4N7$>ydW%t397!5 zH9#=Pi0{`#kjT&0kefFNXY*mApeyq+3)zJ)^=%MhH&LsIk<#d}5TQZ)x#7iIyJA~` z9m^?k!;#8+zD+>KZJ#UAwjRdw@pm-6lb;%a5|9fNPIsl9|c~ff<(8V@5NFd*kB1%Z&EKX z5sJO84bUO-=$V=t{>%TUY?ikO-+TFV+R4qHOdlv-ZuaQ<(;^iu)SW9N7#N@NKiAi37N4)*h zws;V<|KJSu_bZo?fN2hjvV?A^RL@+XSvm4G7kSQfo{HM?Vb{DfO61+%A zg`P}TP0x$HV_g(s9Xe7(xZ$m`e%Y+;UVdhcUs>8SGqLI6N1K+BH1wdpu6OEK`vb23 z9mHOv+uF374IVgjb|0cAGJWYdNh9*Vk)r=U1u;+h@zW>qarHy1B&m>N>-M0~3;dJH zG5N&(fu@+!t6hfOpEGBc7nx0$emejGN(p2t5=*put@O|Ng&q6V1Rs6PUJ~P-Sa9bV ze0+PS5-?;LzyxpIx)p8T`b_BG6u@7pSt}E~MastpV&MpE90i{|&`c*^Usr+htxM4R zz?(nakAwPMjA`buz1!OcJX->BR?ep8BpWu2Tcv}Fe6i1moj~(lVxhwF9%vWVPNFKm z=Li(zO4NoxdMSiiolTx6&P2$S*908~fey z+{3QA%Xzx&11UuK^M`o^)-c%s<`GYG5a__nD;?y+%rI(hA?i8p>y*G2`<=qp4}3MX z;q60-fUT$o6afMey8b+So2zm0+fI*|1fKQx*~B8SKu5vn;X;q!eN3W3xTq-F#*e*8 zy1@uq;IzWouFswFri-H!>%O9jW;9riYf>n?$r%}PpE8VjZ}L@t z(qz!Uo60MoDCR=UL9LJQM$J<4S-Ts;VV0@hI*v?uoIMvjsIQe9vdrX(gga^8Qr|x` z^$WSYS~_5Yyo#&kLPfeV=ZA_JIe|j@z_g+SU_(n$dgW@M1DQgw4+qs4wkg|X+bL6V zdcp9VIF`bbr({|_5l=^MG_)3Dl$ppJ4vr#jFzwKoMR23BRICTBE#6G8;123ZC#THM zlNS!sx`^i7VDfgF!%OZkV)`$Fy2_ZR`pJpIEjWh!7H-?VWk1$!;xx?<1mUHwq&?$AGhP9AHuwb5~=CG+2ZY*g2KvZj_; zwdTLxc+l9G_$||=ck!b1Nxj0~@5t&&QXc&)ZgP{*4Og+FSz$MZW}`RkI`YykP2W;F8gNar zYq$n>t-%pFGln)!B&^f zXQ+h8)A64_TOKLyGX<|3WzTy8^0vqtPL1*(WMpLif3oDO8V^5NG#yoxE)DekzhG0% zG+q4eM^CM3F@tkR25Wy@^No$io4?(EPXAgq`$V^Au$rUH89=|wVT(ccys05dc}vR% zSgppIy>k@y<*$;bQt>%3 zcSNG>g8jP&>o{clLqz4;e&9Xrc%-SfStcaV3(&@-hTbs7&`m8lYn2|2c%6vm!+sMB5&3qQq?Xhm(q-@-;BWG zH{vJR?GN`Wzb7aawZ>Km;ektP1O0-u-LViDi^23tHOanxdHj{o`zdWmj&hh9F}-K! zZ3IC;AThb16@wK)Kt}7J@%hp0O=}*)yI@`OiDY6>h0zP?;54}yXb54<@y&BQaRfpF5*ukbLKeVmETmwf{l;Jnbb3}{<(o~QfIomNvXbB1 zG$}&Q8)49{8jq`gtl3sgx4IT?th41JKTNt`1+O+pe82AMtNlD!AO{h)Z#}pZVc+AkV3PZp?F}dY2Q&`Kz zpyd_=hu@9c$AAl@r0|quBeuf2IS4>P_CRza6F0_vEw7XG5kulFL(PQ-a>ezIQ;1dk zv-H1>F|&!ZF-k|0IAYVgo)iaoB@~F%L<&KTFxvV(kt?#*@Lw+HrMskwN_yAL4cBJA z17-&#h?=0vgUHXbEljVjMqd)=ej3&KJHk!@$4eEp#J;)p==*@w^2F8H%yrc+?e!x2 z4VNLe>$+>=>vN?uCZ#Mzx6?l!`IDd7mk;{}2G}tK>QaYmh1pI;JHy#Pm-b1!n4OEu zX0zL{?F0@MI&;z?HUG^m^j0J?N#SVRc0zuV{_ij1zfbP)ptNQ(pf9pltYNYD_5RA| z#@g7;gd5M?T+d|7>DnGZxU>lP;)xv0;JV6l;FOy_!Ze2CMGGUr_`^m%eNa7V0iWE& zJTuxfC;>>D*ViWRRhu+NIWQ(L0VQSx+-@MSY%sjQXCcxS_fA{#Jq0=+ht>T}f%o%; zIa9Y>HOsg&WW*y_fk1DnII>_m$`I*0qIZvB@q;;jY&dsgmSmFnEK=~Vc00gH*rt{jHjM_w%SxIXryw7AzGc@2I8{g~{mzSvo}! zyq}>KwGO7dzDXmg5LNI^XCY<5f-8(%HWCsXM+RT5=0t&*AYeJ<*d60xSM+WKZcQ|f zGOk{l;+Sf51GAq$jV2==YM#l_1E`V7e)zNFw8AoqbbuCKy^Mez=@3BjxD;PLkleba ze)N^v8dRmv3u!zG~=kCD9suwgvhF)~y#Z8IR zA$2)aRDM?Dzh|h&VHzRBG;suuz-8ZXIzu*mdkx5wWvkhAh0pq<9(Tu9qsTv799D!p z#6WHTbk;ORf)-p;ZDE6zM$_B3;L_&t;;Q-R9rxGgJtLAT^cr)>t=Q}%)uU!o0sbvN zh~nx7rgOf-V@0@){7LrS(^Hxw-zn;MFwep66&Ls&gCB=B8Dr5;l$Hu|#o7d=nM75O zJZe8P#SivweX&;kEDq%4YuuZhPrPASf<=xH-ApN6p|Bv!-#R#3^*y)ntECiA-9;;z|^d{5FP!#80*6&9k} z>QfI>54FM!D`h@L58!WY#aoj^O?wD2^@x9zLcJdwJ{JWTN&}W|cd%`UmwC^qq-6E4 zmWgHhO25hRCl#L?CR=O`R4G>l>L+bpqoQ>Z!6A^Q>e z#yR<^ap&L%<3S_ke{_b506aiV6M`5c;1Y*eQ)Q$Z2hrN)?;#z6t%A_g8#EJA3&?d%tv9ui=cW%MsetMyHaT0bZ^*PYd z@p0DL+LMcmfopjH8$a%5_(jpZFy9_`0tC5Xmp)iu3XZMxXUg%IS2ao5J2?@#`L{AN z@&pg3|7vSZP`3}0nlSq(`PqNTH+EwA%NUA$wthbpwys9d2{MRz*7z=gB!Ld6<%ye; zrPiGt6F1XA2bvpPXB3clVh|k&UOk@HY?qsu-$9_)e}|Db!-E1vzJkEUDx(M~!64(+ za2PR70o0aWz_=M+hHcK`kmGw~Km|^ocLbc%PMWLXCm!WXIRTt?FF9+HgCv`A4t>P> z3C}Eu@VvXSHAq!80jPtngIoNrNhr4t+RAU=so=*_P359Wi#&lel73yntJx00S+ME7 zxxYEMA_EyJz4gEs+ArqzxK=oEHCcs|M0HKbX+KQgJ5*YOXvM8G^w;VuquLkaPqt>fCqiLFp-X26VG}VA|B?!IbcftEyy51`hWv?M}}1CGgzIa*ZFw% zWtUhUud^xWd{Z@3Z4x_wbu5DaO1#p{^0#+j$wAF8Z)MvL%;Lt?lW~J<8mnqRxq^jX zE`+KuQ$CaYqya8U&qSN2$Nk!@BElJ^#bp0lV(eQtsg7Q}y=Mdhdy`VCaJ?ugDOb@z zHN=kPaQEi>AI^xdo*-?xviFb9Bl)OGFQpr}U;3G!@$E1=)Kbdtt(FJ2C>#CElAR!( z3!z|S;UTT`Gaq-U_)I&JK3Nw}yHzPS{>10R2g^Yy#j}V;ccyv30tZqVoca#;a%q_6t1>)JxaLF892qotB&y1oy|Qn5dp=uCtaX)B9qX``4jL zk9M}n;9}Agcd2#Xv2U`UhiFFx=hLJWHvFyl`VIF(nKN1^r0gv`Q z1Qzyr@i&Qwt@4)2XP(KqIjr}CWsm9{FMa|MojDjK_`S%j9syEL8B*8P*=kOBmysN` zXd5nFWA0ns7?!R=OlGMO0@`-mRahgVS44t&8b?f>X`efQ?=AMVk68u9HBX9@mYpbq z5oUo54+Y}GcOB#HSGNn`?^+2gkJ#83FR9AC^fAy(y)XT9$okB+YI4O@n{FyYV`832 zCZcF+cKQ~N*Nw(I$!Dz)91=oRa%I@eGkyxt88_v_droO@vIn~t`6)Pf{bE?TaY1Vh zv}*Xcpl;dcjwfrcUDQbjN;kC{e%V!{2k% z0WA&OAJ`0q7=|XMVK1m4^Z`Zia4gq{F+6H|?R;__NQ{|#xEH9zYRPzI>B(az(H7%P zK-gNVsm*@JvcOvGn>J&{hbIkz;$(7yr~Qhb62%rn70on;@SQ<)G$xFPwVG!kZI>kX zMl`-B;bEZIX9gJTO*vOWm9fV^DFW_zfH17UpIIaqF;=w61G#&g@y6>rFq}Ze>n}pZqPiU|i?@-U7DOV{DBpl!X`Q4`ZiY$?-5?)(h=F^+WUIh&ysvs3 zqezQI>3SbXhl0Gc`JqjktZNHt*0SLf{?k5o!$1QyM%WN==G48Jq2 z=gjw$C+*7!XrHvb@fU9pFh{d=BmGx%qN|aulu8%S^}{o2tyak&e?1ca&m1>v#fJ%tESyla4X5#4x(N9A=_83| z^_PeZ)6)69I5Ch(b$#Dq#ge0k=Bm*wC@cr@7^-}m3O^hT3j`wSu_Mw8NOEw$Bnz;R z%<(wA_2GGaljyY|O*HLys4Z}led(RwRf(pN%_xII#C0I6nEK^8STsFIxeFaD#gFy) zbwv`6HIR(C$(Vzp{w=)xSbej;4+ughsv;g|co)tco!S15gyWMN4~wQ4gt3b@p#wh} zt@K6|A7}4&{Qbqzr4H11HdkbgWB|)xPAHqi!SIqzhrEAUdVgyew{2T_<}V(QSI-=> z+@rRhD&&>NqEB90PU3!-4MHZ$#crncAxG^e0XQQj>h0z#H-9AQ`=V|`?*3aZKbZfJR{{!Uk`nMX$6bnI2LtC zuiu%HKu-w5PlHnC^-Qj8;iK62$I0o!^?rf&xUV9L@1cjR65^qha@CAkbAX_W7Pc2>}RPB5G|13}>VL^e?38^U&^% z$i|-nW!YeZuW`HqTf4Am(mm9SpR}jK-Q(N$1Bb`%_oQ6qFYHh4o#HJ=k-9DT!aw0v zZL~R1tJl;SYj;R-GKI2PTHl z0g6}zP0mvoRZxaIkD3X>(#8=<6-XX{c2Qa^Ymj1d3f3{ z#9om4O!Sp{wCtIo?55~Mrl>4yamB$|i1&F2e0t+Bfa$eiGFeNau|Ad71Ho#p)}trNer2*C?hv$wKIar;7f8?zzw#ITx9l1`$Z~*5FN8*|>QW#l;vUQLX zRvoaeL}Wy2wZ9n2r&&(Q#9n;AC(xP4hk*~g^foF*Z;1S+NdLQ`B|Mpw=hJ<1#od(T z>qLJC?nxZ?qsPxKmnF*TOk{5|2PIW`dMc{h@3NITC<$j>$?MGgbp0LO@%yFZpi*i5 z2BiT@_6+}xFHZk?!u?NyySp^)$zZf1GHi$P3O7vj*o6JM(=8ZWdM@@$`u6Gh%&w?K zgFBPH;wg{S`NY_-^?4wGfj|=A<+j@^o{mu*`Yuatoi}cu?+hh{g1`@X265;Y!`>esqfZ^j@z(DFQYY|dRZNk~@CsYs-Mpi9SZVnxx-J4YSw|$MNIK)Woj~QyYZcHr1x!BZU7z*F0XA zo*Rw>zoxzG4KR7(uw%hOeQ4fU_y&5 z9*9;d1M`i<77$`C*ADaN!PoVamfvDv^o7Jc`mGzoOP)-xJxR?>1s!!pI;!Kx{tP~L z_3D~)(jCAM#LTSviSJH}Z*VVmQ?$pUb+*!5l(6mPw0b}R`q7!yt{a*v^Hrw&Ufeo2 zZ$Osm%!d(*hR?5#>zk6E3l~DMdA7NJv8;J zz(GZj3l8?g&*icw|Fj3W-U?SbeW}zUH*?x=u6_`@dwoS0 z+-W|O@sK&hX+z&Z#?aH$u+!#>OV>Lm zBgIB1ht}6V^-CgdHRf^UOaDGw{x{F}f4$u|1mNL!$+tg-YQ+S9DlM@KvTsezOt?{h zaxh%&xy4eFp(J)#<28S0)sv-n=urk$e~G5D(y{jo1R&!v3<-9k`I>OAPZCg9^z87w zSZ7>7lHvlau`mSwz>~@rK^0*G^WQ^sZt^-$a z-TEX+qA>f3Ui6nUwYNbyWD@GDSwg=G7yN@MXuPlD;df#IVQfCw3VPc5t*?Z?JI z$#!p*A4qJF+!_ps?hJ^B4kuC%quvl|a%%Ix)g=-d zxfli%KbE2yb*h9+$*-QMatzgJ;9eEYT7qa~#o8R_to_dAr-^ga!NFEprW;vxbDV`Q zs(|Tw+G}8cF@<3sC7U5v{zW;bo&n5vaA<=lOa**QpHTZ7brwczFYUd|mFK9s+oHEb z?2)7%!#wGt1Dm>^&pt~3$}f#Z@7$G!7i{1Q z!5yCIxMRVBzmEuj1RarBJ3zyHGm>N&MWWmGnBGo@yTd`;R>$Qd6g_|TQvhBB&-yx# zUS=#WIyQRJdZXjhW47_SV@w{AeLtaMJbufS0ut59R|AW_{W+N0#_ z9Xu(W%tI~eiABDl zN`;|HzS*2@pprj)h;{PHN`2c%V!Xg;DSD@cikn=vPKMl#M{o7KU(~27TR=ZFY43b& z+I{bv!=RC`!fp%)3`TP6PD7I2-Ou$&B-g?=2*VtNA#RB%CYHdFr-+=WXmE!^rFf=Y zIUL)ljG6>CaZ7NF|t-CPP&M&e^0376q$i9z6}j=WhM})6~*3 z>Qr7`(-~1oqX~h|2?z^!@A!wj7H8&j9vw5AP4D#tyE1>BxczSRrn1jSk^|W+<*SCv^{d> zh(vO9bXV+pB`oTC=lZHL`#Bmr5O%fizxmWU=)*Ue+rvXi_h?TvH5UxR1|NwlUF{|< zM2D_MCkBEmFaLJ9{>SKb3<;+a7`cx$X&yb31xhZ)^S@NIw+@8tr&sTN*RDR8kE{Oe zOgR~_D5|np9~+Z{4aY$Zzf60Cac9|oi3je#L(2E@mQGi`in48jEjG`>7Qj;#;9V3E zc#*QgCdg)_?C)U4ZB6jhZ3+m0SKBa%(@c5t;#UZacu{XhTvACKz zs>S~qjfrMh@?^}82*q_zokz(iLlH+3y$3k9h%FQ&M`Q>EWTdis?8m#E!P&uJTzN*0 z@Wp3&`^(DhRQ%+&E%NpL!(%VJSpx>e@i}YPU}?~)#^$13MjO`&Dt==mw2k@nkcV2V zbNkje4mrhgwBfaCNYZVgJ!OKT_SUfQP@7;++irvS2*F+#rj*>%MLjd*Y^4C37J<|jU@!>RWPfj@r% z=>RhaYL6aXK71;Sqo;5IZAFst>zxFy9X#n16}E{&V1b}fVd3g3tBI}#VCV9_S=DZa zSNizR&h>70vd2vbm(t_jqj1shACNRaNwnr%4{y0|Rr1|r)pM>6&|1%Z@62!IzN~7L zKMcYQWs4#hv~;Bz62wvn_<5QWtc~ucix_avTe2vOdXV;#d7yCM~Wdj@IBflqZenP9oHF!tC~Pi z$6MlbTi;S?Q|YO`vbTD`iARf9)SGEkN}<s+8U$;~vA*UWjQAB(;b9 z=J#`ib7|*BxN@iNVAY|7AJL@FvGwwY{Gh3fwbS_m=^VD0Hy5ys!Rgzv1MC@5M4pW? zBxlCsud+1WdGAXLsF9y+Hn%t2(YhCJN0W26lwVxy9x_6el$6i72px{KYX{6hU|$wJ zMmkVD*pSl!Z2)U*>EbRV(5B!+i;AF$DixY4f(j{Q^S^RmBN*G)=4XLR zqYQs;?i-?_xASg=nXiPVcmE9zp|Hg3-o9ic+PK~wbQxoHgA>d$;{^KE=Y=#NJ_$AV z%Ug${`)YIulKVre?QvASK7fJpO~m!_@vuh?%HH@4}?rva{Yw`SRG69aJE{aIkEgZ42k-YP`%gZWn90ByDag}^?K zU1+?sH6Phwl7pPXwNQB)A;W0{EiCD$D*yB}8$TF{u6Qdi*|jey$@or*Q)e~NHi74B z29AbzQO=Hv3P&}>Z)1`p`$D0NIk)Ed*j(uP-l;Xvho$+qHb z1!uf0Ta&?mbC& zG0+~|6oND6uAs4jG`2M2sRBF}Qb6iKEa^H5cb)J(tySYZlGCtg*?e}7P&#MBedSDK zN~s$Mg+~i&V}bh4m1&Tx=0PdxiJ*1`FSE6l*l5Ua0!2sB9IXdLoJq`1wyI;{y@bhc zG=_SUhZo&+zDovSpvFJe_&Qnj-!P|5`RNm~fUD7RzTVs#okUOeU0J&KM_gXqt|&Mc zlvyTR0q1@FZPRa94CxGo-iRC9>d=+bY23d#6@0HXQtv}Fv#Nkqa`&A1XZTC@v-d9Q zk}Tq-z6JNOW9ixrPx>knenrQh6QX|UA7pqanGPq=GHAhnY!yYrz_h%?o2j@zJTALj9d_=;r{5bIq5+7#9o+f}G_2+Sr zMBU8|C$oir;MluJKOWLt{A#zXUzroQX8u*Hz#wYTOnEg+DIw}#m^9X~<#X~UZ^}0K z0($*f-*5tuE>8YBlDznHTx53mLRPNdWS=r!EQDPoQ7%>fRDbxt4`Tm0z5JdbAmRJ% z^T)ce>MIM>g!-QeS=+wax%fITkM$bxu;oYHNM($awjDqrV0%I^%uZW5K8XJ*IUQSe z|2&d_&hXy*JLH1*d62ZSd1Y{#6{uGI) zdFBfa z^ir(Oj|sAQF`GHL8|3*O1X;FSvYi2W-l0j89kSA@wuZgJZ$30^FYc+yL)Omn>~%74 zwvY~g-vsz+BrO)*tN-6xfR5F6!z6xcJ}P@VG)C`^T_4y^hmJ;v&hEB_rRN`4^}U>~ z2RQ_*CC9~u{2??V6P#TOJtM;`5oDJ9!sD*t|Dlk=7jo>!H9lRs zuj%<)RwkqHa-(C}C4#F~jyqM=6n`5pxz$I>nH5v9rtRjQ+2@{RaZhlcI>tqFM6#TO z8qxUOxv}DwM?iLfy3$wbD?|<~_lY1{Ib=y=WyB8W#W=j|+f-ya_qL3bO*D z_0;g8#K7I)5-aL&{V2Q8{nWNAQs4^#KXp=uBzxC2p0RxZeUv<5(Ui7r{}HU}O8IvI z-4--_(eKKfyi(CCT3aUXYbgbSfpS~P@OyTBNpNpS^#Xbe8&vlYSZNd)Pq+7veH)HF z){=138k08Uls^de1yjaupD+*?wT~MA{UQ`rcwv>lT2ser{m;8eg@3G$-Tso~S{28B zmcx#9yFPN8+3RT7R|vzOInHVd*I)~yn}>9`U0JiYH=RCeirK!t+V136+$FsxOfk2b z#eIw3JL})R(7Ra6zFNa|KD=~W?^HYzzu2L?-nq2?{AuWKAb`cQLpy z5&g@R>v*pL`ADxv181`9$uD8U2S6;A1UWe_sx#fEPj?h*TIvzh`cf^=|!*f0jt+3vM-fFP9?=tKt9q&$Zua7J8 zcUMB1ABjj`YXp9ZVU$NJ^)M+#S}RNIngpXQg~~yU#b|+nH?)oC_-3JdPHFT}x-0QR zm6k>axh~s;+As}V6sPNIOWhEWW6Z%H+gZh6HRqr^iNLD`sZ2e6A6E;C+XrU)ICSa+ zF97Dloa5C_gb>5n;? z6*4p_wQ#_o4_vlJUer%-i|af9zyrY)xS**+wJ}W@+Da(4Ko^H0q3}u3D<JFd-QbE4Hwab6to9)cbyR}I5Tht;ceJ^h(8k4n62?-3nqa^4`DU#Y{#f*#qx z8cygQ9;ft+S7hf%1EEYNn##5_fL-6Hn1XABh}2oLnoT4ANfap||1*F*Wqi$rL8M1d zY2s13)RaF;#s}@&9Pv+)V4(`Bg^@J(TVp?U$xVz>&bd6F*A#>f#W#kvA- z0ke&$Yi)(LPPxnK`Q6^U%$U%$mxsS;q)*(}oTJjytg|Th3400CtY|9cl-2*-M46Q>lSPC&%!K znk9SAX5r`o_qo&xOYY0x6c&q;bkm4Ig~!@wh2pq`M{_>R+l<>G+>d;D3+u2wrzTT1_)% z#)}T-@Sd!u2oFC~|G|_aJG_ry4YYmglA$g9565q?5kor`$b{s@zw|%_tZx{FjP)O;`9*b7ESI{mD3>fd8{rsFvJG_D*1?I>dr=;y` z^X(4(%PA$Mx4$FVPquez^h0kdT@EWHWS`e$*Zs7k_`R_mc74A4r`@%=@;dZRhT_+& znt>3CKbF$1F+mrFf4cU6{Y^t2=u>?uy$riPQc>@{Wqk?~l|N=l-5*elBLjY1>k_OA zfd)q*pQ?>;Y|LWks_Fy@3vb~Bl(ezzIr}jKFZ5ckaP`G1!bI+pHEeUnm=SRsOCdcfX1{)8n&2WP zl<1g4z&ehmHaKfL7eQ-+>vjYkvHKh+Wqpz1oQg&B85(;LYnR@!@1HQUOr>g&ReWm+ z#^aD(;Qd-cMd;l-pu_M{=-Kt)-bh;)XQb@3$T#L^Ne~D{GTFYjOmx{CJaH}fOA}3% z8}>4_o#e81{0!}~vmXVY8{DX!nLgSOhjwvC5PTa{;UG@OL2;feSPeh9FeUR=JQQ)v z5{5IzlLchsHfUsg$;EImK|CTL_ozB4*y3q38fw2u=Ww80O1Ra?e=2E(!+bQ6@Y=&| zlBvfN%nf|LM$UIU3<-P2YScrmZ|n?yuufQb)IWQIPdPh|Pr0+xH_vqwXl2gGS`Ks$ zoN!F*FHODC?O<=AYt$(&2ef^{-U9>)#|_v`nl0I^@Y4Fhni;MO0rmBD(ElT{`21Q0H1d`VB&w1#&$uKuS%uB9(uk!Y#NRj%r zYj_`_WDMWmO))(7!q~=AIs1t=K)kE0?sY8AfU+9y_?P>*(r!YllRAY}biF7zgn!i!&V#*NJf z22jQr58D*n9Z16hrrNKJWqpf&XokF+nfb^1eOW)B@4PWUq_aRITMht=9QoIeC{V$x zEroCC6y?Wparw0>fFO&^X$s*m>QN>V@0GjjA2-}%m?SKKR6G=@m6;~6R6vgrhC1xH z-TDboK#gkM$-F*D?zs@#j%qG>^!M8nj@O-f%}O+L@^Cf#r|@eI+_SH{MmT2Cw_aN@ zS0CA(`4zO*ygqjNu*@EBpzu-AlD65tg_3Y z+g+JvmAARmdTM#JKY?zy-{?@mT+ezWXhm-3xqisHk#y@I0x?>SIM`#f|M_3}Ja4qj!)vfzet!f(fs0yX`)Q<=5 z|4}^r4JjU|lM!O!Jo;H#+SZGP444&-6iA6cLic%=-j|XA*@o_LEfBrn-L4lAL6)Ck zQN&ClJnZebD0xwL84?NOkAvy7t{Jz)V6Cm`JZEpeI!K%&;lz)mNmf(skP(#2yVwJn zWPx9%-RmB>I+{<7kOMbXhG5sh2OD-O@sBkMLShBq59JICv8^KO$R!x(qjS+M4bV0m z1!G9!q-U;U^_avYSBHA7%a_Yg3xv{HNXv7|DsAgWkD+&YZ#6s6Lv)Tyy+Xl~U_oAlc3=#lvW@$L)dMz&L#O%_-IrJr z_IOgkMIdto4TlkdqyQ@Cr|dh}RWf;8c5a(~)M@?N+NK@?79tjnupt5W98v7-Jhh7a zLs&0K{{X`9mM`RUkuSd$h)D#{LvCm66x0p!N%N2b)nl5ziw0BiJ8dB@r(7kUEIKOa zU?9ipc4f{Mpt$ORXl_sSXqrald;5hVlTJ9(2M^s7lM2axMur=-dYY}gg89I-Uo5Jc ztW4M#+x`x}sCYQp%EGsD^m9WE#e2UhN&o7x4QN(Zgg?vkm_E&H3;2_VQ)0gUH!ag1 zr#TCZyh*8j00z{Su(kR@)LR4=(oFiKS~|vnjU3L#15tIx1##bIG1de^b!`!b)R5i4 zVtSjzGj`;ZfT48Hve%b)EGep*IUC(OCpP1U?nG>C#%<8mS(IkZ_P&2Ye~Z7K2<2j1 z`7pIIl9P{7uh(T%Jt=~W)tmt*`e7L~V@zNqNLY!IaXs*>l*o6Y#(O=p;SX6QlIYI* z4V@Yr02?yfbb`r59j%B})sH2vgUpR23eHFYf;BJl<6m#wI%MrAc9?w5*j$MRos z#+IJf`85igNBn~trTGS}zb!v@#bhG%t)G(g^P6F4--Fy~*M+*qjk+C_Sg`HDljS6l z`4z=I+xqnZB~I(mT&2sp)V| z{>;`T2J5!bvzul>O8fLLSWr;(Qwf@RTzTU(FzCTsmQI}z@>>fLU_rPhgcBEKID-dY zErWHCuqtcb3E-cr2J-?Ev`QIZa~I=xmphqxiuLejB*1Vg>9&ARk6BF!@0Xw#)NK{o zk33_ti4Q!{Hbjstu~o zKV*Zk14ngM{Hwg{HPj}pg(y=am);;o0!UElt6#3-^K=9(>~TIc2ZMATgUA+LyA}^Sio?`yC>XE$eh}@=-ciRzZIwNG82!r` zg3)j_0J3*ya8Uz-@aiEzZ6_(}T8^|cz@WdPOJj?8y=WDkR^@{Wl{vo+=#D>akHlpQ z01{|}S2;6+Pi(_M?13Zz;xfXVP_Cm}Q>89AHRyX2?(ZO$so~lRfVQ4>7w#@)zT^aa z^=-;?KE!l1a7ML|yO&uX&MCN97R+q1yJtL+$chPqF?$O>IO~TT!%y#Y{Bv4CYxqh? zPdZY;5xtMJVW&OoB}Wq~nih}jo?hOR94oQ)3ZXOK82elkns9$d`niN=&VlZ<+62&_ z!0XL^`{oTWeYFT1y()V!?AbueAGy25A2ti9k=PrQbN;HK7GDd^xpM>YkJ`D0+g$iK?%+jAvSN zm-{Y7WIDG}gB;tf$ybvd@88b?!`!8V;vZ33Q$BsF$hB?puwR6vE zxwr3<0waNFjP=hPQh4t!$uw7Ee;q)0#`!U54s5x)SR3hiJlK^E4Cq=uaKLRD)UHAU z0{2C@s^h_{nEX3)zQP`Ju7_a zcD-$Ik$B90qWk%uG`<`>K+h>B>db$`?naW{eb@1W1VCyscf+mu>wscV>lz>5hX28j znMCQ>-4WBPzK}|>%q4~}AphbeAdw;FEHHOoFrPUyxtL?WniJ7|O6y4Lzt_#bJ3Vtb zO&|9UhuZ(qzqsquz_~dpNHUC|jI;TL*&TbQ`-T8y+;h7Bpec}Ul9_qj%DS_EnXgi6 zR09tc_wr7kPP{GfbOWXsenvhEo-}uLfGK?=(Dp-|yyrg8Yrz+PssQLvcBCl7f`U$MuPz4_oU_Yss!G@NDjR;&XxY=CbEK zPcs5y&lYd32XXCBbsXl-_F*uQjVf=W-|Ya;C;yl}=GRgjB5}9Jr+@so|D(de(PV3K z+Abjb`Z}!tIjx7AiPL>YA4jK4G1iP~5B&`3-MDHgJ%{Ow0#yP>%Vmm93I6VY&GS;a zNzYC5fPU2*WIms6B_9^z5PSOdNw7=Qx78<|$vlIa&NL^qvpm!DtwCLS9>#|3e(^aZ zCt6)W(ut;wKkFQag5uTnv6$B_;o>DUaIDj!1;2y1o0b8tcFKzG+5gOA0%BKo<=iVmE0 zA}${PI5f7S*}dd|Q?#QR`vn6KS8v0gHpMYfHa@|5!(*c(d=DDrfp^P@Hm$)weC3IA zi70m>_nUX3sUvKjh~m(ORVMRbriSObw+-_`F{-Ih z!%p$ISw_ahX?Dpz%tYP0bTV*RojaPrNs5+&p&al3W9%)%qI~;xVH#%WX6TZV1_`NQ z2oZ@PR6uGd0qG9u0YpFsL}?h5FepiB7*bk7x}+QFX5X&8_geei>-j(L{xk=lhI@{= zuKAtk?~H(4b4vbXu~>X!ky-k}68cl#@jXQ}JGSSErQg%waM{6%8|$yRDe;VI;3Qp{ubsk^7No!!jLp85bG+eYWxA?;44 z3eV70hn7K^+(t1iqKhL}ivE7(LQ*e$zPuziSSOe(98|CIecO@}5O)JQfV}c}s2l8b z9NV6{z^q>ALW|>JR>yvkq%X_v>ImD=R0aVX=TQjMvPL=QF`W_1bj3VJWE}0TLg=EI zbsUwQK^u0YTBfMn__2OI$Q~y>ln4FaUs_gpQ7?BQG}tcgpkX18^w?_gc=Q+gXs^C* z(ttF z{^z@H@$NUheYDPF+&CTG<2TpTS$7vGB_SB}>y#*KXlQVmtr8e@y!RjJh_k-)K^@Lc z?`Y>Q=T3iz5wcDQ%uf1Tf8VK|-tXp0KLL-mLWjAMaSltHsJQLIpVc9;h*iIM#yY!V4H3IVI{n-HqC&Az7`9EIB#H=YP# z80BFRUP_<|Q}8+Kb}YL=CTz&!slTbgC0ubJprIR$!x8Py5W(^{4peMU!G0U}AazF! zmGX979wkc1vYM#2kz@SCNo1TYf^rq5;yQV!nxn;HPpVu#Nb8%CNCY8%FN!%3s$S1l zS!~)^bt;rVbn^N63~SGX%V0KPt@q_v1sWkt@2S2oOv8>L6*?4y#WIvM7tK4UJ$vpQ zIj17YhG*~1>Gc6Gi*Gdvg;_Fq1knMKyA3R1ibQYr`V_bNN-_I^cM02z{Aie((cXVhmO3o1A}i z50v^l0f-OoM+zI`$+^I@D;OZglDQWK!NDrz88S6iPiiN*olJ5AE(vz7NN*sNB!Yo~ zQMq_-!QWg_w8a+4?fh&~oNHRlNz#kcC&tcjpS|pR4J9H%Uajm9k9t5`*DBa9skb(b zzw*gb6!)k!tm{*bn8mz!W*JhSUzFhkHn^XCLZD6lQ?*sihDMZSAqmyRU+_Va35k>y z+uRqmBH_Y$$0g5Z4FE9m;TgjZTk>2kl90C<%E}PKQ^pXVGG}#m7eQ5ePd2;vYvQj@ z2nOkFS8cC(GMdy#`=PxNSK>5fZ0jjPOSyUo!UJhi0{Wv(nYpzZjRUtB1htWO5Z+n%J}6 z5*@nIpXxU!B78os?v~6v{O!s+pQjK=qPEd!L^DwQG>xUA=^VZ6Vt>}zIfu3ELS9$hqe>NBC#0cL)R11_Z+=>97W?`@Y7qz%q8;`K(7lh; zb4j;P%1}I4GPiSavxV;|4Z4U5!8K$SC(JQmaw|U@l6OG7tO@SeedWkTD2Hq5Ap7;_ zX3llGd)V4g>LEPI|NF(Vo);yk&3@heHEej)XZ~IP-t9;Jva$*kM)IN9A0q5Xxfjh+ zw+qjOSij5tR`RI)$Qnq!Ak_g1OxVCqHa}S-iaOc()K)C_@gEO$%M%^TFSJXg1I4R# zM*P@He$)F=b=SG%K}4kiV_&5VeTy|uXMNceZ_fvT1Y3*8a^s#We6QV(*7Yc+J|*1M zU2t{{WD33jiqdc2CKHwYj0E-T-bEzsbc34u3rf+! zkjAqHBJ5RoJ;_QhZq%jZ$0XAV%xBkxtIbhuH+w}+f`H}4D_xgGb3>s>5+uf06m zk(C){RwAaj{|e=kA4+quE1TTa7I0ySEXh{D7n-qFBx=|xBBdF==5nnsn@&5tb*v%+O>fcB0A`IWHyUp?DAvMu z2dMLwh&MAUTeVQTuEe)heG`tkXrYKe3XVxd!HdV>#Z+~74DwA>j7rd^pV6iz?1Cwz zni}*>t-oK3q7_K8e268z<%GPZoq@WaK588qxybX$SfkXePRzDiWo?KgAQQvqh@7$e z+#W7^?ZM$34LH-1?K9L@c^C%!fz2*|`3!yrP&EeT5}@t`LsWq}bRcaC%4kg?P6|(> zf~Q)xX!8%IsHsarG@A7>xI#l+p@=74o@e5hgKrp|%z|CQls(hd3M48~gl@nTK}HCs z@?%RLj3&Ia3T+6|sU+OqD+24983|WfI*w=6z_bdOYu}!%=`_S7%cGXuqbO@TjRtB$QE=&zyvmAu>p$(_al5$YdAc`=I3aE3DEy^Pi65 zf+Mwt(zP8o{SEBqu>o5WR*>})6PAhGT~w_EcKV|Lw6ureR)m<(e0gH}^e3`w<<`Q$ zkac?|@9T8$dj#PbAz1lqx4-XABc=Y`q%fouCemO1XRKCdFjhoSKD6=P`P@uEf;51# z{PtT3$G=8(wqVWek0^!JH*c_($Vn?iDBUFNwR~RU-H&ZPi#=PhxLNU@p7=sLcbI*; z5FK(BUE_FKt=&wd3rdwBu%*?Hs56+1_G=CEu2zg>}sa#?1 zo&zzer1&t)MsDtf_0hjy@pOl4{?^UEf_Rva-IQEt`B>ah<7MJ@a<_Y^i~nl<5iaKh9~G zwZnkyhf32?amiHL1Pb{FyMcSQ=>2XMUs1Tmp^e_-EpO?u&EuJ0J8NqJLEpoK`Rl&Q z$z$V#eb2C)^n6@{?OwaXLSEOg=#_0?)aVJZ;#(1;gal%u05wa&7@_iMj42NAF>5d& zYV~bVsGCiDenBq5ANZXD-*EhN+XbY&>yQMS?ALtI<}1Ai;dN1^>#R}nZlCrAsES1RK8Xy3_*^FUR{oiAgkvmC0Pgx# zw}y@{`BpDSnA3*8Uk>?Ut#w-TRbJCH<+x8sUYUhLsZ#A>#{*D*SflDHoQvT4Q7OYCa3XfpHw%I&in$H z)%{S+pA0fl&F_J(PsrlqKA5=fujNBaNP(}(%F&;}4W<==yvxu3G(L8?+tW+U42Rq-Q;5Q5Mt zgZfFK#wr(<)Mv}Jk4^1=mJY74&C8p&DSR9w-8t#$ z0q@qXu|};3AD?yjHjvI=m(#C(=^Gqa^uFB;>D)X3-|X()kj) zy;+Rm&7RPlovIG<-wT|NWxHx+o2kAYfAiuW|AGI6c}|UZzi`28!sfsikoo=EnwkG* z;sdwEBL}T)*@zfk-6hOhU9e`iVz?0qk8T%Igm{`2iUFqtJ|HL?Y(XmOr#{(&=ooz# zd%}Rs^}Aaw7>PqJ4ztIG;nIk!+Ec>9z<_MQB{mG4MZ07^NTD*H=wAIwLcLv)epQfWEs3W}J~?2c1uKKcr<(tG&q8l?1&0)WsQcw<1NG@_*f<9 zCh6%rC&9Z(chrKD{q(IKQo{WXzggqZu*w0k`Ncn>`A<};o-CE?&rUQ`x;E+t>?HD( z$pRqgyR59^-EmoL9Prw65i7(h=j!u9Q6*1GyzrkLE#PW|QD@7LC|4wIM4SE4RSQKM zQopdzmI6uC%b{6hk&^i`BhhKqO4Zz4c{+dXZf~rSkd~)}fst`$*D!|pJ)K=`+=n;D z^P$lsR0ItQ*!B3aotdL?o8_0*=t?PHXG8Ur73p#Pf;W-S(b# z+1*z_DIJj&Nya(j@m(yKfj&8ikNfIP7r(p=mOep8KM{K2cMOk9r|gsJ!%i5)Xo8S$ zIjG`gh3>3B7dzp#ab-y;*+pp}7DB{ad!)T_kK-(+-f%)J_fL{HRHx~6SH z63y)F&fPZ^<6ZIW$QQ-W@A!o<)fOGN9<;$qu7+CcFS!9lUXPFDL8zOb@+(--62f@Lv-gEd!6x z6>(==rG)p%>vP7o8?qYu6wpFpiqn}6KN?c`zViNAiI;ClZ$Qq)XyHDFzG=VC zDUjDCxvaM|`1q@w`?y!me?xTt-|6J->v&+V$uK!g+lkY7d4IK)W+#N=l zjQXjJZM^JD?2!)ucJY$lVUs9YlZ0@DO0~fDixVgVd1Q_q+ANCZqB<&pz$AL8Lic-2 zRgT2$stS@!yb_JTR`c4iEtOjssDWFYo?(fA=CBhH60Ke-!?AANgF}XC)A)G8(-xo1Y0J`ciPE10?L7iI6BGoow52F z`%Y>RN%>?-ECtxw3rdN7n@IA=wcU)xaBbN^5r2wNK}Y9%wO52d-d7|6&(X8898V{X z#A3tZa1>0A($McT=u|cp@T*vN!M~1EJ`pf&HvPPxN3Ok~t^4?_*1T9?V`jC@grhN(BxLdXk=7p*f*I&7$`wALurcSxf&+tA{_bxc zZI1U0<2-p!UshMyedtalcZH&a#d0trf#OC2i$gI?w!hT@L$3s1&Ljs;|8JQ^XZMHD zbjZY|1_H&ym(Q<@Z4*pFsUAV}@s*fek2(s?+@g&~D=tHir(r*rOvmBXl!d?SG5QVC zd?v$jnzQ^s;#0*EQ#937>zoNZ7Xp<{oMFzunOPgUFSp>)HAD!yGr~+~e?Utz9E~rn zKZpxbI1|~5b>5>o_P7@Fv#>}OCBJKTi8$o9j4p}a=INP*|7h)2iW42s$jvx);Vgpg zk~Y<1OLWuGz|$*d^7;a&#icz@3WTF2rpDL#81mMMg(OhVxWR4dpTw||;@Av5fP7ixBsvsZTV>{g_g3q!XGgWv) zDd1SG#>XM#S(-K;o1;A=vbV$ehw0U~(@@6LpFjiecGpDqHAC~mo<*~I2yYRfQ_qDi zs*5n2=>99N}Kr?`L7XJ&1Pk!C0S24w>lX?dx}y zD>7K0o?Iv_KgvmuHdt67!_bwk#xvj(?fOe-4hFZ4ResoV{5O+;6Ab58bhdMx{=R~c zf~y5?4Y~7`T-Rs|`SlR_qVCPI?yv1J>5$8s-)q5Z*91P z1_)U`r;;M{tYfZqflo!ugQlYdzk_c}GM-mr^8Qyc*)O-nu)+SEc|btkEbcv$aXdce zlBZ?QKZRY_;{zFDs4`eUHg;q<^#>D`7N9_hojc-=Bo;^iTWk&>_L#~EnraI%v~0_oWf_TK0jk9y==0>bdmZ;Kg1v5hS;ZI1Lh0(H$}c>eUY{ZM z#Gej6mAg20Ew;rE{mJpNqzM~8P_zvwnGSprmqqct^h zT*Q1xdvAiCC*UvXwFBSV_K$0Ht+{D7aN|dp%|EyK7W@+i~3inrdgJx*i19wBo&|U*i~IenLn8J&)M1R*S0G zlwrLMs5%9>mCbl;NrEW&3leq`k-c8n3y#Q_8)P_weLB|e+30qNU>`76UXi?CeO^}H zfLsM@_u7{T|FMkFyGKmTXc%7xJNe0AA+e8ECdN1UNGwj8Nvhs0iV%TocspR_7@>zO zBk?kgv9!?=;@H#_QV(#gghyz&UWvvra4E-8w#zFqaDT$%{~{muh*gy-6W*uzky@_V zwQ+5gz5c?Erh_lneI!-6k}ntHPh&5~8gG<36t6%cK0(jdM_%UvU&yzF9j24h^t6iZ zOpf=Ln|GImC~P!nO`^LZ4rZE+ZFC0`SzAP+x6+mtMJl?woeKx0mTguQdI*YrP|ox{ zd3V4yQYE z0n*-U|2!;A2!kqr)3615MBeU~1;veP`u$l{zKP82_1DtU3JqFN@yfJS==wK zv2+O_D14K%{`nkmC({45GXAue6n=gEHV=vZA> zHS?jVGfPhp{q;#)3vlhhi^Ch+a3`9d0lyI72Za8L#rIH8zQ$1(ykmqHa}xF9P&1<8 zEii0Q$1^b_fO+p1FSvgd(CPY(BKKL!)@d5}oR}|l5gG1i^g}2@Mzz~$?{b#)J7N*G zswX(}1hrYT+8HEzW|m{?-#)aJGqSMlb3G33eSNtMVJ>%2!hPX2VLPLI;t;Uk!mO3r z`bNQTVP*6Xsut5pNLU>Gn~gUk%R=`g?Cq)MT0T&}-o|(M$%>LkP`-vNhLF}nsrcb< zM9^-7dvwkst0xbzH?}hi=;aO|zn8am&8||K{jAH0^9~x0#c-R@epN^!}{*1Z0)LRav9D%I2x;ZX||Noj1cqr^q0%L;XxPa%6zd)uZr(YbCClb5Ku zz3s}fk2VAE*rCPd5B>xGc&^M221~t}@#n<_=0yF6inRU$KKkhWgM^rpZxvK z-DDrF(7dNx9daz$A9X4DP*sP)r30{Bswgz3WPqgjr$Gd505rGd1*Dy4Kb^25#-+QL zh|8KG9xBe2a44>xC-DhIpd-0nVaY^lLpZ_^@k$KnccjW@16z$o81itNMj>hH{?vs1 zCJFCaN{NR>+HK>8gJ!?;CeR+R>#864v|F!U2iVz;M=cv5ukgscnC|hRJ8qqrPQKbe ze)Bs22q0rS(v!Qr7Y#|@Nwu~mgJW}VkLNfw&fJ&98XzRNzIlGVtxRGT(jRPeg*x1y z+#q4^Gjx%IOBcpM;vir2UB240JMJq#HQ9$VXnEq{Qk?Os+)q!rk-;0vHrhR#T(a$o zIx!tQX}gH6&g1GnO^S1P{Yxmy?sd|nc+RbP>CD3y^P_QC>A`7ChP9w>Ngr(RGx>ZZ z^{_m4Bqeqvh% zE*61BUztXoQcPm|w>C=u>`PcLXK>b{>TXITvBNWvdY7wJswgn@AZ)y(9r0+y^(UZ> zVnA%$im6Ox$-mk$|J}+udEoF51NkdS=eImc`?ZN|W>^-uWw^nEZ-ecCNC}+Cl>1qy z^R&6DxBAVqY~EP9h0Wqsv%bp$mpNGZf9To$e#%MhcjSGYd0$fUPaF?0X1E!H`h2P7 z<;RtIAG*7t8~KwYe!Ul|^P!OgB|5HJBjvg@n4tuyZaEdz*;`6E@p6p`;GawTyHqCNq4o zg%gQK{<@Khp=juRc^m>3V1!2X(GfE;cI6ZDuefCV^gvst|?#@THsQ)n+EdJw43@moKU~-R>~i@2x;_7NlXd3?Sk(1 z={>9L+fBd*#|G`ARkkKyK!tM@!$rV7z)Cn~taXY5(JvY|tn7F)s+YaetECJslGShVHB z&uo$8{St71(~F?h5vlq%v~ATi*@|&YSsNTEwQ|glkL|TH**L-na(P(sMs2{&1z}O1!)t#C%*DjH%gURRJ32`Hr;goTjq8#tGl{ZwVzlTx7 z(fb67XL1hX)5SdZcX+1yd8SND4tBq3_Oe~%jl9?U{6z1w(ZVB5)>i@KbZ!a|-{Q;} zwO3ouDur6MJBU+r(w0430;noR-U7@D;qkXeK}${5z~p%SkvPdRl7OjFBiCP8F@u~s zfIU}j|IE8>HSGc~OBOxU@;scbXW=zeGJTNLzAyB9c4s&RCfOl;k-Za&vJ7p32}I!8 z<{=a0&Qn9A%Wa~5(%JJM2V?^X26`7GS#9jQ=IA&DEhGK&%0yA8UE8d1zJ-tE=MQzV zk*OR}KDG5}`2A9pOH6mU zC30#}f%CFCgE?eDSis!JCDpT&Ow_+y_fvMQZBjY+U_^_c2!|LJf-#vyoi88%HkA~3 zuBR8hvlsCw@kY67KNFK`Xz=A`NS6{DrXI*JF)P=@k#RV?;1vk&p0?>e9}9~Lk&tm-hI$))AJYi7`MSR z8r_bKilJ23_@NlCH=O%4BNj}kbC<$?+xCz!bgw1HJ39WnaiY7-vqI2ZCDJ`cm8|M% zhGl(V1?Yu87WDI9AH!-_oF7~vF-IX+g7BD{Jsl_YL3(WY&1yjdS z31G9r62+B_Vlp0vX5$+Lt7l5<(ba?KklFcPf$qmyd+#YJY~j_BKn=$ zA5MLHq$?A0z&)Voj!^q4`Y(+qw=^n|i9)>SZ#f*wA2i;f*JBfIi z+k;`i90R$lS{znsf-iui`4#F&t8AoB5e4N}Z9&CgAxOyR7L=>4`oziNHX-#LrGq!o z_+(jfzwbKye)4KmoxBw{o?^VPk4*Qr)FHvZt*s91yxi%$12jR|Lxi@ zRd7)9(Dnl|ep6f_NpEpx)MldK?JMp^7}SQeJ@f{YlzUJ_s$UCnh3E^DuIZ* zgajw)Ua>6;muGa`l3nArQx$`(;_F;dbo7EPNQegC*5sPLo%6~fEYQjbiORkNe!+-{ z`8f7Gls*kvG>h)((C=w^!x5GjnWuMukO<&oUwL?Zi>w_ZTYYk0zr@EqI5#G3jI7}4 zRI2&LzWg;0Q7NHuPMOL;M|RYUm*vK~8;*)+Mbx&_HO zwv7idi_@;pGf_MkumrBAARj)sbhUfEPLAX6wg{s)8U!8P5v?5CflneLGgym$9zZLQ z`fymSKH~HH_bHl4y5X(K$RmA>Z*%Gn`$J6#_kfnRrH>=dh{0NI-rCn*;<$*(n2Sd# za9<8_dhR9-S*?T_saERQj!Hs-qxV;4@@dKS=iO%M;Y4t>uGe5f3GV>oOJ&U?u=;sf9dNb(3$Xqd*_I)iMiG zqtQq@UQ-f*b^QW5-bz`|htcfy5C{WvE5NA}5CA{#m9f2LrW@yezXw%sV(|=pph{Tm z?eA9QST0`Rvz#|b=481$LEApXn$@3Lon{9yur$XM&IX=X`+3#0AaQ{lc=05S{jcOz zb<^yJX?2wAk3}s0`NWz!<81VhBqcO3woHC?b_^^H;lR(H+4W!9Cr7c6ABUiy6e^c(5ru@ml)F}G;h z8>B|$d9xpta)$?1H*0d=e@2aFS)=W9h?3EV8x-1#3k0XE$zjr6$DUgOS@rSf` z=>;@W4P7ScVr4ELO?#n{D=pv=5$I~TT)okXB*45)7BpB#1pD}t@k<_qKcQI+8T`dw zxJqnJw&t*qh>fO@RtV~(DMw3Lgjn>&CsWSuXuo^=GYdt={w9>0z$zS+ujL>v9akMk z8487^^}YNYCqo3elb5vi$d>z#v0ZN^w>`&kn}}^T3dZ;eQdCA5Qz;EQDNr6TkhA2K zN?d*95J#0$0prs*erTPX=w}f3y7gYK;d>jm?OnE6=Ee%=`M!Ss`OV{Vr+rHpF^xJN z4G(|a!_6Li49F9TNDIRVJ`Y#J=cS?0SA2FB8(7~d*6>2wC;p+VxYQegSuc84B)QL& zP&Scze)*W`V)?A&hmpi_d&L9@75qD)H32U-8nyaBy^94bS6wSAD_grOd^Ic=LMU?9M1MSbxs!8^ z|ME&#sk=8iDr!Ibw1KeHujB47=e^HG`yC>|l!HOLo;f|*bMWc9y{60kAr$*dTSbZy0=cyO6XiC@2$^PUtw76{cTZ6*Voj zYFR)U?y_>C-6#ls$;Ax253N;*HgTb9mM}v{{S$2P-&&k@lAjCyM?3rqcF~d}MAH~RN@Qy7c z_4->-^*;KCd^Aa&Ek47~tqK)_%iZV+HUJw~jQ8rrQN&VcvRxKuJOHg|p=M8VS%{C} z5_29kTL<^kWECOSI`Fl}c7?Iy*?|Ux?16l~0niy*V(Olkp%tEAzkeU?>{yXX8R>P_ z5~>lK-vq$Zibw7{Lhw!<9pSQpeSCt%3qG?yg;}mbX{Vp>f3na$=s&SeWTiPuTt4OZStd`qYHrT%)0oame`;wrh$`*(;io z!iiyYXY`Hzg6AKMY$vFYcX07{J486+ZZD9mX>-R^a|7^U?1aA0eiSkbbSvQnWx{DJ z>vN)}E4CO!rr$ibhpX%qe{Jk71I2 z=O0LB_Y!-sOCn5S-7a>33}f4n?0J30ZW3d%x}%Xn=zlfyJVT*-h;fa(2!D75GffQ7SnSIJGJw#9)}%ga4Gjjs#UPPf7FVfCfglP8ZFr*$0fqj% zb+z2*`=y~Y_v3!9*pYn+X-lb}M1=*RI!Wj+3{pE${9^go#JF?R$%&kK{+<;oVLixn|a%qzRzYAIj$#RQZ$VPfiI}Pdvv(j_dx7{@>so z)MJ$m6}%dPFHl_Q`1aWgIkC`E+=(k3v+3PXhtfK-7{TIdIBJG!5U!Kel3ipCo3(Y_zT%iH}Cb z5miH9;v(0C1|IM;3W^e**!E`mFWS=#YP(By2Rvw7r!hTK&ET!}FSXr`PcSyJAF9@y zASA!zWo4$9?RmLVyw#AXh~n@RR@@$srPh3Zy(K0-fy)%ot99#f5>sperIk<>J+wWr z%w10E0%P7kv~wruMS& z4m933a@tKyt1GQL%Q1Z({BA+Th44dZ;(!OmH31sFFFCn?%r*?Gv5t;!IfK?-3R#3U8P^Sq1Mj=fdmgW4b%%3*EaDH9)3I- zz3N~GX}pHyx0vk|rc66&zL(i@Vb2Jfah?KEwtO6qV<_3N!;q3cUOJVva`SN|P=ZzM z#W2Y(Cq#!IZR4o*=kfR|H5<|Iss{@MKfK337*`a;ViAynnjf`{_*mWCB*G?S~-5RcT{joQup&)XTPK zicqPCf0c$8OMfpKV+;y|`Er$kq_G3iM*cO01FVu3UQ@kFf2DK~fIc46K9BCXyV-VZ z`Wdvqgl5}zo__E`tYh2wqR=5|0?&u-4Vq+m5{Cd;NYNY0$IIr2kJi`e7Tbz^H1dW ze}O0f!f8`|r|I+tM0kBV+Ey+eV2QW%qSCT46}-BL3k+TXudt<~70w8~u;r&Gh|Dr` z(9cg#M5TfSBV&v`e^aLTGa)|XlIbY>aj%Z*3F}_6`<6T9M z#f4am;>!EL9onC;=+iXQtMOV=M~kY8bOc;|fWk$^Yb%BnbM1X>Z?W?y*&3DWf6^d1 z7hh$|z`IP{Um<8~-p%6b&$c|2WC!O?JCaOn)klf1a zB{SF362oi-VSQ(p`|^OC`^aKj?T<#4Sy|pkQorHO1FQ~r(_4ON0>YYNZ~02;r-`AZ z(2?g2FUv+Eb%k5Te@A3viDE#Yiw{Zr%?>zVk<7NEQU5+IDt$lJ{xMqRF8$ay9d-+M zaU-b61GL!`G!kUx%}~C% z?Z+QYDZZAu*#CKdU{0eu8Z30{L~tghGC~Nsx&V7ovPw$x(4AMXEHaTz>&fMnZ%qxX zV7D=w^JV6q#{Rr^Z<}+rk=w<65BXwmzE*Oqd~>#7XXS4>pvJnuNRlwnpNhbQ>S0o$ z7)dA%QbW_W-H?F83IJ;ex|RrL?1xD9+!e7D#ISOzDjzQ;CNEYr9*(FKRmKPPP?W(l zAH*uAA5P4wOfq4M>M(f8K?@^7(HNJm1tkA{{vmB#VF({dkC6Zbjf!b+IZE06nKyXg zkC^+q8gw7vb^btMxV6j$9yG^c%A-uUpU6qvA700Qe5Gf2VOnm)@vc(-O?^YrtdqFb zgu|nUmWW2N?sbHtSz*j(DNw)5DO)$8%UHaG#6 zHf(B*rn3hc%{Ow;+WmwJX&Buh7VC7ATzWgdFo4E#L-79R2J3z^3)|>UJyx7k*MNm> zPW>Ic(`zct`J<_?P8v_g|M41xQcv)Mu4V?WnS(EDLN3#{2LLN%ymZr_c)x`(LQ!!K z=MZ+^lWpUd`w?vIm-Dy5f2DonHX$XpAOAX__A3h&glb~`)`{CoZIog;?{qy-y3lJ_ zz9TX@l{Gr||FAT7n#L2xry0=FvPAe05RZ%)rKWZK-X_sexM1KJ%x;a8crNCDA}E}x z5F6$QiFbrlX}$``kNXyut_+G+_~b^R9E7Eu4-NtNwaM&0D#z?y69&6!5FcK6m2*8^h4?M-D~=Tgoh$@ICBjn69U{mY!WM z_zT6{7PD~)O*iKJ&6%nP9X~rw_h$H92>>k4z5r(Aag907Iks_A$oOxSY_tdl^wH%h z3d4u#Abt+HlbJ1_koMU#HBCO@iRU{)29L!gePJg%b|}5kUH1&-FD*!%sc)-09I>_I zMHzOYD#rcnejjLod~l|3k)Y2uM}qel(n(l@uE%pD?I@TEKugY&f8_N^xPHf=F&qYD zNN7F{nvtL&PfU??IcziH@5D97V61HGP3VW%Lt?FS&$dUsfbo_D)T#=J6e*pp*MWj7JvYoMy@Y*E@Z`?S2 zwg}`*M-+y0$pDCAWOrluK~t(R957)}Ke6U3&ByKe;16Y(JK7IxJ4F$UPs)eqmc@X0 zydaKnDs8Ws{Jad=yCa7U(%qid11sjJ z4FlKfce0EQ3om87lUz;Wp=#O@tJ-Va4#tFM19{+~K+H=C^zVWkn!$qurrZa%<&W~k z-$#JyC=Xt?N8I9hN%W#QSxRK=iKm zlnBs>|HIa2#va67q04?fu@!nS6JNd6l7^RB7D8$lxTAQ@u>U&-OYeE$Nl3+r@P8n) z+k&!5Atkk)*>oIf=kWmxHT6HNcp{frn)PI6YFu?+{^?zS!K6j!(ZUbjoc7|Hxq!#@ z-utUSlX8|Jw3yICxju{=(wYWG3YHnr}qpz-%R=>FasE zNDwIJtetoNTS5@Y?ODRD-#a1m`7tG+#&^k9B+$5o`toF_ts|Dc)U`{h42aY^w&;2` zckrHcbX4H~3BIk|fghQ?R`0z!l6*o(S5^)bJ4LDqvxWQz1r1))&Bn+I=w?x6mN5fj z&XsjbpvyqTT19`C?vv;zX}}SzukzUhSD^C?Q7=?h6?*3x?QeSxhuPOu{PqW#rlijX zCxou>op8rNmA_(-j6?f_jO7R^0-g}L&iO+5o?QlTJpc0k5JZ}+KBoG)_X(eT;f;p0 z(AC=Mq{a9&Tl665o9g^WLRtw(P3=#*u#u)+CNLy2HOTka zx#Z;NFbt*)x}%~<&0@IT0NL}tQCCzNEKN}=7Id?p7W#EMFs4|TiVyB^`Ee0!6#!XV z%aCav^0KX<{>XA?T@{qFlj=n0ulv0mi)O0Wwfc715_Sn$Y(-xANDeJyV~7B;!&w-v z_|AO7we)=4?Bz*q%sx!ESYiQXAelp&G3&ecYQ2c3%ofyO(lkY@`omUWLiY5hb4|R>o4QPS`&35~IO67VXx~7lmpXaVGF+ zIZ&#HFb_y$`RAbm7XT)u=>QIs+br)bPkc86H_9AZc%{%&!PK0=L^Xo#8?i9Uw@lH- z%;4vcC(7j3Emkh)wc%`YEDp%%>dXU4s7&&P922}|l2Y9j1#rVR8Mb3R4Wx;Fk_xO7 z*m^xG5R|5P_d>p7<^G2FaPRbNQnic1E<;%dUt~1yST*7l^;%O1`hH4F353?$$#lZ`DtQl#c5M zFEs}I+{?OMaUq7&RC@Gb8Jt6hZ(2cee7WzyhTka+%b1fPyr#lGUZ#+!UYMc@fGA4& zWH%TwF<5GXfdOXimKuiXT%h=fv<^H0L^zqbn8ly&adEqL8f9l?(%Qq%e-w|ID%aNv zz;pRGDhdEQto~dujRa($+p4kN)R7;hAC>&4$H|tA?EtS4h;3y8jUQ zPu7QF`8%Mts@1T`!^L=yO5xfZYeH*D^%@77K>dZ29e*-&_un9R-9`sd)0%I|cMw1` z{Xe+@0u~Q1ue2@GgE!2rX%f$+@{6swN&{!N z_W!ohrB@cm|2s+b|3Y&!6QDEpr%G{OYa8NzZ3^5k=1JMHqfU6a@kr)+!uqeI+3(=Y zJ-hTN%&?b30MW8iqD~v_L;i;wun}5E-od4z(qb?KROcanpH1y*fF!&D;Yb-qpb9FI zj8`x`vbqE-a`}T1?;T|VT01|Mkm*SXODE~KCxnN*tZ(df6CWXLIX@g{YN=r*-95Aa zpr>)LknKOTV>Xc&(fssUEv*@igx-)PhQDGTDmRT7JPis60vJ! zvn5WoK6Ric^P-35y?@Zag7RQatzjVRs(nA{+O{a!fVN0cN=w_ukH7>T*$p@z= zi|#q5MWi8X@2=8oCqOeiSR6a_DUsMPN21V6^MB%wi66K>j*5+)im4}mP z_BKM#UMJpJbobZir@bR|v+*(8Rm@_FyORxX8Lp+AgDeb1N?uHNzw^N4xpRK!Mg$vn zijnU!!yHyYym6Y5pYfsvDCv&Bl5VB?lzj(j6a=oN1eG|P4TI* z2yZzsRprGx3Q+f_B>oS^-a07Chi&_(VV7=@TpH;{nnhAT#6r5eyK|S24ncZR6jYFu z4q1?p4(aah&fmp7?|nb_`X!b+Lgm74j9&-1bMK4z|}MyAlCl(bVD^2hp-l850`1YD5 zes0@syehLhGmP1tjZQ3+rlOk*Q~Sim?6oHZK?e&JH_X@`u90eZ=J2bA;8&lgaQ6kj?Yh`g>zglq+|*&YpN1bSJ45HCoc}+}0h{pH9x|YDeqAr}y4P4L1^j z_`VHyIxuY?8m1t0lpL&iC091dml*w51}SkecMq~alK?#PuY2mBnyT~Y4Cg5KtV+Yd z-RpC{Ywo5y<)9j?nX|jJySH<9KdK!IH7;dVzZ=w-`kguEtV@Oggde$@%F0ShniqSS zcYmrE)`xeIT^(^t3lU8*E5CkhITZ@g0KU_+W`+{~6|QGrUWjV>nZ1-bEsI|O4rwmm z5Azfv_yq*Y%CJViocJ}L`2oJJCT9)Cmc8q~y*4Y^s|k&b0?~ikuK(v1orB0w8<~)- z;wI6t|QdQH|5 zxA<=@z?gdO+xa{fSzyKeUbsBQOXajnbJnd);V>YDOiDVwIMGl`;9J^Bv5}ulu=UXo zs=RMb^719Jp(Jn5Os;nMDz+xaYBlCo4m`8_ljyS&QqwESPzYBwpWXe?m!@%9IKvm0 zsVIsh@-2Avd8U(AgDw=?EWHj!*bdTE=Uck=Ctag7XF??OK0qg(EMI3dz}Ko7EpTfV z4R{_kmku2C0;^_R&t~qYxbdK)UTykEp+JvhV(m`WYGx)F8}~c!Fa#-+nrtX7974kh z=z^T`KNes4DuT|#))y5cvHP&jHmfWGeGh4uU=@_?`V)>~D`@RY*}`4|ANjV&%L?%1 zh4K@4Ls=ygL>jHK24Y`27_{OXVa8$gd{!moaFfeZ1o>PqLEx6N9bk)TGl5nqEOxW6 zWMQh<3O1Aqs@P%ro&vO=srcm}?}XoVqmjAZ`7mwt;??kksn2{Cf2#v==xGJuaDu#ns9 zTVuhJ&%(H7E$iuUfdK%!77*x4p&I{j_VkO`sX`XI7mEa6Uks%v#gJV-H+F%Ru%r-` z0S;xYadSlJaxfB(h#^M<1DrVP3;0-2GdA*k4zx+q;a`}1b51#TUa+JCKTZmt@yIrH>K)-!K9Cjr0(b3V# ztMR;&v~QqO`cFa6$1NpQI2MFZN2=a5mwc}OBuR9;C5vv``g-{7_kX&eJEOAO;_3{V))o)3-9A2><5PV6|i!&??04L9h7i{Jfn7GCA; z+_rHAqQu`0x6(MQmTyV#|3vpbtDozgyO>r-?DO3n@*Mzmhkq=WSvhWgy4EdirX!H+ zUq?HdxpSokpu_6u0629THp8N>a{*@yc@gxJBceY9{wgT0^)3VTuhoXHUrxJY@_llDYo_~^%HQR2pW&-JG6*$jg(fZBHH;eTCp&bk)} zU_#}?DtV%}YuhZ^6FIRsxJ~^Ztv?O^g(t|(7(AT=$NW`u5Yj|T_5NEXHqJ!DIuN}z zmE=%B;aIkF;0voA57><-b=?Dt=N*g3ld5qk7M2-F<5HhuQw22eD_~ambL*~OS{Os4 zNhVi*dGM%@<5F7^pVu#=(1qdrwqasR%p$yTZrMJWy*w2@9M${Av**v;7^!K-*%gNR zYD!@8S&XNc(l@RZz~!aRUFB?&*Tt$~PRcr3A#j_ZD488v(ar}g!lZOuPCnOO&x3;> zX<%olIjvtfJPnc{n0Cb_nD(S0nD*uBkB^Brb2euYBbO!IsF~a`)R+@157Jd89S6)u zS~cYHZ%=KWusVN1b+7+JhDdPVtLo`j&lclf!0gc=ec>IFW`#a%e>M&8z;k}nmCQxo zkMrt%EHu4W2w_49<#_#=-v7~V+^0SD^UphvI(ro=sEdyfVpmz%=mCrhy4GogZFG1n zo|}i)D(_8!PoOs1JJ(2U4)HvmFHTatzcplZtqm*W@~jSOPy8DO=EL0yAr6Ns9Ie

X5_TM7_USf1`adgDB;OfwpAJn05LFLK4lcOF+6)OflmgS1@O6d5}iCb3>UjVD({ zTvkazaTtu#nrui)8z3pj2ze;{6hpI}v+k21Q|N&jIq!y#{&yjD6&w>&eq^OS`fqYZ z78^t0xCnv(7D6o~i5VOiLyz2x6tF}w*(>=?h&d`RE8!v)LwPByF{e%mMXNb2Flud% zD;iua6)lqtM$!A@SPWUaN}U7C>gQBP`{cqfwGpL9pT96$j41K!d`N09WP*|l^kcK> zVM+I1VJ8ALOjcOSgbOvp22?B;3`K$|!vtGl-8j_j`92c()NJ|8w!zR#DBVsjA=#_1 zA}_vrVBuIag##%UruKU>F?-U%WztvtRaUa-;S>Rtxzx9eJEGiR&a0YgM zmkFul!Pykt{5M4E)v^2ZHnl%x|3xY-9MY}Ac(K5 zTXRYY8@YQ-D@pYc5)|y@Cmn}69(U7}lw!tMv(%w=nR`3)uBdqHzwcQ8={Np84k17d z!0?2*;P?8l=1eaaJrsOD*5!B~i_6@y2i>occ5F6pUpHXPU2VSJKVxO^JI_5BmoyA^4=TH#yRBccxizIAbTT7AArd5AJgc(WY8s62c9TzV_;yhZj`(4}n9 zikhd{jK{r2Y^fvO%-r03I0X6Bf06V&XYV3Mp8+RU%5Al$?q*U26Ac^$xLIj|@>_E@ z>*sQLyb)Vel_#MbPrssnocGs0@V}x2rT%~bPKaZeZ1%nG(GQ3Mmi0->gFdYP#uYim z^H}&tMqxg27%aj@5J(J8+rm%z`VtmH_R6P;lA{QvUAD6Y=9w-Jo{;G@2{tVhPf2Jc ziR5j?F5XE>=cahbJ=!(F*F?x%*!qAF_jV$!m?|vJ!?*l1W7uWKe%8cF5L zVG@p`T|u#R6x>WJwH_8u?fKq;xs|}r1-ik29w4~15J?}i?o`5`i%qs7ZqZ%7lsX|h z5qNA+P3YZO6@yNI|JHi*1QGfVZ+kZq&?Ok;Y!7yXA*?La+o!s@k5b z*Q9Sr{fwKX7OK#;4VUEHv|d}*D-$hK(r{yd?1U2C%lk?aaJUnvecQn)SbHT9NGI&O z``$Rc@yMn4>q$R@r=;UK_hm{tKJ)aFQ~f74*axv15^`@ZvQ`5YO;R2F3)9E>>AZ+3 z8@G7HmDIi~@jUou&%hI5N6GUKxJL4Wo4~tA$LS_d=S!phvSjv#sc@i=CO9dT*nEtTjfH<0EnX>WH}OO7Bd1x;TCe(`;e_ny z2}BOoMGT2Jdvu(ZH~Vv^~V(;1M`-LnhniFJ2Rp{VMoz`+c2!o26Su3)QmI*kYpq!wi)&fF z+UDCiB}NXi-)tu3?~_(0AUrySEm%emd%``mm^nj*I67 z{3f5>*q?s*Q4@UnTX2$#%bJHTkL-tY8xpqE3apF9Hi3Q7*jJ832Ozn$7A>V)D$xZs z^l_1k@slNpIl>sksMXiHSD1T9blz_gEMu#3w4D*lNrxH*%9b}JeKD)DGgedr1@sJ< z!Z3RVLSWN;6>-0)edxL-s!1xIYiU7cthQ|uT0wwSK{^*rR@BMBFT=0dYhEr7S>BVo z{OE{D>RkpYN7Xxm0F=>V;cLtF^ z5?W&YDI733@;%!`nMgY%#93+mMk}1x*Ps0>#8i}Z0ro?wRpXDZ^cenJR$WG*llfd_ z99!wj`(^hxdg`6AR22k35nJiU24{Y=7I{7Bs5)W$-PrO_YSjvZJu5eTv3zQWikmO2 zd78j?r`E#KdDQ7Dkm35}l|g6LdM0`YH`1y_n%bL0^gU6PupLSa~h-g(HYpY{lfC>-*{pVI;GdQ?(YiQ zH{!8XuD!=5&1g!Oq$d2pjU_jSd>hOGCGx0!JfcWj5IKZG%nV1ZvkCUB4e_)HE{Nk? z+GN!Fg8nXpYlAH=?xo|yG-47tl`st?TWm{Q91U?Ht59JlxM|ocO`WAibwV{DA{1_LlgU_J`re12&NLjPl_&x#>2!Ntfr$ zJB%^R=%irHPO$<_&jBN7eZLd`0 z9u8xsT$CF$QbNTuW?k-Cwxmrfyn zg7yOwYRDx#@h^$c&#h3tjUjgIMp$m%+i!XYi~qhuZi!4zr`lD$&@A24yiA`@-I|=F zo5Y+S&zNH#SCTB(d`*fFKBU7jyu6aLISS2R{jq0cK6ft?2Ex!CoIv^P16Ygp<#$ z*Zi)NFWa49e}T+7@wF_&<+#O}12b1lT-+isuvrT~&=05pTA`rJVeb}P!-TEhgFw*c z;c{23yVHz>46tsNyG+${T)3HtQ7(R^P~j7HeekIlM}6u)1?caC?sy7Dr_A~qS(fiI zvAWC!3!7%{>LGH2z0BDK%yo36lat%}8!0ukNtvfMj#jdrRRe=W zdj=eU_41(}iNVNGiC;oiCnwH$4t zV1K4=b__^TI||2%}Ii^B<6dH}r%JVenVVK|CU+zwpPv4MCE5?N+=RN=L-qA;=c`a7HYsLClc2?xZ~;)T-4V`iI=Iqo(2oH6w{ zNsk$!N+41Um_mjRr>Nm@Pm0`FiKm<;I(N0Tz*6da z=a4`!ejKS*&E9>kB(s63p9-1YA9R0Ts*GwfUL58!-TW>=Bfp{~@v_!+ zKp`A$HiN(Ou+X@O=-WB(Gs%M^Uv$+IWf8pt50!G?g`2oQ(MeW&2tA=v*Kzm+hisizol=Bf7pvc)!gKCS zwv&Mo0i}&r*V;cZ#&O>sFZHHI!7zgY>lmGv_b5?5D1eaGT|-x{e?(}CwBdUsxv%+j z21gz?bDe}t>U#%1Y)lEB_(00mtz_)$M*;ChRVVh%VxgqJZ=*$q2nDt)igexV285)zPKRzz1b4Y-9R^@koaLTi`rcN~bi%Wag5Ph_dx`*qt}5x1M;_jzqRJKKOaP5*n% z;zx`OgiR=sH8Giod^u<$!9tEO36)sXI8t=~HeipBn4j@|FpmxiWW2wWd+S*_ODLP- zGhz8*jAC{zfBk1qTx-X+X#m5zzPASKXmY;q-?+WI!GZ`5*%_3kAWm>MJh>7R|v>}|DHw0i2sOqt+T4$G)C zdBN`%k8l*%$tz2VYcp5TQxwBLbUq_NDs}tJ=CmE^k3Vb^tS#%{rpn{Q;uL`02<2bAzmJBd3q~=kVNOQxA z28D*7R~T7cG&zF;8ILyGAb0Ipp&IhMu=65sMM@ zzD_hDlI)lXIfRovB&r2ptw@o+7)OGrIg7MXFx4Jnn!qi61m)j7)mp3S8|aEyC(_c1 z8?=DAh35AVrDA^gDyQ=<@^`2_K>vC+G)@BWdZ0*9?MQh8O&b-lW~9m{~jGeQW-!Q zRqcBa=hc8Nd)`90>RK}_xA26o5yqb5ZOZHLoH;WyQ{3lpd3tg<{O`Tk|Fml%V^8LR zx4KXBoDAdLGaY;>%@2ly*B) zp~6)&7#cUNXX_bK>Uazn!p)1>vutWW^Drv`(J$(Ke1}=C0`H_Rm z00$m7+5B7rk7Wy|#*N%ooSD<4XZ6y_WeT9)gVGM`U`5?ucQ4kMLuH`rs6nx{;xrGJ z{V~Yw!xgUTv7tM{TWHwKq9vXtUY#=n;ad39;}1*&;+>IrcKT0CAN7=qan@{SWEexM z6a;8EDy=4v5hZ8zZq@O6LcdA^Xnt2I+}*0;*cQyC)s3|#1Grc&03GmM>HvdR_5g#A zRBhc)q6`i0<@eCNzFG?nOx6U~tfJp+Waqzu2;ayn-XojP$ahVIsf^7|*s4Zf1BAd$ z07~7vR{tUfP4LZl#pbJo}i| zzTPGvp$gL^Vb;LW5hgu*BMxL#wGxT+`s01J-f6xO>DSjChpgF$K%=KHMK*_!F#H!B zawb9`A<2|?GV0b(-vuGpfGC+tO!roJ=UmquOxJi4I zWpa#gSb9DXq)BZXlbmU>(~YQ(jnIv_P|5>cE-M}>mP?OF>?0)s9xZDVb!<{m6^Kc? zuq9?+@}yxYNZooj6PH(>uCZrkpm8|OaYs?rZICfIm%MFddh+0*3Qz;!s5G3+s9>&W zlGIOfaElP8Ohk_m@5>ywY@p)A)aHNs5)E4XDyP31$@LI3^n>%7e4lDmo;>Ib??ugP z<#BurHd;VAp1R?oNLi;r>^=Aa5u>5&A%#OMpshv?#N~puy@A+<##^*zWt|b>x0pf! zjhO-c&pY=|l7>3|wpyC6Vbi)ZYL2yCDOt9P?KnAofbvjzUP)G|`6dkIrAyY+&RbIA zcJkCY!|L0cd9B><9bEI*0y4_1MEbWF$h&Y4VMpJl{CMd(G#O$t6V96vwP~d z?k=fP&zU5=Vv!HPo;2U1xr9n+)}-~xI?KT0=Lac%D3^5!PJxzFE1eZwRWaD63CS{U z&I|Ll^{4UH%+3wFQ(_mSNTSiy=1ymHgH6n=J3VC{TJJt}nnR*%Y_ZeJd;MDruQUN6JcVcE~q zgIWi1N?eYNHNeM3k9+k$^|L#ZdD_I1i1@xFIeFaB#(!@n9?)h`}$mAEL zP;_z<)6Vnv*?#XY*38v|KQO*cP8=Z*@f4i0et7GAETK?p#9CL;lZL0QqVizLNzmkB zx0*BXoCa|ScQ@8Oe)}LBk@`U7(k8|cDwj7hlM*MA#CJKQ9+rqik!OLkouF!*GUJjx z=!vsp_cV2iYb{C^-Il1g-6%D}mNZLVy&`KM{mVaj&c zt~v2@L5PARx&7!<<|x-iv=&e_2?y>QOKU=o&7UbmisZ($X9>?#^Xb{6{pBfG=r9cF zSUI6se88q)x{n*+uaa`+=yds1kaC6KWOh+JUB%N}XY*V&{RRXFkK1Ydp42Jea}ndS zavL;ZYgZabc~s+t%D7f7FJePGT(edNNZ|k?=@?KC48JMneB^NbazyJQ`dBw6VcG1p zSF}LVLj~Pcm2N!Mcg#S>NS}hxcPt!vjs^NZ2ni%FQw+YAI01m?;?e3fS}5ki7y%Bi zP2wM3R@(Dru`VUZccQGNGMHrTZ)4(;87h@Dch+!b3k8<&pKlaZw@co9TJm{%y0$-B zr13u;%YR*${`JNEpQMF`=XxV|XF-_xtAzbh`_eMYbOVGLO-ATbUY80G!rG@^<1@x| z<4tsQIRw(MoGktCrOux1d>^D>0dq&ZeXoBmbx^VQNZ`mE%0e10Z~fwwa{t~j-T(Y) z2ejrBy=M5_RpVU!RB!x=XS~cMhhgBw%BVme4afE5SX20Y)N64-4=GU=CfV(((=8i7 zNWL}ZUDFIf*0vSK{{030=SNQ~pkV_v5IsGrogI@JuHE0xUW9zoX9m;W0HMtn_~U<| zXm1z1i4+Ygm zW!OF9nU%}4n0r?lzavKLV=t*4k-G7vxN19k@&KOm)=WS?P{c*DReeA{6kN;uP=Air z)Z%q8^3M$D)meLkOo6sG@j!w!^_EvglaxAQ;4F9ivFn;rxeQ>xp?I3pw)o|_K3|qp zl-*O=*ywoa@aWeiu8r5@X7(GMc1@gzfo{T0PHu!wOLbq^_QUV9(eRZEo=WY4rpdL$ z$A2aK&KVIlnbmLnCBD$NS~sG%)mZ`bZ8I0Ra2GNmsES-x@vtMI&7?`;Kx*Z%h>A%l z3#5jh9N}#HN_u>zeYTIHW_D(AlP(Y4m_Z(p zZKm@~-pWH|?Xk!$Feu+p#q@aSeIC*w+}g!GOQ>rpAC=C0Lfy|#$qti|#7dQVIxM`gefDS}T{XJ*4@a{I!5watFiy!caHrjOSXnlv|ujzR@d zo9)Z{;Y11xgDoFw7P`sZpGXc*(ciQdl*@`GT4VlFLG1gB$bkMxaQ6#AcLTmr>N|80 zh_zB2@&|)7t})}hC79R0R+zHYKepwJhH_4s(S|!quL*hIFRrQ2=;@dufJ@}}kMH{j zk9Rc1^O}n_bK4c5BZ_YQxKa?TsfY|Q`ak@FU|6A!}q zqKo92FGjc_!_WHlcp|!xrdd16jfje_c*x~S5I&1L!uOv#kpT>PeY9iAS^#p>9N8aq zdqn8SuAIMefBtGQy7^=D!&ed*X|{~+BxLqO2rEwycD?uo-x=lt9w< z@sh$PHDiwG2O3Ue)?OM${^b2AzA~cLS5iOvI&L$X-n-53%MY)G<=Evx3F%W7))_KU zv3l#U2<(AFmqPu{_EKRlm5EO~G{Q3*&Rfgp5R-7$)*e?K$W}P+K0}WtTg`&dGKPN7 zbo5m*nGg3qIxq5I>V;vUvz)Nu^>`MKl^-~m?>`XfFb&nv=%0CDNu>_n)&PIUP9`a_ z$8+}c0(QJ9QS)zi78Xy}6+$Z7&zr_N<@e`e#yWbc3#s2D2+4H452rsp5qCM|R)AME zU5-2#zw2bKky{y5uB{TAdv8lOEZy*Q^K-^e7Y)D!yX0$mQnM==QjZFb@RPhxxlKqF z8*?=CQ!s`;tkfr~Rd=0vOokUue5{ng+u~HIP6XuMW3)e0C6Nc+oM4a)NuaIbFhnzb zC2e0=R(Osg|FC|FW_)ILx!fu>T1rT}L`>ddzy1O%uacFN?>0UU8al^)3$$U`uA!O& z^3_aPTBTK$4~b|H{7SKf-v^?BeX5^o46g}13I%RL#snO>6C~n-BX?;U_`%dco{SLO zWnnu(+uF6$KbrcL#wJ2|-XE;XfPck>waNWrolSz5;;GPCnVetVJfi|G==X9D6TFw@ zODvfv$_GW9-lJ`JRK&%O0=PiysE$L6lC_4-xrBq7ttT|!U`TP z0t6u;U)U0IX5$L%%7eVvVos5Z=Ac*ZC;!!o!nai(?Gt75E0i{r9MpkF z%QybaGhApGPw&QX?i%kFW2N+R{|@iF?>8FqFv_`G`){}V7rTva4{3aFU3`YvWiM3y zSA}wI==vH$kWT(fG~Rbtb90j&0N^-!FEH)4y#Hp-5}-~n1p>oQ-Ca8>$N##RJYq@E zVBEjL*rZ4QN| zH3Dk3NoCw2=fAi;Akng0v@om$ZX^{xQL|M-K?STMEO%{f%R~xDcPe>djha?s+0L!Q zK*oU!p<(8}`n`)si$qcVo%LS^(ZJN4w3IbJgxcHrTQ3<~+l`Uk8bO&KJ^dEOezjCf z`mlQWZZnd-lV({OuUX47Z}jz@>Dy^r0^3%U!!10#xPOzoNzcHj+}JDGfTv zIkH-@Lq%Qe?>mn199`+sD~PU9fzbrX+0;{9*@ z`Is>e6Ks=R>y!vZ17QM$uZ+|H*s#9-!GcIfjg##b!xfG9;4bOHqX$AQ)p8~VVS$f& zk+4vbA#sZFK?wY1R2E+#CVPH{nlv@)1(m!gR=Gf^f2d(qgrR^^HLxFS>wcCF;ourP z?FP%gG%biL#Yck7!oeU;T1F_)v~_w=z+xT>s&~F7EZ=U%9$d0aAjK0&<6u ztf*khr!{VB`z(!CrPDzXQ^GF_`4?!Y5-!R9_EZ;71-+=grQ77YUXz1|7Itoj~#F>QvM@iwNm5{^%w`!Y+^H;{lICX4`(6Jz=!xQzDgX^esNhMi~9?+0|) z1m{2Zl~7Y6Pr7u75T!~6Np5DT($5DUrC_7LHPS596E0e(@ygh+Xj2l0{Mlird(1FT zadL~;a@(|Glek9JxR!jN6!|TM$|JL%#buZAC?07p;9D_pGz{x?g`t2~67W?vn+foI zRRij;tEv~KSGvWOi6DQ{06)e%t{C!3*UM|4F%RH<*$+Wz&`trDZmFt*SA^Svq&0eo z1Y_XRg8g|`rxAca#G|FYg#65GnX^vkhdxv-*h-b0X)1amx$eF!fW!qcE<+=;zI>9F zCj@b^6vmw@ka}WmeI?1Xt1fFSds`eU^1OtppH0w3*qK(NoL0x$!W5fo=taY`d`uu) zen7K`isRTB_-V=IayqZ|aX9cmf-z|BI2g~wFxYXOwXMY7vF;ZxpWcB0H1G!UwKGzi7gj;MX^;H$yS)&^kwpa1 zaTaiH{5w7LiL^M*0l8FV1AqkBPJrstV-UMybb{FPC2)SxyUUrlxpZ`xu(kOg{2VJw zl2oP&$6{>=b?Z)ZS-ob>GH42g|6(N+Wt|reG(hYJ&Iz4d{7s;P0MTw?e5GBm0( z*EmvEiW?kk(n0+eL376?G=EC?(Twb}C8GS=Jc>hlIpR_UUq7e&sTW~?$SXPT%T}Dg z-80>0gp0RCq4YnkLA}4R?7vb5->t{r;xwPyHIGWn+!qTVTXlgw=#X9S%KM(R=M;1` za0 zzNR-?12Pi$xVlmUe*O&FD)AS=xwU%`nB&~=KI4U;`nSr#4y@oT&(~5YWpIe^TKPYy9i>SD9+LKboo-YVmHc^_ zxH~pZf7Zxckm>a{uhg zx5G2nDeuxSt zfFdV{_~qD{QutFOz=Il0ECTO{qI|d`nZro2=wEQCK%Q=Yq?XG|ZxhlI$0XHVuRR^3 zK@h#uU*~@z{z<2sWef@nJxJ%m7IG1!q}>H0Bi9{=MtfM!An@6?zKyZlU)Vd{s+*se z@Mg;%<4}OUktl-5$lu9r3jXBSHA^}c_?(gECpW3AGGGBD^yEiM7{IU^0e3`48n)38 zRfQZRb_{FGJ^?E68H+>svL2l&U?RY_e4RR}*X}Z~sZ(MJ*@Ffr;LPv(0l@XNbinGW zTVKB8Z>pBi4PM}Ds^~13#|Q#98^JF}pt@w~a1b@Su!puft-6Yt4EGaz`CueZNerVL zM5Fa6*cKHWOYjf%CAN>d=W>^;Xj_s zP$&DhzCgSQ^x|&6qwwz52u;<;pCIX0;EDkik8lq%*x%tO&4Bn$AeQfa2YOX=EPSJI zc~gD-Q&$``Pe)jEB37`#t+XF9Cx70T8{l?Y;`h(U-p?cm*{bV%^>6M)kJYT}#5I7J zcocZM7S!Z14HT2RdzdE%$)y+??j0ce3*`0bM+1LZzy*@M92|C<&HzGKfN~-J|7L}% zjD|0Tt>Xo=$I$(JxdMzYy<>*0#lW8(8nIH(8}j4wkaIl4fJ+z({6#87o&MOfw}LTR z%0MiQ7L`jTG%;$sz1dddIszRN(-N0SPzQ&RCAsZA9lciP*3J?SVnBz9p4;jGI*|@v zGoL6H^2+oe*$ipMoejmZc@l#Sx8O=TPjr=Ct->ZO;x&VJ3WscPsqG|Z2)e=gKN56m!6YCU7OJ_%V380jLBQKb6M z%YfyG>%c#p3*0F0U2jB``i^SqNmChJb)aISM@h z{DD8qHa=*x;m#5NWnr=b$-HX_S$TkZHhyXI4wIwdvYYMceXiIM&!O!(tntZM-4}baOPx~snphJ!&%Rj2c^C@f0{Zpg zCuocyg>aC=jE1TEqKX=m2USE2(P#{@Xm>~mXyZdLeHy4y6*&UDtW3>v2hA&LjRpE4?@Kv|$l#1{ z#gGQPgg=l&iN~)L8>H0I_%$i@Sk?Sgk}zyY+-7$2LkR@c*VEpks$+pQc*H-)NVb42 zXaU^@uj`NH*uDtyiHzH(@5x=gk1Tw0M*?0Kwej7j)hVr&{6^EZ&P1Cq50&apusFU< zsuP zah=VK=Ghpd%|AlDtKR^x#swd`RZI1pF#+O(>=mioy@cnS6K zqFjOlgP=GZH=~LUW#c0s!STn~9`s=qM%iUqO9GR2PvCdZso#NXN2jQNI+0$pHPEq{ zLPNO!$4`XR7n}aj;Nh)?9fP}5`DhvY^?Rls1!*N?o_jw^7@#YcxbyDJwE-TY`i5ed zWV#aVHDUy;EbI}|6x3lJ&p_Hh9I1P*U_KlEtIkm4s{yTd7`Pd04-n2-?>Z0P=0Wvv z5u9d!d|5CkQ}M_~Ik-^4UP_Oc;eiw82>y=QeCLybzqJvSpVbJd6&{%j>?U(D}7{%695mrLRFcSvkCtlu!2^#InuDomX87 z!%Xs^OdZ(a%NyS5s^SbNJR#~R3;X5GWFJ!g>cawTqkSVIDT-=3lsk9t`20@WqU(L} zF7K;~ru`~37pu$uGTJ0J=+ZScN~6M1?$r*~P4MZYtn%@~-D#lS(Aqx*m|CL|3ZtU! z1m27V(FGle1s%zqx#>K(8v@vN@&3!q#k)Pg@%FU3Io2vSpz25bS@Ye^`Dk^MNE#I& z`N;J@RJ~qTmaW?h0Dg1lh;7mT>DBz7@0}$EqaQbj`m1b@7VC}c78Z zC#%%!uZ$HKq=m1X1ciO7E^YYb`WY6QsPx(wVNDv)EnO7|wJ;tgAWg~?8!a!xN>CJ{ z=138EnYK8_Z)BDSJ+#LYU$;e`O97{l=nrTLVHWVA5xQ&jHyBqW6v=*BYEK6H4>Op%2MJHNde=k@ z8vpE09z@ytCI+lY!n1ot>>Y{(a^A0{N5{+9ZM3ElOXO_BLjS-aOjx~4kBP~{#xYSN zl|J4iGODxYY(pOGK_2X=NzW?km=|`F$iHMlq-(!q`udA3CiEv6B8?@6ghad3gBJ3( z*IWin)oylw^+f)W%GU};at0@i9@uyAU5$y5%5G*77g2u$T;nL=f-dEhAPOe?-TLe| zh5fyiQVFHCANIwiz1|J#=a0utC7bpqVgAO29;q>#KQ}N9#aLqe#iC-M!C{hq`iVvQ zJM)7lus;G?RAFqd-E%gse_zBAX=$uYguo8Pvx6QG2D*?m1T_@z^-L}u8GZ50V5gbg z;Hm<2nSogY&7H1~P0VBki+Jnm8i^39Vhb=Cz}ODaSO@V6YvsW~-=K%QPq4s8S9UuSkmtaF zxAt7bwld)BhKI{3@?Xo63QFG0m2SKou=@LbyL4MjFvBQ&#IEw+PB^n_pcj0B_$RMr zK`g!f2Jy!Sb44Mq3E2~rWe@8wq&KHrh#>{aYVapdhx<_oH*kDuf-52_oq({2qWJlO zJE8^Vy~Z)C&C`FZ1V1xVe*!r)Z>~?B?nJAqtLG|Zq+;lRt8i||y;N=V`zk(J;F{!I zOZ?4v{EyRv5JGl)ZIS)bIZGOT!E( zF++C`NQZO{-61O7DbkHJ4Bd@%2&gD6-Q6J|-Q6H1h@6l6cb>D(dDi~!XCKz^hpeTu zSkC>q-|@Pxi!M9ikC?fqHKxeo;+(FrY-?k%Px67)FKx~~ICGa7nRyktc@?ApOdkgN z%w>^XX(d=W>cZ70Ck`fMAR;bK{eC#&w`-0^VofXH^EG4}M*-N`>^tAJJF8~@+*pHu zud9va3+R_tfYNXj{^24Vt*Ke0Q=W#q8+M}R(K!+X$X z@zoTPPu8CYcM@^UVPNQEVJu=rfi%)^AbR;+Wp;lS%AC6!PZ+C0fL1Jn0pC$Z5Kuo6 zF)=Jp=;soA(AO!*sk{#?XdhF#JKBmrjJi|S$yp0EP)9~sAu@$^xu#Gecx-DHb%NDr ze;poA+r81pg{KUDW{&y34CfNVxB`Eam%`kv4AGqH6+AM7x@(kRmnBmrcxaXy((LnS zz|55@0i6XAbMb-{0+2r+JuxCVuVx9|%*1AocX6~z_58hYge<^d(pxgDk)AWbfKAzTuW8)z_dLeUWw42ot-^-M-7Iqc!n7P2XA!h}xt;>cu;ix#_(m0sXIQ7pHDwg3@{@A?>_9^7`n&rz~k!ped6lS!biReyu z%H9})SD_$47gmBoA3AHmeFC1RzvlLU0=|~r;CXA>vV@o2B^p^zIG8Iblxe;%YO1xU zT`#&k$64ADOtdofXnHCMd1fvFvX{F_8J=832V2&gfTMk@P-oxKC%BeAzgFM zx1#4UY)~q2l}*$sSCY0)wtvb8G0mU$FX}Z>d3E~7$`ywJ5aZ7B-JT466A3A3vfqFp$KpaRWmo%glk3c2#M_yHI)uM>EIpA)@r-*q8M! z_08xg&}cZC#k(R)Y=W>*Is!Ek#uz51$ji}L7Ab`3fClZ1BD8R2S*ETz$i2zB9km|k#9sK!u6AMOuD$}LRHi7(Xk3KU~U=(s95`j`I&Rg z-f_6lg~s@isbz}<=7>w`0yiQii3{Z+2e^rn9_no=EUcHQYUYn}@!vugWvRizN8h7U>jtZq4V(JWm(5lA%0nwS32If-fIH_GV!8nqgw;Cnw=T1xmnp z$;)@2ju2sFm&vGQ)=;_DKisefIhijE&B845lP1L zzJBegZ3KE?02-IL@53`D{#Qm3xInitQS?(Cs?ZdU7iNHR_VnkE@ExaYM?Hvl#M*p7 z&>6G;vFc&)iOxTjNB`Q(WV}WRU3wTh{r%J*U~H*HL_~hRxz?!t*$-I^McG}_z37Tc2QicvDZJ@J?=`4WpcNt=@pZC4 zgQNCL`f*t))a8e4yg7s5h%2-cFJoXIZ{8;YE>lsK8`(sMbtDayh=$Qi)0R_WuLiQj z=vYp{O%W{ih*9}Jdp+J~`MA_8_-t=C!!By~J>K6hNnj#TA<^r7HwQmWDX`3Qy#B7Y zt4J3Ex$_f3;=T-$^$+{ehW^yMj)aF@GEqj-l??@V%J3D6wMnSa;@j^D66W>1$7c<1 z`tl`8%B8!@N`kN&o5eK-M)@^pe~E@}ZFbn_()wQa>@IYXosF~{rME?MB$ z=E!z#b1s_seRtgae42$VSCr-I;|s6LkENBqSF9YOcQB5O_brtE>RhK!EWXWU0sk?- zB_~Sa3;{I`Rr8Ban0(`CzZK8QaS5rJ8SoNLa50+)YCt@!o7@*~V?HEXJ6((jWsCYf zWggGo-Bv5LLlXRj1j%r^F0Fa&v`H_Okf|5=A!%vtN~ur&d5(LyXq`$f#89C%yl`OI zUqbSCikLZtD)nAqd+HL zvUJxz4&WBkv{Nk5J@j#BH11k)*Vk|Tc*0GNN%xvUd6Zb7txzrgbt-h~gQ_YGZEDwa zZ3{8GSq7U~0-yWbKCL1FON=ssFw5D8z>q?r=mC2mn3FeZaQ6UbWkxzRg2TjO_BYOo(v_S-rBLa}{0Ku(~a6!?jeg2D$W5b2zS zP7NQ-lvdJ|)}4=vCKu;}g_h?}?kOICq6ZSdokR`*D{jGckGbA_44$Ok&qLFEuAKOI zYC8BDFn3kx-L$F(TqxdS9tH7tdfT`?3@mu=qA|#04M&Lu|09#?l@CBAdD0IEW7LgS z%%(idm0PS1*UR`dTwnE@TGYF$)zQ;Qb&~kS@g`OSpC<9WQ^MsJa<0o6Yy+OC3Ofr2 z-Hzpg(QCVp0-@Ga?{4pB#s0I?FD_TmxmV2JoV(j2Yl-N;=AF1s>bMpA;mFWG-z6R( zxjqLUXQljZyQ%wrv|ZOVQj`H7#s5x&BM1l8z9s6L>^Y~oDIkgGYWVf$^U<;wp`XQG zzT^+6-q$C0On=c}+TZ~*CnAa^APn&-GPp6f5A;RV%pUi&P13awg*(26xQh!TlgSeW z>_^{6MyI_BqDblY`b-43QTMuUT>3Ped^!-uJIjBpS`=ZwrjgM0ZE&)ddH3snUiibZ zb32vrUfeO&?EI$CTI1IM4Ca)tB!UyD1f*2i!Gy=cj}4~|l%zs0Yk*{zTuP;^JcEgy_bahswOpk9@nCHl0G#V=5LS{qO zR@Ou|vkiswXZ0uX>A%AZ>8f-$HrIbot+69!&7q3YKh@zD}O29?5mA zWwT(lmrjayALSKsn-!@;+>qauPyvgkYPja4H+v#v0T?_l4f@-;=3T22KaYVCTqqI~ zugJ1*%hQ-*v$S~02Ev8oonyG5#TvaQMRzR453~QCZRHE1PnUd||L3!M;lka)q{rnf za*NaL)M^_#&htI$v-olQb+^dm^r!RZ6Y&nK$ZI{chd)}~N>RFMsHM-&S6kDq_rLwG z8~xul6dj2wYuo9@ed%qNi~zd2x^@vl{d=u|qW|K8XXWwFO~^$1ZeGBjwwqsEfnBEo zkM~{|gUtGV4i)fe4 zHj~^l0WO2a&f$IK6LTF^NF>2WG3b}c_L{w;-J2X7~PzTx@o8qD}KJbi5uQRdF}~}T;=d}=>Yf;zpjzDbBv~+Bb9cB)M%N))DpN2PtZO$LyWA*oWZcA za7a^mmbPuAl=M$u41&@=pxLb01$~<#IgO2WwORGO*;30*ewX%x*?%+(F5SUl474WM zjb8ZHY3YWoKsBLe*bw+#J1xEOTYdAwDZbC+%-1(4xWd46mD;Uq;ZK!9hkt_#s`2JJ z(q>}HqzUfkB%b$kQ-yLiY}CBezw=aMosB7doh@NCts7JMTXJ=uQTfA5|B|a^Kd^_P z`8(Gq`hfSq3N+iv&OG%#Wfu}e@H0CdlpSpjKg8lx_5y}0Fg5&A${xjFU?6+!cFWxb z0uH4jlx_ngH!4X>8kAf|PO@0dF+Af1c%qWCl*{S@Zj>`bLMn8fPm{SM1>zEV@Hb$R zO2fsO{X~q#3&w!ht!6VC13$qs&5_^2QQVP(WFc8Imx!liyQ24R)<=JD^a`dS6kvq?or4Ly?*c3ZW_}q_9>?`j+Tvx;FdBi?APSSM@j-Ds?3m7UOB3MU zXx)GXPE$rBZ8fJl{*30Z`ip^GZxA&2RbNRXx{sOSV_luNVqps8>9(zV%A z>Xi7KMjp6Nl~kDB#WSx1-Zod+Vf7@N7w}RBj{_P&XGK(XtuK=6RkwmuA^(8I1CNdr z$*ZNu$UFT<6on!BX@G5M(?))FsXi9In-*_Z{s$&5O8H(|eM~}=W?${``#l9&TOQNb z*^XZ6+0u7HxolEr)W12p>I1fnaz8Bo=PIM0DJVAfi7#L-=i)@O9;EHC(&9bduh4Gi z^XtOWpw%aJxX$j!*-_0nkBY*u+kL9>FT&qI9_FA}z1TzO-%8{xT%re}E;_jY1h!n@ z14W8)i_T3gTA%4f2pf)hLgl7e5S*JgThVMW6G0%HpP&|4 zC8H-2v6DyLcg-hWdoV z#%@p{AAUBs#cc!T6p0#-iM7q2@aRQBEU8vIpfOp{Owb@|TfXu4%^HOrw~ovReCyX) z9j<&n&|pNp6-<_dxSw)?9aMH%I*WX74hzukO(>XRTsQw*q z0R)#b7Zr1)dSRKQdm zGHsljjVY(}93pn-v|?o3JKG2D4qYVw97Cw}h^1WohwHsgDhdIQOa`5zNcGY_jiPYG zi!Lm5R)FOaPeA8|Zp8uCo!b{m2TfgGSHgXtD@+@FDCt?B{m@h;0|u!3LTGlpv;RAbcYY5~UC)ImJbKZxfr*+I}$JkFj)=8Q8dfDO+u{MP} zNwm$rG7q9e6~~Jn7b*C_ro`!l5`BYyuSS}mY@p=~cIU4u8)9il^38k?Y2A=~x9 z3h**`ADY(mBsINbYATe`YTv$z2JtZH%-~>$L4Z6(tOlxcmw1z|d^ueHAKC{Wcn65o z=#2#tzM5&#TyR~ir~$u^tWep$LoE7Ye?r;L3S$&?5YY$WtQb+9DaN@A?6$* zTw?g2P}d2xr}8MtgJ=K{()UHb-avKuH)hHxyCQ+;#Q=Q_u9o-Zr2Ma8G0!GS#{4sY zN=XbMJm!A5RDb0Ao2W9r&A{?s3vTF>0@1-BM~G_SXhv!>sQQchi`gZYhMF*whFFuz z*n~H%`0Js4gtX+{k_dxjb~qOKLsqYN{zN_^lsrkQldB+u;VH`{7d#lJ*QX$=qRZz0 zVF75u3hTTNpJ(#H=9H;%uG z>?S;RzKf*{Y1p*0INO=Wj4p$odoa%}jaF9icG~Mv?R+5^1#tIip{XiIK7_gfiAsEQ!0ca=$*YeaJwG>kf zsn&#}rBxjvqv1PJ3Izj0uXNIUT>W|hgYNGSbmKS?)=ZsP32wrBZtr3kU2;4UJ>JC- z>eWtM&#b#+2-3H3nhaE+eG0~}Uq4RgZg>EP0pxP+1#4Knu`E9okl-X}N|QiK!pMgR ztczNMV+_bzJpYg-vnNBl8EOazL!>TAXt&;TFrfqn!avy-_DRyRFM`@eXL=4f&@AM% z>s2JNq2HVVY5m7lQN}B#!X3C&v8(I)+E`H|68Cpmk%t9bVqxh8m5-%u-fx_`-X8{6 zb(!XvK;5`-v|*9oUo8K5ymRKrLx8II!5#)gnmMaR5Hrbxb`;aRNJ$aT(HKZ(J_ zVx~ufd<3x^6~HBMCZbU;i;%>G$C7t7az(@Mw!F2O%d(|Ok__$V-Q*gPvL7x$~s?L%u4wYpxw#FlIO3VvQO5qU~}Rbpjyl-WBmLe$#Ws z*+<+Nf$S^ke{LFr>R+L3zy3pbcF>NoXB-f}z>ax#iUbWW-4qcBY8@>i+4+M*toBOK z+p>={u|?PU23a(gUicL%nz|psdi$eso9_O;|3A5){7Xz2RR0!59t88<|B&u8Ruxk>#}5teE|y9*%92_&?D}x78qAJh6LE zo?qmsLYwu=1RM%i=8-&pXr7iAtr%M0#+pi1%MJ{h9QGgu6A`*e7KGz2xYL3lR${>3 zf@SM@jtJdxqB`B7Z@JT(%rWG9l2MPb0`s*50h?imTv~H=TF2*>77YV>`9$oC2vBm`9*lrqC%vs)`GwEFVN&8el2k2&$s*K9^T_boPBkIw~OHlzom>0ps+X4 zEGIz4UD(BA+au@x&Gn00+`?XDguCpYmwr_O$j2SofR1KW$Kj4mH4tJWWr`M-iryW9(?)8WJ|TcH ze~YRiF1)B!Au*ZM{}c{hhEBa1DJj97{BlM38jHF3o=-8#Y%&0(Slk5AYYvV<%8sV^ z%SO~W4#s%BeD3^Li}JT+#xHXVSY0^ye~@|@-{WJ{8+EPC4$twr^RMu((WnMvec*)% zheKb*5MBf|(GgRGLavjrS_NI)2S^ISAya7V4y)G1PT(*%-Nija`|XP`r>`KR@-pL4 z&Q~#93yLKw;^@!Z>isUNWmz6vmy+{tyB@JBvAjS~{qNNkYYr5Mr;> z_fZl&@QV$yDt5C z*Bzq^vs>P|>ZqGH+8MvptE4Gli`I7FNG@SY{}Ro7x-^kthm6R7p?7Y4W0{d~NFb{S zqwNgAV6pJ}z7~r1_EoU`!+FmTKD>z<0wY&k5N=|Nb%-#`Ws5J*2 zAAcd5yY5+=SN2Orrg^kvwq&!)uH|L>7>su$PdvEEv|7U_ojT5J(o`G9faaUSz#= z+daB`3aQbIts~yIo|Av{_;XG$X!@((;qEw;8g)V_xKWJ=-}iTboY#3K{har4^?zJ< zI9);nl_b}nRkQ@lQ+XE?-T^cS_g@F2?BPhjMftye3Iq{p*a)ktp$p+}m8PH{Od@SN zr60a&2!B%>{(DCm_S~UpsR7}#`8+CC9|!|sr*rR73$7z*_?AIq55Y5ojbTa1El&M8 z@@xKr{H#8L6i#a~?loJt>4?_1uG+TS+9{@@fLkBddkck2| zHX=2#bPZk9H|Kb!Z~ivcVPGnU( z>+>a}9~TAuV1NAl+Sl#QPY#@{7OuRozjpk1l((J;1b3#^w;LWX=UH@A+5-uoKMpm$ z17`f+poG%^#~rs*ae{+l84ZiUVlAeC7X_>=*NNp-6e&Y8S&f8nsR3$sPw!w}Rg#ut zuR~Pvzz0z51K09AfM(1J187Fa@FKbBdBFoj1?JvGW4dr$uz2jeynmVC(Nu`q&utcl z1JUehY*%d`eoLod%Hf`=4h*sBFzSs>^AipiIg6-pZKzHY;BO+Ct#F{PXtrbTc9^WM z{hzpXAuS8aFvm^A(iz2>!Kpt?^rHO$SUMcYdp!=4;&vBq6HX)?89{6qUsk;nAStp1 zrW-jbtbhT}YpH9uA>FGp#qU9Kk^;M}LO0?THf1&fnS7GQnn>P}O*TVD6NDLD2d=7! zz%H6&8jIq}5Ssl9p%|Mbd==|AWYM9Jq=PX73z!$JbZCsNl4@Cj-_v;WsU|VR`#Fg_ zQ-MOUT(5WB_|K=cpE<}Z^uqO#l{>Z zH?$x1OUt74kK_NZ*6n}$Q&4wGhvxq%Y=_f1>o@NI3UoPr*RfqAwf}41Ac|D9k{~$X zz#~l)Zz-C-VfOY}TRBpdI1+fbrugj6tLa32`|*i;T?l)@p#K8xix$>k22| z$w%ZltLR>(tv&^wb&Fua1PvpRNNxM==x0BJ?gchpwf9_ij{Pf)svkd6 zeV18z^jwjmRvo<<oCPvJ(0hRqh`&7H`AUSS+tjxge6gYHgQ*}x|{y5ah(=lVvS9Uz5EwPW34 zo3zVGfOA~8Zu|j^puT`w82^g$*}WI8@^@2_LM%hmCqw7hzGG5$I=tF=YCB)({bDb_ z7k#^X%3nc%dZPjx`aY7fju)`|ajJ|4*e}j_jOHcgXh`)Dc`qF@GbKZ4sk36DPRhhv z=>bahvB-j=NM4i^!^~LCT}VnYi1WpK^A!QmCUiPo3I7O5eH}PBpknq0lMt*Sh=2%} zRa!~LA$2q0Ohm%nx)Kig!1xfYf&5$(1P-nF+k>=g|c~!J1NslsP7J ziGNa~1`_CH6ine7rbD|KOmbRBh*ZfC9B4HPCy6OJbMaeCt=@(LmTAXxi2ZVlk8iQY z?9h30gq82dw7Y2vg+*Q*QU$PN{%gOYxOX}FZ~Pav zBlRV6n+ED(xb*i$fA$WOdz;54yLAgh^6RIABn>EmLz+#Nw843|=~w~3CB&6lXWRY{ zV@#f)BH$C<;EYPlY4kQHJnz0SuX|VG@mFIu`F}04cS<3!p+(Fta}mhs=I6#}#D|yl zNgI_2x1ZBP?F2|zQtmK1`&@l~h zR*?!G^)TBXh2!A98Aio0ynhi<(2cq+`2G)d3}on>Y9jsz@P@2jKLiVcaM;cE%)>^7 ztK=dmoHYu#J-0-MZ!5h@Bwl58JCT6Ng=6zH?w` z>T$FL2b5Hzz~-!tY$ENwbcpXnB@!h1#^~OHlC-TQ8Q!(|yVLh%mYkDgm1$PxA~h{B z5bH6M{SnfS>Un*sz^y+INUP(9Qh~YCc9gaF;5`zlaCKJqxnD-n<@HxT07HgNa|0G{ z@+fGj+&XVn?X&@gCDXU6jsKN$bRS+07OJB*Q zKLsZp8S( zB6kqgle`e@5DyNk_LrK4tgN}B0FO8PM6H2F(sWvWd7qxifajQ|P*@RPu2^swJuzhe zHdtYdGaRCo3xqUz=^#giDO<#GId6qRugXCKR+^0+m1p3U^ zB-p(&T3xp3q4PZ1WgU{$$(o*K^~Q0d^!$}}DBdd+f;2`KAjyD`3@v;T`-Q5l-@IJga z`>+;Cq+EQ1f1sXg4Qtpk*p&?%wCSlhX3L@>S8lEJLQ!TO2ZV(zY&oK%h%?P-f*~)# z1>@d zsS5WulN~L&J_H>v{ut+FzV61Y%-Ns|Hoh|tW#$m;$g%nUe`w>K>OmC1>=4j~G&rrp z4<`V>V}Im;U+Dqf_2KXOkD;(^<(U5pn$lG2F!eMlWk-9JTE=XyjRr{yNs1+98L4>0 z`*mOkdu1#=sIYFgfzT+tj=6&)uq;L~1PAn6c-^F)`c z`MgTnadpL?f^P40@%r5T+hRLsK)b*3bx8O{8SXQmVVr`2P4VZjeru}D(cT8{ACoTFciO??zDndvdmGz7oZo0f*^ z@T^dXL!5ysm+7ll1H%h5zo*vxL2WlpzjGJYoRARLj9cvl*@l}-6#LJ<7z65dYYwBH zzur4O-kIr~q8C^I6fu6NrYRJ#l1;sNGI#S7(1J{rMqOXwF#>A_T4B13a0cw`ck2SWk3FWVr!{8(t%8rdhOS1ed!3 zK3OliVe4KIW}Wnz6VsDG)!LO77ljr)=jJ#c!S`~bD*$( ztek1I?;r(hQ(+XaZQnX#(->zUri64r4(^x$r!QTMCV0Pa?*AsA53rm94JW18y%$w# z9fqx=TyLPiraz`t)atPv8elL*gqjP_EN?_0t2#WTab5N(Yt2Yl z&wfA7zayp@tgdZ44WQ0^Ib%2ze;|Kl-ehfMonh(OnSmvyqLUW$CBXus$8e^Uk4Y1X zjE{xPl+a~5Zz_UEfK>~pZRsO9p9b5rVaZ^LFz71iBoX@P5G`2hdYG0pmr~CFUsogj zeu8bMBD+hjj#lokJzu}{bbsXC8S%W>Y2D4fZ)UD(OV0TLjullH+KC`cCQim=!pB^#MlHR(0_PQ@S{}ua$Yz@Jd-L8C5hFD%$`V{ zyc*AMc!%11@g&AjTOvwWBb#1onRaJiBOv&vN$r-Ck@g;gMIF__1AW_FSozGts9j z{hQ0EXRlshs*8sAvfqcLAUni$Jwtk+D#3dj4Ix~iU1)2RE*G;;A(s2$)Ob0e&gKasS$iD$XlMQ>W83IS7<#;JfyAsMc$#0!}p)K@O$lKHHBkq0IM# zua!1=l1noNYcymuGOl?fWuoYqQuYqs|L!k@1A~i?V>vAxc-f6(60QTEZH4o`V-5<7Jk(qb40ugqCj;iv}uTe%g}Hc@3Ovdn)I5zV&`O|MNHOee)G#OGKGId8rMr@D9NA~Nc z(PKq2LlTO`&lS%k322c2-75%$GE?f0d?oQ%BUvFx<~EDudpD1J_2&vrggpMd?WTs> zg`{%{j`;q%l z2&>edms2eP0`BH2?O8QHNuz1Rp-ShAz-onQRz&?Q$&i>Flh@`K{^E1GR5-K(ONcKeoLRr1exKrl{ZHDLWGmJ-{$vN`^t z$((Nur3zN*M|oUV1=V9k>sNjUb9QVCtN`Du(_Z_r0$lZ#ftlw0T&x|zoQD4677^(cO*$nsv$Ei~N76~@nvx|f;1#`|2U^u$xy z2sx%+R;?lO{5ouw@#!lElLjCC-cTY(dX}&|`4wz1W0cnfK`l6vK{Is#B-`<00JPmU zfFxU$K3f;Lb3pdM)~k{R?+K-2J&IPTNdNfD01fd*(z6i0yn~Ktn%IlLav$Xaelbw7 zGLx7*C*xZN#E0{j3YuM_?6^^}lYhv(SWGFflOeNabZjbGFgZK?%R#1SIv7;QlpeNp zFiO8aN_Tpduq#IFI&Gu@gUM>cx?_t2^@xQEz+hKqRzAgobb|NOQ&F|FiWS6ILtd9e zJDT*gZ%fqPy43f75gV*|F_3+!8H!*RD!8`XJ?%w^@4qrFDD+gUsmalI99<%0DN!QI zY1J!f8>#u^23JXiDrp&&WmG~n3qBwIp8jU>M|WfhMc0sySjyCpjvad>?%O1_y}4CO zNN%(rJ^`@#`#tTw#XMfZh2zG3fyLwUBgxifoT8cwT1+mUcAwK7@bbS+ z5VvxCWXE{dpL^b5ToLFhFL?$#9kxD|zBYbP%@5Fi8#R8rQ2p-TJrVx(m=Lr;kyq4c zXljajQL0vlORdy4t=W>w!%@1#f`yvi4K zQ{;!X8m#J=hZo;4$&&p%e`vkMZ349M#vKx6+*6EDbD3OFr+%?7EApuu-s74W!*z7X z5V^y_XRS^QUUn~G+uvyX1hW4;d#VMQZ9!u_Dt~W+QyKHVgzO|#PKSqT-})~)J@i+Yrn18! z7(UD@Y|mptLzsx%f;+#ylV`}R%GNlX{N_~tGxPRbStX}gdN&mUJ@B>NO0O1+0OdqX zD1&;_2@d#2LI?&ymT%rV#&c5;k>G%F!amFf{5&CYsCZJl&)ngcdc)h;?eYgTXrjyCWu}opONKK@dMc)oAZU769n?+Rk`(|D$G|zywqN0 z`q=NKN<*DPaMu_27#R((8;r1<)3OYwS`Ya{Meg8;-HQ}Jdx(@f2c zlu3sA2o?0@4uTI?*s5E?wPixQ)uUlo+P9@H@Wcn%V7O`%PclK&7PkO!dI?LadZySD zYM*ez+^W2XYIk4WeBAmFT6LzegSdo5gI~(PP&#RtFWr;%KJ|(mETScykRf!J%Qs~- zU_Uw1pzrZ&5}`@~o0C|Uz>$0TDnpx&7OH54P+`m@y!fOcWU7va__{)Uns1CVNsgNk zkGU5czLzH){`-=k`i1fUF{h0fq15^kN|HIY!p;u6Rcm!Ng%+U=-nXIe6Z?JVmF|uExBvM6 zJS?bG*I*#&`!;6>K z&1aR-(8=e(cK5=0so_Q0du&H0t6_s8_A|CDOXk2xVXrrYQp%K#e!2;aMXqZ~&v?10 zU1FNI9Tyv6WI|FLI##-Vf~l@7D92H70Lt}0978n(%vLsvBgk)+mpep=PPZsW?!q72TM5EJPA z;&SCGL9ATS7WLutYiUuyOFJ%4G}4?|{Y}b-BNW;u8t}%e1XCWkOGC_u(-hYmOm}7HB%GXM^R@_x~_-ol%)GQvT-+9Yyhw4$8)c!&$`r?lBYNgl4UN?FG#K<%= zhlXHf8L8!{mLi0_qNCD2%mr-*=WAH_)J0;4;At=f5&E!9sH>{j6m~(qIf7fEwz;43 zRn3yDCDY*O2r|abtQ7qB4U>$&#t3adu0I1*^YmT1wE7E)j$S?A=TVj=XUEbj6G`fF zVkd}5&Gh9G)3Ln4;4_Y&PlO=pfXd?1>;+AOq%f# zO@HDT6=roy>>H&*it!R1m|hw}k??~y(RS0e?szVykNrDV>*@5MaM4}dZS<&|f9eiJ z@q-Tf+Tjm3?N9fPMJLb0Jv5NlR#8bP_2MCv%k8-))|*%n*M*7WRGd|}2Q}tl=1422 z;xlJB;pYQi!2Hgpg0JGJbT7a*kQ~PDkj7`U>Qik;NRNhwF!Gc%_AK zLd6W&*N-B$5yX%;e74!Yo26lfUnt@KUb}L6H#CsT#YZI_(nlm=_w3eDkFL{p zb6H-ZT|-a4)IwUwCs?%Q;Z zjYCQkPh#QpwgM;frL($WQb8mPdUzNG$(V66?|#*D|@_i{Cm1Vlu{ z&c82hV}F*ahlc?jspg-AGtpeYz!;pz=y$D(a<7ojPJF}^V=wRiTJJe6Gc?oWwNn}c ziG8^#gG*N|e=0OifZ9YJ4S{=N_IvGX-}-~4g?j;ka3VwxU#ei?dMUW0FdgD^p3|d+ zj!2FAq0RLA)c^z^%EZK?t!WyS3xWD*7BCP*X5i#x;5B{@?+qEQ)P6$+0{i81{>p8q z;s{AZkIwk}OMR2^`A1-kUad^|vhpt#>_*O}l z*dL=`OoavMY=56l5=$m%+G9Xuil&u3H)=d#mnvxI+%@fjE4RhgZ|_(k(ho_M!7qc$ z6idWPcDH*%T~saAB8w|2GV?3h3`pZupX;RV&7fcC6^An3U6Jq_Y3LB|4PH+T;(R8^ zOhcL9V6?C{mh!mfafz*<5vjtu+)Wr6q1_*+%@M;W*0oFg;YW%!zRi*(MOvQ|4PlQu zdm*Hsh^QT)o|#h@S{HBYs%H83AABziv?%1E_Mb01#@hY%;>Sfp66>0mP|iMIOQ()+ zJYOnzKGoZmm&)yxq$(QceXTrF+kSsXA}_K1HTVD($tt%xCq&f1-)na(AZ#l{Vo$uq zIU%RY{@=gXzfRZ#&EEt1H-XPX#eeWal~2#HwF3s>z+5F zL17~2(=3Qu_ypdx%hM7vRR;1AXO4qhjzYsM@d(s_hc2uj4jrP#H`TSKe@54>qg8e< zLLE{|D-f_6`D{dy?%vG;;b0sP^YM90?u0V-BJo#ems&9{dtw7NvXo7c3kgnaoaT>C z=4KK<58U}3$0B(w9~)xx!w-+Fzx3L_e>?7=x@4%f*MD#m;44%>xqlza7^)_`NA0R5 zNuEax8FG5LHYHQv9tmDa-p28P*N3o7*0|bnoeVT z2xeB1#;kyR!!MJ-cUko14zYYIi)6G2Z|$A}I{JjNQUX;W;{Z190*OCJXowX<3-z4v z7}k-GI~FY>a^Jj+M?c)OU|Mq+cKuyh?QFHz-wMT#>aAIC;Iof+q@^z)l%MZN>^BGzH;Z1_J zEIqR}zEPO=LNX#SZD?f2sBo9Tmu~Y}l-6(qOhc)aEpes}41Pz)q9UV}kz;~&7bOi0`&#A7ydgf@dWaym^hE%S)0(-1GsPfzPRGr~ZI&0Y* zt@giX5*ouE<@;5Uhh5;trHhgomLfQ0t@*+Gb4n`C4>S*g4-qYzQ3jZitw!S~{Yh|q zQDIu63|>45^Y3YnHG}L$$=NP#RS8@`l|slUoS7TnW=QyAC}Wr>J0$YBT*lm6e%oBs7fFDfhwMyd7d>*rS1*7|dQZmAzPZvj2r zM?WdW|Ej&jLw42~C@m!)q~P-DM?>`H9C8>*i&4GLt|YOQ;pUObzGd*$*3G_^V$)kD zW@f?JfLAsCUyQwVSkn#n2P}%n00lNu5Tr+gAX3sba+H8HNJ)1$Q@Yy`BLqb0PMvg@ zbVws9-SB?BpZ9lN?;nr%`~1mv?V@&e&iT|)vODbbAVh&4QP3DSHOCS2J(FzaZ5edP zKo&ZLhuEg4^y@jOx6lp6-KKT4?U3$>(qJC>G#++zU)VU;W_-MTUrUNhBork6WZrHj zr~LiRjMH}q8+sX=n&&$gwvL7d!W)?ERMlROb_r&?bmeO zEWyjYOl%t!8fRW8pIr4L<}ias*M1ooW_=W){upT~PyLNz`91;`bf{IjbTpz?jPyU& zL^e2x*TRIWtB7P|#TN}YiB9PP=Rk0H*Od5OPK>~kydkH#4SY8(#SN-c6Nyo;d%4G& zcoSNh%KUn*?-zqL@%9#E%FDy|J6OV_yvb~5)jf67^Vwl25DqK7vYMsaVUN@2h8pc3 zEc8e55{42F?gG_pQD%WuiT39xDm65HF!V{%u0r3%9HL#NX(?kUT9O+HG<(1Hk)P!3OhlIQ&BzVPe0Ua)uPk_ibbHo)F zmW@g2t1Mg_Le8AE;@F$ts!AiYVkt!t^m}x_j(KUf(g^1k1Yu510Y`(wzlD832E`uX ze_OgnK^n!affwty*s%-#euUTN_h+N#F9qA;N?PvW)kMF`b2#w`YuCajRG$68`rN^4 zkeZYKN<3LB)^Ed}PN2r)%(qhZU)ov$?Vq+5{O!)QU#n}&pW{tYS!ZEc9xPZlMmRX9 z_vt8T{$8;_WAq5bZVQqiCLVt-Ga(XXa{i8Wg1g1}-mxVGSqwbp0V6AbhV?9}MJ*K) z1cuDJ2Ys!v89J9%lnSJGyj0MzGN$9>r7;eAJ@@WFbkEq)l}QB8F8Qf=BJT1@d2kNv zYHjU{db;$eeHwA4k)%5pa~WqGUH5Z$Uc25r)QTxkazF`7DNbTvn8=3PS5~qgaVxn@ z+TdQxteb*A(4a>oag936$ruGP&=DeXv-U@VbUYYgr;T(TN zXvQZ9k$GG;my&Tqf5&Oz;kP&JJ0o$B9__ZQgfV63+|xjJtr|yr-+-hClC$1Fm&UQT zg70nxmq#EH!0D#aS1h!wcv9cbW;iL|U+e?|^NeqFyJY1wsr zhrs0rEMRM-q|Z6nI83@h0EPlxJwo7iUHZOR8t-;q0hHN#pQYWb&yx+ZCv-A#K$Jwl ze2O2=Hp39O9{N?o+KpEv>+2S^T1wxg=)t$`hel^&c_F7rQTEr6J=7%jxk)(_p2wH%0Qi#Hl@Heh3%xw7vVGJrUDWxf&Kn++Ip5cDx zPH2PVU%tdd;l8i`;Ib~R&&ST&gTisxi`>&B>7YmTt-8XP+^Eofjd%;fXKoh#;|*{8 zMZEELlok9NtkmujgRumR^H1ByEqA={33K(CbN8)r^{_uW6dL$vGcAEwW<-MAB415{kSIMBUeY+GX~LZEBIBeq@SBrqkUMMBc>lJz7UQLk}gY|VDO67E<$p-A1l%$3XykINl;NcdTk+|1Vjd!s>WVd z^s%e2N17~-OUCwTY8-k%S-GTYj}ZS2ZVkZ96ac7JPCubfjsAo4P~Ukw;sBMqlOk7v z4_{c%HjZBf?=Qv}?Y+#bS2HqSgx{tuV7Y_8dY_66NX}K>iPyRz8(Fws$FJ6PlleR9 zQs(~;x5@kXmxa~x%!E(>#Lqw3G^h8m{}*PnNe+{?Kw`(mEO#qQ+))b?v6oY4olj+h zqI#&IVdkeTdDv`3(PbM}sgmiT=$vAv_rH7vnDWzavn0_G9+*smM`BgCU-g$s` zbZ`qRm#=A7#r@z0zqocaB*T=cW0*?UVYzB0q$H|~C#Tu6_J9HF@CWeqg$EmBG)Mn|D8eD=dzBdM%}tg zqku;1d$Yb=V;9o{WV+wMAHLuHkPFt8gb;l)l>W%gro7L#Ih6VB1xb$QcwZ)n+-Rb9 zBrR=UvEMW$QVwU7hzVE^x7)&A5sELum>4z07e~P6>+8c=AHMg^B4wCI?GlMwe`Fe>V925G^SH4>2Q8yseVEB=a)+1qy<-Q8 zVBE}Zu>jEqD@+1N`f*Vkiu#7m(H8gd)@G!-aznz8F2bW80a120F2A6@u1SukMZ zE!WIAN!V&B=dF7GzMO2grg~eW;uk-wBN+7AG+eQa!Q*H*el9nY?$xcUoqC&;y@jGpssD8aIE2zgNfAw5ioul@KK%4;FZg+p?3D#)0Q${%<-Ap_{n&Q zqe97f_B-i8YisM=&f8?>F;7OJOW*PV2Bhe4T{j+$XqWC|XRDHWr@tOAN-C&(^2{0t zM^gmqG39*oa2EEI@#oFZ109qC(o}Wv=gqTs*6!SOoMz;~)n<{@v(nX-C=-dWd~BSA z$DWx*say&?Um~E&42~?JJi{NtKokNfJn=RcX;r=;^d||OP_cn{)}(Pw;P7!!T3=fT zPsy8RN~_HDJh+MZFeU=ba9(*og#8)qaHh{d7w6;IyKV8R&!L|vRT6{=XYN~}5=c8F z(8<|c%3&rHo|XD}A({k4;e|ufHrAi46Rq$OtgiVkuOGGpBkbi)o1y|r0*cPB7daV1ahl6#!4m_Yx^^2!dCrYLk-SYJ43`@D zVTMZiq+<}j2NOrhF`J5`!f1A0hsvl%{Ztl#hUGCPh8dVZh$Ju(w8T|V=RtX6)w~ol zl=NDj4XLW}ZjAaqs>&>0@|Vh`YYg2MP4|V^{QJ^%QUgi1ft8T+bFH|n*6=qcbsT^z z*8lRJt;!)S5mT$8N7g&~7>55^DuHxzMsah0dYNLxLT6I4D>Q2Bf(KGkZd%s*5_V!P1CEpm{EQ@hqNzeZaq!JBiis_+a z)cw1&ExTJSk3h0ixeEdhUVd(^y_kqJ6O zNka`wcdY}i6574krn{IylMp09NZz4_E-4$Le^*`w=N8)kP&~Qb9PBSejW=WCe<|j% zJnIl86_eIHRK?h`%WAAULq7>VdY-Zns^}E19SpL zRqQ07Y4iP9mzg8G_^w7U2xQu8NXK-venI9W!O4L~`?V*^tRayL`=O9vszdemQlqs^ zkOai^HC_DlDliAJ)lI#UhB z!d+6w9(rIdU1>H5)hT^{2oN2kq#T8LzsE-6lSfH8CFl{a2av;)zJ7&0*Ma zs8kH;5R3i>OhK3`Lt66dH$kwEPUyQuI5;0t3z0)0Aa7P9B*bKOfbG2B%Xoh^!6%z8+tnt#37FjTz;3Y`S`O{yuNc_-6)nsQ>x!jzuO9<1%LV`n678>#>Tk#l2;R ze<`#~z_UY2^e0sQrQg|m|1+3Mt1IRIGI~bZHtl#&bVsuM=ax;@i_FW7jOJ(>4*(2wR{A*c2YBObkg8#c+KwQtG7iX zSgODV-4+l>`RL0;2;qxr^=oM?&;BSDS1fcw=QFT1pQOv|pHTc{ynyPcbjr7574+vT$DhXRPfOBKa@eU)P@AC%$3KBtuYT47}4 z^Z2}-?W=7WwZd-u_y$@hiQ*L4JAf2nmTK4P;Y)%rM!kWjgSm}qgCrE(XyPT5LMRlP zwC6S98%`pc7~x!M5Y0HOp5wAM*M@8dCv-trH}*_>ktjrZ}z%2 zl{1f~iR1UCgx&I2F@@#u`U#FW>RtJIgEVgT1^u#ysTd`UQ1L zk7qKej4nlNME5kpPOa(fzL-ES$Rbn!*t^@7$?V zrLQ+94bT7|YTM=YGv2VzwAJ3pW)pnKj$~$sBtUc-~;<+m~t#yM+aA_ecoj(_Z__D0I~bGb8)%;&{9< zpSm-??6h!t%`(;MyHR22W_4Hu@~ih&FGXcqs_gMel3 z_r;cWlM4tSC)5N`W0k_P>1JQRF);(l%>&rGrxk?R*}u&imxK+$leidJ*e+~cLaenK z%5{}aJZ(-}BAdgHHiv7%aklm!9biTQ@Mm#0mn)b*4*UsV&FHqOQh>WNxCx_a$RTff5(Q$mPkCH5p2d-63BNxGPB*sh;CKw{>qxYRynY+SVs{i)sp6yg6l#K|@4}Im zhti6(hZPqihgO1;dwV?7L*WsXPBXq1+itD}QZ6}moC3Vu#;f%4YA?i%&kU^z$y7KA ziF$&R5dHuXq)O_ox*sG#&A?NF{KIZ4zlP#s=+}nH4|AtjbEYVBIlk&}H1_4ny3aY^)>-EUY%+Ht!E^(1OM2&d8_ z{z{0cF zVRZ*{Ib&V1Yh77%PmBLl=86BuHKT8AcRWhHmye9Rr**d&Vv*;Zaem#WqN2_&_H^q8 ze*<2D$Gx9AXka1^mbY?;Eteu&etl>GQJ;6oo;ZArnMVYnWqFpU{^ixcQ=I&P+6HSY zrd}Q8*$%6WJr7RdB@2>8PH4@RooD@->UAAwQnqB4?9IE_Xh*wH*QU9^> zn~hmQzeZ8zX@Ug6ky&)0)b27|bJimIfa(2VG`KMx04uS{bGO(`Cyy)Ki5(K+(J<;+ zJ6g$^8@V`R{wAw}xEAS8{93jl!B4ugkIgMex*yCzXUQ+Q+jlxT<+fB*&e^;S~<4{&$6w_f<%(#l*wwWL`?`H5g`V#mNTx?#fO;ihf&3QHZj~}L}ZU} zlZfSgEX;7-sqh>wzuj>k$9jGGiQKufu4YdA!>?nHAoSe&!gs@%Obxi@>vXr$&2NtF zNdD^im<;B%D%eo)d59AebL4%*{#F<^D2AQQXtxbSDdc$985g(itb>AuiHLh~2{<3^ zeE#gh*%_EYkIc;_!UmD^$)s0%V3oZvfVeno+wgb_YVyI%PsM&j+c-g+j!bSCz z`F$baMP4T#>U9Rvl?^g!AgbAN%Nk%UT0)Gh@N4>pwqDS0DKSe4;W#20Kx{3g`U)Bj zHe*&3ARH24)@ScnetjP?l9lL}{8mF8l>lM%#CfBvv4OX4Ud6NJsSDp=@Y#1Q(UdDx zlZeXyVfvy{0Z;!O**GC2NTQS#c*Un;B>;kH3=w`4nfx{!$(5H4)DIv%Cutbd$Yo4T zmTPeNk&(qyuW;9fm6nOaX4-bdQ)vF3N%tqId&)tQKwY2njBc3$O(G=?yP8%q)= zS$V0@xHLVgLp2Fli*Guci9AK~p;8Au+D}<*qwcMcok)kPJ@o{)HU5FwqEu@-93@6e4()p@4XpubOFE9!vSK#LDrEcME z|1I{>if`}w&zgHbA-je#_{RLf$B&C&tYl?nwa)xfU|3UrGE#^6ZT;Q_=fU82+eyg- zA(6Z5!Be_h zMA#bAT8iSpM1qNsms}>6SWw`d^Oxs#V4<;$gsI8L)VgfuxCJ{iSx$~jcp*{Xp_6E- zpaJ0#JF|34E|CG;J4`d-?Lnx1s|xWwb*rcX_QyaJ#pDdQ-9cvBjh@~IEP$HA{=&J( z0@`V?^`mz0fqW-rHjb2BPw~`NRNKg%S$o31$+2HT$;7N78!qJT?zP0TFG5s}9{aks zMlch67f_>ZZ$~~iXswM}Jw~zKixR8|;I!I|n>HIni<6(`EjkUBa>dX=x1*W)&2mPW z=d={%nV5iz>Q`?E0Y^{?VL^S}IM><77j^5q+xyF@0&aNMQ#wZs1N~{)zYoli%|1GS zl1thEdI{U_R16kVUCb=Na`%B@1BDf@XPLQ=ol$4g&Htw_+s@XbxJtZ5_hS3DqFagb+u|O2oPxO5~uw{rHp{r&1qRPZ3fEh7QYg<^@lg5Bhl z^}SYks7H0Y8BmQx9Jz_~JaYKfNkrjw!|9QbrAfRUL{LAUg2Hunb849Ys5jzz=@ZO& zhs)j2SXZjH#YOj1{VYL8<}xW=_W! z71699H0~aIY!7D%<&jc@2WJ4}!Q3#_^u(#ug47AB^?siS{=Mn%sr9)3y&?W#?Y}|@ z`B{R{Q&T!N_Vy~s?Kv_{qWlOB)X zOQf(a)T87yl=Qsi=y}fE`&e4)RfOJ9FQ}3?^q!K&#w;;QqIFwvSl4P(2p0)K&B?yg zHyPlQ{?Yo{@4FJ~H2Wvwdn09+w#>$GkFNVZsd)|)xReo~nYVQ{6=j~E&l4LGR-~VO zm%)TS!HRhI<8^;$j<_iQuW~}r^!Udp2OI;uLVWMmo)y3G)tH zWW9CI#xAD3{yBQBCXw6j^DdCK652?iCZjzC03Rlun@2Sl8md1H44{u-aDEylm%%^} zMmc=|j9?nukY^d}OF7-^jbU`*f%&_piqOfc;6-VpTMN4u@Rv(1*=FT+BGwA%t}Gr0TeC0No$_*UquKe4O*hV2u+mHIi5Fk zI9+0lyp7Cb(WA^wDd;)?Pz_p8-!r+TmDLcD^hi!{7woQT@nwmI#37)aA*f0&VG#?%aF*zn4j$<;0M>&+%$G+{#TvBxza8Y`8ytW!XOqt42YnN~R z#gszb)Pj&`8hOw@-7J6R115{&jC?uI$Dn&6*zR$h3j>H3%xU9q1ngg$`h7?_Dj0`8 z=;f-d>5%7OV~-}RE6Hq_)Oe^O5L0L?QqsVt^>OV{EPrf6nfdqYFF*Wu^AQG^KOJ-P z_D~2uciwoi%r!+|Jk>6{3~Mp zXLBcaz>5~bslBV3&c`C|jQa#%KK$ZVR zY$BWXl?oHFdcpE(=b?t~7D??3u9j=Q@FZR6EBjo%=BTB4Ti0NES_Yf2WyPn3L1;PX z2EvS%^zis8yNihgC-s=Gph(;q_oypxOI(?~yY3|W$~H)XlO^$D?o!C3OrR||3f10EXeUDUbAAo`wB|D)?uugo<+(?dV5am)zCozB z!hVwlpf{|~D8k2sx^6+^)b$yQoY?z;XYG!NbuGSfRzWw2-Sv6%A|3<3&9MJO#lCp1y}2N~)8o(e#+)lm@y!r5sF$LYms=2e9m8_K7@)xR86 z&jX4nB#b~D)dkXor&2LrCSY;A;}JN%&nPPxMS~e3_Jd^K z`q)h*tY&n}eN7&7-eD`>-Nb|tKXp3}F;FApy!SZQee^BwWKH}W*1|MNLCDSG$E=e> zv-G;M#`N&KSehR$mvXx#FUX9`G&E9RcACWOd^zpv1cfiQ2v>`7`TEbV_v7M(MOvz? zb4Z+-+WM3^X@p!ciaHoVkz7KqRKNBUz6AAwaH{u|Sq9!5nfY3+dj9vR?kkG0P~LLyg-_Xezh@fd~0qX)`mBF%ULucpu@Z6w|2ojGz`FmQy z&@ly7t*y9nq3hJEG@dqGobM-(IBhvDkiNDx@#Y_1G6GQ9d{Oq$kLK8Cd`*QWAAyff zE(&p!r&mDLr?|0_P`Zy;$y&9J{-%~@zS)kRrXkfC+~YN!fVykEffOKz1}A2;Ob_mx zh_=AEG~s6i zX3JN_eVB}24SResYf(ZGmhVqwlLA(U!0#XLF#GcyEeN-7dj zov~jP#J+wAYz|SmA=?X0{5|m8W}(?`f8e=M6{3nG4h3jclRW@#4ufZ<9)c-QZ)_F zOL-9_!NhVD5jT#`W?+wu_pE-UkTac39R8I<4#$`F%lr1^X8w$~Okbl4%M&ma8snq} znSR;cqCmL7FXy8|g-j%TSJg#@WMSO?m|Y%PcAct4$2aw=5}uwut^B6eZlAPN@+xqS zO(Sl+rY<6CN5s)R;!*3@v{<4Vj$nqwqkLDv8vPEG=^MWn>a3p;~; zbvw(guf+|pKTXpe5_|@8&;3Bnx*Edytr)5j_ut35Ovv3_r9|pm`RuEs$|pl)UrX!! zl|;VAjY&u8X6-g{x(8hEojf9O0|(>?O0j`0skYBcX6eE!GxEY_)~$q-GGh8rWcgU-F6_c zcW<9o!s>gYbdIg~t4ZJ8sy@GtDT>##m^@k$eXN{}E=vs?w0siZK>{>JE#wrfF-v2x zHhtP0i7?kF-P3=RSwD_3cE8|%X?c#m$o|@qjjfs&!m^nF1tgb`MlymkHvIh5jbDf_ z+W9JeNZa2o%z$(#;4lEcW2r*o;h_n-BpcrHgjR$m?BI&HC>utf5inLCrlGbW6$;Sw z+InqQzeXSDvo+rc{^?e~A^-uGK|=`JV4V39T?Ldu*!mYjVACJLf8$FF2$n?x-|*1? z&LNByZDPS?lP1%);$>bG0>-{~8LL|?Y@grjcEvx|d95q;<=I!gwtxd!{z|V+V3A@P zYwLc4NY}2v1hd*RjQ1x86h*>2=m5_WJ))u?fVT}dlNc!vo~Xd8e1eAe0A=NRI5y6x zHayjWg|$lhz3x*FXRoqUSsCYEtPJFkY#c|rs?LByuFCprl5gE(EMyJ7jU4YnM!Cz_ zc;(lG!h93@+S9+v=#cd`&HOS$V#ypsRdLumBC;6s!^edn;b_JrTeA-tW0edt+rkMS zLqY7~d{pL0JIr7XT|8WHYoR4Oy`IG0T%19ym721yj2vEf>kQ+Gv+gYYKcMMpQh8zOzicFd1vK#MLu#?>U(}ctMYnIRc$N09CtdHfj-U6T z=VRtaGY?4S4@#M!5o;-1CoVrRb`t-Lfs%eUs{w+s=Bu_{r}t8YzKT%&ID$$aAZ}ld za9;Ju?sRwlizm)N><{Sfu{p;4xuir{FL3W_V6V;arq|!oumtaaq`BBp8A53rl0SYJ z;T}5#c=3uoui$UF*&gWaXQCb#}0Vb(sgWrm=+F)4Ck?`GO9(ry^)YuQa zVW;=0DpJ(=IpVeKQMGB)-%p0AW^yEI*@dZAB0kkcM2B%?ACVgl?ppNf4n%Dn-k=1f zrO2jdlne?#^3`h1t|eY;E^wO}*0RfC3SDX+mLtn%-ZfI6d|+g9QEUCFx4(QcfN%ZX zTfC2R>56p;d!K`3FEH(-N!264%KG)TWkeOO*n^Srx^KV*Kd zJMx;0{GAULN1ahntFEvT8mMiU8qSKxiOSta&=R=hsQQ0tT9&shrN)(*@ffYi|WKD-J8{Q5*c!`#;Ahx3iLj}Ux9G=b~UK-(IeV^|E3 z`+5Epp2YB}LQFrYFdR@8G0oOHKNNB=wI)(Eem4KA)G+g|XR>9V6Cvbd*P-4PE%sPx zP%=aOYkEHucfO)5#wt}_aTJ4Ya&THUye5T~i%lA-ZM+R^V2o@UaH#FXbc|2yI7OVr z0iG{&^H@%#G?1+^)Yq<|G#>wS^KBdFGhP`dbS(3^Mi*GO8oG6!s5Hkk3>>K@!A=PC zf3f&zL?Y$Qi?9lXO8H3{bu4g!+L4%E$q0lky<`tCb1bT$c_S8Ldig6j zTBFoMFhfP)o|nKV*!kY>C|-QsF>Og_*tbeODJ0~^R27x+_L42gnSpQZd^FB;xiqVz zLntASkwxP{)L~-PY@{Eac;d!I^l6fBI8HS-jxQUlUSlI-wff1d&dj%i;J|SpH$h#4 zEK&ilgG8OFI5d^++aUP)9vkQWwo^LEGUqe2HoWcwl51XTB^anA zKzt=VRv1$couEGk1a|UX9K+5sYwg>!lm}_#M;7K1O$Rrp%U9N=#=oj39CkM~qps`! zC=(gDc7^ees{>wuJwSipbFhI+<}=VXB0wG#!QEr>Srup``FKEjhWGiC?ZRw_0E0~0 zUht8W48R`&(ikO0sA@QWl=M?*5L(K1C|)j!ktq`BSd2$*wkh_>vSc;o>{0zjVP;&)!-BNTXbDfD7D(IPo)wHqwj4W3Zvh$LJAt69AK`9{FU8tfMb5TyE^Fq zq-=jMbM8_r3!}^n&V;QY9W|4c?ElX1r1qb=@7~pWOUJt+tei#|^y?y_M+z;cQ^9N4 ze=ADh@l58xTv{kns66y`ZTZ$O=*R8x_XULi$*8}tA(`LS?UmMVV*djQho+RJZM}E- zrYFII1}(V+Y=XJPl2 zcX9x)A^PY1#x_NrU~30&xsF2fqNp7Q1=C8%$TZb`#AtL>6N9mydd>5+ttWL0tBYNH7yCuo}v16I?NR(B~|Mj7)op9Q#21D1xL)%1Ye^JbGg9$E=V zWflON%&`+-L@ykI;jG4ZCFiG~S>}`;eC_EQEzceb!%=Emcalw^PstAjDJer^yv2=a zV98w|g$PZn3Q5kC1pWku4GUUEMeYQ%joz~ha&R1Yja-e?6@#{2_j5Bh5GpZL@oq!H zGONYDC`GA)!m3RF)0D_~MR{R4e{K}mQt2Z_MEz9r+!xk$>xU(DGiMHfQ5r^rB>Ap)T%cT zt+&l&cJ{)q)I>xg&n5W&h4})W`b|kdzzyWkv=6E#KbGC|!D)X&NYoO%|AcvBR^)!X z{0Sk-IBX1r6UQj`gU}>iqTW;0n2lzvv#~;A9UNBs-c;IVF+XIwP~gQCaJ6yez4*-H z+~bCBdwVMUcTsi>H7)HE`DQU6KE_+)VgwILO{aR7WFLQ{Va1P6AY zVW8em?}z|q$oS)B!`Be34)eWTGZ|H54a%r8Oo;LqwdN(2Dc{EP<8us(?kM6j$d?D5i3VpYrc@NE!46 zd-1b)2tZ%Ts3rqiKu=U^c7EaW*HZ8DLH8I=MlqXtHMxyNm4O&wI| zYET~B3{gI(2Zp3%yFz^8rtXWQ(k86!jkP+=P3#%;Xa*Ay-HB4OBeSnQZjoZTO-X#5 zFH&*La*r=MsWlF^b9i=+{HTY&mBp{R!}w+XYJ1T71w4 zv`cbj3jNA1A<+=r0Ly8;XfjaVXb0|2QTO5;lG8Vne{IiuQEY;~n$`T{&fdEs1|ry= zX6QNuDG(BcGrY9MeT=^X>y!EG()6<%q(M;&zul(3JF?1TzXFSy#rO@o^I%1Gwgvz5 zTs+VK-D(pQh@-R8Zt#y(UVZ`+{hV)cZpWft%G^8fv%bK1Lw&)V?Z3_aXr<&|Iu+-$ zz-us(-<1C;VbiU?7D4{<$Y|FGd$?^A!v(|)J;aUrZX9OKs;lkC1s7O6xDeZ-W%&Ho@mJ_$>CL#)3ZAHG zp4DzZdlC1AoJShYFTiSpZ0rU#n|0#iT>F{Nm+QXRWr0RA0RB;pCNX7spbc^|Mup@6 zI;B08Cpn5M|1oVFcBCn!I9vdxZB76OfkZ@T^E)#V`EDw6OQ)!_vxkAwBJ}i&V`GS2yt)|4x4=G&aG5q$ZS9S=yEVN1Mx_FO+CL07)RZlwD$ExjTjHOzQf z!u^X{(ruUJz!``^a3((o6d75~k$|MWGWP?+Tu%bikq_Ztt-Jp0> zZ|WxdcYoRMCdk=K!vpcFV8bg!0o7W{_4zIF-fc!}>yH6H9Gvw*wng3B@mnG80skx0 zg$To&?$(2`J&b^@nBlBM5OqSearDHdb?CU%hx+ zVZ3ECA^xWjE*ocBK)!o)nJ(Pl`zW}O%VtZCp!w7HMuw}-PJnRYP?!+nmewoO;vDzx zx&{dt`9#f_$6KyH#jI+kAlA!0*$|W$Y^Zw*v7uf_CTJ+7pXA0%~75}Ttl zUvc*J)P>1M9Jr9s6ARiuNVuz}PF^TA)d*fQ5! zVw%ZbU)r?jcggDgcYBDD{Qgu-gBiGHe^$P?R-gh-J!}TQmJTXLpaYICkVU)Q0>X|u zf3_(wh?4m(5Cn<{czY+Bt)<<8qCK0LmUULAC3>;>1KDh27%CKJZ%*n}%<$GK!IWX^ z0*{U{r))YS3v*(dK~BRpZ+U!P59k_)Cw&WzItoI=A}<~+gn^p#xI#g!2}I#l4#FJp zP-GcYHIX4aN8;V<{1Aa`ZiN7HLdY7U`u1v?3^5S^y2cE|m*76?r=$2@{~|+IWcSNV zyqudEf{|qmtTA1V$JK);9r(q&eQK$yVTMz=N0M<|q(Qnef!&Q55hdB!_|>Wxcc$M( zeJHtQs39x%$Tj*;P6}so)h;F?&@-{=Qd3-RLx|Dkxjx@ScS!s|#qNZ%(*i?E7=&ot zEX>6XqkC3(q#h!-MiSdMkPB!LE7}zRz;Y}#t3JfVd?2Iv1CznD9BeVp%XY=+zqi4k zW(;usOMjp+?eBO6f0uS>#Yfo-&|<<67DW| ztrtDGAYM3zcpTPVuYYknQHR$#oY3C%$=)8f@!xi<_)y<;$M`R(#~41IRDz!^mYzx2 z%r_p6`TG1gy=5!$yRo;g=9y3_=So?;@`;{M?*DmhVZp`OdN4rocXa0;v6yfvX4_8o zpMz6HGvf#y6So*6g)ZOS;yBgLiIXYveEgnUTiR7@Zh0fAKXusDKypd2O`|Ru3 zI?&3!C}c%`^VnW~3=#FlNp!C=jJndc|G9rEl8bWBETAMthMz2|RT;3RrMs^n3*MOc zPrZl$M51 zcR=)P7%7y4_Nk^yEO8OApbhoR#xGF6@k(R0^k+>Rwpez?C8{YuhV%!3iq2@`K9W*8A9l)!82}XRu zz*)z59)%%#AS0L&Gf5{xcKPmdj!jbDr`R82;jeS)>1mO1^0X||3P;bf%c zOP(+V5n5D0fsV}h^}$DX8k5ph&@RLpD0_AJ>CkCstF

80euSSlC;bW%?jesA{)YUJ7%F6#&u^7~`JqC`y`P^GpfFxi*_`Lj^q=O#^ zGyF-S=wWBTVyWW!oi>b&fToO-;^$@cr~Z@+TXHqK3?2^avC@8a4ooR5l%j`cd!r%; zvjdu6x88dwYev1fai_VyM~$(fMeF(3Mhp<#R@k3o-d=S~W53{&sjC<1Xzsr&M0fsH z^|GeYk9y&Bm3oqt!|-r1tCN-bjQvXuP?jD`S7tuFD%ZVX5DU(-_5}C6!DyoI;TdYPk)U038uQ57;W!G7(kc?4$+g{reCn>J=NZqLv9hA)=U=sHO8E&y9kggP z2&XaTzD4ebY8J4IWJN)~UdjOZkLMS_Zpjqs>7j}uC2%vm*Hkvv=_D+}9FNW^(@sv+ z)&9C&Zkig_vt{`E{vQeQYmT~&OYA{!(OpST$2Uy$zpwsC{?8j3DG5Q8{DCh)IJM*4 z^YcS$HugqU)&KO)`PQi6>1Q3MDbGK;2Ku#<(j%_ZGJ8Pnf;RDJsr!g@uDUlp7A~%; zsflni2W7QMC3>H`5Fj7)%0S+77!zTjtj!NYNm=RQjTZTYjT|owswIKnb~j~n$&U2K zuBdjmCEInlu>NYho2zpqW$w0LRl5>G+mKMjl1%U}a+amM&|%5DuA**po71=I**c@n zDa@QF?j!k~0;Nj1y2*;Soio0V=U)0XM3z-+Rt}pp5PzBrFJYJ)Fo@@PuxT;<_?Hpe zQ#{=JbAt@iafM|ZtO{zmD+|=6xOW8%HQlvBPm{KiIgrwBOL&0vvHFb~37|spCK{-64fSY^Lv z3<^^e&5wLwcy^k+*pl&s`_A6`E84-u*>~je3nz1OqPGh81)?Ya!gT*u1byC4JR^PK z{yPoGpO|D;CGz%;IZJ3gaz0DjyHLM;c4B|FXo#-YT6kMs4d^Zt6tsR9_#YT5MjmJR z9hELpUw66h^$GwP=U2m`hvKtmE3ICeA|*Eu3`0sUT4F?1g_`mBJ)7Rw4h;OPHBA5h zE6w7N>H_jG^TFTT=>Mrrz_egOEh($U-*U_s{$mEZJ6BWZh5L_q%#}UA3#aylhH@e8 zGuQOc#32w)KF13tW<{{KB7@ZdJQlpm$hs|uE3LZz=KVz}qk&lAb-jpdBV^iBAV+k- zuzcLp?dnN+Vs7z?#|Ci>eF)?r`fR~Na7oOT$IEBB-0nk~&{c+;(2ltvTKv{17PrH8 zvPC?!kXB^fS~Wv%#m=$j8<$y=Km9>6?=lym!Ok3waa~x3cpa8ooRnOlbEou|OVcN( z`u7n}R8b8sV`gerV`>xHEv-@2{|{ep853t0u8ji4p}4zCp+JjUfx(>u#VJxK&?3d% znc{B6y+CpI!QI^lcXx;L^!u`tlXG_8gfKryCJ&QYYu)S8aOz_l^taX+wwn8kUy7|F zS&zf~fwc2mI=2tuzd0sG0%V`#*ipvq-$5EHOjO*M(!$2jP`A!3CORyv`WkNYbM=2p zsSt&txwmy9fX`EBcPd=Hfndwrh+~rd?|$yZtf=ugArI0B;7{PB27bT-FqV-dX5*xB zQ0Do(v(e!W&9Uo1D{C#iYF?2}*pa!=`vQ#}Ka+xEX9s{E1L*frPVZopL*R=7NPXy;KYrNkiE*_Pp{r_PCdY~C?hV}l<*eRIc|OgXIFa+1 zDfw(Hmcl$X@1a4snm!atW+tx5%DcS zi2Y@u-;;XvKDU9LKV}I96%c{~DH=f(y2Jj1>`IVt*lZ!c#_r3up4I7{=_n6b$r!*t zk0jfy{Xn`H3c4H;vCkhfh|#|6g&-~7P&%<+5)hZP7?fXK9z=BaA3IjPWPB~J{ldyn zT?1ytW<{A62B6ndTVs$g8^8u%qABEu|oH?wwkJZ-Ov}DR`|22|9!^wfscUk{kBzA@N!HE>-Ig6bKxyaM(X`W zgz{2rv!e6E?51aH=llD+ERFhGh?}=}9UamsEDWb|*hU3Lu{2?{=k6C7f)U{v+oh!c zT|)Dh2wC05iW=4PI)@^^0MTEiE0kZ{nGERN9v6FG^2>V5p3m8Zo_*CKZbvd6xbAzm zbtBbHeOpf-N{{6qpUKh@5bzXZJzswKJAP1yp z{Ra1U`Ny=wb{>np&*a+#O2Ur17xu)Tbq_bt5+l~^l`aN9DZh?;{ohBdP-+{k5cX%R`$a19m1C19ybXb-Tr`;LNY+Ju7eDI&oQ#fX-6>L|Lo zoStUtI#s)~AJxj6Q3(A>G6rPT_FD3bg9la|S4!tES~vYkX^&q=3^A>2$ILkGeZmvx zQ3_;2Mlat7;dE6ynPad{9)bdXGLTkR+?%hrNP*^-G5~(x3wu@q`74~D91edOGi=$x(wr4FZ^+K(TNK2bA&U(#9b+3$fV zIuKua0Lnpd>jz^RArH<8?inQyu8j|Srj7ZDd-H~KCR#Ky$i{f%REAUv3?w|b@YvbG z*+hPFG`6m`^kNBAw;e^9em*@xXx2fs`@o-QG88QqzKMH@*Q80nU{N% z8~D2Q=TGa^Q-y_AVYU&o80!%a4fQ1g_zi9K_X7a3s+@{`fWCL#9d4{o!NntBQx+@( zyuQd(H`AIXu1vIbpI>Kp7-s;sfhZLO@Ovj_v!oD2ddV0GA);Qs`vU2;w%5F?K7A;W zl(Qa&E?Qe)CF3~?GCfMm85-ZBI6!Eixz}N*Lsw4X>xhE7^LsogMM}9Vz+P>4NGZqp zj4Y->79WqnUQH*$pRA-d8ixP?rXIoPn`}M1FwyvU1ktHzM1YKxMj3||6HnI28$L{E zwAp16UP=3_Kg_$g^8BMEsbfJXYWR30v9{@QtA-}NF}+5Hbl_ymc~sOKzW0?Kd>|}o z-~oZGF*|!-V|FT`B3Fa9j0YeO8=BOX7l*e{S>{mM)mFp7zDtNfAazQ^RfK|GBSKiv z#Mv>UEw8ehAsWw;2pJ?2!7EO6dg4AJIGM`}D0h=w)h%;W#x8C;TXdD>Fei^Zu2u!6 zqs>H9M*8Z*t?CIw)x(X_Lw(!1FH-Ip)KGim@mp$%CQKTVRwy!Bpc4%lg(P3~tM)M^ z_==l$))%4QsZrih|Jq0>D&g%mDP0jN_ab2vJI|ayARX%-arcG$r>4Mck4Tf+)Po5G zZ}R6FoYBa+xIOuN#;uO43Y*}OF_wK#iEZl9kv6FRgEnPjggwLb@-imAQDEH|QoyW| zPr=2x|8mSWvO)MV{UypFu}&ynA1QJT$@>D=^NDptVVyAJW%un3JNeROSN_HbFQl%l z%>DT~@=Q=@sGV;3HH`Ee&~ z(aBpC!|xMuK#o4U3`LNt27|IC0|AkNr{m18d zv4ek=Gcd7wzW;h0ah#kFj_h^6ovr9A9V(T7$GtcsiyEre{GrD4iid^S^73qJ`SP8` z3kEO-ZyJARrUQ%l5M|$DpA}%^{weqYFeTPEx!}r~{g|_uRHAjXH~Vtl9|LIXmVZ9c zFbO#+Ts-wg7@1h2zoMmm^M;I!cwD`;ur8P_iRDm@*}>?4v;ZhSI~&viF?qAP$viuX zUOW5@;G!B*%Hre<9y!e2UT$D^$OqDCGo;>&ggY4N4B8@h*#gPQmyl7c2EtM~WW$P82EH1EAj2BJV+b^b ze(N^Z=Fb|EJ|^@7DFbf8ebduD6EOEd10z!aOOg3FLdx%AUISIFk0K8rHD17NA%38v z&M9`k+i!9DIz22qd*5a{t^x|5O$H(!bV^qhE^~~-|F$~PeU8@C;mT^ze*1x7$y-D~=_9xhLCA_OVMQ5>FI~$Ouu5zr>2gR~XM1`W^6&Mq+D=DBS@_ri#`s|Ggq{DpY4=Lw zp?J4Px-o5{WikDpRp{i(=76*d{BYc^`|>o&`=S0PL8NOBxyyCrv+7FvL+d5xZMdfJ zcio7y0JB!2QIBcThkF6f+5EFoO1JA;vM&xR)Xn?C84>_vwO@N_EnraxG~zDzY+$_J z__*v;c8rb*xb7ZuRo;clZ2yiLQKaSfM(yvukYWEU`t73Q zVJ*OPoy+z!D0JtS8DS!MA_d%rI^;!2D9WXS)5TEB!;2Ts9|`D6B_Z2)Y*~| zvtk5uMOZDGaAqrD%aidd^E7jMK{s0j7JC{kLGGcNm{CE4wkDvndC>S=(4cdh>fKeX zNf}Ha#2y^?iCAp_?LwlNKj~`ML^Nh?staxe!1o`!O;a%3O|8yZuSfk&@p#I^f&+KCCvMkI)7jlL)It{)WVYR=JkLEUwSP&(Y zc2)7jrYG?^oh%e?^1uvHc+BbW^hqe{mh&syY?cbT>;ra$B-SIwQ=A{azZ!H~g@A-# z{$w%n)-3;)mWBQRPvYGX5XQXjEm4@|3~dU1Y|5qzKB}^S>EitJKF%-ChV@aDGQ=g?+GcI|Ft%w+CIrq8T^vCZWn|}ZLH>u zOAIC(0J$#Gw}Wt=@}vvS{LG5Yua|9=h4ZHOpS zfNi^(fmy+fG5YNYwf?VZI_p?yl6$m5`q{)Qu$B@TrWBW13*|%}5j2%(SPmtvS>Z;z zE)W-sVDXWMo8O3EiPMbnJ?o~)2-|LMkWT;eh7C*YY%Z9G9xiv&DW}1S`D{cAM?3~HOa?nYZbFw(pHqL8%gPi)P&-q& zZzue&Qise__s{F+vYvd9wF4$nJgJW+n*l&fFGB2neVtMch{J-i%Gy1)oMX=+>e5X{ zUk*pl`=Dgbc@z0sPQXL!VtH0SKc*wi{Ti=fL)RtQ@L3H?lnsU@k)i|8- zggVSw@3I>ncArjA9J|4)We(FgEYJA za3EAYOfng%Hffph=BX4_EDL)%3ri9(s0(7uGy!Sc7kqT&q^x2LUPV2BR+X z7^v)qqCY*Ade7#2yAec^k5X<>P$+&$uyMML0WsHk@*81^!h^H$@$P|yZ3V5k?+03m zg!|$g=s;y4muy((*!F`>y%;!v?TFt+MH2kw;pWS%@2j~Q@79Fj&W0B;S^hQdmmw!b z7y!vm*7~Xx1AmXgC5!sR2+1p~(n=Qc-|DZ5s@&{fq8rnffNI0Ih4u-cnM=YNvoPR^ z8R7K?(SE0LYFEz49E)I-?|xIjERREbsRj%JS-RRPOw{?dmyV#mCmIuj~vRzqlQx>PUjL!g3fG z96HB{hMGhR^knclYqHxzGRLf{W;KpGy5vyNa@?qyxWrs+VK@AqrqaLIwQ~DpatCAz z2bBvK1H0-Cg%p<;(HW#O=!Qa!qqW z28h)OY|CNnaJT2z4jB&isV{$;B|Z0S9&F^WFu6QQO#FKjoaZS~49)$IcvL-IdHqhF ztpYZ9Epzb?{`KYn1f?eiKf?O^c5xEzcHqvG)oCd*z~CM>z41WJ1ZqnJ4_7St#S4!>+~}>ADcsy8^a%rBuyD1dp#9$Y%&u z6-IA9PU*SV@`~pkWA8nfH!ha@m6o=Pt9}fdGV$A*|A>!~d<1bAn=YQ^gc6F+vMgG4 zL)IZxbOf~$i?;eIyPN*q!Ru*#PFGh^khtwDYkAr5la9&*S)v=mtUG3HM8CkMA*8;YO;bQ1_XGY^J~ju&R$RpJ;oRq zS>iIfLi}N(`%q?Snbc!*f*6K3T3qxNdkv_$9U^V9@tm4u+=Q=4`DNGA#REA6K17VS zxK~VW&ytH^%pXtOW{EGfK0K@~Da7G^78`v_Hb74g9Ln_FgCnx51N17`csw4Ob{6Zf ztct1a6PvHJntzBSFj9y%a=gAw@HHX!16di zf>6^k$0WaLP-xAS>obzb$NLrn-4}pwlhwhlgNr)`*lMDGOW`pQe2X1M-7u;5A(Cdn6YC6ssoXJWNJIjD7;3SL zUc#x`v;1kcqdX@g{-?6Rk{$S5_K;rQmOswR-)xZIyo##sPHDDu*|zqab_*3QnHK77 zU))T5H~Xh9;)xfQ$UwSfSY6f^$dIP>xMok8<@rz6SO$A{tF2d=eVvk0t82=`ZoU`7 z;R$QweOG7Xwf|U4{^0Jt=KQ1cOUA_9ilEnO65m7osMVd;Q5Lz&aG>V1X{v~K@93({ z%8f{^2ie~0%G0Pwk7J!sV?~+sQ=r+#C80>m+ump;0GWC+^Oz(rTLM<|WOOs@hYt%K z8%454w|ciiWkM8`cg9oFfAAYj3;6zOR|^hN-nB{ zQuqw%$UBF9VF60kN?O|iU?sAGbI_fPD>J9Pqvu&>ECxXbr{IXHwH7{ZvYXTIT0OZF zIp3_ko-*AWHSFeK^a{wzvVpit!L-MP%qJ73d~gQTJDyPkwh8c zg5CGz3@XaO_i|mtIh7r%DojeGw9E>&D_wpeD5Kh9YYj=J4r;WFQ*lYnQ&U8+zXwi* z#InZo)isApX5`*?Om7Bg`v<6uCjZ<>uCmzDt@w7%XOHQx^V#Mt@cn0Cg$Jjns?-7; zPxS#qJ8#jQkFbDqctQL7NF4kd_(#zZOoe5&)%Cl{Hw=2sKS<;WmBf$D zLOGEqFru8Wzv{!w658SsMQ6x7>b$K6`U~MiTr2COe)N;JMknO~B~!S+pZq}1)R{CO z!cv#^+ShJrZ*}t~X4sB)WWNOjFw9rR<271oCl^XK;D^X+(}7{vIV76!bMh`isLv&n z%vOOc)d0P13sW$Jd|ATd30sZL9)~)65I~*TT|zPitHg?rL@PUfeOAK*Aa3RDS;B=Y zd31T(Y(aXGeRVeeWVScotXO7Acy>$EZKn&W>?92jm#+nShuT(7^!3yaca>Bv06~t% zZYWxshl)KeHj6<^8Ek7hAIUA+h@lJmS|3}0pc5_0JlN0#eH=;~EP?MKXRGhyg$PntQOi-YEW^ZBqP^kQ0~_c_I?^`dX34(1 z^I`>W_wKXR?u#fQS(C(i+ZIu~8Z_Xv*37z7bROZKyF*ml79EuV$Nhm?=xtXp0;|)+ zpzH3s77e|}N#sf}+6}bDs<-tj1wzh#ySHL~$iC-vYQN&hX?ItAMqtA$e70AA5q_dy zk;v}7p5yXzV9?y1^J{zbu|1<5H~k`}2QuPuS9NPp_84KsAK^g5p7%1C;etkSce!J! zo1wdBZo<(K8yUWuK|ul86d|pSx2=5p??(mB<+gZfsuRa3#uggtBcnS=)3~5BT(Au4 zXqxEFA3LO6P?WeM&4@{R^4>x_PV1EtSe$fyXq)myxg&#GiXgA+${5I4Q{v&GE9E>> z7>NtfkhhTW1B39N3!g?`mwmWy%p8NxetS-pcL8UP-J}t9=8j`F+Tp=!Xa#bBsM3Ei z42SG+oFRZAof7#`TFzlXr~qj~y~iL^@=Jvdtoi|%aEXDw2fD4#$+V7kAZ*g#Qc@ON z+}BH|622p?G!4y~yP-&61=AFLq`Ki1O`C)Jvr#;3yz2+^`xhmyMot#L;h&R>2fs}L zqWK6TQ9_0ZN|`9%AgiKs?H;mg{;*U^VTDw|~#LTsnX~iFV2EFQyB$R!y_iT6^c)%UNj&7r;{(Jhi4=4erFQbawOY@KR*p9d9 zg2CPP`Q@q9t1nHMea2{bML@tocC_T7QV!nU^FPHVqHu{ZJ{~dG>`JWbCrTq<8V)>5uT?3kvrZmP zp3%J?9N9Z2fQCx)2H|Ghm6uwP&N<)Ca8Kw1rg!+w^Bno2U9;I!FYj8#itSE_XPzvk z$V;kjw3DFj2WV%;J^Sh7Y^!It*N0P1_Flr5^-kRnO5rDm^Uxm*1MwcNU>gFa5 z1-oJ+kJ@-C$xFRj?Lwa_`Tu*-eo~;4AC4p_OzCuy5x9YZkLTUT1??%_fO_Vep%yG# zqjUz*1iXfNMbwkPTam7(tO?Mar4utX7XzR2SM#AC8Zuhjc_w3JHgiDlk>;!=Yh44q z-9#;-P0;nn);*q4x?N`JKc8CMpb;RU-J6g0?&gEWDQ0`$=VsJLEsGju!`jOBk!`J5 zpxDYfa~!Ts%%^0o>HQT%f7qE=g=xE^hWy-V22@%&+`NKPRV2V4O^9xlaFXH$tc4j0@}#gZ|!e5Ph{$nL102I2Ke;$W{SM!J(>h#VU6IUJPK zLmB2v`y-_D0-K}TxIsWZ{CATqenp%D+yX?~r0AMpumCQs9wIFh5IV`snl6}C+0o1y zh(%1J$ec~3Mr=gZ8?+&F!4z>RYoNG(Za`EluA(Ie>`%KAp4uAlwUVoANN+~6-^Rvf z%5I2!nc%4~WM;y1HKJxxP*7ov0S!;$i6slMw~cR<9#l0i+QTwPiQ*dV#_l zrZ~|l{mfsX1Pv!_3UcF_L8s^jv

!&2rY_4PSko8^ZSIib&hTiJO|anVax6I$w2I zUc7LVej`Lu!q7U%;_!OtIe(5Fu`Ddnbv0z6WG$6!4#jCo|LrbPz-!8>pf-a>WB*>g zj?h!!;no0A|T*MRA4B(e>$MXnDI6F>yO#ZPm*4?t4NCe^7JPvpLLptk0tB z0c?8(A?;(rthHI$Vd%f1pj8~)dR1W08)ko;M><_IxTQ8ZH*K|Q?3Wg4z1dlb+^Bn* z#CLy;HF&Y`065vkD_TTqugzD^Mn8Jz{&;$>ntk?Vf9?*f^$@~lf8IrE4Wf!uroUNf zlt**v#q<#Tt>o=F*n2MMGQ@e@R`=4T{Ke43LHA)<&aCqlb-J4eBV_NMIs~;2F2?FV zP&JuV5~|hQfF5#cNHjV?MSyM&W$AX5v)!-Z-FB2|PS~hV9DQ;_i<`oP_Nd}!H`6@I zPrZp?0|!u9RK*y{xe!HZ$*SLr$CEA`^?=Mj&>rub2YyXyWcNVHnwdns_GW>pI9g`o zELf+ks^spK+0%-4R!>&9xx&rfCmeV0k;**tfiJGv2WazVpa9b zO(VdqUH6I}KoXt=xWyXoZ%Xml_KhOzpVZSs1tc^2G8EL%!@K@e>g53h7Q&_f>t6$|yx#KNzYcsAP#pm~X{ zM21BZ%PP%bPp^(#1QC5ZZmIy=7MmV*)+)yS6-ZVp*zZjyV#qC%+O(1fS~nmBT0^ui z{CQWxX4+uXzs`NLU<>BOsn-Ck3@#Nlai0fl_aNf)! z{3j_6&GAE5RnSHuXLbiGw=oFlan$P$C`37o;hNM7aKd3qf*+>$v5=%*NDj^AnBxCQ z^X5|3Vvyin7R>vO4q&%C)}1$?zR;CboiibI-WERT$PO#}-XWGGx>Xzf@IjMsPE&E~ z$xoaGF7C^Hj~xJ7v%|`vGzv=%`+Tl{%`$UghYkrSFaMKL(5S6au<=f;j%u7|Myqs@ z&SaWJ{JQ`qO#m12Qvh7= z=7qfj9&Nng&8=1v)vrVe?N*^z9_7Yc;3VN!L(06~#12J+{FQ>;bM0?0Y1G__e2$(+ zcF#HdPFx5(4qA=S{J*IERQiR*Mdhq_x@bC56Y*9^iLWrzV}Z_zkU06MAoj#07hix)92Y%^I^Ax!qX03 zPZ(*+i(LF7C6OPLUK`UZ;O?aUD~Gyc0otaUHC=;iH=%vq^rmbo+vPN?FWuzg)R3oy zcOIP(U#r7-{r`nCduP>U_di;I|ADanLT=pfsovuHSPcTA5=7(tSEiS2sZw@pUck$Q zkZ$THS)&%8oFB=Ndz;%t_Thc0iBMZ_s8|M7a5s2^ zVQ>wIXsC@CR8==8=DRbDbt#|Q2}WP8Z1C*%1oGEL6M8rYaq$w0KJLF;Ce|XvyG~0= z&m2R+cxpE74ya&%Qw_wN;R1~S@p1z1jHpvDB?6u|>X2j`0I2vocEjS4-@#KcCl(iB*hP7FJ6gmmLc8E-=5#w)6h|2ln!J# z&;Vyoy&N)^a5W2rPf*#_ZZL+zuI8~(sC|7NS4+sID?oln=^OE~WY@>cm<|~=p9Dbn zC`T09HoEx@Y35cd{q_|%?kv75!&oc~tD3!QMkWV$Wfw@LV0;kO;De)>B{f6!gn*1a zBV!yImKqP>@wG?U2Xa04&P>R*L6WNS+k`)ZaAxK{O8S4C=+Cj-j&y^*bMnjZwU}FvMDGE0@U8>^DGF`giDTZH6d> zFZ|Ho8Rjnd=;#rOUcdVJ`?AYyOCA~FaeQ4x-AnCB4}3}cTV%Y*?KVeUbAyZ+4;7%ix461K7F9Gwk|DIWaYtIk25A8_D>Fr&XCoz|;P^FWH-pGxY<~zqUdftm0vxh9BoR5)&!U%+;mQPnl zj6yr~F58&TLw|akU9Rb%Pn|2_4Z)n>Bdl`pfA;o#g1J*}-4WR}Yb=XfgpLz@KJC-5 zxBivU-J&`Zu<`$8um9hywl7k=PnqyUWqkX*-HmSoC^A z$IapWG^!ly?s(|}sa83?eXyDj*+Z4hO2yPrFsF;D{5&JR{-ClC#W~Q$hBX2*X)8NE ztM;K>NSJ_?fUEJRjBwj|4^KO6%poT#JCLlZhL}+@schEoVl177s!#bA4sYCk;`e89 zcJe&DS-c-5QtNGWd%U-vW+|e&QpeJPCJ>k+y>B6M-3mVn&-1V6jXnjR6obVb*XG z5Mwt4Qa9hJib+*jD99|Ik#Y@j?%RGp$R!(Bg^XsJ5ohBvmGfiu)>d&gIP>(@_Ak_B zXd)pAjzRnxh$iFMic1g1+~-8a^g{W2SfgZC%Hz0{qj0%Nry0$mkuAmQ%pB0jQA)=Q&RGk}`u1EW?rUy9UO`0^-XE9I<0?WWNxU87 z?R)1>2eah(MGdAC&UW{NbdKRh0G(jaroI>t5o09QQ&iav`1$#T+c&FcADlUk&|3@P z440=zSw(ZrS~LjFF6mS87rRp}eNEu+0wXr{bMqWQzdy%Q8v8^r%>2;}K>Y);Bf{Wl z-i6W2w$y6t{#W0X27T1i7HRa#gSBB(st>VGlbxZ3J&lN~#o5sE@zK$dUNbt9&4pLP zj~xAze%};c-uxc%w}HqMFKWm(tzU9zjXeM3=tc@|Q%&A*K86I9r5^~%&@y4V@1uD~ z-aO8c%QP*DB+RUGq(5xf^mL}54{`6@Nw;3(-VOz>)O>BJc|+zo6noae`vldQ4ta9+ zJQTlOB{irxzGwMliFwrK{g^gNO+lnrQ+8Kq@A9JTMM-fxA!2#=OObr(Ic>$?`>eC| zhwMGnGG8oe0~;yuY)QA5LsWU~>$^(we{Vj(iA@fVS{A@Q!)baK;0WMabdx(#3Tcw> z9WnYZwdnw@yKa4urB}};8s{7KK}i_`u$0MfJ5K+;VAL&0Y%;#-f#i7O8ybg(1>_Q; zk%Uh_c51aBol^K!UcBsuG-to-a(Bjm*S4$^0$?xKi&9lRGXed6=Jh42<1vaCRVriW z`(x~b+p$vNt+XOlvPqrmH)a-!9J@uQsPmbC?zgj09%YdFQ=5*j5mxXG{rdw-DJczY zZ5Y}(E)v4{cs|n1w1|_p{eXW`!EOUQZU@{Jed+uG;#8KI;cp}P*V|;EhV_@qq#ofi zNaYtkKp`avL}o@PLj=||MrBc7+r8MTc9bj_>qSEO_e=bX4$=~?FS!8-Sofp4d5h%n zI~{G~lja{QOHufzv8brR{8@21d~5-JKnhc&Q9OEMZl!FItwUu$h+;4&TZcHt z|1gqO2bQ>15BI1tXrb|xuukY#)pPTveD{1HabTprqyul=Wrq*H0A)mo9?q~T=u@JT zZa+(~NpwCM2?b>ehh+)}jBSrUlVY8S?B~%UBLaV0N}U`D84(fx54`F-ZXd-h>$!** z%9xH;)4AKXz8j+xxTxZMJMXl4&bG(PYp+FH6V}eTD@B{VvM*uhEmP8PbHAy#1|I+& znJ2OnrA}|V_CIQB|Buf?j_NdWC-Dr)AX%)gu78%e&K8GI$%FXhvHe8C#?_Y8om-#0 z`HJ+kdA9X+69$=f6;RE{{5X&nDZX$}s_k5Y%KjW5xq3=V(W+5n?454ra?tv+^Y^50 zTr5^UTm1a2cH6VlVma(}&f1;vQpUTE+j^mK;ks@G5#AcnT-#&!JmR@&ygFVeff;qX zC8B8Zb`0K_iR_~I4_!C#r&1X>{G9+DmEy(rfoGUYwxvjiZMc{ulw3~SH;f7llw)=R zg4&xjzd2O}SQycZRK=27V=?cuLGgs8RE+9rhB@Wsmo;$|QI(XYN5SZ5J0a|EF6nII zKfD2njdHHD8~TfP$=oDEzyE2FL=`l+3S(My^CSw*DAAHz9{N2YGk5sL_Gwm~6$+@UNB6Z)Vsy_z^7&{hQ^xSs-=u9ARt<^CMJ^A238M9wltkya_XQHO9me6wCUshJ-JhrN*t< zy8{oFB4PYehz9tf>LaKMwMYs37G5XC&k_@6!+SPF1D@=xP3?rN#j5ttG1P!PW|T zx(b?w8p!gOM33uGs$bkZPTh9Z|DQwGsBOte=h6OOx!*<8IfC6!?V~eB&pi>1==tM3wlV)d1SaZJt^OtK}9XR zx#pNQ+48P|PuMU&dB$s@bg+Icqhh!0Ue@kJHl0y(|HVt~!*Aw9o;0~58h9A)g@|1N zkeQLkan8x&%o%!Q_=YazgzXHZMYnAo^(|`Pp0rJjV6_O zV4U3gnrT1`Yu1!t&`%aZHCuLK&0iOvfLDXn47y*Q^JZ5!cV4VH19dADv7`V>`dIAy z4^H;4HU}ysg6^AH)JwLDb9o|Ik^dcf^}`e!h=!DB&bo;0GmPD{modwa8d3h9KJ-^e zjZ+weYMQZ0I11}~mRgXj@`we=R1r|^4m|V3n`l=tF>L@)+!px1PLNvOd z6_5lu-4$M%7FWBDKhQjZ51UW11EE&0V`sMIMkqRC3yU*#KOUuN57A&|69uK_sy?!3 zsihJTNE(bde<5e$&JVUvEC}ELF4h=Y04l%*qdM0Jf)L6L<4oWGR(}OMQLJzDP zQQR>ox&TSY9PQq!*rBiok>Jg7_Z(0YBz1FKqgE41Ah-j1+(nZqD{gHhdyQiG3otd2 zO7$Sp{V>Hf=g~0|8evt4yIR!JW+sy@F{j1)F^PxQG8a1((C z8xgndBZR)TyE5)ZDEj*R=X5Zvd<^(y_8{ zarA5tRJ`4IPvlHU`H4R(=}#RnB&88AH(^=44U81(Yq-kI`?f}rV-fL=-#UhjvSgRbXHhS`9NoHLC2d_sHJt59xvJ6n zM(eUrM%{dsQkTW)B=%2Eo9+ic^3aW4^9CiuJMIjP0xt0Xme8bw<2G94^9hLymx*t z+=r*z?G&9|CO+T(yyy2mS*}0$LfNtGeTA*C?0xccf#(x}*cxW*OBE5tV$~Le?GqAG zk8$(mwJo|NsrS)~YIyL|KWV-HgQ@8u!a@AA+jjs@6OHaK$wm%;2QS3EbyhdCV@Ux= zf|f!gU{s&3tjYrt?1K?%EQPSwd(>`%+1aL^X0o!3Ia$aYR69b##$xda-d9&ba0y9l zq-(6H`KQ{oenSq&j6V1CKgq3L`*h&$DEy*l zs?|N8t@S|9^jqJbth?yIgG$n%R8e2GKwsNAbUO=QMGCw-f^zbv6en<^&%C&^+r=O( zZmiy-I1|woxOz&en#WpKF-Kb!${|e-<a9}eT8>661;Dr5s$Kf)cpqt?6ytyD7)Utj5?csQE*|DLC<7kw&dr}+5{LZ3itKB z@!tGsc{x>!EJm_JmK3Bqi=6oM|jF%FW7; zS55}b`t%JJf{_uMczB$17KRPsgW|8L?Sx>{v)eB@ibJzp0RBYD( z3jJ3i+YCrveCT%SEmF5nbfrRidr+5dj>RPl4&icT5HZ@Zw8gnDD>8$$Gf(l}QiLFVAQ`=EesXEl6!cJxxgs zB5fT*U&H*KdP#@}Ih;I?u4b;#_uOD&YV9Ux#cjk~dTpqQmX-)D>+D==|Mv>f|AR=) zk@*&G;n_S~;t>e$Z41-~lpH_wwzj)_A1ZCmZ};$cZGK(44ai@^R(9u!5L!opMjDrS zj{&O9oz+YI3>|O(o@Ch1x4&09$9sh=CZBR!*r3;y-VuM{bO*`_jxgiq$24!SaG>>^ zy2wuJv!urvyNDmV$Kef7h!y<$hPuqqd#C6{km5z3ec{LA;Ig3uU&kOtD`Ae694b4n zJH>y>wJEsZxEVttIxqNhF9@UG0$1&1X-Kn=g9YsJL#v<3x|d=LTK;&7i|7+R1hJzJ zQQlXZ8buv5q}j*DZW`rJb+L|Sw;9BI43{W*!v&|K1CbFUT2*z1ouS&w^5R~P<@iHc z#2(b^Ys(q^eo%*MFmaV*JQ9qD$kNp4;~c^lM)47#LBb zaR(q0s$aW+@m%(T%phG)oj)rUFTE1zDO;?v16io0{^@4c)jq>1tJ5edG<{uKq%Pp0jU_)B|O+M%aEF`+M6+XGk|5h@C7?``<^bXK>{$;t&4$69=oq^nmo7U64QS&;^BEsh0 z2CjxUbBJ(wc-F5ZfRh3f(f2oF!IhBgmB8S3e??W;=Q{t~#rCC5c!ICL%YWFCpb;uY zbTdmVyGktcr;O&1ivz@(F(b2O;V)&A09!m_{tV9vLi>6lWm4`{+xKD{5BB4Sx;msWw%on!6*9 zP*aD`hIP-nQxl3iSkvrNkkqk^p;@MEXueMvBhE;8c~B@?frqJ~xOpw4B5RZhh-Bi1 zu$2(IA_49L}M-Fx8hQuv!SKjzoceOsF7;vIJ>AYXsT;D`_wU%U`_X8760@XzbZ{~6~KQ+(L_ zi|%+U^AJpy={J{6O~_-NmAp_|E*PUzMS`JsYC1d}sIgtWG=D^CsU1deS*XxU=*G6e zK!f%Y{9w*8 zp#cIoD|>x$+YlMH?Y{lM(eO zkffC6tUn4jRK*7>7Kc8T5C`Zf_U?YgMe^po65`Y*&rNzLLl8 z^r(cPS;@4Np;c}`rG8gHa;U9e5uam#==W#m-But$(8*`fNF-9=iDbV0HEK=2QCj*OUPl zJos0SSB|DODW#^iHS5lX!~0Hh3kEHo?OmVy>)&vryP+#eofi1ECXY(u5EuPWIX8cK z*M-zv{X*0c{jN&Hdfu%>@yYFk-es;z{vXpgz#Hx(n;1M0ows^$j~!ckSzY+V`s%${12%bKjJgvZ?OB{?(OG72(eP4 zCgICuf$*~9>E<_iU+)zmF!ttGsN+Pde8w)r{_yK$Z8@Vdr&s&k z$`ZNqICW4MB$z-scgr9Rh|cSB?^Nb0f%M!Q0u0wkEtQh;vD(H**j8F4s+Rn5y%_)Y zeml_p_Q#mmV)CleZ2LObF&0Q#se~!)Sumg%pW)@%5Kkrn2qT{PQ~%(s|Iz~7Hz)9Q z4ozH<-`GPd0k(=(tbSX+I6z9J8a4ToiveDGEt(39;cK*bQ{`i?#Bg#Y5r+T9(iK zA;u<*#IKDg-rQ~-hGRAy7s(~bdp^LpkNa8_Q2rcgp@ zn7IQEt#Sw^piRH04L0eesTrB{BRN!ymt z>XhD+J~ycg1HGKEC$_$l{u!3>&R@?1-_*fnRagGHsPi#Ad@85&w)#+g-mUZ8ziC+lHkJT3Oc!e^P)y1%Lt9#|I%F>nr=E^N|99nZc z+Rr$Mm53;ZG*W;;!HNgQ{_v3XOkzUEuG1 zr+MfQ@&n4KU~bGcOL9w5FXpdPOFuj31nRkakLb#~jm>i7DU9Pj^n>H(VBRZm6n^~7oPaucBYxMbZ z{@5lK0O&?D_t-~mEFwH`S3{ETf4?v^->qW1bYSBlmRm2+uE*;=Mf0ZL(?;lh{clCb zKmX*{1{vzZ(q~F2fwYhW&znw;JeQ+?gk@>>OPHo-l9Co0s6n(m3A%cW%%XK05~psO z#lhCoUx?_+QYL1&rq5ZE*PLuNGv<&v`ZYzrqB3ZfGiw4aGpQ`V6S00vL#nD~U zN_8D<@%Gfk5C(~p{))CtEd$1`bo6)!xK#1M#J7a|DstShv(DXX*WBl>XV(WP|}aU5E=P-ANEQJBqE|%RvMlqQ1ed3e7AIQ{}mLuTX;Zk z9wejpVB99Wv^h6~Ee$KtFQ|zj2#c263bd#W{<%iI;Y?oXhbUDE@Y4`s$Mey&s!K$H<$?J&X{hR`OCM7Zx(Rkk2Is(6 zUm|d$2^}MtDH{cWb~~QY$IF<%+ZmW+wHG(IUk18UD$s^xOJ4@!^BE~4V=i00rO+gPL5l;T^CelY{I#}GpgMSgo&s8L%wnB@ zAdM2m%o2fMWbzW8rtp=;FA0V0lLKG(8y|#hBJ7CD<$#=W-y7Hyjf7V$~2j-f7 z2js85InmM_T8Q7VdSbbRe?sPHbr8D zYQW4dKK3CDkp}JMsvpqG{-?+$TZ}fpLS#cn?#-(N9H;anLP}zSewXh?Gk^Ry*FM{R zf+5kzk)f_zVsYSk`G+_5fk_ z-IU^=8t1xiss_Dx`cJfPGaqjk5;aOsD_5W5U8l~wd=$KNSt9X{E!ICYMH{e8Zwvn= z`v=-pX&42ep!Jw=7pZn~Cc)y)h!wc1EFdPkx@V#%ERJO#r8{P&*=I-W_+Xm(fdx{J zi)WV;ve}!u)@MZ=_JNLr-oz|Bo*J!S?{{DxP46G!c+v*~UNdqtU zkBrXX0ml!Bx6WXx?udB3H#3AM?=>9+dt_66DWV)`Qq4;7#?jIOE>&h{x@TEL1Pfjp zU6TnPhD^IcxPdt!M@C~WyKN5!5-hIu(o|ffvK&rTrrhj|oSJ7u!>?mCh@!1qm@gh2 ziW>zlZ~Gt6N^_f3`n4t*=_)0p9O1)DaYqbR26ePmM2t# zYuM=>qZj4%?yl{l-#F2PW=y<}&BN$Wdh_s>RzlHTgze35tgwbGd7OL<{$=krc}zTQ zz$BXMl z!2R{+MA!7w5&2D4{N@KYCWZtnTFBdq1WrW-2_uHVq{dW5wX8OiSoNiL zBBNs&;@&T|;I!`N^%B_PbACFpLhvBL&Jv!)o3avVV&6s$?5MGG3h!(e1(J5Rp%!J` zlp(nfoqH@sF3k@7J+aFeE(uHv4wOBV9?v3=xb9q{=*)4c6$7DbBA9j}SkGUtfhj>6fWKRY()FS7P5*Y{fwM|?Na zV+e;WvorVcJJ!4~WUi|BVHHw;;lDrNUk}ahoRr=XS5-*pN#x&re{s+7xToRmIZa0< zWJ@~!aLT_ql>hjx=uXq7cjb)sagD?W1rVWJ%`O&HudKfj1%%++cvh_s4L?sp`6Jfa ztQXuW71PiE!p#8^vS&!Y)1F03q1kyg|DzP60hD4ZeGh2`8DsD02<6t#kfsV>vxW0R z#g6rcFp=NOmQ+h^`~B3*-Byv>OO70`WMFe7fR3l;8AH$CF;UikNTmM6Pqdh`yu;QI z(QRE}Ce1-96BZn~cvfLK8C@%i!JSoyZq;m9%1~39x z@u>ZKRJlh4Ue{scgXLS&pGz41mIJ|IG>i#f81F2FDL-{)CTirtq&@-GXXeXR_lTO! zUI4pgJxd?-WnKh^G;Y~V|8+{To_GQ&WMJheh|YdQ-%I_hRhMy0af`VhLUc@l2Z3V_@WUW0I*71#uoqdr9wT)0Z3XbeKwWefc^nLFSPw5Z{m=7o6;ueXV zFJ&SkWFH+jvKZT2!>n4*S z!cK-*!i6s=!UQF4j4u2aVXl){HF}(xjG;I`BMM;Kfn(L z9ifwA!w1Xo!7+^S!DMtzAP^u@LxrnH?D|tiem>N!ycwTccED!{^J{CbDI3E6U|{E9 zEJl*5@h-1udZhO34r0=?&@ZQ_rg@EFIe57hC{wQqq8mlciwt_8US&;X6t4FwgD!o; zJe2lDbF){*FQw;BcA9T^u|6lNI63F-;lt6BtBlesgdZ6}OG<{Cm-GOwjhE66xRrdY z1~LA7UltD^Nz4C2auxl%Pu?wJ#3gnY`r0`{+@%^?krJ9_)@mTnv#)EiVIqS+T_~`T zT>~Fxz6z$BH&8vJXh&Y>Uag5$uTc04eo^rSc$3#CeZ-WXEcRH;1MwbVk0;3n0Z(_q z$Mb#SNWzcv96e1P2bUq}X#A7j=Zc;8q0t*YPs7nh-e*e#LYUC@qv&^5_q->OFMgGo z(`4^?ZO303J=J(RMgF_D%72ELE&au%9nEyf$LeUr)K*12Uc{Bw$rg>z-?PSrlfEhLo|MVscH_Gv0`JfvJu=9M1l8n-bR}wM*gHT_S>SuGT?-? zNp6}cTV%-p{_f>er}-xQfQ3HLNh~xA3P&kqhScwB{H(K1?~?_^nhj;t!vX`DIl#LS zrz_?Jy9!CA37mj_B9YJx!*;ySUmi2EU;+c=Q3_<+O#EYHmI7ScyeNPgNrh0mb$Wu` z?=CtkYD7o3`=wbq*iz}Y`(I{=IS>=>j+>nI zpvGr+`ToKpsS)50?w_BhC85a$q4xhWJMYN1ia0hm@+|&#bzp8Z8^srdrcFu~!o_2L zral4!0k$XL-|_CG^4aV*`YG~jY>%&bWzq{?L5aXgQ_!YSUEvw*_4g##VITe96KZc} zmL`R6jSZjava3K7@#PV6(SBoh(8Faxyzdm*XORfD;258Au^WxC`{HPIqMkKr1KXSA zjLaQM3IJ0-MXdls5>iV}R>Zh;B{v#s2CGCcz}j!gtnAt2|9LHu+qM?xop!jVxU0dG zpu3zcV>Z{bc7SnuVyyK{^Z8MhCYPW6Y#v|nN5 zo$f0xSf1JLTBB4-pjgFEkcm?ty8g{>ege}p`-yTZ`%y0EWfx7e-y*B8M;S*z)l4gQ zw#nJnj(4H;y{x}qCW{w!uTY-jlPm%-zIW9L{Tl3j^yB(I`|+q1@f)M8&CP&Ke^ci{m*MfG&d+7*HWr4=51#i;s}b+K z*AD;j1S+|E@zm>q-^730a~gze_x`L~kRkqxE;UtLkYy3D#eAy?bZNk4b7L(!_p8ks+`8`t_JkpY zffMmy?fjf`2e4D7#l)YNbu3qI4s1o6Uc?(P3LLva|h60w%q3rq1{BTZE@B4-a7Bp@Bv?w7^=WXf6*V<_->Q=bRS8J zUxFP9P40W0ze3JJr&g?>4+3E|DVPBRO%NU?JftNDBSc1Ed+?+z=%te-;KRdTHUQAP zfLUd}ZiP(mw{;H_b*%;&Oym5ZFTh&T-^?t=%G}yFg8ga!P%YKd6IZ~-6_Yrc=1w!N zrL-M3yMTf9V86;YEumb!w`zqYNyk`UMU{LdNJ+oUKBbQrT3}7WFZOuK%-|@80!Qxc zWoG(}gPSKJP_liim?2W$l6NgEj)aE_AX5~q9%|CQg8_I4d3{l?PAB@Z-JphZ+F3V!&k8Vb;vPsD#TZH!32$PV7DFH#H`gKO65c+~$h%-2mDeBo^ zZ5U?%8f(i!;(ImHPtREGp&cc$_IfINdVyQ)+S7>PF%#n>UobP4z3~y$M^7GTyhSo> zV$kF{q#M%efzw;%i6nA6uFFx62VUt{1tg5>vAmiQQu<_GIX|YZ-;_1tg^%-z3}vB+ zBJu^aejg!kd6s789>ITId6}efL44`w7k$qYnZJZI>1RVbW7JP;SiUFs0|O3x=t@;= zcnPzxaZd{e|DFImg=bQhFOc!jIa~eKKZ-vSBU?ZBAp|5|IW&K*RxMCOJ#qt9@0KnS z`6^hfg$=Ij@Yb$;{-DoN%nluSxo%BohyODfG3T%s#Jg`$1?m_h5WSkb+C2C9gO~w$ z16e*U-DdUjZ=ic`+OW@_RHbd+FAhd-CM{Q%n~7#%{40U>AI^yx<+o^TT1X|o6Y=~K z&(w`Yfy1<{S@DFB55c#72U(7+H#Q4f_JGEZ5Fw~22ECBy?Y2=>lv93)MLys_{O7ln zYF)^$@$-Tde)Nc~ni#U*463tfLc@)Xt(C?SbAPrwyy5ck&&T#HFCB)%(3dqIh7+xn zV4BCSyvusM)HA3XICD&rzmE&RW6ywzitS7 z4~5$&PgZRM)u0N-S5SaulCA>;sh_R-rXI|LjldEvruL7ID=im((O zOi<*961&$d#o~u{lP>N8G{v*3)6A{Q`@~@FD6`P^^AD8%&`I1z+Rf$A-ia+IKvGH6 z+L;*xlZsO3X^ktWQPwmcERA~cP7|^l*BltJ$-uv#u?uu#avA-5tToOHrn^rEMTyaE zS}|!E{$ZE2sTNvPfkLq%IEdMDM_761WdHgIGaC#T|Ni6DL)6Mi@$-kGAzN?d6FuEK zL*~J{cc0>1l9G9y^nN>Xig5?PTV4bDZ@@y9TU9kBJF|D`;Bzd;__%~s$H+*G!O;O5 z$FF$PJ&|7{go}Hyh|FFtnP8IxidQ#PlvW3%pN&i*15vre&e;9tO~zh59Q5!;)_1>+ zNcZB~V!>&=m)YFmj4%#J%-UNdez^gGOd1ld+<3wT-TjMYzOz7GG?TI;77EuQR?C>s z7HtPQ#)LcCmv3LLDb&#>`%cUKsn2Ge6_-_E`O=cG*-YyYA*}-Jo>|XFjQ=LB2Jx$v z1u5Eoj#xGx;v=D7flG7QawEpl6Zdq{=2T)~ADUwQGI%aUUZ4*vv2A0ER&oVbL3iM}?@<=6?rlcg+e>^lL*Zo^mbu}j#61z1S8hh-#(fWG1(wT;= zYT0Eb?1r?*nqn}kb8KZx-=Af&U*ZKA*;a}KMWAyyqZ5D6=KB z?)u=(YH)n^oe(R>ccWXsyBZ#$_t>9~YjsYmy?x8g^-B4&q~^E zQM~#)po=iBztp8Iv81L-H42n7CDwC>ugw4Bg(+bJj64;MQKt%GDGwmZh?}(zz1P$P zVN~iW_Q93JONcT@dcyjJPYn&F1Bnd6_&8AEh3ym95*M!auJ2kk-j>?dx@HSi`=E4e zuvdg(-+8|-J>J}Zf2d1TDCEi|(55Dso~K*2svQTdjy#?nQEV%-A15Jj7b=Wvl?3et zRSIZj_()Uo#SYei7XWepE{w?(?u_!sJ4?Gbaz`DO0z^bW=!pU97gEIlovVB*j*~o{ z6nLG>$lSi$gQPUZ`ko%%!7536M8@%LZ@Zkpc>qJ>8Mum`x}sVi(7o+zUh45>7>}}4 z1&!KoBXw1vmr?arv$UBYoLS){S2IC?`AJ5?i)eh(;7K!JMX}7{&jlf$D4zrE5Pf+@G0-4sNcWDGEU-VuV9{%E+`vcY_ zV&dBN`oMlT>gapf6#7rUN8_^?FkSD{g=-H8$_ zA6qA0U}FTlM?F5V@EHTPp7Qe|T2%~?8QU?y&D5wF*u5^d7BqJmi%HVf||0 zfbjSQfp?zK`N=C{m zsEMxiIo(BaCZ|rXP35kEv~4O-9}lRK4vUzy=<<|o>z-+jtVijk`LA;Y(wXc$?&$*t zw-?^ji}X0yGhjd{~kap)sutU+mC9HT{Nb?;&@Out@%=*@SayQT#JHkl0#_{*v z6k#SCm+B`zZ~E@9W4!vYRLCbamsg>MdKg)EIv7`{3Z>*Z17-hqF1@WZp=+-}mSmh- z*C!`wylV+6-Re41xc9U<-tpJ&^cQ*$A1=_{Jkv$)&+b_n-uVy-4dNL)u6B<)JB&72 zHXz+6`#7(q?TRD(>nHrD0vB)O40m*+x;dl$GDZvNDIAZUK<#3dVi>iKNe^*HI}f|O2)^C-drY3IjJ&2vG8-}%tS@l>HrVV1MxBO>)2fNs%y~5 zm6Yd`;c2Xs0c3-6Bomv(yS~Hwnb5$n+>EREKDULScs9ehdKpfSJf>4I5@+kshB`j! zLS+>&n9yIkAqDpf+3v%lHQ|kWok&XdJ8_=$edsQ|-IWu?m{lAd$#Wwbn(qj9g9W8K z{mypZG-spbLDDi1C5nAft|Ow&PjXJ)e)vSc)6lP{;P9vH4v**t){*0Y$HnUN_NKDd za*tb)Y3}78A3SPAvT8RCbu`Zc>4%YNjOS^UP3gr!1Q?hS^pE2Ah=?c>)G@SF1c-ro z^cd)VY;;BetO`^Nq10=AS-a_n$*ywrN-fWxRe`DW1a*7Zw~l^Y+d1eQyay8Mmr&1c{7(m6vcJmJId4XR68 zN8p^A79Jh(+z~(_pq~R<&XmHJsC7wsHM9Tz&j*pNqm{N|3AkSMxo|M~Fkj`j*N%hc zZm(b|)wA^t1LEsCVrO(0EgYT_i;Ff2T|Sr0ksJm^lZ6VME#X{&c;q&}+L;MDhw1#n z6A^rS!kt7p(>@COy=n1Fh``il3G;6rjfyhoOPx5lPT7eMt9LGl&y6WDcDj|&qx zWrybU36xl1bK-FAIDs74U3{9eX2eb2_wGQWacP}$PPaLoT3UA+74wGd#0DZsA8cH* z>%k8HK#6gfQLb%)FTCBe)WiXvz3*WG?V!*7?h8FaW#UPhK!hs~Ph=s3Bx^YHw0A5g zSs%uN!7LRO5Ho4LsBw~J^}XTqXKY*B2fR&Dm#sLM!&hYU?6PyhPB}Sjn{8{;eidc2 z8Nq~yYD4e$2F7PgDUhNgjc$uz$-C{kaViO>z`ozIh@F$N6@qNhe6VQF|u75UB;X*tw-6ibo2dOI^_Y`S?i1qe8X#IGby+vGQM9 z0H&MbCx;tkR@eXg^#As`C!gt0fc0EYXX#hjkpbPx)P7iTU%Sq@Ii^P>JvkoyGjOqy z|DW&o-%mhR$T3T>Z7UL7l`U^1UjV#!hmXCb7TaDZdtaISD*a9bVa58jU-k@LdM%z% z)@T_az|#~d<$1XANK-BsdI9g8p(W+K(xxR(pS&-UyCi2IlBCOcj%0G9q|WEX1yr#` zDsA>JW9%w>QAWF4@WpzoOw-*lOv2V$QhCzf5mC(Z^DZQ6Lt+}o*w4`R`!tjjV19Dw zV6>yqd?jd3jEiZC;bzgEVB$3|Heu2fi@dqHQU5ce%JZ@~W^Zc&nsk8`d%MMtxYD-J zA0Do)QKXOzEZFtrsk|*z2J`|Aa&Q|7&vaw+oiL!~!_V4R#qT9Pbv155fJ7i=53X$9HaHzJe8EwWL!Mc)lwE$fg+rlCz8`U$lbH?nobiBMWzT)=z3-cE z^2PZH+eZitZwbn@T$0?>1={pyj)%f5(yfvG(Fi`7X@mC>Q3acH2C$a3Z_l{g`?v%c z+sutrsSmxMoiNt%F4{ti$!?)taAMH2=Lf5b3N|lLrl_Q~vhzNRC;W0en@R_FoiG<_ z7{rUZoxTrylWf&O6FsK89Wr>|SnS^xr}E-yUjTlq_4MAN-HpWnB-mAyZ?= zua1u%QbJb5JjAcM-qh=59+QxHY=%{NXZc)jC0LyqRNTD6#~H`(5iHz$@e~btJj)gw z?9oK|8+81Nnfz8CUFEtift{LUuLi%z z5zHw%NtqF;_QWOKM#q$zarnz46H!Nwt|5_QKQn%F=hW%x^sE07yI7%y>!B&^w$ixo z$xv%%`VdfT9sL->1jNUYq8R7PhO5iX<#SiCj<=`JsFQoK(mGulT1O{*WDhL05fDJSZ<9TRfAjO5?zpEXE! z{&B0o(IJ&wrT=o)Qr8_(eta}i=qo6Dv3gbDr1%vg0{q>!MQ={_016b$k%4P7@j)5( zK1t1<1!Q5_sb$6IKE3dHYoMQ##w2iw0Uf>5;CoSh##qQ*zoU$F=Q2~l)(#tlMwyIB zX^72;W&tKttjQ=R6-o_{*pW_n1%q&|v#SVD6eJXtmi4u>z8ew5T~aOJbdJ%ttvm8Z zdY7ToIWL&Oc|fU7LhLW0?6Ghh6tsBNU|Bwd87Y-i?&1gaIia3f|nODSL3*nGrD^XrJslR z`o4A3>9&MdbA0losc_9j3Rele+wUEpCL7{=-cAKp=w=L}+=5+wBr5-q|AI3^Z4WfF z6M)dgFi6bfO?CN3s)ME<`7r-6W`m^~W`FZizN-E0X<;&?^Zu1|0GBj2VG)yZoN|I$ zYh@1U$~PSG_37&m#3nNTQ_TC%W9=G6P;%7y?y~wxIxhmX2VGdSD%3jjQE&6IqNq3e z#^zk)+U~N_|Mu>qC;BZVZR@S?;mMWsc@xu3VVA_@iUQsWQ_}w!QU41xYo!WnJ`aX6 zKF7WNs{Gd2q?o!}DBh3DUbnX-10-%Cq~!l!(EUQ`D}gYT5yh*D<>y_#S;m8m2%pDCfEzmtpugk;Ng8$|u!H0JPoN+PN&W9JP`rj; zt!=VK0c5{Bx1MedFz`WUW{5#M@bt#ZrQf4H-F%nkj&!5`jlSe>Y9b8WgENI%I>o9h zx1A@te~!-!Wx$dwx{AmvB&8;k@MB=MP(JmFx~KZor11@C`e3=tZ1`SxEB>NsoUrD+=&0F? zQ&Z{mJc5jv*q)`B9&|+bx`ku$x}QL7~)1qt2tu$@Lkp z3pN$VMF#CU)Mn1P4bNIGuqI!$G_4kq=)1wI+HaZl+_s6_*MDgI6Cv|hvhOJLyl+0N zj=Us6f+Nb$p^A(C;z*toCAj7xK!9Ca6ogn&`#CV!lBw*efAr=27#OqYu6X0D5I!HF z9*$a>tgy;0-<+akA(}d|uhY0x%dn{kO_ny8P_TkCuMcxseafzduo#3T zBWKEgoKkhr;MbEN6Ao#;NZ$SYT2K3OnJSwNX^=`D$47 zHHSJtBrNC-j57$n90cQBL8u~_I`0YkH(9`uK<0Xd)bF7Ieg!^>4Ofu?X~~MALOfF} zcQcN?ScCpGTLrN7HC{HS=+@^ZxkT_$?9!m(oySR&aMydn(N-ggNAIIUn{2%Qq^w*% z`5pVG^3oUZIy9)1PTpRnPt=Cb*{UajpJDp{kO==5YyqQ;7?j6Bv6QLfWnKN4NkdZE zwRzdK;uWgtY_E?`^8%z4sQ+K!dLpglac0!;737tfJDejk!t?Zb=F$-1k->wYa6~vRrZ5hJBXz2qJC!XK4B9B(-ZaSM zx|#PpAaGiHaFpAOlk@4bhn46N3Jl`$bLkAO3N&T(j2_C z*_S9^c_7Lon(&Kiey9ARa_p{O@J2^Gp9)0WNUl#N!YE1j&<|SsS-wocMX@%(7?wNt z>i3@mBzRjgd$g`06u8J4)pBf=UcCExF{k|>dW zbrmWWB6VlvGe52+tUmqIdeZZiWkIe#X&Di;uN?!mb4L0H-U1`0S?D0uhX>r#&;t=# z8>SXwvbKAdA@VBMxkKZpb?b=R=x&`j}0+fOnGw`IcaeXiu1O2BDBG!e_zYGDG|=!{Xz zG01TX0q4fkM*#DN@q2t|OWUX(6hyZH5_G+lCv=d$Ecz8!+(O2BEA zghrPxkF?LeTr+jim%YHbzz2(atDmHygRGRl(jAXD z2&p-#6-T~A`U}VK^2g`Xoy)x2e%<0E8aVB0i$YTLs9uhcJk_Dg7VAOO@L=Wch+iT)?=%Hal-pw10C1M0j@YmkaL|3Hw5&+$Z0<9wmI3cs*Smzk4u3h)eR9 zlu|emQ*HH@x2)?lIrPlQKje-=i${TP{SN~<*Kw8RZjC(s z)+?S96kCT0y$b^$eeSVYe9q*YVw_d~ogk}UNl^=*i*HC&QA_!Kje#n!0N_RU7P2^@ zznoy!sT53Cdu}66v#VN{UNQyh@UfA2p-A=*n0S%l9~2k4eS4bENr*2<$0G@YX0-;B z1ID<=?s)I|4K&t?X5b{wk-S4g$UueVo)eQv84Nz-L55qjB7@yObABaWM4%CKXPMDx~4tU>tKF({84_fAapztUfm(X(fKH- z=k?%}>Kwhi-#51WeE0JCLs(?5yUah4F(N~jVqiMimqP|AHkAg7h`~tsi=^&3<#Gqh z`0n5!&xeW${l&B|BO?50m?K{b%2-RjW2NGrifB+^1b&17*UMRk&&Rl8N)bp3ib;OaR;y|4h!Ul@c?F z1ui4D2h)880=U$@DaWP%GPFD&`Q_G!g*9h70{&{yTS!(GQ9LjHW&k!mAGMJ*DoKGq z__rq-*8Xsf=nDS+g0481{LpT140Hgb<>3qikSaXd9}%A{qBdk(Fcin&jRpCJk?jX( z5I%RiAZt$U4ZtJo{MmTwCJq~f%tj5JqG6rck(v(e<+0SD(q72Ypl^a~2A-2EF0tje zHuqxE>CEQB|Im!Wq*hFX4MS|-o*KS8?e3=Pztdn^X?uIRLKHTrfx-x`s1eJ7?5oa9 zXT_6oLAF?2PlR`buUm5{+_XvQ+2%jhK!q3^D^=!C=G6muJ#5;~gSLA@v($&~eGyEWI$V zG6|QZHfJtk(5LSL1u=5+=-@Afd1eu;gl0y&i@AXrEw~(cHmm8=gYmpvZ?9{`;g*P? z=Zs5evNQ&M8%Ajlgf6cF@j?j~Zp8M#H;$+2mUrJ(I2Af>!mS*uw{I|DnzQzvpULeA z-@J*))bwx;h30T7qOv&7*6Sm?C*E4{kHflcy=UFS!WwgW5EvbYXck4OAWNT77)_^t zosDozUk?+J>2*i+r8ROE(-mpMnhmp(!>*UXAQInL3^}wIp zusuU4e-(SGTk%jsEtctS3^bUa@hdb4)b>;4Sh01#J(KU<9FYm_Yb*Pm4r_bFG5AZ}(7Cb0 zzvZl*yXMTSs3Une)*zy8Z8g!C(wrx+8=v@{hqLk!i~ET`3$wVWEK(e$5w8?taR(eYerC_URi$v82Q8RW5$L$HOnuj(O4yenb!|qguhqfT?16 zJ2=CZP#lg60rSZKMdSA8kFT4}l??rJ%jfMnTiaiJTm0f#aOmX99zl3k?Rqh0BNTadr80Tyw&9|4;bP;qmq#PQ$ypLWK8imY6>VYfC|j3rBG~GYY!;6>8XavR z`Z~$Y6M6GcEdr2-fa5Kh^*Nr<`l(mz>q#f=gMFgo?pUKBkvA=WEGU$<2#Nf`1Vjx; z@Z^E#dOLjb4KIr8#ok&>D456eFI*A){!oF>T{bHYcUO(t8aT-5nYJ9uNmxf3@P*>7 zV5(@E$1)N$5c{g1@xS~f+2hc;W=SNnevdY~v!UYh9<%wQK&~Q|yykN$bCL0K|C{%v zyKlp#Q0ZF63w*;GTt<=M?2>TMLogMKYr$Njh4NP%_5?h#qZXp|jg)=(2DbxhGl#vW zUDZ?*Mg7d7wxNQ==xi3-ZkRj4!=j;FHwJPajKz~9VFXkPexM^$q|JE6dz zKqg-S9L=uGv!qdQGW9-8CYfo)qy|R*K_u+6kmr57oekt#L zYNLG7lfFyJODyrP#1TOHtZ6B%iQRM^O-}YaB3#uu+X_(8pFC%@brLJ|xr}bVcz#UO zCi%Am5HNw}NBmdhijYs=IM@BlM&rtU=-wVO`pDiqyenyb!USlPl`c88BL zoCK4`U8gPIT5T)bCf$*J*hLNEWJYfDrn_r$HRA2eeM}+P7t_aZ+0U-hEtf6dC>ewK|?-$8F|Du;r$PbCrk0yPYFd%D`getzx&Qp@}adW8VjX=Sp>)VIPkj|5Bj_KVM?{t041^A77 zs2{c8J1zi{*~TezGv|rE0ZU_aKZqmn0cKSK)y=&@bHcqLZ}d~)gS}jQuVgm{Nqp#W z@tZgGY2y|RDbx0R$reF4z%4)mS@^7Z&yzk6-EG$FO#vDLRo^5W$&Zx*gP8 ze+HNFb4*W%vV5}4^TTNFRvvi^pMr-PlIaqX>6+vrM`SRWnz^PC1~^Ro0INF6l94s0 z@T4wp)SbBkq2g_ElCYx?V;G6pX2q8x{i(Rs`>%Wm3-&LYF%PMAWqxMmLYnKKYBl#Y0 zyhQYS=?;&NQ7os~WY=!*^!b~k0j9xX)W&w*_pi+Ghy_bvIWiqGBCOB7*Rb}kA>Ts8 zcxLYXXugHSCFE6bM3OSU^#B)&gbgM`$PSc+mx|3fi_Dwf02yj(RIrCPl})~LzbR~P z-&g136%GE#?tVE%6!G6G$9_yO3$xE92Z7aN*G~ht`mXQicf6CIt~>_HP4e>7@2_r@ zMD<-e3f2>U-ji77xd?S0>pqj_xVH#dpBhS<+15_^p{JQkPmw_C3+-^ z=;8n7U92<;F@&b-a}=lX2t)#>h4vI-Z3alwu)iCH zCZ7p1j>Q?YV=*5Hm>eBswdj-R?;RweLqs#hjmQT3*o;yp zZtGXCICBF)E;ZfHUSiuq^=0n!ruD@RA57mIh)WG%QmPL<61FM%gSE#YMmC%|pE)PI z1qzycF5dk5!QmaN|1g!jM+6j@(xZe?qi&sPCaJ}cf8yulw;mXW64IgZg9Lx@p_$~8 z=3rv_xS1rt-e`X}TPRZfRU8~#pWvq2jnQH-x>K)LUC3oNBtjQl$i2xr(HjkUz01YANW+xKdBnWhe3*pJ& zwDZdQnw9G=yi9c-%6(wns#N3LA^E&z@4Wv7i7%r?cl_c3vvpfF8{PFq|1-w=jTy;h zD#jV(IP%>=0VAS|2!dBCL+4<~%!dX;t9olCnP<>hZVC%&d=kw#x_r1q5)v^;dx%*e z+kowB;o2ue_-!{sA7(lpp$e!eobrigv~*S1KquC!`evU~K*RICY@nQVY<#+jeBzrCs_$_ zK?b#ipTog)e+y^X5=cK*@2X25-#l?CFWf$!28BH48o~LZT1$TYytCLX(f6v(5y0u3 z1*U{J&J8jw*gA(Hw~UXfVbxEy<7<00SQ~>kH~*yt_$#>B?UB;s_Qb9;h18OEuWx>a zdr|{!kZVN7m_q!A?Q9SD?Xr)_9=fpL0o7k_5NbsFlWWWjRNSlvr+0w@v& zUtFTjK@o^wDQS}E^rN4%{rI4S4cns0uyfeZQD!wim;F zY=z3kfr4E;wiDk;>{OV0(Qhig$y`2d6p_9)&kD;4L?b)` znht}c(ifQ?4*i&nmbZPrJ#a)gizKLJ*XMC7eW$U(MOrerj9V*iuW^~xNN0RuF85}qfz@s< zrEqsaJlSeCO19&8os6OcPL-4o^?H>v!3&?k%s_$3@cnbdtDpMOo!6UU>;mhS$L*Wx z<3x?4sLc|F7}@_6lwy_iwVAsNh%WK#-n(XA1EWSAx**nO{mPI+-J|H^)#7jKG>Xwt z1s`i-{Ca*EOYHaitB1d4+jSV8B`*uh2oo-T#R1f@dy4xSlmQX_)>+otVX2LelnYac zw#y1&h6J~uva(ms>Xk~)3?M4eRnhdOGuGXTB$64w4#flC@NdTDG9t07MHNC6^Str`yz)0OnIKNL( zo?!}1j49~sW4g&Et0(WB4++p11a*5=Vy@gFXLFUNx*P zqVpoLdSZXJYI6U$Q=z)!uIggfsU+*LjV$U&O9AMK)H%!83BTjO z`#{N`tQqmWfeM>Yu%ITn@0A|cTTLz%O%@f+A2a*KJfvnk6sA0+e^6LGjWVXZ#isZs zI#;L3nN8eqR8lbBlJfaX+kE@JD;Rp8n*#@*1*5VZRM_K$&t``0Ad=?cP~XFL24^Jr z6xMSS3#(7Tj7wJAxH2W2M#@QQ35jd)s_J3!`Nl)p$^PFCFvAaWZ%ZZMz{?_T=(2k@ zXkV{;8^ru*NWbYsRMi$#xi=NYe@{KNH*TL&`f4O)iLaLf@S)lyMD~A5McP*eMH#;R(y^p0 zv2-Y~fTT$05+dbNB1j`8-LW(*T`B^SyR?XebeDu6jdThKNO#M5JmPt%g%G%*LD5sW=%U}x`)JD;mF;Ly_4#0J8+glRIWt*|CD+EtBy)>E{eSFe(x_9 zT>f4g-j|t-s1%~`(WIys(%dw?&f}Nmd=lHG_^(}a*;lQdo}=kY#@CSu{JEL%Z3#^$ z{9ZpM6wF!Gr@OpTD9RRbt-zhQ2j5HT?2^=FS}Jf0d;mwEJ;9>jPUn~MQG>@` z{;5BgARG{y~a4#5^QdYCB#EpzIp32MR0&2)#HUg*#+@4{Usi#=I-^!@*j%MxOd-Mr}H+4Z_X*( z4%cmj_!%hwN%<${v=1uD-~ABXA4shI83GU+m*8b){Xc55w?Zuvv$tKajU}v~UV8@y8r2n{b{4)9nR^H;$4^>GBShLL^fdAtN1b zf5fndyW$1Eaw%ALu}BBCON{nc__kiF(&*W2@88g;xvN7QbPq;Pu_*kAg+x#S3}KA1 zK7u{?5}6}2tY7#M3$%}{s}5v)^pxZu02%_%C@`Hmrx@*y<}jx?N=;E*-lG_5-;bY*qk<6fENvM3Re4gb z0p6J5PUvwH$y?(6>{}hzE>easEzdj?G-YPx(Dh;~l}DA{m`DSTsmOX&SM@ls-_5Xj z_@9=^<#19i%I_n_3tkIVxa&!;|qUuad+=rP=j9c!aK_Yp(ui1;u^$s*jlI*w~)~pvORkWpq6sNg4Zl~9V zKG)STeteN{%agoQQUA~)Ss>mrgwWG)ib7?$rlhbsM{9DpdV}6x_CAp5lFA4ddsQq@ zXrAcW`J$rv!H-3Gs`;oS3v0i?d2#^E zQ*x?+#SgZT&J8E(P)8`^A@0Zc1;l*8RBFLgOADp$3A?A|Eaz%^9d({jsC)e4ZF1C` zF3kdIu^MwUQRYFWGv7b8J=wcBzt`{S1#P58{Z2fQo8i8Ryx|l&o$L2*18W&<%BuAK zoocJv0)0P3Emj2;@I~7mR3+au+=W7SrRPFcHvduo7!*D`IIXVkPumXh$9oq^MF3`` z2q*Bc*966kXtbS!jA`P75o_cWIPcJ)ro+$qQ zB9=7L!3%4FXm6U()P>P_vZPCy4}@8f|Ju$ptlLIxs=m$;c8Zv@Lv?$wBKazGk~$+M zZFk6@jQU!BWkxMce;a4%`DQ0zj*xz=E-bt^!KqcDk)i%F)+gKQSC?0YFy)A8EGvcW zS%O^1PGxea3^s$OAr?3Ao0FnMibX6EQAHg3g3jo>M~2g7uuv1&1W^`gqnQ<=aR1_sZ38(K}91KI(rzXZs_&97974XIKTJPWc`f0mO9`@C@jqF z&U=}4shNcRB!Gf2xOd>7)+iQ1%PzmVp|8wk%+xar;bstm1Ja+zm>|o3?H&*nherz~ zSta$T3AfDfdOHsR93mo3Ch?3&B?Kg`u#@aK*P(DnHEWF=(hK{bQJa}XIGx#oiFA`G zu(dMPa)q@7R&V(Fm#sJO#4O|t)*N^A{s=}sSI|-5& zvZD1Yxw6a7YZSbRQ3=k6>bV2uy27o7;7a+6#F@5;a*CM1-%AA-Ja@zv|DpM1N`@?5 z>}9qdwp=!(_J*n~`)V%Rv{gR|`WcHDcE=I(`sYW=9>Zx2ZaeM_+~~j@#-_{m4l@6` zG2?WdEY%;FePKr;08A>MPD4w6g#I4XzS_+q`zY3|&^^k?o#-^+VeIgck0@7Ysja+AK# z3vj^^DN)!s-pd`N;c#JP=}B<;tpD!BV>=g^!kPtg7Kc-~LL&)QSE?}}G8+}^?mFKf zT&L6_*WohJVeStnhduV|>k2RU{%*titXMWLFd z@BF_5lCXz;sloW5=uHGZQKR$%f%fL?Xd{YtHGEF{%D{ws+C~n&-K8?`K|?(483^Qx zFaf3#Kj%o_3g7z}c{MH)yXRcHSRfLvlNac=Nn*ftU~EY}Q{6?JW%V_nb%A0v%t2B- zyaLd>;!*W)TXALUT8W{72PfUVbpnA2znDDTKKXUJ?e_Wj#d^AE=|ObRU>9jpJ6ID~ zGr^>gjG$UDfiw5sFCjolur>OocGWVSk#Tgi1tBaelL9!v{DsiFZd{@d8)y#)KbmR1 zJauoCuR0izrjajL31?C~QOSz_e$#yabwhb$=n%-DgDfDR5DB*c{wZ2+hZr25k$S0q z$h&dnNmE)LxKB4LvMXHqRz<5b9N}n?PN$xx{rZJDHl;*yMV_r^FV$~fsbLRuvU@_y zHZRsljiw2Z)Dd&N1~7B6gz?nl^32SUpW{@iOo(bW{h=I99@S!i0$#*GlJW|-k34Yd zAYyFY%y;H#EMRf(Y^1U|G6EUkQyVUNX{a>VZ@<`GFXvFkw8X=v1t)LCeOUqUi)z{Y zd|6brEV)XBwU~fLv_%&I33Vx>OkaSrT(W=|FerD1g;MlK67>)_j95@s+BF;dGI8d9 zvv;*+Ry{Eu^Ut?4MI|tM?aUkSyPX=! zyUMa%nX}RT&~-M+1EO>}l=Mvy-=!@*|6M`7IDd+qpKaZ>RQfZKG4{sc3}v-HYioHD zbj7$FzClV%#P+XK!Nr`y_I}XKJZs=H&@s{Zp_qJqWe)z+o!Q}c60MOkg&5FM5jD0R z{5txQBiBL~4Q1UeW^Y&;}C`}+3MD_C%?vguvB{EVigpii2 zS$h1B%X?ti9P`jo8CEf;fp5Bdy}^O6*W0qs&q$!ccM)~H zy*y&(#)t}m?Q<@|kj{sB4>WMES5sc>FGl{^jt>d{Inw88Uq-qbOv+b%e&p3s*qH>| zYdC#!Ulk$!^VX(?NiZ2Y^zKqAj`GNpW%~n3_Y`eg;I6%Cp6C*A`-SGkBw}CdJJY)^ zLSJHs&E*iB?4!R%u60iGt{@@8O#KHN8BgA9=uT^d7ws>#Y5=&;UiEdI6QM3dM49lN z*rHua@?mT8*n?3Sjnkg)Iqw#`#$54hi=OsIYGOyL5A&v%&*{eezqIo5D`_&=#ipAK zTDPL@O*y&r>^R~_#rJ5T%|fc1{m{w4w2`FS&hVG7q-o*By21Bei7g02AL)=VZ~wwMg?}0eqHr6y5*Zvgwfg&UlgX$z0*kF%)lk@6Qr`YA$p1M7 zz9Xcx=f2x8Wi`B&Rb-yjU5eit@;;ILT5j}->q=Y6gS~Lm9nhJ}p6Z9nBBBNUiID=i zGdcf-u?AgGRpL?jkWyTH;NKg~xuJ0B+*sCKCI818v_DFf+f4S^c~+XxGb&bBbVyqS z{u$m=p9PVhnx^m0H@LwMjEm>^$B6nhMUqnG`_{5Pi!rm}%`=Ir)4mnH z^kPftkA*u<3Z@&roDZo?rUt7`UL{US%>h#IRl$0#Jr#7si3`2CA-hcihDd1Kn64RY zOXnBlP!8*vH$Z{p>>Wpr21O87m<)X36~)Ip8nmf08TYC}558uXUJW1bQjm}lLp>cy zsiOT1tdW{UU&oEU3(J|ajekG~2<12@xt3n@sBt?i#j#$|&yd1xyERZE+a!kOjRy*9 zQQ)KM`F2{+tM(yQMR~60CQBk)NSvgj+tVDESiBNIUV=H+gDy~vhC8A65@^Uj#8Zo1ut6@gUiJrm7W;v`< z@Xgc>9>v7cHv{&VIJ7kR`CIQsWeU0kyTd9^zL~9Ic0t!Iq7OTg0hW?2gpi7paxDpw z-7CO)Zjku)!D%-mjpw{(@ehr(km{jT&zvtix}N@WSHx}VX8QjCTrmixx(O%l&P|Js zm~SE}gNpJmpIl)-SY_spHlfu%AqtBB=^eDkB_l!1_|JNdO>TD%tj=G$?6SM|4&fUk z7o>i0@PUI|>GAF1w*IEg5+tzjx_juKi=`Y^jy2#oFyFMQ-zq6np=-J)Vm!(I{{7<* zlT#D;f~l~Z#A(q}#p&O+gWEo3S5X$xLu~ib_}Y6rx5nMX0o*&JE8MAFviCkDvf=*h zMqS&p%N57Jc&w|7TH&Y5dwK)3dY@?DPi6?#TP7&Rl^@)1AHA3tOLoD3AiUB2213d^ zA3~teH{v{n(M8TxJ(VsiCzubZ9?-$gv`^ zBzmx_wbn)ef%B?yYqurR&vC#KADj4>FAB~}?P>M8)j4bm_(lRW`w3ySdIvwRj$aP)y9!FpWSCkSH3-@X)cn${5okhZo+{Bx_qq6fXhXE zRXPREgtNdRDe?ZYBqqI%`2s#e)<9P?ZZqcVMsgE#QleZ0P}Ng&cBDHVrsFu!>Qe@I z-<zr_?9g4slc|8zSW#pU;B~JNL?&oSc0e>Zpq*r;DeNb z2_X47NamA)Ud{&&q2V{Fjn^ef52*Y@RguHETBcsDpO&^tOm%=Zd>DtiE3zy4F0 zRi-wTd%0uKe4g5v&@8i!huuV;>vJvHvf`YHvGaPX=w3qlVgbRlBrS>Ckvxdci@tR7 zMVWE)5IdwbHkeMk*e&PNQDdn*&mYHCUf+sOx?WvLN|MwERhhwzP7)8r7W~O5MY>;i zi=o@Y3{~9s=c|a;at-{l{8yd zL^I<;uj0V}JOwtOm^R*-=Sjn1H&lA^WBs8Jj#Fcd$z3WohxCN^9g=58 z$-<+lB(%i0M3DqB`y0U>E>{l%i+k5^s1_n4H9H-BPF=ix#s9c4&KT3HimN@hJKKF^ z?J)wE$x(8^0MPao;Y9IT|4@703gb0H+XjI_sQ?WR24KpqeZ|dI`(k?f8xdPJXZhB4 zD8-c{T_9wJH0!hNhU&1f+-TGt8%<1@?^@>XI}e!1XNrOoFDov;n$WNi9`VDdbI>GIh7IWO?vbopPV<+UaXJAuFbZ^ISzj>gc zLyCZNr}6E)fj*@k{}Y+T`pHZN-M+mz1p;a9J{OkZx}I@2B>q$d;@NL2r!oo~z_2Z* zJUNYU8$n%YWoTf7@vhB-qC+$eQz4UaY=^n?62uG=sDt?P#k{&QQ%*ck13NM0Y^~9q zis_3=3W|C;LJVlL94EHIr32WOrj(3ZTl5pvXIh9+IWFzm*4F4KsiIhprd^#^N0P$YTAIYeYZ?=)5NY6NK;DFz#mu zvrD-OWzlF5TPBmb*ZmamHoT~jaZ2jc8P*`;nt*+o2pt9W8V?%D3S8tC>Z#=LLA*>e zKKqpo@XT|Gc=}$q^)^z)B?HzUpg1bWBTzZ@{?E|8^~}zuThlacVh7#m-Y)Ag${fJC(VmykaimUHH0-Re10r2 z=gW!;k#GbdBB0;Lq>Ufocp#5VmSTjba_aGJ@X@F=SzJ#4X|kJ~U46D4l7CiHY?|CH zJy6d(+}%Mt{Kj8b%+>$h$rT81>e{>&ms*;9BN7NALve<3+SgrSZAYv8V`>V29t%tT zhyF`*KZH+iU!LPBV$FutKf~fa#N6apvc9-uY$o*_?&qV&pO2_M6YWhMN#uoxLg=ig zpIs++e~?4Y`^}G;2=G(2!&AWD1r7%!CX&oyXPP)rO4I#^`Fm^PzSHXq)I(vSwdZFl z*Pw?atT=;uD~>epu620}b@@2P^ar@s&t=eVemVW$0s!C2fbe_VAA0DBX!TUAEb+AN z4g>>B;+u7H(dVbz#FUf`@Oui0Z^O$EQBoq)EJz6|jF2Jo%Jq!Y1&Ng-kLWI`V?BBwMaLgalc>=dZNG133mpiGN`k583lg>c&P|msc>ZmQsrD zV!-wWlRpw|40tSu|Jt*~!F!A+$I2WdIWeoG^%zyqyjgZX2y;6;jL_3=kOQ4QeUCz| zuh3az%A;ahwW)1dCCI+EAWTmaf57NkZxIW0Uv({4+PM8v-4!Oj+`eU3R?KKwwEf!6 zb(?(-0~tpF(uBZ}aaWaX$%T|J`Kd{UZSs05I>CUsnut-;cGy>vl*2;>jSs4OIa@Xb zqKrv=7vq~}opAb;ZPi6%(zyKAulY|+d7vH~$USLj@!h2>2nz{~5wXth!6ua21xnX{ zc9^B-PKB^Ow}vD&OD~NNfO2`Mu}B-ee9rkaNXhK{e4w{$%fTt*T!PlnBVNmhPgFl} zX|kx4jQj;M^{0}1j4FEl!m8~Wt|QWge(0_>uppHTK)~|Zx=9L>?D$>$ykf|2)JKWe zIi3IQtA;`ryB}YYd){Cmxh#?Mz4zX0DYAF#!WEIHc`dAh+G5tlBd2yxyXsNq$uCXe z{Az0vnXmjUt-tb7WxjER$@~$E&QHzFEeMeXCYw)kA)SSn8=7^spg6VbLN_uF@2?$M zB@NdSL=Sd`#^zYV19y|4)t%!pW522&DQ+fp;z3@eB@H)W1AMn|!^>Z^@Xa?0=SBz4 z)UAw)#d9MisZ&Jc3W>CS>q#P>u$TR|^j5Pvs#ea4B@XrDZx`FKlR;Y66WcuMeM+Wp zPxJT5o{SyakCEX`cn>3_EiwhRPxEcx*|Ua&m{%5<0_6ho$+8nGo|T6F%VqulN*n;m z`u~9dg+xAG&ZwI@p$~F-D2o@1PvOHvp<lu*WB;p!>$$_jf$Oz)8$Q#R2aG6<@bo(q0CwBO}ezx50iMoR4=kn1%12Z5pN ziv`F@N8^bg5jbOTvLX&+n6W4w+WgDKbm^~V0369A9fj3Ok|)D=a@s$r{S(g*LExse~9t+ zIqMc87gDi7z8N1ekul;FgfDCbfUyA&`^%I20<5AvAcQo+bmn@SG85jevY2h0=ZF$m za_SDVpo!6f1sb!!=&|#VSodSz6ha{4u*w&Iv zlbPd9yUqt7&#UOD+I%GOot%EhEOerXMjO!b9ytoV*=iDX8tKTA^zhk8IGGoT#RX_( zDjMNQn};z~X&DbDs_n&z+mg(ZAj2m)o%zrF?y#?lMp%)sHGZ_j7gS>FvWe z^yO?wKWt4MK4ON6z899*lwR^kGKu}SYD8Sg8)XC@E*oG2?O>lO^MWgNX+RzhY@`j}n{ZQm1KIyJ*zJdJH)sBeC--cN)YlR8_p0t7>|qpQBwAXcz#j{u$ne__fj*hz7y--C2l+$jRw;-osBf? zFZ*{a_J@|Rah9msc^ZtI^>{5CP|~xP5f)WcFF}g7$3})i8>w}Y^S`o~ z192sQ5<((vWSzn+C^R2uKP=0gxjmdu8JFPlMl8P~mwWglA2H`c2#0Qd5`>=;5a`R# z=%h7t5nkpzm~V*ATb7=QQ?6a@b+kO=pHt3@y4a8ZI0wc;*3?Ima=l_*UvyCD{lv>y z?q>H+Pm@_=(!-{;peeTSZwiPpiu6_0ZT1!ni;7{v(_JEU+Xvgrm{w@G)|*;$`gYOL zi=vE&1>HsXndX6j!_K2h>2aH?o>?=LY1k=IWwxfbV{HXYB!0T|a88}VkUtef>?9Hj z2EqHL%Bmc{*R9-IM-cV5BBTM5wAz3#V6N=>$&h}VYI)mr89s=XdnZwO(1%3N;R$1I z-Ls$eytUDPjT9J^fwR#T2pXA?GkmNfBLrna6etqQ&3PO^xY9K^s?9P-fJd3ox7Ge# z7( zXn~m`dpQjYvY@a34fGo^Sk<||`dtR2E+j>%Y)A!2#gJA2M8MuPY{>cg=iB@7I9$Z^ z*vM6}B3Gjkm8(oVg9oaWx#6=26dcDA4^B2I!e zlu4PqczVmZ4KdqnS7`9ElHu1G{}P46mE0O-)a*`;l`LY$e`PwbZ@3cr2vPa!4h4aM06oaT=34`7)EF$wk<>@y6=Qxw*Hs1CmOTFK?R7I2Ert+hiQqma-EqktpYxbL+J@crU3+o>Z5%4&O2xdwPus=_uxwGFUOgwHrFA7621$5fqZi5o#yC$axCCvzI3GdiO!0m z9{GiHZ&A}2(D`!(>+^)xwcN4-eVa?RUSoZfv7gLYrzK6hCR?Y)K)c2SUSoK%Dv0-t z^nT2m*>2Kra4+u*G|TJ8sEl8NTdyPTwqlt~tBTNa)1~{kigTY$hif;moE*#FfV&=z zv~wxD^v8m3xxQ}7Dbwb3gp=A+R=i1Fv0|LJoeGXT0u0)6&tHkq3y^XNGr&VSRWYBQ zSxnDyff6Z1g3(t{yyDGjY(j6pfhA1j;z89TJDMmUqN&+Mr%Ty`nfZ;Te$9~W_v|PN z!9ZeYX#=Ok3kTcAA-hIC+s2&_S_yDQ{Uv&lGSZOwcdBL^*qD~Vll21T)$z_a_N}a?&)JrbgztyPZY5jy z_Kpp6_6j+RXNR^_LfyF$) z1jUBFlA%!-DIbl=I4Yye*X%7HubEdbxQL>KPGo#4Cp4;D1vOywt+D}u7OoG*?HFci z8@$E?1fEaRC+2@6@p@Loe;VEMR37nk;1QHDWM=)K&T@S2DGn|E1LtzNy30kQx-6}qcEl)56hf&Mt;S({D47oM?BHRAB? zf{A0|(uo#dkK3%z^`IuNoJZRhKvBc7=#I9Jj67Yow$p#jrBlDm^<^6MR}*R}eKZSK z&QL+{dEcKr1RR9hbC}6)f%IlTQwss%@_&a83jG#*4%m;{_?4(>?8b<>)Dba|qc60> ztEcnLCeN~o%phhFyI3PFOUzda8}F{L!gv44!2V0I@xQj`WlX}Gg*p4H!F_eRmfefh zc@;83DJ8h6Vxk#fvazU;uM zn@<&Y9N;~a+Vf=!3zHhWST1=%q+UNSq+S0Yk(!#An%giefFv$5>Z zFjo$8bQnG(tk0J9+4-2dA3o%a7<%%;JTn^k_DdvN0Qa2R_|vZm;X@mCva&CpJfuPl zqLG>J)iX%k3=7E`hnRjff2%bVGe=x|8bIWFYw_kDPyt4t;!Fl@acPvBn;h=>ICcp& zxP)4#Bvpr-MhEv8^7z$K-dMIHxe`9DnohN5PCCA2`G?ufcsYCw5f#=%-MUe^&?Yl; zdI8}8`TIQ~IOkA(R1*ms405e|MMFwNVzT?DT!!#y{ z>JChoHMbn%PwQYO(JjCPwCQ6VeT~j=!YtZ_Ot#;A-^0-WhL&&wGE-; zf!|m6lIe*7wGbXHcwiHkrkFHd$H-{|J5KsuGF;J9X|ul(bjE0ZkL{|S$BpjYOQ#gf z7XXtHNk~Phi-Myi@s|%hB$%{B=yW8QbVQh*0(N8*!`B1cTli0v?7q&itL7OZ@OB}o zmH@XHOyx!tjj2t#d{87r)i=mWG;ZpK|_a{m0>AZoHX+!4J*%uD0 zE}bZ!aRUwWZIGksTHgR3WVSjq+<*F}qh}(ZLHXo{@HwPC+)c9qN*2;tk~>paIMbNB zm0omu*{ot~%vsHCIXgl4`IBOdpfVP~INN8VVblc;#Z{eU@;Il>$NqcQ5%=8oDYrUo z^N+6swi*uT;(CR6)ATmIz8g>NGDT3KPm|k=&0j>BRmmkDPYb%iI0t(f5g<6 z#u64{_tpL z?;M&qF%TF$L;j` z{>WW$2>kn#H#Y;?j1VB7mzga*^d2{G4aWDBPXiGI4w{b#f>S=&hV1#{?0a}K;!H(z z+J3jt3hwP`cGvVoxDnJwSz1{r!%3iV#L&#L+UjY_>iLveXVBH&%3G%)V1QrDEVGgr zt=foZi@fs;2>8wBG!#adUC%IoIUImqRNNQHtom6c5Q>4Y^{gF_8$2#5*qzY4K_J> z6n-duhy&RAzCdwslDEF(uU?-=%Xfb32&rBLi11eLXNoWJDFINge~PDssGFpr{bLNw z>7q18`X84OPkRSD?GkGkI2y*~x4Hu+%^TOWMbUiyjBb=E+chlYsNI+@Ks}=54nfozq z*M}Pad}}*|zW4guy!0QlvptHC`UNCq`Who!gx^QV3tKaGn%{nV3@>a)9?+-)c0_0L zLY}_u7>L>mC{92pg3zS!7#bxulWgyi~j7g1tclXlHKsJ~dlTzl8KVus6zl8w+X%z=A zmrTb*WwCTGXnPYDq+wWLChD@cm(oBysd8e4$n$?$hJh18DiF(&ATg(|qv;9WfrAh6 zJ*R=sHAZqBTYky|{!e2EVP-HqqN0os>cgV$vd*>H18xJm{a5UcxZ)41-y>0x7KkK2 z^0BF!8P+BO7D>{17z79wRluH+GM92mBOzf2wD4dLmn72sjSXT_Aw#j>BvvM}TlP6; zUMcU^txV{pzT;>BAT#~cYR;&;6B?USxKcrc<)K-9oB_wWn<~|{U+0gRB7c1N zxv}xdGgk~e9iWZ)&H^cD`m!Z?IECFC ze|fHiOD>}`8&y!U9fa8V0)s+_(E!nzoq-6y_LMV^qI3dCCD+c(5ZH0ybi4&327w2< z-dTuK{<#qTH}#Q}>t;;973OTzR2xPK#0m#$22*Aqf1;sQz@iZW>=QI+6J}8cBag^NEeJbW(ap^?)_Kaj1z(DwDeErh9Ew#MxGsNTFbNj}L z`yZHk#H~E#?Tq2dlQx9k24x3uIFqwopLFa(pc5uU@&85p+2Lf>C5R6q%MJYmA; zdgTuU5_(pmJu3_KS_{Lv0rCF$pzhWC=4hP%(gJ+*Q^k)}NH695BR-trXk9(eXZN8z z-=;l@dBx`$aLyw%$1!+4d{&_JV=VMI2WB}vEpL{?!qh8afdOr8oN25I$a(jB7{6?L>j-?!*|8_U_y~_l=aS0EBH1AKD_Xm=*&oV&(D3D?B8R{`k+*KP z;_Tr9iJ3~g@GH?vmUrqwEpdLM8Q*Ow9aWyL5f_fi=~*lH{9I`oXst48TcQ2%9s?B5 zz5Hr8%e|{>YFN6nJnu^&RY&-fsZ|2L_Zu>y%fZJ$ZdxurPmOj}pu$49 zYhZ0+r@`T{kKptN@A8KArfEV9u+$-C;B1DK7(sY(K;_FT#voi@x0flc;%Iu7GHP=$h%udFOogpRD)`iZ z&p}tprT)qO^|s;b@exqM;LMEH+|q)ex{m{vzb)Wv7a}Y zzXsT`k;Uzx+g|X+2-pR6pdcI8dbh-bi4i>VT47s4Vom&gWY%z*UEcS;nm<@K4A;apu1#2A#NQhaoGeI*$bUZ};^ zgkE#y-J_NHs$%YctaJxBIZMe!-FCocurgxym{ML#tcC_`;cC0wLLxYU?GOs4JDKjA zJTV#`OnIhw%sy)I^wy%_mMSa9%A-&kSrDkhJ<$cr%U79$}TLEOR4Pqua> z2C*QC*b2pQhKzJhtOsNCl!#f}cH(6s?z1+m0L^FZ-~${f%>UNQVgS~imGOAcMG@oe zxMz18(T;3(`|ul#z}_2Ylkb~B*K_VyzYWgZcS4=V|I=R!K@eubK!W2C&hQ$R$%u6N z37v5foa4N_1fIIUP4C$yJ%q$WWH30lO=kYya;I^0?fvgnGNqTNtwUCHSM4O2^pn9P zl?iVXSJ1bASc}pY5~4+Rv1u~dAK87>RnOS=D6>7!p37~R|H!9Ov%O53h&UQd;OG-xaIy3IQA_MV_Y{2n1~2f5>s%m}R%mPLn# zV}a;iK$)WCkq$K6W@0CoQIvwZsrP@1?_7~gxt22a9I%)Q+_Q#|D}HdYWm5}`*~4VV z*~CjCS7Ch?nHuKnBe4vtaI2)rg_~pC62qboWN-Zs`Dz$Xf44$(r$ysY;lv7FASG^1 zTa@{yG_*X=?^J>DT&DO=8B9(}F>MsAiSPRiVNOkCFf3cXob@4@BWEaxM1a_dO!ISS zB)E0wRZs}Uq{b6Z%bL8qBRn~C`MX?|m9}}R@GdZsvMs}jxSay`GarT3{qRwPQ69Np ze)P&>*&7p$4v4dCMILI3^ZUU9i=x<|)z<=O-rhcQ9Ll50E_W<-f-q$**a_wxy0dsC z#Y+A*u5HPD2m-gQ;Rb~egivy;Kt^C0CVJJ4&97`nk~%MEXcax1B( zLh80EtSOkPVmg^4A)U-_=SNnHbvO)A|D~a*{o!*>7Gk_-p{~83KIv9{F5!mOHZ@81 zdrS7S{>a_)st&wO7YINg)S6DyMe6GO-EG4nUTPaBvmJ4?-{JhQ#36^9zdZ=189zv` ztPSps_W2GmpCVK&RxzK$g6|Ogu2S!k^B}LVN|Ua)v* z3@PB9q1KM_2A>{dAlnIbRL207ah2LyN2r$4a+JhKir?!C_p7l=`OK{u$i(@L+6(#p z@s72*KtZ+I%Sm2Yp|JF%rE9u6cPi>QuOCAN*<+R{cyCj#Q!f^9$xQ(((&##&T-wMR zTYGwRur~L!HFwUqTaNX&gfq1KscUD#*?S{d{}y!)4-l02=ZWou=vz_sB>aer=6rxs zbti88<=+3xohkS;ixM`gZUy;qrm+cLCh!ziz~qopX5;HVj8(KB3<+@$uFVr}ZGT~wNchTVR=EcJNlF!Ajw-FoLmA66t->jt61!a3~ zIly>?RSzuh<5I;JX^i4?L&vGNLeZU&M>wicml*W@H?+J|{F&?@SR#Xo-d$GkWy|Kh z!ir>H2c6@P3~>HNVF)u6Zb{{xI~XX?SQZ~_Ho}_|9(`$*V0rs-ty8*6EqJxE#=IsE z54!RzqH!U8cK7qdAW6+-N~6ERg1OpmphAE#g(r!Yj!xp_B=*B1F;?d%S)G^@VEG<@ zn#H9zMHFGcG}88Y7$+HHX3NW?(LprbdfO%#UPjnOfJuoL?jmHB1hji4Vq9*24Bcq7 zY>!Md26_568_JYl4vh{gJ@gEP3Bd_6$@j@NFp{Fb^9L7a>NH)2WWFdf%$te>&sKiQ z1Dk>)h$HM3HK-dLavkj=&O=~=MhACk;4hYOSM7vzwRwe%zk^y9!SK#{JznCp?DWb9G#4v>pS4rA?kPjzZvVSqc)D-s#sJng4ypHDxo52WRsu>T;~*+`u~65N?f z9;C`x(pa*&^IO#+{I@CENPLEZgqE7Gm}CE&UGnbBF|iw`2$Y0_MMz;n@q-?s?6g6C%zfd z)xQ7jRV}*J?xVe8YmY|MJs3t{sVk9+EfkH3kRts$_!b|2^gs8l+V$6P)?fG3oq|s+ z(gc`<34A!ap!cQ(m*uEXi9hM|< z6@4}n*XW^atUJs%gu^9eIyTe&_L_&=ZgNf7o>Y4-^UJGF0W`|>>886=^m4ck667dg z=JIo{j0C~lSX~^eV5x-=*1)SxEIpOsllci+YCX8e0deMj_`0jq)_6sJ10674^PBC6 zb|j>f&qCXam zv;8jK2Pp-gND--v>&=hnzh)xmh7z#Sg()Zy9cE)9F*&6q^Nv4#vaBX|S(%|F6WEBM zvLHXFL>*lJc@k4(k}8w)-#S44_xZCg5n?<(es?&GxO%ok?a}1Cc=|gapzR1JJE!1; zERKTD?tSZNPQcy!qV>rA|8Q*WvxP(^wbsqB9oDXT#-(D*O?!|$cI=WfAFrt{KhvGS zrPBCVgJQri_eAB=7CH|wu6t_w7C_K!;Sr=*5{k?|tQeg>jgF!YZT8IPe2di9Cpiwg zJ_i0#Nw5=IEMdTjD|e`ZLr@J$ODf{zdZzGbI?0qrq`r?DCv#*3Hi3(RA;}M!epRkl z*&1VzSW@2GWF`MkJcd3Qv%hrluoJ|b(NtWTa0X-fYB%;^wp zw$tcR7(@QLO!^@h8}#{iH3m%rhJuJ^<24?Uvk9f_%rL~h3*G6w(-|1Jwr=Q&&;>er;5PrpU{o0(TGHkcmO zXB)0(+(k&m^C}aL|ByrOSVJE_LAiBiglkC7tP=v=c|ip1U&Lc5cyun&r=y4egSYbx zYVzIIenKaPCdB~K1f_`r(rW+#>7gS%A{v@>0cin35fBj&5D*eNDn;o%gsO;CsnUA~ z>HU5FXWsL5?=y4uoSA&!OD4l4&$`!rt>1Mmv{f*M;Rj5EyulpIAZk#^cyUjoNXK9; zAy#mF?QiI>d%d;AHJOya>S)x|M$NA^`JM!8cLJFIr!U&JZywF?7p+X*sB|xgaL{`~ z`q7-sOKGGETukNBdYT>b6egmvT->a=*99%;7<5Pqcaog*_9*0ERhMB%CIv?yxH zQ=UM%%QC`U(xOXVo4QTG_s7Jm{4?s~NJZ%>h=E98gV&>Y{(7r8IaXM1qfNQyaNa3Z z@&38xgTf&>M4J;jYh1EF&4##A+tA#~Qdpq|BliY8HO6e!=-cv$iv`r`DMsK)Y zW@B0Pv>tqHdK%xCKQEtdn*9ERY4sKn?E|8xQGwFq992f_m#_QPh==2rsF$Li4igLB z_3R)6)4a?BVS0M9iYEUc>KorjS2gD}jT)M6)K*1Wq5C&j7CrG&xX@Qp8p6_}IU>aO ziO7KLgu12K;xeWjR3;moWSKdFPxlQWvWqN=+Y}ydn9cJZGH54Y#&yJ;_U;6_Ae&$U z6BB8@&(o(0HJuFK*9F&~aII5pVJW(OG>^c=yNqZmy;S98}Q3>l#~ z2%Bj^PA>}Yd)`13WEm#+iqgT5?%%&K|n*k8W;9tudy{USw({>;#?&c1K zYgA}LJ~EXS&UI>^`9KqRJ8}4q!_e0dWLVJG=?71vPGACefkjM;SAVrm_har8A-c{Y zF9w$2vu9$Tb^=dulv0RemBhWppkb0HsjjdGt7RQzdc22jp8i_HRqNtM&1WgWfK#d2 zzL54{seZfg&vsay^QlKN4~g9UZmdtiAIYS6R}Zq4F;1NVB#5j(^<7QjU#jjGmS{+N z#IoPYvmU-I_C%;C>Bo5`7{0ZHTL}^w9Y~}Z)zWOt>J|ZYfAAJ8%NqX|Jt)B^{!#fM z;4@}^&I4?tcdV!^6w7PhdtRaJ&-D8ezUN3^DVwGTX1Ej0kbNh~p1;*y0}jD4U-Ru; zVN)Dkf%|&J=_#N-!f3~4j2S%Xs<&xEbKa|Sm%=9f_f_21j$C!op}ez7+?CU_1wU<- z!}!C#QN_o%DC053CdM@raW8z{SGasPMibQBfwe{Ny@sz#24tc~4H?jhhd##&tKd0PZ9s6u*VAGWQ`$YPql_dtUvV+Sq zyh(y(^%*dp0r%mm#W6?-7n^wZTov;%ytoQ%GzJaNS39qzs3+E zxqWN0^1{@v=z}jd!AIiaKBYxg&A68rX)G?2K`NUsbS5w3(lgXD^uV{qqTbygVsung znBMiiiEcT@-iq2;F`HlA7~u0l4Hmq9f-&ILxCQ4Dn9|A}Q(!X=KZE|YD_x@SWH{l5 zV?+!_B_MQa2;dRX8gi_PxRFUj-AQ{Z#z#t`xQhp>FNjE5@U*2U+$v|Y zkm|@$B@l?{=Ne}l-YBVc!x74(%F$tm@;de!X|$&gueQ?xs$^Q&z^qR)Jz?ud#5-jt zzsawwttD?w_VpfXwSv3vWu4OF4b8apSpDR9mt~Psv*sT&iAcFC`pT5f=^c-mpa|T! zhFw=YS4ZKj_wnnd8(=cg%WBIAh%B(fSK0sOY^}S?EJk8-e5QCFf+X}dodfo+p%ahqa3q{zdC%WQr=V=o=kj7vaGzuf zNkq-i)G8ck99&DHE3ZwmYU+yiHvVcp0WU6g+HwUh6aGHx7Vz+O@y%f;HAHvol0m-&sNSdB2($#9ZH5PQo9%rlazkdT(yXQZ^$l6S@S-=dZ4 zmDXf7mVOZD!x&=GgsN>4fwt4LxO_3(-46y5I(#2Bso=2(=_AoHAY+ z`UJ|COIevnNkhSs);BliX|CX}7W2HfCc8MFu_s-=C!I~GX3IgBDvxs5$tBwsNsv6} zdEekii-mJJwTlGZXnCX8L}Zt=!-d^>6fuHKdXumA$rkoh{=lblE@Z>P=an{0;U34h zN@u@;+{<>jNx%EPEEWTy7eI`zaW*+I@@<&cjU`n~jdzX@+p=j;(eQ z3(t4o+g|%QbXk`ErzdhJ%)`Bwa>H-wbS;ojrYCL@Rc6J?jRCu%_cG;yn}uLeZ)X4R zm>XbTOMZi>MFTd={Kp-ELD*>aCAY@0-nS<-^se$f3Ss~9G5sGZ8NneWJKF|Vosy&% z%aWvlo~DCK=xNYlmW>k;i1L04|HaZ+?B&*C#${*9u~ts=u-JKx{J)l2X96{6xDsb5 zJi?ayy@{e)rVudNCJHxrm0X2HpbFdT_Wnbm>IMab{tY8BQbut!Az?UDcKki?@-I1) zDL6o~S#Jw`v|c2c*+JZ}jLBaJq?^Dgju#l##$AMpm>&lQgynp>^`OVCWI0?JeAtkE%O&j+#}W`fzHf(+q8<^^H@q2hS%$w`m(7HRz@VJ4XPXSp80oIPL>o+S{kOf zQas|S4p2#~;(8KQii~#+RVa1B@9s=5BT+lKuxZ0l;dtKDOng{fbdE#xAyWDn_H1fp zS0^7$QK0^a3w*n+0enAc(U&+{P!z>K6RC7Nc7qfvT%{8K{*{d7E<2P>d;1o)tbHA5 z2Lj$?qOFLwD8An#P(E&@FWl++GiXeLjW?AggKWY$K#<=Ybk7iOZ8=Z|skW~KZeVP1 zmy3_9UNv_6U|+AA{Pd;8fDfuZp=r{@%VMaa$b3Axc<9sZbNx5C!1z$N8g=p;Y=!;z z?A|PW9kIjiFzE6{`ZqB7+jkgP+Svk{1BhP0Xtd~q*c1>XAJx0rudo^<43f&?sPvM^ z;puMU|MqD_s>IWJ#7mQmA?<|ZXtiwe`i_zl2x1Dvj{ZV5nfL!a&k)RS{TizNJL&dO z@R944P~hQjQ!pIa( zGT0Gyqb_Z3b+f;cjU6fCgNTS+a^FZu3LVr+U!I0SO(WyDtelwU_H=$=BzPhc=hgZ zyYaTozn$BJaHQZz??jC}%U7SERPK6nfrB5D;s|?f7A*SVZ?xcMs+l==- zr;C#~Ui~h+eyJPxuf$bRFtWn(*q7l^<^0GW^!2|lhy*uYUsmw1ys~lk&pGh69;|bA zu&SuI$66<7A@a7u-DWcE<)RCgd{1xryzee*;RsAmm*!D z*TLJ58Lb=!SV%a~Adi7&SE zck(Haq9OqLJW&t8wsRTMGzn03NzBzVsyolR{!_UY#*}1|3 z^$xhux{xXGaH*6s`iyw{Z&yGg3xl zVy`=@1~<9_qbsbN&5s;`5=vqofK3ls0?{ha{-RSV@&7_Ri>6Cce&OmAZtXGx5p#la zGP4@_(yq)n*X9Bp)XK(OXumQjybyk^H-ypJ(yjF@HOqK|2boJ7Xz71B|DPxwrME+Z z8;Vys0A{ej;+(W7Vr^-&dH2-m`;v;R?s@C}^BDd{v%LK=KQ$Ya+*!yfZ%`E ziQGs4O%N-ROvh-4?*7%8KulDV6vkUj4=79<>N7$anQogaoxRjkH66eS6|P*{a*Y9W z&tJ!!Gc64=J5J~@l&Y1%ST+-wiy^k`NqpH0C&xOq&#+tbS;9KC;RV~0g;a|w0!e9uWlr0<_LPBs)<$+%R>kp<$asXe?fbi8Iu(W(!Xl1bu zDtaXoj9r3XSFhn(V$`NXaXB-EP`f`o{@TshIRfVVLOsMN z?QPuh{*YCTvcA>YjnJA|cfod`T4FYvd1hq#(8Y5|2JM?ji6e5iBhlk(7iGYl*BvQ-JPDPk_)mWxv%f`W>7!B2+l)HkRVqR(ts z;ShS`uLrUY8~Haln*2#nD;X81SSsQjf$~#Gc)-mx3x@H2_5Owg7(aCIRz*B>%j``z z>e;g&Q?1_#?rhH)&_Gn{u0jq5at*RFGU#+H6qz=RLQ~R+U-;P!diVGB;PTS5aqB8t z^c{DBvFTQ!8FEx!9(kRvM7P%KIHO}aC5LAJ-RTpG=VHC`U5K|=3{RxgAXLn;PO95mK$c4DqCgW^BjVgq$TbLDAv(~6 zi_CY*GnmC7z3!N6U`UEqiLeuzp6E`6_sqdIfOe=+DjH|dUW`3ynW&cV#O`!X{R%ia z;sldJro$3v>UZYpg+C;}AFsOohXhSmQd+vYwG?qyxIR)!DhOZ<2`+(BJ2QFYuu(C~ z$90*Yxv(Lj6<{}q=!*|-t#3ZKzMwPe3gcpS30Jyt$jccnu=-cRyGd9$hQW!I0Rx7M z@d;ky!T7-vKKE|f5Z^0g!{c4yeuowDbEW` z87vtaZ0f0XiKbeF(l1#a{m$e9QW(ABEqED^xrV8_TTj^KVJR9$MZPoiKZUkaEHXfoIytBr*5=|5W7IbG#*Nw{MU2gsrLejp`-_42@k$#Rg3a* z1hB=}+0i1QjAWMa#px8B4Usf2={)$PX65%0JG5to(6Y?gFfyPL!EAw}o4ZE0i1DnC zDogT&HZy{DQAHZ2gx_;kJ@uOziSUe|?o(}NVsw9c7Wvj4eT}z~DBLZYfw-YZaGZ(C zrQ6&|#m3d?)kn$w)4o2|5}||QV|AzkL1nEDbco~@d4$SR*?YS`vmMy_M}CUiL&rZ*KC#$%CEcEk7G-()(IYhdr*+JE$0M$=urh4l&P4(bAffUR zYe$iBBF?~~7>gu(BVy*Z=cbdq`$s-Lq4ZlvxR%`4?#lZ>VAHfFP6Y(4EH?jmpQ%9z z4@Am6yL=mQ@v~ZH`ds!AK7`;yn_W7$tOv4L__H5#^I~K=pmp=KH<z&!Z;`aJYCI1;DEnIZod7KD;~X%s=36EV_N z+S+=Z292F;!3AddOaF(U2^qb@V^<3vXQdE=dSGiN zxigr5!E^M%qpq%8&4t1dpGYu(e7QBcqHL-v1ji8~*-TxAzi8Hq$Pqyx){7Y~k8@AQ zvE%WI6F(c5oucIjay9DfR#w&!M4`7|kZ#ubZ=3#ZJq6r9;%TYEMba4>>K8AW)s1#l zSXL^!`d@P!|22Gp0H$b1MZYs!T57-?atC&ublbA|ujxECgxZ8><;&bKu*)*c z_WgsQ3_Z3^l{4C3+^jUM92Bv$1YWk**NS>lsysw|Bru9pTJewhUJ^DU$~9emB!Y5T zS^c-H`aG%@qJjO^p62;lGTq6RrYpU@fRfN4IxX;^i?#*_iX3!M27zXE+~kq=JMK%e z*`H|9@e?6wBUj3sOKIZ=PHS5w%y%;wq;+CIqJ1_50PL$Wg$s#4|?R+czbEZn(Upe~x~uUHFtJFRl%@u#$rCExqg(45ge+j1VQT6y{7dm!b zR>iCjK|s7lnGSmun#&9gMAzkAZI@&#Zl~vvk(AnL)4=kvuk60il+IRSet=LJ-L1i> z&aBFQj5f(+Fr>2mz2*iP40~xOd9FVsOg-GF>B!2%St5=e+65 zSsExqhYR&hk?y$h#3q`+J9;znx+%$!As2`Vbuwmv z(oZ${sZ^KR44SVmEk%4fhpRRfT$hZL6Iu_ zvadFXH@o-{1u{C9iJhjo{I}81Zi$pSk*kM7adr@;qfD~KtGZ8 zweMp*SGXMZ6^MUmmfO|GtQm@j@sYsE1MbnqQk!v+#RmLrjOs=W?p;gEwCUeJPe|C) z+PdGHQepnI!tz38Y(*PHs82P{t&`%rk

4C#L)fEGD?}p?k zIbhr}HM=;K{d+l;n=;)uS6Suc*%x&0Q~<~Z>A0h6%${cnnlh{Lm8$7|>%QOJDSs4$ z4`Z2R;FcvOz-Sjf$lzibQ*#;gGkC^#ZS3gee~Fa-*V~W#t{)FJ;jV&A`z7lmrZ!l| zZ<>#r9?So(zPPgy`7&4W;&RTXs`r^;ou%&T{2p`Q%Xj_Lmbv?5%$LzA|Guv6%<|Co zmG$47n4X|1OZf!$ojeo*lVc{4m8&d{NbZN*Y-_~tbyU%i;>pb|RLZtP$~MYp)ZJ>t zr^4x|!s*bU|A+jdv2J7?=NIzdZpxqlXHgJJcGvUA%_yYudQ2kc)tf(9Lf^G>1(ZwyZ|5G(J`;IoE@7gMJnj?26cjt=jq=f_?**SbU58d~n9R{m6N zPkSxlU5j+}<1}}xJVB*vBJv-!IIvtf5oSz#y{HdAPGRy3-aq+15X3c@`5uNBfOBc7 zK8RRSJkR-r8XpoXb}FVi@Nq4ip44w{o*#D^d1(I&jikvygeW(O%J{MoQ*`lIZ)9Pd zB1>6n<2VC^6;N<4_)R5<0}&R{r<@t#cWWX3jO#`Hy{{(3kk@RPV7{RXk(&5^<(6YI zEMgg%yA2a#Aa+NeFR`No@ z=2>3^;^%jNTDFGWM@ zK80#_U*zL1o~fK|CR5k5E!MLgjR4gLNOIoX6mogt>QHUHcc!C{uu2|s`;5{Igi{Ri z156L4h}41vm-h49smv0!d9!LAcI;rl`KXRi{u7H>B(p2bo^*E0d4d&Iqu4~LKT2O& zsSDaMDV{ zxv>6yE%r{gXWEx9AN&9Q4K-QTGSAeo_$Y)j+2-fp;u8WfXr}9HIf&w7d&7qB;&@1P zDGCv1uU?Swx6Qy1vm(sC+bs(ee6c*+SljiAuXvZ`CAy1~j{%k(BM1o(0N0#ev~5np@T-E2i3 zNkvGt&WJJ9s<*InVcuEa+oj0mr(u`r8}W=&;y@^~pRdAq{C^$A=S$1C6Oo-`LcH!^dHet(7ZbslE3){SFbGkb@~VDP^%>ahrD8LB~(tS8pK|Xc+KeS~yaHR2Q6V{#h-f>b!4>x6U)G52!up7b9 zY~JQNU&XvHph&IxzQwlpLkr^8dFEimesys$zMHN$rmi8B(II83edKpjZ-+8H)99co z?!*7HD++xHyYn<2s7Y#ysXye@ASax;7?TQ!_*5rfbQcI|{KsV;?C@(1R3s$eo z5R-~_9HcH_L?LUxlemPXZzpk|6imG9HvDrPTL&@oottRFw-<$u=AS0w9Eb9AT)QbJ zhfxo!-|xq~P&U>xaw@K){2fUwZmi+**j;r!gpsKBq0>Q{$j|%V;_tf3ULJcMa_V+n zn>>0#sLMXEyGIOh*DU6!qA!jF7JMl5Nvc0<;FvY)?6f0GJW)!dWEIs&*8fCxG3LRK z!b$8S6|K5-j7@s^4cR|w$Jc_ETt;PKT0K&~=eL{&t zC`D|Lj5vZS3=cM?Z)Bn6n33i56-> zvWasv%l95^=w-Z%_0+vG_!aNEPZ>>?fP~wJ5rkLRboYB9LLcpCti zjB}_5<8~fN`?R)pxZhGwXtM4m?u(;xoHE~KCyV*Rz|zL~cjIpY)AQ$Iin4YsEP;T} z(bSZP$$ao6`7@(XvQ}mN3Y5j#qzTgSkSh zh7izn<4yFWMhLmbU0@0w;`h-B!e%=U7errpq!$Q8cp*xd<7CaH8e z`(}kGw?e$-y$5OU-m1tC*Bk2BNgv49a+tV-TS_wM*DK1!L@VGD@{OFUp)1CyNcoYf zk|L)*7MIGpM*+7ng@k2yOut+-eZTgXhuhMU`kUPeocFjeA zTrhidj9={(WFL8X?AAVz*l81C4&4p?U8*g{M^c?appD*u_Yb@TEmxG2Jkw zr}l6xBl*6~z}m?_@Ja`x%kV(r+Q6u?99d*^gfu=hG7U!ysKFv1A zjKA$=@>FGT#xjeSiyP{fmpk>-IyO1~w$>>=CMs~N^9f;;*pWU>1`<)7YF_*o3V$ZL zoMuX1C%A>OS%flf{g6MBY*(8qr2)9uKljrTKILcEeXcceQN@#grEezQiPoGdy*arY?zZiFpeS-}M(+ka|iHNwJ(@b>rO6WTgL@XhFuaB)w;r zG>ObEwa>h;u^vMjYG>)JGR~4v#%%VN7LL)O<8|3ppK^y8{qM!n6SSiPZU9r}jAlmO zA#L42dD8m^IaMw!23-XMhuj{C!W>QZVgw;#vz(_#%0lNro`HK}sAB3%4U7Jqs zQ~+|XO8X0Sc{hBO{o)OA;(-)<6)l|cHLu8YYMOg?a2+nCrsr#|@ES_!!xIqWp}e?` zj?SPPv2N6l)BTlv`Q%rv@Y9Fi0FdZK6_m_;y>Qe2(}~jOc@xj~^Q6GQxDTs5{KcCV zn9d*{!K!|3GwZ_Mh!71F5-Zro!M5GgH8&HI#(DByq`A2yVv;!ml|P$u46fz8t-Yss znkquzF~tfu+vf^@ewpNb+ulmG$b<~y-rBpcl!fI$6DVU^Vl_VnuuT_o1X9bs+H1?W zju*y9pY_Af_9cSa=x4rLQSKjJ2rd+-*C~cktjdE0Dj<(p2dMzJ^#m1Q5DWoEZ-tT* zX)jf^BIviMg$mx?`3ve0{~)?{(&r8I)~A;gLID#g-9^hYFrlP3YvVaADp|+pyDDI^ z5Pko{-Ol~kEsjGa?4eeo<}B} z-r^Ow76Cc?#Q90n(V|8iB_s(CM<~2HzDKg6b2`tFxt|o=_xfw|I|stj&x`QZ+~eZJ zE5{*uQea^f*o(wJoy8>Q5mFRKIg>VeO{7qG!^TS|V|?pa3fes1uGW`*D^=x1zMNyY z*7ZLhxVY2rqByyiN*(^;~3awo8$xIk34K)}M_qhq&8MP>;~^5bAo z9d^>I#rtA2%fZJ}rQX8fY=Tu_PhPw|WbK$*^)Gn=#Zm-@+}~4 z6$=9rhv9W_P zu&=zdpnf!)pPOz4*oPyN&mMt=k&wIB8G%(kTl;mttr92St9R8Xve#rn1q=#XkHV=} zz6xdL*VP}iz6zmTv;A%42xaoyfq}zs^kxm70PV)^2D4RzZ_7b@L6%43tILdgHVxSB z&)nsE)man%^S zD`k#$ln;Ow$9}FnuM2m;US!`Z+;aSsmiDPC`%@7ruqH>oPbrMNTV8L%7(ioFn{r&f z<^a1rR16W;iv#FpeJ!hfMQ1(EHx&G_535Deo>x)m+Ll4pp6~I|$WQq)iO>Y;r{EjI zq)8dfpY8rEh$jplvn_NfDN2WOawHt;f&z9=Zn}0(#T+>#KTUGbDiC%MC8Jnoc5Bf# z|7V~KSnJ$y#&}G(m&WI@=;SejB@LzqXsM?_v8(1&A>a>1l-}DD#nrE3G9CthYcug@FabZ&2wQQ!9D_=kr=SnXAtq>@3P~hIiH? zFL+B9$-i`^D$VARf!|_>b}yMtC@RR*pjZyN4I*2XB1AP+x5V$c;L49YDOA~ON)1Urhew#mH4~L zjrCTBO%we=^#vC%Ixhmsk-24~+qFc0=AB3;kYaR|tWD9`dvZ+@TY)rjx+k^=6uz+g zZxsg09WUDQSrMrGcZ7F`mCjB2zAhl#xKK;sYg^^|iY+#Bx;!*x`CVV(6>_ILp%7;8 zFHWC6N2h&TP(Y|)F=bC-QbTDEo1jx?7aW)Htl$I2z{|xHgXYsUzOMyODw;RyF&vZk zxd0(HnDY7V4G*X~4eKjzej4&Q69#h{FP}h_`g(Df7bCz8#lgQizkc0meA)#S?4$0l zdab_hI!W<*s}58cDN34|ENqgx%g zp-W-w_kmZ|aIQ$Zu$3q`sNK-K(qQ8LP7a-ySZdHh`OV`l!?42~ViuZA#=4uu^@d5b zzsr=>#NFlh4r0a!HuJF)z!%;ql-FGBU_qgcqACJx){k)Ltkay{U#+d<4p_HiXj|`i z>$3g1FD*j(!j#CljM*ib{2WdZ_Jqx0Si~Ue^6y+|^73+pl;U#qNUy?al)f@&_;PP% z_+>zC?xLgW-tTCp?%POV{$9zt<~W8+7OeUc9_J zRK-CI`Re-Q2Q=&X@dJKnnuao<;8mGFgF^EScOCkw9m*e0rQhZkdM=p83%^EQ6F;5x zWDJO;85zLHq*TuRISIBpN9Z@YQ zndAz*s&OqAYiSV{06lG;U`20d=@#JQzaN+}zq04B$D#?d3kR5Z#T=5{fb)0_5@7kN zgicA$ioc4_Uv?AJ-ipF(AShJWHs6{4^?h#=hEKACMvPx84M&C~sKj+t%1<&HxRZlZAV`uPw6SlWvOk~vOkIM8Lg|rXw%MVRf) z&1;m}z=69m5_`Q0%=_gm`I+1bn;T&A%Ht$IiXK!=XQxnL{v#aqlbGiNKip4d>RBwc zFp>yM8^x);L5W-uXtguSKIt|U3*L=hS6&ZDHURdY<|PkkXz_a|G5UxJRV5{{YC;?y zG-P#2h!ztu+!+w=TNa?0H0sv?U|)~7hq2#&a(4KW$*#%k#65JOyHcf_!WwVskVH$vQ5P1zuc?Lv~94z@R|AZIy( z?x){e9fx9DTUM+gO^C!wg;Ji?#BLb%l8DkrMJGH&gsSZJseZapN|F$hs4$>DSv3WG zmjYaq5Jqd{_;1FKR@NRW9NleFI2wjv{J}QR7yciWh4Wm>KVgSnPKrz0UBtvs?TGm} zDXCLUrNhGPKcj68`OeUjQ1KWiyW;JFshWo>7bnj$zX{sH>Nc1C-p zA-ymAwt4nTgXb>l4ioyr2L@-E&y0KAkmF)W;zIU+N=ff8Kz^3ZYOyHB=4fn>eq&DO z(I>;ns$CGiSJHEOvVV*N_x5kHDoYtXQ1p#txV7Lw#vowHr~Tc`1km(P>8cu|^a*92 zt!eE6>2&=*cpbkXYf*>aqdcG6L&k^s|1fX{sd;;HWMa+5(u0ER> zbq;v_fj5V-lw59*w&%5!evK>G2QuD+HF)wDPZw-R!^AG}%(+GhBf{=@cuE8bNAl_uUWjC+m6 z$n=T&jl8&5@FFg?+67c6u3ouq4hAs>mfOX3!o!jkp!&{=x>l0AZ`l3E4%sTLdMjrY z(8?{8c7<-qJQa@&f#MIrxfAmxrHemz;e6^9pZewh*E}`40=Qqyzu>A@^6)37^?l--Y(ziSJ#mK!>PZv%p04L>}gL5g@dG) z<{O$lR45n0Hh>JvE9P9Dlim}ePdKO=GVklD%C{P0=K`wrcqJ!|b?dyQ9KO7FEN7%4 z$Tnh`R{HJycDz3)lIQ6Jb`Y%`qON-PMwiErFilW+UkS5d8}|C(j*QOhd_y(L-Vpk1 zD=YWFgR$p;HbE-ye-MpkR0uwZX529Qc`0(W+rG{5e^d{E!h^5ZopHfW(Omfdbr})W zL{;X*Rkg>xBV_cq5HJ1?*^2%zubrv%<~m?LO@Gq5x6$2b)Imwg`DHws6{`Zmm<11t z51ECZ^jFJ$w4hmivi~4r4D(Cslv%2%nl}!rx9D|$qFU;@>-2!XLMJzBMrEX5W$<>r zy2&x#qQI8$)!vRjZugOYj|8QK*x*be%!E&&C#fevj0j39_E5_~b2!ohS%}OYB$c;( z_8?8iFzvRmt!OBDd3J1aB4#P6PkG{77GjvbM_)EuS_k!bIF{j8dh#=4m1~^g_TL{N zO^EM*;dff&k{4HNo4I+9(Dh#S*`tn`3@(4BKPxiS1%$ha+z9n*=9Vxw8{aSyZ5USh zajlL8Rlbg7R zzw&m2eWalU>!Mw>w6}5+qHhf(t+h*6jwOtbMW=ljl6!0*{ZU`~qn6gv{%JaJwP(2; zs2pY$00tE?DyZMHSSf6cYz=_C&}2n+^}g1{AFm5G46iQ^osrR-%abxh=)^W*wwb@l z%pd1)oQZpE-c-qGaPc)btyTE;j36%5UG_NVtYwOkt`)QM3`mia59?j~%_#KiS51$8 zZ||z><>mHj;`C**!sVvxs$uatIgez>Y~{QdgVlW zvEucWrFz%Z(~0@R({+GfXOZl1s`KBAaH5H)C3p=jJyt6hv+IfzY&r(VtEzx!Dd%w^ zP3)6T=j`Wpoh?R&#DM-p$3=+o>^^bSJZen!0gs-m+isOhpNIn2H<#M)*UEWUvRW`E zf~1f|u21uk{7`K$!#!cR&V!PO2KOmCWvQt}FmF;Pp9*>BAbAEYp7U2r(koSZcj^${ zZ2MlDzKxS>KQfyaq9vLevYo%qkg>tUzq>lr)#rcTO3Sa8v@BnOkLBjY$`lLPmnS9M z%@OOa@yL27jrWX35b=s(-Q?Vkai*7c@LBF&85-BEHu}Y)$e+7|o5X?vYxe+XQUpQa zWr$Wbn!yYYTWoGSwo}%F7I~C&&**1WEL_JWUiU>R|B_k zy!vqB@qhWqYug^5ofOy;oz-6`k^uh)3XbIr6`EYCt`PF65UMihW@{X4#??P*MR2X1 zx~Z?XrcD!DqV~Yt&gO8;ur5A~2E#qvveTS1Mx-sF9e6jzke#3cpY`>bItb8fWXi0Y zG+g&z`$nUbqPQ^bw^0)+NoVz~;LCmY$I$u*x7C;+%chgmLGt<;8zj+P%K^?E4`ro6 z4)8@U*4;BYN7Tw}`+2NM`E8-{@S(BL!P|{hu81`WyrFc^5wg)RIt_BGdpc^^gs&)SjK(8!3ky1zm9=vDgFGv_(ese~> zlz%fkq9~Ci)T=OyX8aLEr&E_aqPQySB7e|*ZEaaF`$S-9ZiCUdTkungDK2PF?@AjO z9jcE^Yt)}?iEnNX8*RNb+Q@reaGM-w=uGEdXwD8FXja`$D4QyTHO&c`#i zIj$$SU1Uggt+OGA{2hUOxParFemOu&yVjDNy93c`+h3?2HnM!*x=gyfp@tJC_PJZ@ z^mFh<>1s~%rPC?w@~6#}c;LQdFujR_Wvf)n?$Ll@b9o)Mp(@xt3mN)5WUa&}idpLAt~Rp2RcMUvy=FM&R0$$?m$O?}qnlbrzjT~*0p zUj(ZS)DBB~b{B+Hce&39(DIJHj|LsQM+W3T;mj)GzHa~#_lx9{eQ(o9Rx6Sl;~+s$ zxDx1D&WD7jKZHI)bR!j}=$?LiKFf}>dv$QPVq;DE2%rDkGHpqinQSz^L(-|7#nC31-wvt`QhCP3|p^1yHdpiqFZDO z=4#4W^`Yt8QbbzjK4JS6?o?)ppATIUMUL{Uix;O?K633tK{bNv59tEL38#!xHa1ST zPe!)7pJb25CCQVVq1|&iL$fZ*vq74(E#ryN=v7)Wi&hXc3c$dZ{X)`(BN$}Ra@d@JcU8% zY&^+-hDU(<)U_d-8cvR|Lq>;?TzXM3r223OQWE8PTcwW5y}S(;!7X7l z$97t3A67ArwBm`fo+_*a(Au>|KL(~#V#4cJ!ya(J0u(l=dSddA!8(ur;`m?Yg`wIb zO}VHk6ydUGH5}R!g>o6u#$P(DGRew+T`%}ed$+Xb&7DO}HRv?Bx90@~4?rzL{9axK zl!J4E1dkn8ydK|3DMlUn$jPZ(6lGnGjh>L3s8w0@xW>~g;)k7{W@7-qZ_L#PqJXPx zgwu$0wY*@$IIVxV0q5{L+HOENb*}8gAIhhJ_nE_a=U3?T;en;wsJYUPYu<{LK<34E zwCQ!ByvJ|zhGQALaxpNlaZe7QC!P=6!BnW3xOM8vE3qcnQXhEk#pw~-3 zNy+)8%4xk_FEo`h%`LmMg)Ry=guXhw?-6hQ6+aN|viPQxfML@;%tV+GO9 zF&!>+*pMOPoMjO0gXpI#e;3YJ5AT{D&m)YFo{C1){^&Y1Fs9<9CBb)C>Z>;|1q70e z13X`x*pE1dglL|e5LVQjc&Tk;YsB(vkeH-{9#=TleXZr@awSyDz@ByiT99l^T0ECt z3Ikxv6soc$&LUA^T6Ai(%JRJ%8(lB&Ca@C{oR)IkA7YY5?vz~R6G8iwCNaZ;9i`J= z80=KZIGp{X1tFZ}i!SKcqv;m4?fU!-S1JqCLe9!P258lbYvXx^$qf-va3o-_hI2a2 z^wpQwAA*KKPi*MTvIJH)=pJh`%D#%Pv=1DLRBt=K5=Cc<;6((rSp>d-1YZXAoh4-B z%q&|eYy}My-`O(-KOcGBmOJn-{AVD=`)r#E9UP`mdgA2>tsEKo8yL;u*R5UGKJMK0 zYW@EQZ|(m__CkgwycdBIo{5^)HxZ^L_*k`%K`IeXZWSDqTWX6$$-)`R~R$?oXuAN9riH}8o zvXr0t@b@~*dNmjc>m;yu?D44(|6=!1Ls#wB`;T^FIW8h!<9A>?ap{}63Oid7H?nO- z#?1~-o6DXxgPpsj-ll7HOdG@FdE@*PDa-r7agP#ERU zAgd5A@G;Fy!K`EB#W&e&moGR#*CiiKT%hd~fgI6|^AdLMv#1(Jv>z+)tqc(e>xg_1 zn!)nc<#Z<2lA8N-(asMOv>FT_BRL!;#-=u^v;EAk# zR_MjEfcshd)75dUCb@^x@s$7{O31(HaKVgQ?n%IcU?8uCD&XB$c?WlB>S9NE%KiLKyAu{nh)ZT_1bA zsxXL>aD*`6L=5U``i_R(1}a=K6B@o4bwR{MPs$VRx`4E9}m;9cDq2f8#XrBB~oSB&%w~ULQ&b@fMNbux2(;*WQTv3J*`92O`V=O!C z%dgX2(>dJf?vqEgjjj1tfAx!AnEcBB`R->X=ijWNpWOTsAN8K8?Z|RWW;rYKe+AUP z^ODa2iNB;xdx@co^+gv+f&EJE!hr3qNhiv3`S#rY`wejAkAsfPYS6C9%8$>CbC&=b z&sziv|BY3D6$V;0=M_R$IX;A*??+0z*$hzLF;&nT8J#MG|8FgT(zng$O%gSM zew-3hKSCZzk59ZDj|K&d5U($h&tu2ymlk&%9_RICAzNia1QV}DK>Uy1%IXrd=jxlW%v>RP~cXP-b)Xg*VUAk^JNh|4uGU?Ao=$;7`2_Om$L{#M6~ZX)hsl(@=cOCYry~l0I;a{eXnL_nI_PtVZoV|BDXsB zYJ3-`c*aF4%&oZl3A5n^`KlN`iP|y}<2;TIUnz{INZSaBC@4?sa!63Xa-Bw}tM-^V z(kG?YW+WO+BVp8%-iOF94RCVfk5$6KnIY3%91AO3&D>es{s)5&W*{47&--R`3SO0a zdbX_`#i19A;-m~u9UcwCG$R4c?Wfvc%RpK=CkH4~)X^pZffVQse~$`RH08%U*&e{a zFPv$ku(z0lZyE!RE;?5C_bMBMFYp=)j?YF}6Y9++a|S;8H4Y8z)BDy3Mn_Q2V}Oh^ zM=SaHex3iq7YICes5=}XK3Qy0m$y3guB4>q{uAtb_v+imi41|q@q*usysRy3bSm$W zpnt%_B~9RyCo}TWo^ihDzP)J~Z~5z6-gW^6%1c*DDDtpj=z=L=>#?oF2h}Xwcp_@0 zF7XjB)AqpVof9w9j?j^_?OS@kloZ3^4Z~qOLqTfOQkf_GWPBUxbdNtG!ekiZ$#v|N zeur5IdHuSufDk_PE5gZ~s7q?F721nwK?%PkumH5~Y^KQ=4HR`<3r^Tn^&HE}#OLmI zA_C5FD565wPOQCaM>j$~YHBVqGM2VsH3fiUvyh>se5`Q1HPPyGr;msChGfH59=;fV z6@4=hkd|N)9(I4kH(P&xi%dib5uQVl?uqB>^mi5^dX~fA76GuXdpW!td>WmFXJC*X zI4iwg8BrKxAQ?0E>^TmV&dUSDg!F2aG6=&{wOpCLH^$}Vpjh}jK1$sXyBj{=>2TnX z<<;iNl#GNw=v+n6>PFB7i$GHLGT@{x3?f?j9niEiRrE7CrguPgbv9DMu(E_3&Icj&(N<9uH*BkHww%R8cZGLmf_@FwE>xNgPx zxGs?jo3y-xtgjgm`N!8O7ELA*wi_r!=GLl84K&)sP5$ z_i4X)QVKm)pJaZ|-UI%>K0Ke+IVOa7MZ1Uxh~B2<6#+rEET4kov269#O1~b5kk{Mo z!dzR6@pxUh2_M%`N^ZBy`3q{{0z*4$4yq&PW%GBVT&1KQCzkHLWU6Dke-l(R%J(By zSPs$jRu(ImLX8HGJQvIiDRDuj_VnP*f{GF*wb@uxNW>H42=Mje?|4>~$46qxdTn9f zMV15fqPU3F4B-|&TNhni!q28X|E z8-c$Cmc^^QIY_<51G5|qwB4^ss7r)T&zZXK-gW?>sym`&e3iWoQMqenKx7 zn)tm?OE~ykeS5qxm7dWm$Umn47rEA)JT6dv+o@DE^e=!5dijY7DZjHGyot%FrE4=W z<@_r2?#jM3^bC0Ly2IDUH#oti?tT4hHnVavT+9H^h}RS^6Rc0)|Hy&i5R*>UUS?N`9 z!YDXjlnQ_BjmgDGqSiab2i$|Nb$1(={=Pj<#xEw7rCYj@A%K29CdDS<;p4@VYNCz* zz=H{Ih@+Q`%jB)wF^al+Z-JWE-~QqWdPB)R(aZA~iC}!c+hkb{iMuD)F*LxzFnCjj zwfz$7rA}SsINsjJ`zNXwccDCo7bZ{*N8fbDVH%eF9eA4NrZJ?CV=uDUNSk@U3yq$r zOzg1Gk|Y!1ZE7=+9PLIk9sc?I(#>AaytQ-KpU?m|JH+cLmysh|R0agZT@RkB4OCJx`NZai+O?W59b#u7AY9ONe?c3Jcvk(wv zq~ zik<>F&7H=EA+w479O7!OCF;l9!)!^WUYhFooJYbMo&rDWm$~bOaQaSCUW`A!u?3e| zBs?v`a1+nc3#QMFcKl>v{6wlO@UnV)txV0s;E7HtjuJHQdBS@SNV-H!-a#p%#u8H^ zuKLP@#ohYR4`H&L!4Z{COW1uNiQqg=gA3WJgfTxJaF;PRA=onVJ1bc>J$5va+!)g( zTcqUg1Bn44iyX5gKXuyqqs*LUtA(m?jG^j-fah``E@(0h>@%*njKyaxB|MOH19DJ1 zfmAz*vq&Y-w7Mp`dmk|N3v=o_c9{yks)}ywb;mua>FwT<$9+TSTEJ05=p+BnbgtI6 zH-#2KZw7~ard-CYp)5=%n&%mb9Dy&~-d|CRMj|Br(jLpU+F6zvq4x^^Qv_P9%8cl|Quolg67! zjyU9}uk%&gbOTrPyiRd&=MDG8<>Nh*f0LVc{BsR$EZ>LEIvV8B=)hx7dST7fAAt8W zJil=Al}K`Hutf-OtLu0AhrFH1*vm07{;oS7EJsPPy-4+hV9u*r74k@$yIWYf$1|u8 zbzFJ7my}O4VS<2as?eN?Rz3!GRWOU}rYr#n#p;ShoG$C&)3(0ya`CfgGJ0)#@=@U% zy8Cq;SBsDbKOCr0{(*6u9dnZB^VZ5Q0A4&Lg^oiQiai-q+3a1Jy5Yk1`Rlkij#VBN zy^RV^?Y_<&SL)O}%r_uf{!H*wH{Xm5M^QHyyzl0hHn)6z9*>cu=h^#;uk7VF4Mo(^ zg=<6OIMhI>VeX%M{!f-D+weIhZXcuNYk}^dG|T!yA#*aF)FZmh`8?}Ran541VUCxC zI$zr@@f~CgiLmZ_0TBRPo-!yM)m&DFX_FwW&HF!oqI+vFQU!Res~ptfMkEi`YOzIm zntr2>&z0}REeLe9?H7-+{&6PE#KVx^11)+}N%MXlrNNeipW>p!c8AN2_F z2p-L%YB|=o=p}bdDo$KhmLXVxx9#5jK53QnA`a4jyhqy)bh2 z+)aa#Rc|u(E9aJC&wm?vSN|lOt|>`kBT)CZ2}2!}GGBauh@BC||p@+eP=Mgael_BI#cm!E0V&kc}X* zxrMNBVmSu}O8jG5KNXDUX_X52bI6?FY)Rew-od$4WrmmJKCU{|QkF&-w&BP=?R|#4 z#aWfQ8bP1hq{&N~Sr4XJ4^r;w;MV)ld#%iey-KNvttHQ+adS^iu%$MVr}&dyv-kab zDRt_Iy3~SG>l?oj)hi6>CbEkJsyg5$lyl^epJbe-JatLt@Bg5F7BGX?(mm|nqJI(4 z@GGR+kj*vP)A9BF#zXx0K}T=@#gf<8`e$FPq!_Km(o`9e7J9sIb|j`}1>Yo$!yT|X z6tIAxd%T=&Ru0U-YMW8P5!#D9HOW%6a&XYit*Wu5`}RDs5Wvye*7{>%l1egt*nn40 ziCeNM!Y!RDSLitk!+21YMT0U%`5>AgUuW$x{sIr5+{`>IC?C;oZ9eyV{?ChhD!t^R z`fo1!v%Sh1=k9MrhMm0UquoNv?~eihvc+HpEqZMU6}>WYD(=rV=z0mR+F~rFD$u7M z5<;hbqjrJ)MfQ=n$@!vZkq%-{ka=OeUH`tTMiOgP8%PK&r* z9H{1Pk59Yml^8RZSmK}C_%)~yMD(-<%3|+6OiW0l)A3D;Wm=7~Dm_#PA^P$fBre@i zto9%#(7IJ)Ry2BUxz(_)9Y1VF2ude%v{02|G1KDHQ~gvQJD~nu2SskGlDaDtn2$WKe$m8h} z4)8a+@G$O`QbL{OC*pG9K%W*N3={A;Yuj-TB9AN$ajVA}vghrj3Rx@ z$Y|n#aW|7)k%Z@5S;^Avi_OhYmRNe`IanB~1PuMmb*`zs$CxUi)Z`WBazS=wL3-s8 z5!4tRB-B;@ zzhuk0J;csReVVB*G&Ad2E>Bk{;IN{(e>Ff~Dt6pD2+5veXP>{btc8IbpCp<%VadU4kb> z^kGwASOVML5;BNjL<8}FxIS0GuNe}p8qEhzc zG63P2{5mug)HKLMRy|7?5AbUg`E@jmg3;0fy|QWIVPg~gOh{K~34$}5u=J(q)NtgU zG2{ll6Eb1WoxZ{n20mvY6OHH6KuSjdtYHNdR;tYndx9da_>&?SAr8z(Dwyyj?qO1M z)AVSHo8Y($qs%J4ui2D_sxb~mst?rhH9z)Bek?TixM-+ac8|Ym;2m7wF{lAsQo!*@ zsF0&13VTh;(sK$tq!fUc>_RmZ`BkhoDk!AjN}%$@#EyDu$b0h3#pT1?qr zEFU%r0>`<}NlD)}s}MXn6UJuOVVaizuU>%g&|RE%9ETqr#Rp<2EL!@qH&FzR0m=Nh zlzdY}z%lQWtk&1I&V0vkbuW_^M--u>G_?r({Ae!;K%QN`)1CC%Ybrd@BC6tK6qi== zEPYA_2$J)+aTH7$M94sW#QeHRQSXulftf~5Z%Hk9! zZhT8@IR|~}XlC3w%q&QC*t)-#zrTVbn>hi{9PRaj02B-@+3OOaICxLk*}kjaaOL>u zKhG1bh_zr&s78&i`p1}Uc7-!qIA957?D>`)`5LP+6#7&KiXHf8%i@9FfbXs7bi3Pm z&H7MqovU&UXf7#LEFX98xJgeQILAJ(w%z%h2+!A{HtbOmWVo9<$2a}*hC2eg11N8KwpPt%z8Kup#vo=6U&l>~8PIlYbb@QD*@Q>@KmFDOP<=b3+>vrU4w8UpvjzRC6YF=BW1VUJlyny)wn+`gkJxj*U!Db)#5m zn19w$Tj7bLZni}#)B|PL+$Fjtdo2$EY#Ae<*+9h}wIPo=j1@d4u}Hm#SrjTh9`xI5 zOb$7Ffe{4&$k$1+=-+t!6&hj2z(m%Q7pX3&5k{QxNd*CnKVoPCjdJVaO(ze!Mc!}lwc;!BLofCNGrl{h$>zdH|&_; z0XDWWcUVo9-DKC)DpP2~;e>nu;rs((n?_}0yS@Q>C4D3pVTFy^XyERn362h}NjH4l zekY6%Oc{T1GZfg&f~4-Fmsql%^k>TNPZtPiRt?OPe;3aF2FBH&exd8DART}CH| zXWiIY!?N!-6u~*B>Vw&Sh@jF@mPo8Wll(Dfz~dp!2kx1iF{rr)K)Qar=Ws(o!3 zkCdy{tRD-$Uw4$d-*zB4V5prm`z)iv);DCXoNuOoryW23r{{|RSu!2fAPI`!FI#k2XkNcOmvtI>=v^=ncQ?5=D@i3N_GJ#$J@S;co-ENieal3&@3IStR0 ze@HGezH(wA&X3<7tm7l+6Cf9;Bp0Y4_h^HdmXS^3T7gX2Kv29Y)ueK@pFg-sLT(9LIPgXDDv^=+Uq2kD7=tbS za$D>Oc#IHxKF`^za02j)ljDdrxU zS>uA09{1Z+V=d00INIZ{wN}z9u=He9fkbkXKTYYWCq&fsyk+Z*(@WkiG;~*Z30llV z_OY=)@i^sy8QfSbn10q6Shm@?9#j>a<*PYQ`_OnErO19Kw;GboTNirG^ng9`fX+3# z8!$iImA_Y!xfwA3k-&2DQ%cOHZ}a~(G1e35d&cYlt4PSba$4s7?{GmT0Q-F{7guh1e-}vBNmrMYT^VTit=!E1hD_;UGt)er$0XFVas%|!YM_=ZECLD79C zM2q=M(_D>OuS@}4?1y72z_0QzDeU?-?v1)0dTH?8euwAKo|@#3qhhFu@e194Yi$L2oFWkNz2cBwxIh^wy9h1u8CY1IroPv~@N;upg72j`;; z?jNP$gPT2p49jGG8gA3|mlbFPUzuQI;v4QO2)aB+XI-OMfR=M`fd1{Lm;7<%Y_-S< z>*ZW=A%~ny+zf5k;P34nXR)Dcv<+t%^|JLHN9*@FaZ8^Ug`N*GHJ6uva>uf07L~Es zs$&r+v~uxyu}x5;-Rg;B&op>Zluf_|sQU+0TiMaojW$Jd*m zctzjdmMW-}X>4M{Z?oc-cJo4mzBtbG5Suib5mwhsb6Fo4f|56mmTDxlu!)~Cbq7UD zen+E3H{#pO5C&y1SuP2|$9M#ToQkmYX9+pGe1rw$6b~!{UfA)vyIQ)B^{Wi&ZkZli z?6{`d+QqwilNi*5XijGiGsL7^9e#hvy zyXuG|$}KV~i?#`qYhLPrEuBjtd_mqfc9)TiebYKhtPPWkes9mRu_X?@?c?dGu-zV- zKk#aXUSev6+>+bN-}lwwQc`>?0@-4H$H(ID(v&Q}#Ew_`Xy(ct= z>5gXDh0u;S+wjc40gweEokjqcx|Lr>19Qgi@p`hSy$pv~4nF0vRIkh*aSVDgB`npR zf?u^|${vvO?H#(KMa=hi!R$Bqs^=tR2M2lbvx<=PLl0Q$4m8nSNr)X2J{IAfh(7IA z9}#@x&{8>lUA;<8+VDn5LUU+^>PW0^ zV%*sB<-NNv$G|zdb$ivhAv$DWRaMJ=p&WHWHAC_r{pBdWBq2doKJ~9#1EtdYZu=gA z!OKbk36VEC504?%_06X4(}kVlQVwbIz+IY{BK^HkOk99m=Xsw zi@uX%G7GOr4|daMT!Y!z!WvV#fmnLKEr!qCv7QhU0EemF%d*(IiJ)#~mPwyvt1p$+ zKhdVo&vj+GQyQnUy?34@3{$9)9()3(ft9tX>$#zF@XGmY$O)K5<=2!E7S`W+6VCA1 z#TuHNVk%6SFc``b%4kVOf`G~XP#q-BEy-w20|-4hlVL9m0lRCI<9&R&cyH}4KJ3zyBYDnS(jbBzka=) zaiMDO*Y}Fh1_xA8#GkAuZKVt({9)5Pfg2HP{0>q0632&|CTBJpkGS;!)NhX$R=bRB zIj8j9Ji`>-VuQ6ap3I0{OcRG@gh7~?|9EvV!})Y@!z~)WtTp-t#ecaAjz7Psu4(8+ z(|T9gp0~#wiRP_{>*KE`pJk&#T=VzsNU!@Q3bg!Y#HY1Ay*${Vs`a%%a>cajE8bTC zwpKa$-k~e$3PAx6FVFC~IKr}Zud;Q~;u_i|p*-g2AROkIc!ehPV@C*5W`-upkrG2y z8;H{FCPrCd1>b(`^zcygfJUJ`Dk6y03?(J(@Sh7(G#sbls9d4!evKta)Ej3PkLRH? zmpKZ@g;;QO3#=L!e>t!Mr^zT2k%KBhF>4B;wKv6_A{s_UdZQ>8QcUo&}fE5yvXo=7D=W#i(ch z;`THi$XHLeM;UGR@IXZ%WU(p7EIu*x(VejNH@s?SY-;Y}uiG(^3k`fR zn979-7t`=Z-e%t(VT`WA7QHV}$|rw);H`YL-1+w!sw`*4uW3Z|eiO2>TmCqhJsD-q zD)4JQnSj82Wqnfz?v{vV_G)o~O(F)gtn2qI!SKbrd&tJ4Y_C&NE}Un_q53F?W4!(R zY5XUJGxASS_x-{oiCR^mLGftzZrJ9vv;LGdy?RE$-2?nqe}JFG8s zkRCTx4YDpoQLY^`OCiI73z_v5#N7w*Xqs6T3RGj4P?aDoPzX!ZQvxEW zLomZ4*wa70;d^2%CUEa&%AgvYBkn`jSt$HmW};9!VCr@@{}-+OpARHiN#yCcVkx;Q zVGuv>R$?nLE$U6{@N;5&Aiw|>Jx%va&VM=rXwqjE`PkT6rTJYLEbA>-pw4GJEPc?0wN>xS%*?#hnWt3z`yZ=H;v zX0w>^Cs!I22qb^xUM&IZY{UR~%ih3jW2*+qk83BwZaF6^ni&XwZz7*X@_TP($cP8? zq`6~L{l01r%h`LDvCsYQ!)muiK#Z03^;>1nvtHi#UhdNNsHiX(c^quU6po-*iE*^x zNA}r=>(gSdQu^a7>ARZ`n!vmFoQF5;Xk78&!--_w=Uzsf&nMDF<=VoSl9n-^mWlEs!V(f0A#AETPV|UE)qpTWc_ytJ@0lvg zLdyP&CIi2EkM!i#ttjolpw$sU>n^`U?J zLCFaaP)B*4*Nzf@Is13 zG77kdQB$s{(qau;1EfDy2z|h4I}!+Ju|D>g@ ziTG#kk~EURV`nBO-lJH+J#aEqL0Kcg-_-*W!0_Z91{_8`_H=C~(;h4QSXw2`Ac6;I z$`!VB5ZmdLkQ-T2(jRXEX!nps=m+hR03lhZYd3h1-x%V+dAKe zqok+eDJ!aZUKyrvAB(7HC+jP8Bnv{PIF7$rAQ0xSI68V6qZg=89^dzNDh%gDa?0S4 z$$jo^I|zzesdC+)8!iN|)W2{|B5`xBbLm(g$*h3~%?vl7&pliCb!!)(nBsY#RL-lo z9~Q887H}8^HFlanAi+-@pEQe5sV+@-{JceiXLsbj0c@>&kEDvHqy%0`6&pyEPt6p+ zA=-|ZLpZ;CN<_Ob43jGWMd zliMEE*d`Pce1vzx(7bd6=<~CiqHAltNHaa`;_iD&5IXdx1qRa8PAs&__wRlG`r&42 z`~MQ${xZyug-(H?8y=NlW$+mr*T5!aL_0>zs_A$N|WR0fjNym z8oyXrj3{;dhf{7;ntKJ>^DLCb(B>TCL7vqGJOK}dZ!bC`ft(hbft0gra zFo$v+&QlG;1|xxv*#s>4(X17C>@JhvY&u8fFhX_}mwPrcHAoS@7-LPzKihr6Q7B9| zoTk5J!zSo_4Of#j;b10X^e~Ch47@ zu#F=vYa=acd3~a{1@OkXuRqA1UU1TQEGVj4)fgqo|8AZp{X9+ZS+c+kd*JbNZWg%n z^S^Z3$!`(>n(7o$8%4)rXX46KxIBNfkB|KW47GPJ$h~ z-&t!ROoeh9hwIJAkk=Mw)bXjtsa5u2kN}OP!jVfl%VAzZyPxtcVx)NYqS5fDTe8tU z&CyRpH#v}K>Jba*nxINT`o-E^_C{;~@mE^M$?<1hM@{64e>|F~AfFb_x-+yvymYmEFu~*4=)uMd;k0OB22Wt#R&-9^$j`_r3K=*Gm#7a${QZwKrpu za$+fawZ3xZLsiWHUqPjnuB!2y6+Gx>tF-&QHp=Bo^Y4)j)t}-N+b{pp$)*pl#~f3w zTESCy=vXTA{@9KO6g8`x6vb<2CRs1gQ18{l}5t(#oHf0~+>uS*gz9#jJ_D;0Dt2kjr;m zN72KWcczq=Z2xQnN$L+;jcyN7dqVQ07cEWyqeXJlXlZHhxp`ITad$78$)uRS24Pe3aW5KpL4HesSqhDmse~V03P=5rjkt_~877Y7_hA1#Y zVU%O{pl;b(1b(_5;#3&C5@|g?f&m1$52%IDjX{_jBEpoEu^#7p&~(#q0?;35IWB0d zHR=5OxrW*PkqGm*Y$0EV{Re6|A$o(%fm8&w0*pY2_ZB}bq_FVVaQ??CKodWj&6wNK zk<_&)cYT8c+81T+vuHF5_L8w(<2Rr!v+oSRtKcp1BN(TJ&>bSi)S;H`5YR+SZrIH$ z^~o?85%-wbS}YdTnL0r=mNsLpMCuJRW(Kn@_Gy^duX;eLsS~gM%>A^f{YVk*#jnR_A_q3%1t>55MkKteL;d z2bgsHK64KrxO+Vp!c>_bGApjzmoRI<7346`f@JK9UF?5uulNZ=ABIc}e~ML-dZeHX zAl8f#Q4pL_pdd=d!Dgp9JYCtx`LIHA9>rEMkySS0iCD5qU$97DSY_@|s8l0=CB6Do zQr!9pEDUlkWa@K{%cMW*48E=|7?JI>o(DcKL zGJ*r;E~@uJsY!yC5#kHAvtfaaEQ&sMf90f{Jt59}Qr@GN9C+L={7Ej9q#XIUk^}Ws zIbT$!W(Nh4TGf8BZ_t1CPTQD2k621pXeK=(#`Fm3aw~}3&@$Y(CT93NwdyNp8>1+` zAw;3{D~Q0Fh!P~YIRE}5Yyan%zV#!MPog@Tk|;9AFPH@UVhIUpoYrak#|TEliTQ}z zx#Wy}Ecm^V-Cg07tRwd6IEwV{-m#$n1IrqG=&^OX%oF6{->v1;O`JJknX{tRPaV%=mo7yZA)~JyCIz!UF<4zc2rBa&u>h3V;T)bYcFyJaevxPtKyP!-(lve* ztt*YN!&hC`?#&%S8>jS*Xg(r5AmIQ2ciEO@MTsd#*w9w1@8~HeeE*l_78^K6{`GOS zQp*Dl2&n0RKz#!t5!GN?|Iu0`%r$>>v7O)eLJB%V+thRu6bbrisK$uBC#Hy?{+y4A z!(@#DgvYr3w0pWq8g`E%KKVMk@FrS?W|s*W>JfH-kBNtm13GVH>XWLMUKfEn;C)x9 z#~R~6@RQpVRM!==n2}7q?Q&8I{s|O@qv+a={MC#4$ z*3UdSJYR8TY?d?=*iXu72t>Xm<2q$-7l8Klwv}9E+U6s|AnBY)ga@i9npq8F{PS6u zHbeo4%Ow*UIJ|Lo)^gFfdY-7X7gE_P#4;H&<{Sozrfd$)M$8Z1)SEwx`B){dEcMdn zlSQVOMzA`wqHZKc8<#Rglq!ng1?Wg@XCsJFbtymC?d*?1ws`O~HsM|*bdQ*;Sa7s+ z%%IfLWE7F8^!jsOT!e`oMqe1R=QWeIpu+qP+wR#R3@-=$$n?iOl6rd{pMyGDWPBgO z1hAbs@XGU2#m`#0BsTEV`cHP3_MbTQn7a~Pu!S#2p`x0H%;7L<#{8|?J_Hr*F^^Q_-b;H#_OVE8}f+MnzpUo%W z#kS6(dlJoeo_`du)$5jv17AmBl1PSm_$syT?s37FzRJ6J1vx4*dNYKil;8e*b*zC6 zZ+~xp?Y6MjiKz%HQpCX_pUP2B2}Y_B>CwF8KvuCLg)EB@a!Y%AR;fh7YEh=q{RGj^ zU=$?6v?M~bs$T>at-dv*Y=Zwf>Db0>LyVQg@&5&YBA|~VK~Fz)XLiY{I#@!i3!(1L z*l@YcF$?)O*CtI9Q|oP?KdglA25SVI_o4xac?c<2>qVO1c5O>>lY!5&f&vzC=34ee zQaFs!VKWlu){M*W6EE48ohDZ7*xbph%n8B~i74!J-C~&?nf4JW6`3~5){2L@7WNE-WqA>uB0?aNIizo{?KIKd)R41n@E+uah@PbV^ zw2Q3$w6HW|E>cVLt+!1;`ZQf6CQARwaKlCa1A)B-7x_D4e(cjrtvBxjD=Q9K_!ooj zR>(Xnd#4DeLzqU;5GySgd+H(jwuu6&tO;M|kvDV=lT1&w4Yfkq)_FVZx7LGo(6Z_f z9hV0w^8g2=`lBCbZ{B&=p#th4^{>5TLr?Ig50*q<`@RU9y}4*?Ykl5qXrbXM*XvXb zHk^*9?16=(seyAIerr-nDudAeGFYIH!zKr3VQYuEWbFAFbthZUaL6n@LM+0?>uEjCp=0;^(PqN*|qY!D|F=>D4VmHX1_@!m7tCDtM*q5!ne4_T#x zHina(57mvh&k|(Z zI(GOYvq@z#NBNhA74IgwrumVhav#&yG?#cCGYGE*p&u*sC)}+AVnj*bc*d{UkI-<* zpxtl<*|Ogkf00Ltk%=c1I0j$;cW#Rtj!~({tlX~HB(hO6SDQ>ZkuVU&Q&sUwAm147 zTEvdk5OTR$btn*rs34wX?54&$QNJ1`Vq&FV_H~`t#x_w*>unm0VXT$tG4(gbbWXBl zp&teM<#*pEbWh?!6tG~Q5aug4V9OncOT)B_@a+EJ%fpLjS)}DJ!cl@AMD0q*(OgQH zEp%z)-qjZPl)EfT!JQI1qX_NBW6!5!~>X^;|lDHinL)1;oxCR-i&b6&B8WI-)N)cqK}hqWqX4Ri&16< z8~B~)i@|U72L1V6WjFPk* z1nsA+=B?xWD70TgsGFf|N0Fgw>sXdg@o-Iu2^Uoc0-gk&g(UI`YJ(>Fl!_ZEoL)3? zoG~~NnTe>U)}yPf z()wpcdA$eUsprJ-v)kEJ-LUtqpqT$#3!pbP;UPlq?;+)VxLosan(cAAin|7*=Cr}rT<1}*@Ov%@L_Co!nwpo0JZ)>#^bnWEWv5QYMwHbOn}RO> z@c-fME#IPQ+dfb_hE8c18tLvDkdPWs0qO3Pl#UrdsSyznkVd4tdnf@3MM}Cs8tEbS zy5Hm2`#;#vr}@6tn(I8TUoJZ;JZ`UST6+QeJT>>>*sMz*_4+?GhB0GDQI%`uYy_?m z{r2Akm)%R}E7L%Q8xxaM3<|nzL>@8fHUFazb&-NchnL(?dxQDg;-+SPcUNmrIBzkX* zb@Z#Cq1-<3bSqy`%9&Xj-i#=Vd4_~~jRnh(ZM=WtNSgs;aHG^WERF^#@U+w=0*MEX zgkw?Br6QW(XY6JZu|k!XOAPCWc}S9r@}pO_Q;G(2K&1(YwvtkOf$?A2woeM33jAd~ zAxlP$Bt)Z9&o7QD#&`zb@Rdy-66<)SqmSduN>k?zmGUd$=Tf^Ax^qK4Xz&o?0F#}0 z3>e})Z0UCaYif;62I3ZIdL!XDeldU&jiWd(q=}XqjJPGa@czf3L@PA6*B7%K?%f-U zzr>dRdG^AU)Qr~K5>NgcB1t~}kFSC^k@A8W@C=(00Xl5`aLb)Iq7El1M`1v?(Q#t& z{QR7<{Ug3wd%IZxCq=*J*~JRCFM;^~=gIj0)sKB0LylNEC2(Nx8uZu5XYQ5HPOk0F zRPJriR)&IstJ3i=+GwCj;@vaO3y;v)XHTo~S&Oc==NI!}u9#F+G}3k>Nj$dAqRl|>NgBTm(DK%1NOiFQ6^SD`i z0O!6sXaH_KJ!lPo!w6(CV0$jMdNNX3GN)3704r~rHTv(%i~0pgW*iWGR!uNkmVHB8 z>E|)?^aG3p9mtA{!iFUMw^xXi@tr#&Ov>ATf1D%vmi+7DRXCF(LFMG1B5`?fNr(~5 zaw^i`j1#)bKrhlGXzg5kwg6wnJrqT!xG}W=>38{b9s@zpuzFF{9nY)~KT*w+$>0_iVpvxoml3Hsc zEt*7!tJyWxyoshTDVjuspHDjBJiEGj=^rxHC6BfK`iD-8&e+MsO0EgjUMB)hi7zKnSQBvwKZ%&vO z=c3Ujvl`Y|!}O(Idzj3GJM%?3Hj%U-DLJ!r5uz6I7gNmYs!CXle?QeQ#lXVI*pc>E z_Cq{{w1H@-SI~&i+RypVduVwm{GXF3d-5!-0p9bK|m{IKq z=U?}*ggZfnT@5EmcKMI(4vE7iB&B~;pV-ImP25$-$}E|l{-;SLL(x!r(Fd&Ard^@V z^TKNyL!Lr4?(MUVJ!Dq~=a?afZK6|6ZpKPVbXwRkAZHnt0e15LF0^VO6|8yUD4Sin zNVv6vga)`%&v@-yFaCapH!@H6Wa*=PHq+6%Z`4tJo;@7_B$C%Z> z;>dDIJe_A_E(yaw@p!?lj4;ag^%OMCv4Yr4tQ4eY*0oYQ4jHb|m>?Za1wO|o>z%U- zddz4b+5G@#MX#l~Me!r%A_RsMe>U0U)|;$( z{rGXN&re!`a>}QKcpn*cX?QAbWf%YU5})<$aC35Ta}60>>5`IWF6jHcIZMIg_N_!d z4k@h>c4u7v4M{2>)(@(*nJ@jqvvNGb@C~$F)$<#son!M($`b65;RUw{ieBrc*t6NoNFH;Y(*B={Dwzj8Y0u$#4gfPma zk{6}1u|QdiLU4?2G@z7sdNc{Ncf5JDG74W>3eWrRFu|3XW3DeZPweD$ix(0^B1ck~ z!#o58yaN2-|7q1MEZ%TroZQ|Ao8{(i{9TeY>kLnRIzh|DE|y@z5Q9Mx1lU{Ye8`#XY>a z8i7R9AW+74=lF&om85-)E6|qQ!i|K`;n4ScP(ZII!-lsTJ+DM!T$p$zBR$Xg<0)>T z;CBmd-nhS9V7rV=1(NcR#}m@~J+f}y7`EvCfvCO#4F21pwB~u6NdHOgf1A84=IHa} zew^aQORRuG?N_TafvWvrrjKfYk5Z!q)H+TGM9asXikM{{5xaV2lD^k2qSPGvn{Y1C zn44tBVRIABOE$w>g+cP`VqljCCEp>MVb@P4(qY%X6NEctFTd}+Nt6SuXPi*$G*-GJ z@bZ#+M}4y0RYZxAAM+?{4=V|9s9*gB0=?5cvAEcaP86VX8~-|sU(Po6q>Odjo+w&O z-Uz%J_buaiw;;X-i@N{mYm)YTBq|?SQLsmT&ux7qTJj?}u?@yNTL`W4gGXh9 z9zsLjrSz1Rsp@~g)GuI>dcRz)^fYUsF8U(xgdn?hK`GMGO1%4qRU(KXx6RNbqq=lhlWImj5AY=Wi~k59O~u3-h~Pa_ zhyqT2bfxN11wAfn63q-2;_tKhnX6mC!J{3J<=+zDS=7&6RYPG^rFQ)A{^ZukS<)cO zXF_(1_L$|W->_Da&(EfN0~2oJfER_F#lfU(lajQC@yiaNKlRtCBU;*(RFWXMplLuu#e|vHOn#QT>vUlB$XqE$6G==6R&8AT zQb3oWPvoAJH>dclRdj1&27E1k9^5=y$&6o@c4*qsdw|E8seUucuqV(gRno>EiIX$^#*56A9>^Y*_=`u3F6 z5WC>%4&Cnx(CLFg@vKg=pM0JBl+?#TBk_gMkzM6jvJbCR6-e8a4M=ho z9Z~jgLI~@uzWv8lMsw-a=v@*_PrYc~^jLX`x&5NL_O?^;#ea_X&q*`KEtN|IHXnAl z|1n^%Ad1M9G)TeCSI4w$MOO~9vn}mi+s_mGEn@HsHB4w^vM0MPBO&M`SYZ^QogXsz z&$e;IaYB6pbLH+cvdZ3))?q%u=$CWS?|BBn*Tmq=sBz0g2Ql~IFR{4AZ`2(U*-u3q z*H4IK6rz|S0lxY9SS$JNjNGC;8YQQ&U?0S#>9+lZrfp8nNQ~_twT2VB)1$m>UcAj; z%tN(2mSmv3r05V7vD^zziRKCV$}z>WzD5kl_%jf$sV5OFZzn$~uwtV9mDM2{Ayoc= zjy?VIZxBuqK`*HEs}|M($XXNg3j;cd@0)0`155?-3X>L{&J^AzJLMW7ib*-)1b*=L@|c%8!^ zes{9*m1D&>tV>81KF`q zIa26PS0EKjQ4fE+p^|$to>dK>Uso#5hF#wdC-+U)oWjIT>@zu?ma6JZ!uhzX6WvVe z3`9~T8l0MkGEc}C3g#b$un*zTu2ZpHBnXvxI?uLLeiT~qD;gW4EV|%PoYx&r_IftvsM+MXRthC^lJDt zDK`byt7P6XCZr84f)wI`na9!(-B!_@67wXHwe#-Bztu$gA=m@M+LAZ+h?7YCz2!bN zMT_Hn|EWIs$;9`8q97{(uXL$v%ehyq|F_o^HD^Qa&;6u%l_Q)RsTvrbLLV<}}%!`HD#x*~1R*Hc9&ji1T>vp5VyAY$MAGid7 z_$7EHtof{K3a`dj462)?e{@htcc{4pG^=|_DT`-k$VdnfnD~8iNT5uyPqDYjygQz4 z4)p8l*^kGzFxRLg%P0PR?fjIGfx9>J&^C2aDas)eimdrTnkLO!MY|rd)&q$oc)@Nz zlKUcFhoe0<4_PBX=Ea|lYiqQBrT<91eWLyEtFPbGlcfZmp}H3eX8SAcs6H?dsL)un zGISdMWKLGvI$;t>`U@y|kw8K$q#)NHDB~A8(f#P|su^kipPPXqD_5nWqhQZY(9(&~_MKrldk_ws6k{a!TFX{6DUn-Si4|%172g(5kM8*&jElX(Qd20o}ZeaSO zK8UX4x3Q^yS$S`Eie|9OKh^3hrfQuhVz(-oRD9O-z2=iQUCPa9`bpCl!+|IQA9#H5<~8>NhtF~^vH{+uV|iDY_Z+oTpFKF=;M3N)IJc-sbxm@Xa{pPUb2lVjlV^Iz85T(`l+|GvbmyVm08-nSI$^>YsJR1A*jaCPjwJ}PXZ%@Q$AAS?)7O9aBGSz z*;MYR?EHy$|Ampz-@nBm`1bw^Ad?6I^15AOamkN{^@}1Fn@2GJ&3CQT@v8q-oZ!$k9yI@fY)KlSc6+Qn)_FN+) zKO#ojC^LPguLba2P`~PBDR>!GBomup5iGT)rqUl$((XA^aFWjb^chMo66tv$cH!Yq!!2d~tddK~dP!w$&-Acbv!lhacy}6Pn?hopW-kLg$vU1luY~`M86vR5!fm0_sHT zQw*PC?+731e3-e#p6hH7_!HOP#Dr%gPOrDMeG$5h>kSB$_E^FJr@pqgCuUoWqqzO| zS%(!j4vl6Izj!7e9RpLu2cm`;Yd!13iY*U5Lslf3d}}P8;T{P#a>od?$gM95BV;U+>3X3cL2S~ z^?8zZ)nbVns+MI&6EVBp>l2YOKnYGAx%kY7_ZJcLm`5G?< zC%%y@%*5Lory^V+bA~jm#Q0_LflM*Wd{Rz5B1{d^Fer{Bk;ZJ!wl@oN70$np!J7Zt z*uN($L6G>)nUhU*s_v^2Ws4o?=jmv@P3D6`7;tJgwu;L0JY`gZBzr?5kUT~eq?8ZG z8oOe$K3&xGdTd=+FyNN-V2=7oFAXd|cmN-rhvZCmkOnB(|CIdl&Ohx))|?XZX4Mhr zk*ZHg0$alYC!t!3>f(BaOG3uiw>@V6SVoC!@YpNr8=ZN7@6lcSCDwRCNd4TLg>P2P zris-@AXFSgGrOrkkFywypX_Q4$N9K%Xje4(a+WKR#lz1*^!rgl@}DPp!5dbhag9D? z+dI85YVOpqWfr(Eu^R>mHB~~xq}X?|`?++YmK)>8HjwS%>JbnxzI=c0hy%js>>#1M z6cSPbGp>}S{TsFpCq+ymVg4pKJ(1DaW0MO7Ym3F{&yrr{Xo)9Qf$ssZ0H5dJnQ(P< zG8txEL36Vg(2 zCQiX36HjSGg~EV#nfnvW@vcWEM?uT=y?~X|^CP<`?xZa`&2O%(JJk=)|0|>5oiILN zk#e%ibR;J0FkiCyz~9l-Zyw}mAxO(>W~cSz*Jn)NF_~@iTHr)RV=+B1Uir4eU7kXQ zjB-n8+nl{?51l-|;`KQ1^S`3^W!?nIImJ(N9$&=F1=4Pp9MGdfj%9(pT=@P-(saL> zn6gO@;v?caj}uQFB+iWs2yeNAF-X$zkv2dVDJyr3lCmExkB+6nj!D*%C<=c#PpA^) zEw*RtSrU7%kM#3QT|Ka4j>VOgT6~h{6;u=NCOM z^2O}K{j4-5+*80PFtin!Rn8G5>u8(>k(! zmRnXH`xa3{Z*2$Te^)LWdCYm*IZ&b1&HHQBIS%?am2k$h<6)41tn%4zONe=V3mP$h z=ZN1D5A*I>Ac$ zDj@p)56YC-afK1QjY6O`T=WpgzFQ?vwcLW+KU1+$RN}V14|UePNVNK=O^0f->!iy_ zZ%EV==ldwiCS&j+v)(472iM1NYx;+4&RR=AL6SGSg(+t@Bn;a~zDb_4=}Y$8id z-)W+9SCoGs?vq@xy}m^?37pYgUHQl@D!wW9f0&x!*A4{Y(YmV;{ra;7ae^3P)K4*# z+>2cyt2ZI;R(YxD%IE~N+znEtc9WhMlmhQV3V+rDh`U6LtLijK;L>kMF5>xcj?bE9 z)10@nUd98>G8)jF=iYctowd24XPh6+Q`|&h6b}}G8vhgwDQdiLQ?o}`Df_+rIPti; z6#gjLbvS+MHaPo(<^7*q@!9l=mV~{6|B(^N2}73L*p8qgp0GS*!0$`7cVo-o{Vfy8 zK5o_3KCYQy^(jJL1V~oP*~f&Bh72fy?`s&XPK9nzS0yQ!Z|RPX$Cg$$WVH%C-CJ}# z>GQj!)$apiw@+u9WU&Gq@wO#} z;_0h;*KzPLdawW)DA6B^VQ~CJ^ zPn3Qc)DlYN_ETxT?2D2$v%?`{aM#g=HzZ(e>BfwN$t|62s5qLyKD4vRneNY=T;5Z3 z2*}kLb~kNZhfX4)oUpzdoEluMKen8g-Ko}i6~oRnZ&zoq$x`dxCzM}#e*NGbIq+tw zahmQ?%5-&#s2Aqyk?S!lG}tCJqnSTM59jap0SDy!iy%w}Hn{|AWaVHF zefZu@0%uNm*I1d!n`<=KV|kLt(w{%MqkexT(*;G93eeC5XK^z}8@L zOvK_%eSPRRi}#~`$NQdpRayNdbL)Q!V8mQSLsakjj|N8_>WC3BHrbEYvr!r0duNJ`mz*h=P@Q3jB_1whV$dDl?v4YO8y??lDV$oy>14f^2 zUA1pfFfNl<8E@cRwu8;bB^RVnZmIlo^mQp!Qk+GI>HXIjqbF!O{!c%jSl#1QJaMYS zuF1ii$H1Ztf>EToM!3|*B)?bun8o#p%bSqwkIPWgPH^7fZqBnaEbfM(25bUZ_YQi+ zrIvu$;&$e`ZiAYSOT;TpJ8t}vPm5ko^=n!oGkGJkIU?cba*`UAbVb$6wt;v2IMrPP zC)2@I@qX`6?YN683L2g5LtF%u7GJVg|DI#LbbhAK0Dk{%z>I#vkr1I6?ZW0%ssh54 zMNhhJ#fiklYNAUgOLiVkh(OxwpSz4#$C%Op5s_Wi6#y?&=1X`zIF|Gl7Z8$FEt2?- zHhA$cs!x@RieH-;YxH5=#&n1>KKbt!#_| zg7d?xm1g(j6H@O?RwhCORObbb(1rT{L zr0{;`_K~mpG3R8p+dVhfAPm zZ7Nn$0X)`3FTv0}DQS%4U(n!yjCCiv1?n{?>J6*#sNukfVLPXNw6Q~Mzy;g9NOCk` znl~u~4Q$8c7CTd(p>43z+qq~-bRlAH{;;0gvb7|G{8Vwz+`md@c<)8(-Dex6;_Tt!z`I$wbe>=Ko`wW@NKfM*USiM!QFd`esR z4(D5IvC}&G$h{|2CkdKJQmmU4M8jf!xrHXRpjKuq(F}}90mBSIL$lI*-&*9nc})ER zVeYqOdpriH6Jdg%0bRQeA;q7t3G2nUM8AUNz3+y4Dm~!O@>ExaA(44ztlWm~@wD7U zJ85`Y5Rj43rM%tawGK9tXy9YYzv6`rkA@a+HPk^^4olP$FJ2)jC*gcdJ~a&NK+T&q-3d@6Wfz-?VHcZU17us z)p%kV16smzAmDIQMlRbGCsUlkzQ&$uuIPrCl(u|~!{@G`;V#O8M1mNhyT9Gj`_jXN zLl~jlmZ|htUh+jhw|>Ur8`-0~usbzFPP8JB)TBU|>YnfI7GTde1U%TEt;DEL*!m|R zVOZ2>wT@Sn?o>KC>)?^Nvh#mlaY%Mo2G6qoApdG^(Ou@g@2VeA!R=H%8w3Y;bCD==p~HmaC<1fHplt8z*Mn z+@WpxIB1*W$sY1KRkot0eaeaJR!{eqdMC|xR&!iTk_zaYeol~h7TCNa_A1B>g-$1^ zv=Qqb?sZ2T&E~RV<#k6idn3|!e<*+CdE^z&dw5=w>-F|c)ab_-W;q7(%I4E}NIYdq zHauIHK8z0idEhrX=2t48E*EfnVUd+$ION^Ro6~XkZIv*pGxw~qcemwb{bh*Lt_BUR zWd63XeRC~5Zf$L}GH<0%RhquOn8mCNR(I@MwE>qYuNz&)CE#1S~I;XktiV13ob!mwWYM70RJ6!k~QNekc z8B#p}s`g~|QnXxu@O~FN#BmkgI^yM>yK$F~2(o0s!)Ve^IGxuN9u#^$hlIhnQ;arr z+a&~t-=f~e;PZEUhg!d2<1-Sn$9Z9I0C+SN)S)0_jcQQ~jcOxo?N3^j1T+pbt`=OM zng!GBiCX$x$6o@^`U|(DbzHfsAOUvSlV}n;ib1ZIUMwfp|%VU>1so|H%Je8gX$Hr!+F#Dk^e_%FYXN^TAJ_c=TCD6s3rBzsC^og%!;#gl-CsuAJ@!;DX-j&W=XsNyOLV>uN|g zVsAKllx;PEi6BMF5k*G$KKq#N_g9B^{>y=9vyMlh8Dly>vMDsBm^WZ|@MWDqC)P1& ze&uTf3xR0p*BKstPW3Q`8$?o>Ad&3!c<>)vhAa9H{_Wghl?#Ct+i_dt51w1rz=X zTTnaF$;x#^oFnyQl?W%+Q%EW>@%)5n`ybVjr-xTSXgrGEMN;bKl*=qT+e=nae#<0P zT$`qk#HQ3bVT3>*DFii^h(Y1_hO*>A=@?P@mTae5MHcYi>D0nZJU9BqO@ zUY2y?*EEyxpu!&aIrmqD7drfo)#p0u|A&WW$1bv9X(sU5OjXYujj-S9PG|^tsnkB%d87q!D6Cz z<(rXC+lROquGY2;)^!Y(1+BL6F$+!XV34InQIM-?kXjpVFV1YyNzW#rLxOaLkQhKb zWyRj{m{!%ZS0KAaAEVoe%X8(mf@{X^B+hr;wXTOCN|TE9D@MrUYgg0@On7JQ6UE^WVF`25yoE4S#R+un(c8xZ=X{St6hBaRGyVYrMt#{EoG$I~0_S`sI zaysY8%9Sp<^=ZD|^3K%#Q>I=RX1{Y3d*X*8{B`!ofB@p;NF;sL@*Q>Wy)*+!>2O@6S+ z&d3nqQL{CWS~GKK4><*S<>$RC$dlSdcqtT{k{t@Y&)=mdqH)@w3LTHgVQ>TH2hn;VufqR@S z%i5Y(G1JMWD+>|I9(Ns;+Bx&xi}4x3gHxKv{+Bi%&8D0 z6P7^TMn{W0ar(P5XHqz9^sS!C1p;cVnyh$|K!q%TbI+WN5CZMv7-}}*H!sUlNq2BgV=j)$_cl1j6*wW4w?K8#|HQ1mVapki+-(M18 z=S08mZ3!+gVgu}Bpj&A9$jAqS_tEx^ujXk>m*|{S@-qK~c zYW;c5`oo%a>vm99u86_+%8r!Gv06SXPG50B0!qJkWmPm__Q0A7UNyYosBZ@wZM95j zuY$U&_?8+6@H|%EtgDASk6TUC$7>L{rKAM;D+-D#DIzcDG-fO&PS& zqpmG}esW(fyaB7m=Uyz4QA%aUTLctNwHNM_EBbE$EZfVWk*!CE|IIlup z6dVLgG&okJ(6Z!#Y>C!Y~ey$Pph^Mw{fgJk9OmwBs2g^VdgG+yIL&``q2 z9E4z`X|zE9%w@zkV^+SjxHIh8JRa-6)bF?W-2T#~C4j1**aC_`;PC zpLJ1jRp!qECMFz(`o;H>h6D$1J!3+C)=uNGtl&oa35U5M#q|^ykbIN-KpJ*KJc%7P zi!E$f{lW3|?2K#3^^l_1*+lsI<`DBjTTlokQFB$1AyvVDp;`3&Me&k*tvQa}2O1$q zM}CVOt=I>~I@BDk<(*prR#6>h-DDk(WRSr>3>q5TYk()n|fLBYrU$qEUxLQbkPyfrH+ zB;8nZSjZw0V&ZBlrb#4c*MzYMxr;_Bf2`KG=Y6^V%5;A~KJy}^5NwHOV7z@&M#$}x zbN-zlOaFb-uO^@<@Kth+ZY;%{Zu(J-Co*#X_BP>bIlOgW0lcX7Tub z;GjB8stS^%EDM5`Obd$y2K;Ud@`MNKuI!>xdDr}vEDa$_?nFt`>;~ij7KLw>H?FZ zGo<#N?i{K!t8?dvI?e7W@uOx>S=A0m+a-P^FiBiS**;{)pAKkG5qI}Rns&EJpDz;? zol36!v!pvEfyhnaThk| z$xu1u>^BJ_2%8i*AEs}0Ei8_l!~3uyC=Ho&J0hO)X?`I>;-h4GJUBA;*5sR>VUMKQ zWut#Hx49&fW`-&|FaDP1-7lv?t=C$7n_C``ol1J2M1-lUM8p^u0)r8~hxIZ3@DoOgZ{dnfCXjr0U$Ba2CIxO5i6+II3ULtq=2u#cFgSFN1E>T&8XoHy`i z+xO|sEX`K8?>Z`(!)_z~)%#@UnronZBVX+`Dp^w$EehUaaX?<;0kEKgawJZ~sT|fz zbnSaWTg5)u0v&~5-(+fB2Ttt@%b9D{xuEK%Ut&jD@r-yH*8MAvx;i&r>+C*+iJfU& z1n2%y+f@5!ZpfJ^a9FvX;Z6zzWh2{8}f zNDAuI31%l|^9`{N1l1|tMF6nT+VzrbN7xl{^>Vkm2+fJ#@1<+$$uo{wu({g*>cFxR zMZXF_F;`c4-<(8@qHxU=Zg#!Vc>@YiKTnk`I@>>C=w(gq*8spjGLM|I!Ach2)z$Y zXrT)UmK!`>MjHy|Npi&O_(N};q3b0RiGw+acYnig3nQcy;Dc%;mwIMJA1I~8fbYhA zS@PldrYa@PV@p0?=53?jMEarTG8Oo5>m!-`11G0^Lb=>-&$tfB_6Z`oqo^*ck{NH; zyk>K*`eq$a#xhHdjku(s-#Zq^UdSV!<|7XjUF`L1Z3MKJlMav#h()?vC!DRymkpDZ z4GWj?OtS^w;R~PNNEv**5N2WXvRX3EMuadicain&^_94qEy}H~Z<9TleaYh&h@d!lwaU?A`H9eMR1TCm#ue?`Eex1$2!DEHY-g1X*~Lw=@j zOG|5O+cBseW`)(;18qbdpx(7ld+jxbIX~&E?1&H&ifaMs($M5`(Evk@Ym&7cD42?$ zUTz(M{lGsFajbo4$HWho%3oylGX~2$Bp{&3vJ@9)(NuLygx^@B;`}0@S1np^&4M#F z+fNXs`DfPq7_0;wE~OtxKzCQwN}~W*86pB16#@CYuRoqGDOW_z8G=D6V&~Y~vn+9O z6+YW>q!j^?-Ga=M3eD!Zxg0&|=q-virOD#NV5)HX+GM1rQIR8z1tC`xOcNdv_tZFzOV zBv_ClDj%z9Io&|$AbK^Bk22_WF=qwzb~Be?SJQUlGPr`VlT*<^@_TzFeZ?UML$K4H z3MXmnajM(Oat0O{`I8AL+zShC>W&SRQ^_DE{i;$rOgfux)FLO_w^br)mh;8uaYL}A zrKO{HYk){Vz_YcAH(EvmsMD}DsQScQ2#LrGh^@I>(HC@2By^IV>6&7)N<-2EjRcDWkeWdSN~iT2vD{&WIfx zT}wnFlQD^fP6)0L^R~yTYp*R(u=EUMyfh(T;RVWi2cY@o)+wyoFI1i12PzG*3`jm+ zU|MvCCrhq`4oR(Ld3Fz2iP=zopwmgkS9c4)IZwZD`o%c)zcG-kUg_m|a^Lyh;O|^D zOSN|o-rN(7AA5MUclFD)583+14;>KyyJH%)iS+vf-e_|8R^#&Y_od=r0w{O5t3X4Z zC_7ez%}UkMmoC9t4*By)6_f!kq_we)%3a}Trn|U9+J3)PYC&hN^j+wnGBmFSzM{a^ zC}?tCO-T8MWq~C>xI=s}N3NlcXfLv;5z5amWvqTurm@-^!Y5@djW8sPkcpgyo&3e~ zn(hrZ`@+8_tgE4TY3n5f64oJV)}-?a4VFT#`9?%LzgGb!Qvo;wp(LXQBKmQUeK+t0 z4IVFxj(hzOIjCM_Ucy)_ z3uuahVX|oHnK_d&3qbE(*pzt7l7Tp36w&(j%NCcSE!2rl?e>pa*!$zPk}210 zOGPi)jiBVL#$MYaPcbzj+8du~ba=xdwQ|FXQGvu|Ditay{83`;X;w1I!iSWd4hO&U zea9Dah0n5n@3_NNp%tXmJtwjNuj!(}jtZ?VcrxOqEQV1{}wRWgX`$ z2GKUu%4B&?ex#S2Ov}PB?y#s9989Cj85gVadU-~$ZTWAOSY-F>|IqL;;=u5LrGcCe zT}*!he7w$l@g!X5<+fNyfcUmM~2fR*WG|&hoplU)LcC7~Ir$o9@|G!#* z16WrR7k{VbPc6?93A5J93->cvXydYj9hU>KQ<1wF&>3&JH|R@m1qfW6Nm+$qw`2vJ z6oOsFhnVp0T2D(5<*yt&zr>2N4=)-MJ!;hxx+MMuEd zbv<=cwwj4`0~#a+Q}LYVI;Un99v`^CD*0RehGyuW;BL=B>6L@7dOiEo|J z3ri!pKH6`5*w&dJ?zi0D&kz#+YAzIA3`B_T^#ZYUkr-^H632t`^;Vl~gePVOIM6SEa=F zKmY+xt6;dRMWa%F9{fw?W94$rpnOtDIK9r1XsB9-s>$Aw8?O%R_u)gdiFD8Nz32Pm)dq~V2O6Imx*v%dVy zRQpz1$(x?ux20fdS;4}IQDZszQY>KK=bEItOH^Ej;(IAP%P4vRsKD@Mve4ft^++lt zdi)wXycR`F=9?}R{H5WVMe(m~%sBl#5?>Ns<#oE5e{df2hP=nUfBfO!{ZsH$2ngjK z5+UO^p>y5nf1nZjID2I2DLXIRFccF4mJw6TG257$mRB&BU;QzIV(lp)6cQw)=4eEV z@luJWyFEA!baHCvub9J#XRSH!qR&Cb6Wg(4##BC!K3@U+@UoVEJDu1`KR-&Pq?h(S-?wZ?0^6_PSIg& z)6|j`cOz5zobCIO42A93T{Kc)m0D8=1-}}kX@=HGq$m2h7jOBtRMHneSv2tj;{2Z; z-ZmwAe0KRBc3v_ea$Jx4`_`Ch0LP$(4-~fYB2P$_9BVO*f_w4LqdDqQTxqSWY_iGV z_N_|uG)9YlaoSrII~p)s>SUpe>QS=(XUbwt{Tl;%Ju3-mc7rYIf;C~aPfWOBm@0`} z8blb?%H$MMyKG5L&@1yq*t2Gv?7KDqF6X8@*tU|0pArQ0m zpA%fm^q)9%OG&d5VPc;^83oKvf?^T{5`IGs+f(iH>kLES zb*(;kx7akMmZt8cyHy~kVEtO_X?ORcc%QP9`qscaTkPRF$f;WEzO>HtE1dA~B3cPD zi$`F`jhNjl3Z_MzlNhvIn7WWZ)8w|s#-%doJ`EKUi!WJxJuh~>-Te^njr4RYe6W+) z*ihwWofU$4UTt~a`F(BwANgE*962V3@b?lQgHK69I%Kyl*DkTR0!oalL@H)zXMpJB z(bnzhOl4%908~tRB*rBY#4++!6?<>?+)@P!egR+PdQwmuk$L_laC*%mGXUuvsccO-jCb?^RsF+$}}gtTG6F@E~3DJULUWKTO1EtL>-+nIKqv31=Y zcH>YU1yxEOWpmm5Y#Pt=R?3$(y;dc!g--}BxQGaZzi%WqFmHth`(GBEkVMFqpXGpJyy|>rz07$I+%D6-RNinCnLc?TOFUX5az0*l)vLs zUO8&bRJAI2jLl=V+y`yHw~Iu&Ryl;-W8_U{$`RXo(<-2YBx{uw#^rZwmh{5HX6v$M z-_&(E1sUxCFL88C#$6SjKXHIcGs6@k2_kD><^5f|# zh%22$s0lYCrcA||s+Aq>Bj=`qH^&IT9s4dDKNc@xDo~zD6SMmo5-K*) zo|n7%lX!04{Xe|DXHe5&+wDt-1f&Kj(n9Y=n)DE)h=2iUN*5874$?td0!R%~L_oSy zrAhCEsz{e!Lod>s^z--Z*>m>n^S)nBc|I_iflOw|WUk!zy4L!w0uPxAkp9|!amXBV ztMH-`*T@yFZVT+6j7ivC*v<3gssKEa zWeJ-IQ5_no=e=Rs-G+}TdtGjg%<@~W*o*dl6Wo0JXGM38xm~!#9z=fGkZs9G!R6Gl2{KAG0x4AcAdDG<{9VE|oA*6AolSzGBARO8sISR}Gh0s( z4X?h=mUVzSyrLKCx1xlF&uBdDKbQPUV1wV<@d%wx6lcGt)Fovb!@2xU;+H`G{gDv` zg?fq(@janf4kBzcRcG8AZR>tKlzy?idP3O{gaPr=?qBZ;Vd5uM(l69@lazYKNoL<3 zk7vGSS4%pzdRkip5@)wdi{Aw!XVQuy|zZ)=3g7piqi8~S0o*jDc1@ue*5z~=;M^o=~%bs6^c2I9h%}71vOppGi zG`2u^N zmQuvnoydk4S^L+wR}}NtXMyftq~;dX=~d-%Jq>`a!-lP`wEqYhY0)_a#>$)5Dsp=} zaBFepe<}X1;rjjdV676=f|_bE_(xFnF^IE7nG%2`aTR@i7)!=wg(|npRt4XCUIZ;c zCx77%dalh9GT|1o1e4Gv<*MHJ6ahYUKG{*;x)y7?y7nV>t`3};$3skTSDOTTld-s)v59|+Idw?Fi2&klGOzaE6KxFg^Ha9&;ztoiqXpj*4sbe@qp@sQSw_wRwWWgDu>- z{A19?=VL;A`yGwp>424@W|ZdK@6o}YIc%ra1(Vir>$37d7OPRXJL}bh70y${RJDq^ z>^;D=xy!dw(Vh|aUCke&zK}cZ7xU(nXzY(PIdaGfS^3TB@r=+{+QS>!Iz;jlBk71r_0DsS7bX-vR9|05Y_cuN zKEjVCj}uMlN9))+e%{@)dhp5e0gKfG26xg99KhzVg2zCB`+3N-t}4fQG=nq}@@`Dk zeBp}j31I$g{fm3^ZH~iEbnreAas4X>oxlr_tvd;gx<$Zer2*YZYeTktvml2k20p2k zd7rC3(>G%M$^OUjT(A=Xgj(k>tUB=~6(%KXh_so0{?}Sx4G785;-j8Qv{S~Q_UQTj zfmlA75BqwN%1wbL=@nx(1;IOf3>cp^B^FOB4BB0z8yM&ubimpEGTl;Mr(TKap*+?5 zItHXJPRDi86<3VMHui}-UH(}WJy7!`iOtsgBBEjC zXw}-_Wa$luv{Or^9Z0O^ba#Llo{}*-y^Rdd0BTmdfPSX96-FW{U3_dTrtyZa2Wr-UGGN+q zLXnxL_zvT14z-YORtvmmp5>sa?=dxA@+_A<0|+REWelFXjzP#6Mk>kqAd_hMFh-B3 z)rf3|IGnJ6=srG3Km;O|PH2J(kv#e))18QhfiOhG@tW(Jh;>-Og~TLK%c12+niI40 zF%w@<(QBw8@r0W2z}@JISoqduR`U5*j=g2Es&3=T>3L*3b}_R;&#SWuLtpE zL}t%}@8cFx7Ub0UY%6#JmUXcYns*2wVf&QRZ-U`3I3nD$wnq19f^@DxQ{!!Cmi~i= zF1Irsol8inSP);j8a>6+yw}kZ@5MAYcI6V$f1-&LRZvI@Fyb$Xv+4Rl=k<`I2|-6{X;sF z?=`siI_`C2wCQbz{KAs^-Nj|7Ras6`Q-B3)q5HDBa6#GwY{F`9D@6avqT&qCThWN2 z6tqCS$ZETv{wT-q1P=Y*Uwd}!wJ8tpS2Y=nk9S%<4J(~`7w$T3-E|rr0Mt`_?Y_Ns ziHZA2@z>>%1{VL!T|^bz)tp>j%6^j&ZOPCz*U}*RIz0-X%Q|DQ{!TAq{^@trC_dPX z8@V?ffSuS;KQ8Xs-c}Ct2z}6~ZY)SeOA17Clv~<{Ics2ma0Y!9N_klpE0(G)HlJoy zDZPASC#x*WWGTwT&U~l-33*fp=tsCK3@d!4=ZaCM+62b#8Z(aPpru zhaZRUs!aw8y2rCyMvpM8FwW|Qs0JQc9pf)k3q^~n zljCFX`bV$2y1K6OE@nX8cfCYge+r%9x34qQ4(g^5V%p@V3QEIMc)xSsAW2SiE5E-I zA1tI_w=P1@n@)|`uqC7Qgk>8nVh<*H5?y}847^Y8xfkg6B$br_$^qz$RY{^xi0x#9 z(6=`4ckgx%ZqvZ%<9|fq4{o)_ zyKWs+tXFD3Ib<}75^(x$F+f`Kk#>9=JXO@~Yrrl-n&=Un!(X3d&@O7FOZO0x<3J!P zxWy!?X~eFQP~u(S74*e=!HslIl1g}1Dn?5ji_ohaPfoKd#@=r|&l z%B#wJXQ&fjVi;#^-u`!PfPOB1>4`V%9TU+o$K-BQXhbvAv zcq$|QqLtNo{~*#5quvcFOnwzK@&s{S@eO1mmB_ywB-k7w)ftY##Qc`*wiZGCVxO1} zShaiEI+Znz2cB}C3M2jYo!vQMmVozd%xSZ-u^?rlJwQhKYT5x2V0uEMMI66~R4FW* zXR@l1?wW5WySxhDVm(ef5v$v`=yFktd;D!Ss$+8ZFTYQz2kD+P!=n2FmCDE*XxcS~__5(do6!?f|Vy zc7shQ^)Xs+J8s{c*j`AM_7*3_y{A%sV;hG zzDh<8)-fhA)VSuiO+mA`tHxFezZZg3p?!gph?g2ke}Q5t$__>INp=*w+OapLI~CXD zrx9skylpwYZDnBG0`ucD>5C9VFOP9a6y1j&q@9Q1T#X=iUv*&b!n;3DoBmAn48`tT zi@yjA>~?&&+jb=kvxFX_T?0)Mv}OXfMfNTn{{+mIo77&TcaQ#DPGgVmX<$nQ!kd2`i#!T=rLZV1tTJcmc^lveg!Q(i8oB)i10bpc<77UZ1DWT*{5v>!6B}m)@Z-LP zKml{47-(WT5B7A*-raGhph3Y?;g&`j78^N;fHLsw6LJB|m&5?o8B;(Y9QRvVpZe`u zM%vK31`e)wT&r9-J_qo#2IQ^$b-p%X%g=topjhO0-CrkZ(%}ze)3N)Ok+~gwvE*MP zJ`h+z*rTnHRuODDNA>tDjW=su+OC+^5iOmw)i^C+1}fd3yG47Pwez1V?s1y~E72@Z zFORYDqc~RA^^Dr;pZ7E5sQlIUxF@56Fm3b?qyH72_;*-19ImQWKP~#qwT^DKwRf(p zgqk<<<#yeXJ04OoNU}^pCt4I=%ci3{&2~*7zm#7KrPRnU`?&q~yjK_at9=LUv?=qT zyiYlHp|ZDvmsC&)$oMDQq@w|E-y24xhE`A>A(-$a*XBIRuczg9`ev5^3?!U7xIvb= zhQ`q`7qvdIjX5|fD4+K0bevX0z2qHeO1V{fxxIEB4=*5cS(8FO$j^VI-AO)ke)BvF z{YsXczv{zx6z=O+8t(}dDKGTCNYa1B9qDA##wYuL^ZJboj3(t#$P{O?EU;bR(MX_t z&G-T)nf)WuU~K1f{~?;k`2Kshn7h^!0~sQ3|B!GCzJ8%G$uu2J)u~xRRqNY71eko}>OgK6*nPE^0W1ZEfO-y>_=G7MKX(#v&9n*@Eq!tCxJ7)4 zx30fc+v|aq5pzqIW%9L&-$hC4PT1+w+M#mW?sl5qCF$czMU8dCbKB0Fo9LOV*}|(a zSauzalWC+3hepP4MK<<9m@gvle|iDxGw+BFKtIWotomE(mP*+H5fMkghdzbd^kPIR z^TGfRkn?2m-W0y0Y@>*l;JD5>wym8}F-V|;nv+_iBt;_?$lw&ON%G>4LA>%AR9Y!j zl9B8LK!3Knh9p^6KU+e`XVL?{rY`2I6Q+4zt89$SuM^^waMF}Z(!Zve#Ne6e+8{VC zNIDq)Y@8+W-NMvEozm^>a5pVkmArt!TlqOgl>pWgpOk&R^65K4o}3!_w!u}YS=II{ zT%=nkA04USm+!ppTuP>+=bZy61@xYijXH(R39`Hc5EC)vh`2M|r_4LKECWNF98Mmx zYYn({m8mbTGaK9=ZA?2vKj0>#1?oR8cKO^6UYTCE=6l`cHJy}Ir-=z-!wrFGqumIf zNq=kPxQTVoeBMaS5`sp+S-D-tCIe~~B&Ga_NNUmWb;XnB{IDjT#&mqrRr|-Yv@Fl{ zx2X%j-X4T;qFqIu2NI4}m}cFOO} zVk}0r3v_$sE;Sb#X!g$>vR!#o>pvo8*ky6l?7SNV+;%h47nhE!z8U4`dp9+AbpSRu zpiHW@5#MYd#K{=}bPlt@e(`3rp{^Jo4UrdbudyDQUN-%br5CN5S^63=M`t9h?U1?c zH@?#!mu5L~#%5*D#bIxHPFhs98Cf*5+dB94MtMr|{W*2I%YsEJ3P~fIH^jo>b;Kk5?(E3qa%O4R1jzn+mK4o#g$y^X}o(djRN!YRt{L-I!~0 zSO?9W(NyFO=kmfGiQ}Mnw89bV7$eWbO72qkami)DU@K0PC7(WyrZ6|rnaucGjWn+Q zrZtlb52J6#29Ndt{okq>7YzH}^Ne-lGNax@vS-O0`KjylF~GVb|6V^Oq8BJ}YV{i; z5&XGbqv=6Ozp_nx=n&)IT;qYte0PJiP&A$N95^|wX=6XCFurvB)=UEQkBVEF=zg>- zV$%MEuU~A6=-m->VrPpOPDv5aimrMFcpE~&XIF$@Z>FW-U=VMfMZ^Pd-AXefuF7vU zITlO%?zAKVd9oz%=-iZGc&RQD&8A$>>u}}!!Y^IUbO^9Pv;QQ?rtK-D#^V#srfM2W zIi(XKC)g<~zGa%jq14%UZk|lPvtw2He`fzD4sI0Z{m+=m0r>lHnzSQVzscI{lQIce zKH%eWvK9X3KY{RSvtSFJxg=bRl4MyED2+R!y(@6hc#F5)gic-M<;NrHi%B-JU!lb! zWhQcdR+Ji%-Cffr4*?b095bDPM1UGw^Fab3&R>*-N*1|QFe|RH;we*r$Ui=QZO>5m zEq6#^??$ot)@y5Lp+lO07VNFTmVLY`^A$AnGt)t7nXs~(oF*r9@gl83rPZ77^lW6+ zGiK0irl;{Q>hct@@XoLQoOroEThqiDYB5LAPQsixfs1<4L)pFdE*(eNAewx;VX6Zm z&1G$`Z9Ob0%lb1Y9h z$w~V1i3S}Kj|`;oXvfvpuN-jQPrffA&(=j}g>e=fBo2j`(y=8ycR5!k33(juM6xJO1`Y&=HYU%=8|_r* z1rEpulQrVDtGG@4os=x~G}Eg|(zJCrhQVd#{+yK8n!j_JaN9jURd^y|vmyivdQDN+ zEd2cWlL+NO6`x~;qUMPLTL80To;&Xs%FU7mqLI+W(CYr&XGsNTR690(T|0O38QA0T|QeKp(|(lU+SJXz^0ym{POq7KyVICzGP5?y?~!Q zxqVg7fwxrK{DXgQSST8EyFR1R6e!tVTlUI3P|Ks?sAx0>fBUNLdtGg7>zR$wL_X-s z;GeU%%=hJ-m-#K}_fm%4ToEwQ)fOWi5K1^ah5*@wkaD=Mzn^RHY6pIDT%0i?z?+Sl z3>pyp_by)*0?77v=dTB-kurR;cZKs9$U!PD^{5kLxg*9?wsEb#$HN(4=*s(Z2!GT#k-Zbi+W(@mW#p_>!;RQs`c<0lf>Bl0u!^wa0S#t4IJDijAwTDut+?@VTu`GR@?_b&6mvUIGt($0I zM6ooixd#TOT1veaOXHr*m3>s+D%}PgM`^UwKp!NQ+(Q^2_4&UW3i8rvWDZ1nR2n++ z9O{2}h&g!^b242s#@LsHgYroh*U_Nqb|r=Ah9J7P-6%U+qJeoFB(4H;cB z*ZA)u>erN(^lGyte0hwzI{vv^sk7w`7KtvcXOjwp;Iq3ry$Mcfa?NVzPi+b_oC#Jw zip|C5#WGxQ>*@EviL>wX2bGfVB;|Qoml4Y0D<>IL+Q{39O}-bSlefM-Pn!D4 z3*Oe5noK!vFi~wv!#=eYvu8yH^M@Fz?|)bE?t;7%+bIGrGrj$bEfs+00R-ZOlnjY+ zL2{23!d51e+|A8KEdv*=sr~4C+BgsDZcptxVOXm&F|A&m@C8FW5VIEVSZJ+3@}m%T z6$uf>+CEMJ_@{%|1u7ly?j&V+r6P$Z=WWQP)Ena42emz=jS57~6I}$GO}EGAjh-kS94cP3-h>Lprx#|i*?g!xYNvD=GpG_Xd_X*>#rzBv$U0vS7k=0 zV?tF3%3t%ob3GNR9d4HP6B4*Sd|0(&@`daSrrZpc>{O=g3>H#NjXok>ljhX6j)7`d z7_^>aWM!HOz5bGb8rx!(h?Kl>ZE4%w0rS+_r_YzT_%?>U58uxPWEvvy zQwcH}Lx*jb%wTv$T4y8Gy~todR{+38ACLN0-?Sqt(@l%{?p1yke9oI>S`0QA#v;l2 zS5AJ!K0gZ|u#$0V5|)FV_JGu0M;x$WI>&E)8^deEt(#=%u{*;^d&ckxyiidI(_Hj` zqx62S_?D>lS=DnvkxSp9FA}&dyV3zapNpUOaSbUo+305RgA;8)TXa6Lz_OQ~n=@e)q;X4nD*S;#$QWT{-z4v>_sFVZ88}| z7T~~J6WLt_!%#Ok9>9beMw)R<#N67vb-k`F)5i0k4 z==(C4C<~@d#RQ)Ma1ak8xJ6CFsZL)JK)QkBp;k9&LJW#>jd<|Bj{8x>5Ic%f|M7OW zSK4cQLAu9+$&U+bgf`QEMcxJ4ED--%M0G^dbVQlRsk*3gcpv^sa?6?HD0o_#^Mh@JUW1VmcMWm7MNNM#lo$zJ? zxpMM7M%|B>7cn`4z}P83Um$7KI-ny5^grx6V=kP5a^$l4=8kOu38bsU9OJ7Qz%#y$ z{7cakv|aR{akhwLwsrWU#$TGh-~d5bX^6ageT znu7WjFU;zFW%m008J;Y322Y#l$BEhH2G|&+0O%|j#6HhC-Ee=;T~aq3_y;U%#A^wK z>XM87VN=qC}fqNJ^I!(tgs0TQ zuX?uc!zaQyn6&hqE}s^z=%Jh2$4)O{*|bZG-`4gIX`B2(BDoDA^;e*qIR-LZlotUc z<5?oY1@5U(;y3&}gq-dzOO%52U+?;(hoV{Jyj9##XHr&-P|(n~4(7(4BcQ0%xeclF zZSykpUZ^vVRezr1_(wO@XiTdBP zRdl(E#Y>Y@p70Ltm+xU}$u39^K>?Vhs4*MmOi7TX<*SCqhTfV-P}g~AQnWA@FuH$L-H5J({Z(kbM8Q7L~(k? zz?J72lZEN~SikG!l*1|SB&G6c5HaA^-FScGMFQkmvK#Ht<2gA_YZy(+JbE zc%9ik92JFx+PNR6FH7$VdASuVuL<*4o-+cNo{@e=0}S{iBu_!7zD@E*6MM3T+PRg$ zK~?n<0PRS1CXh*iFC(nkNTIsBLO3XfhGEP@V#fz@NX4PrVbltg1(6Tn$cCrEm-}x9FH5%P2DCB;cJ8*M8 zDVGmED#(8lSrvpTJ$m5fyk*E+2j$)ndk=aSjpmeKvKo3kyv?=Y_4N34U7DrGbkED* z2I=TGbfZbQY8}FA9V`>r@;(iy*Oi_l2SnEWD66nW{x&fun_NN# z#dmSSLUa057&SzlvJdyfq+ zT?Q1Mb^tj~!`?o;*Ja@YmO(mYaU~1tUPC?SA1%5+t!H%9uV?m5t!J)ldP6%Rs5R{%4 z^-g`E<0;tm+zXWXQQBPUY;}3}0K^)k&3t-!Mg*Dn^Oi}mekK(?19DlV88H$%CIM(MCYP~ zT4%!n?5X$raki_rD9}j2{*!`|7VvB+z}%McmhRwz%fR||$}s5aV_0^BKu-CO)2DAs zPedh-T$*%vY*>U=9J%PV{9c*1f!AYeTozougU|B1RK(i?*3vUovn2f8s3L~lRY{-y z^m+WmibXl;T7B?MdwHg?{3>tCdQ8rcG+4CLw<_$blG+@r{pYNV^oI)RISe}WdK}JO zxF4$eeE^+luFT_>JF6TVjA?i^^W#z?5;8wOi<#R|aM<~C;d`JHyKO>PdvAtoqDsy( zOLX%%@6{X*FDDs7>#J%y0QsSLiG+NJN$4W&$z{s?5dT2N?av!)IrV+z`*sAp4z8Gn zJZsHtxMIsjJq!kR(ZGNjUq|b3SEqG7XqJNfb)W5FWVKL8f5v)LQ6t6hmtPI-Z46S5 z+nqFi(7~Yhm)BQcYH&F@2p84k5ET}bzDKYjySumhqNX)2v{`{tr>?QOiw(eGm$jU8 zQ|#^i!STN@f@}C%0Jn*Rmvblz4Nvx@;hf#XH6p64vZn$XnmOKnR^K%h#tXag$Y4Ll zxJA&6*gtFYkY=8)I2O%b0Qea$l-o}xpPQhHo*f76|rykQpf=dIpbl8ax|cT0*Z z*C-$xp<$IJ6&0>@X=x^tO^v9=v>ExP=3E$7uqRrnfLD}(f`R9)26XJ?gfEWuNmvTv zUR<5K@rukL`?_oz^WxT+T%E5YzEP=eb7--Vw2S>RPUGbr&(nKL!+MwmaAifh5LN#+H6+-Vcb-vj#5&(E9On(_c=EZe^LfW?p+4@}D!?VjiF ztRZbw#e@eLDU+$QKo{`#eeHHqw5}4DS0mZ|!yQ7c_eBk1CH-jV5%oK*q?-C35VJ;I z5bYr!Qub!oG)MX|8I7<+Dl%c%r>ZAowxjOA2g=GVrf+P(8%gb&ADTC+Jo}^W>zr_g z&$&&y-+`+9(a7Tmw@Xp8M9X3#6q%uB3V(<>d;T!m6+5 zU+(|OFWa7GwuMH&zYb;kp3^2M5R9b4i@(G59iD4_NI{CrDz=E?x7kB&qc?MeR!FLP zN^(w4xgK29`%o}VBC756O0cNx^P+(t_QSjGwmqQnFtKrBv2h~3CnYhW%qJE1cdccU z`KPK&-?zzy+^rE(lbFE=hk$ISnGoKzKe`P%@9BXWX^au6>O|MpbLQk+`frC?xhFHu z@KEhF=0Tuqwck54icanzvyMv(wnRQNPmKi%Zc`W-Xh;3*wKCTfLlg0Vy!rTNUP{VQ zVC41z4T}I7IY{AbY;?3hy_l^)v_w1bJ~5qeM(IWbn#*zcVXEJwOS;^5Zr54cM4u#9 zWGnVM=bwgb*GgBodJ(6YG)_)VV?BMoclLhZ*m#&1IEP({_V2yf(&7rRH(D`7m(DDK7qo5|IW34fa+cg9Sc z>4&Ww7XNnBaS?*)gbDUGa`ttEc^}KpQxJgH8^+Fd^YF@6qst%l%kaP_>s0kXmhPfZ zBlr@D<&oLl^@%>era3P6DaAE&I(C%JXb>YVvQ#$9MO>tuj90((j`nY58jmmCdfNja ziO-V1r&50pq~f7|r%@)Q^Z#VJQ~Sx#N6RJKnZQ|Zy}NMx9cylE<93t%mjSbxaM!2; z>2FS?QYq20Dba-<6`1N!cU$fU^@^AuC)wjv+zE=l&1B6^Jw^>w&~)K^3eKweCLiKj zXXmBy9qrkc=5`PJ8C+Bd&A=-pP&yserDgD_g3VFi}~TBFy8(= zZU&eJ6dJA@O$4F{7tOwB{`eHaBUrVj0pSHs4xJ3^{N68XGJ9tP zl+-nvNz*~Gafw;*5K{5uxr2%b(1nE=i4xE2Rqxtq&Q-ZAQQZQB7pu7%l*uFoZN9z_ zhl3b65Wx4AkHRv&wjk}J5L*i$!olWs1@F_;Ltc`%aG*ac7y;VMH+9t+Tf3-J!EnTba{W;-yH)$i&XM-<~NHVWmm}kuk!1 zBBrQHX~5M{df?Rua$DLY0J@G%*)WQ?$$kcLpI|)Tm0HmMHN5Q%cpaDN? zE^~omfo*2PbKqhHFH#3HaF-DBMnmqnCo`t82}!7{tVH!%82@;M-n$viEUq%2CqU4_ zx@FpaLQzGQ8xpcK0h!^yWs;}Z!kN!R^TO5GTF4Q##Iv;DL?Sy!0e;t%$8N zUQUHS~Kbn?WpEt`Ss=mt=c_aYR zA-7Jaf21IzSuV?Lb$`pc#PL4k#sRholpn7H69*=ad0P;V* z05tRUA>PZ8IH-&!%DVh6uu2L-mv#O0K`)wOVf@$r-M9q8xvSY^(ywN-gdb%TA3L(9 zp56DeM47!NzV-x?Q-N$5N5hhpjXCqtM&>XxSM01M8F_Nk!I2I(n+d#X5@N zZ&oGf`ByxNJe-kV~{mB7@Rz)nY&FVCUF~PbgcANdRDWHXf==P~9NWkt3_T@JB~d(IQl+%rau9{>5+L z;{Vlgo6~zCDt{j{We^57+kOd}F@DAtl%=)+p04j{3m#r7fpC5!L(8zjS(6h4RF4`1z{${|G!f5uilWDW!>N)dj*}SgjT(5sLsL%a{oK;IDS+Dqupv z#J#jy;M_7;`~|w8;A{o=b@olC(KM!;)`VyWrw?P`FnAZ~(OS>}JT*BOqsG6CjA2J< zx5Kc_F)aobxv_aaz5bZx2F-fycI+(^FH4Y|$KJ>*)*V;eng<5m^EKA0jd)?bQ+vJs zM{NEL)V3yZ)o*F1(1pUkjY{Qix0NWy@2d=_;l@J4iHcn!S_D^P(~O}@VCo)-@A0P$ zi{Xv!*|oO@VsPAEk~7l+dGof)di-d-0$WobXb6+f}{Xs@2zLXH1|?^=Lc6Ym4{)(SfqY2ULhXpfEfcS3o5ccdU+{9s*zpC z7jk#2rjD4^Av2+WyA9*hR6fUr3Ba_63qAcgDujZ4{4+>SNtOww@Crl_Upj7_dNY+N za8&2V5T>Blzwp?G=Qo^X%5`l>G_h_es&~Am(@w>G6sBg<{Ep>hg<$L8QDFj22+b?A z5!~UI3M{eolQjEi7kH6V3`X;T73pu;EJQj_$cf&!kEL_n{V_tbb>C5GUIp`AG0pU8 z;%}%XVnS1t;q4&tyw}8!#;yG0$BR+Qw7kD}*2oHEve4OZLbcD>yqRD39zsb9ZLM2m zH>!iI;GS6|>s)!|YMI%g+zHYn%HN1{?;=y7+ zW&o^}0T_IS1qEn|sq#zX#M#lJx{#0%r<96v+*O)qd$a(hLVT#2Ij|if;E9BC- z*IMv?)LI#N&tbOdy_~eO+gzP1{)C9dnr57|2a^J~cQh0ZCrL=L=jkVC&5cTq<>`&m zDgOm=OH$g*q%?g%0kB_te{4+09|th(?AOleGJvh%UiZD|8FX?~*K3*SHb zJge>|_Ln~h;}uCW;=3c(V5nh`x8DM@O3g{j+u-7B05XgzU!`A@^S^DxUhfvpJAIn# zNBSw`#!t_dCyfb(VNJM{sQ(nPHSn(+%QDU@_!Y z(Bcj#9ZgaU3nf)uc@i2-raY9JBnd_4mrlE#+a8y z;d(^^AmOqn75AB~H63lDlL~QA;&_y{;-!9mmvugVbkfc{i{0PMW}BKjI{bW)ML!V1 z&wo~9(^B@$<2#!^$hnycFgwLPQ26=mPeNLGL`~dm^rP0#vZ#w-I6hgb0-3U-1<4;dGX;u}jq}WhgEb#hxl1-lum7*WNfGf)q|z&=>CEKS}*mk<#IWhzSHE1r4B_Jl`SmZX05#b zn^vl|pJ8O)Hqmq?Kaj3?E+KfRBC>psZULULPI28p+-w=(7cIVBO<_|HAtbF)vj7-bjT^(i>8=CF{d^;D3hHjxMV7-E%Wk*Adc51vj*($4*Yi?X(vH9vYC~SPGl5&A-dl)F zisANszpKP%xbgi*q>0r>$p;zQ$GzEpwzaq>4|Thn?u^hWHbWM?6Mtf<@KEl>=c1z7 zS?)#65%&2U>Fq=8p5OXJmGY)UbSGan~rSjw`c>kpXW;L~c zpz#3unYfZbgO*pznvp2uS-*?hLk+9Qr`Eu8wYXKte&8`2``?+ch~-hmox7ARDgPB* zw9*X-{_ORqvLED(CO@f~ScP)S2&%0X?0^uqYL}_v!9Zq}N#{_e+gl4q zUk-`SEjUPhxq#oJ;(+#Gr)F=uJ)GKxe8i8=4hbWlSlP+juxcdPIe*Op`1n<0$aiud z<-nk05NGpxlzr{q(#2;X(|q4cVW+|S4z!?}!-sF;+52QQdY?RcnrAAmc2hU(lg`K- zXm|C>)1-(H{3C^(DL$5XiaXeqZ{0Vu*eP72NJ(zAI*yFjcR_PLGYeD6t4qhVoJj^r zPQrLs1`+izsD%D?F}jSqzV{Gl^= zhwnk)lBb!Wmj}2Uun_;VmMkNEl}!o2%voM5X_rqJc;8AR8ow+darHF#Y^!3HkYYas zBZnW0A2T5el9b;z*M!5cp-w1rQb+?iVc*qnh;=Sj8!-9vLrV5x!SI$xYZJfi>YhGf z{;U5c@xF#}zRWPo z_Iq=#n?eBz^Hy6P$Ve|)?peq|LDXhL>NwO)Qb%^J5!klV5zK#AUZ;)+&X%qe9 zCGyA52zvu*GAOmq0}e{pTbz3)GE#d3<@J(BCt1-95he9DreC5qocd2*WqQ0;W_@<^ zwa2lnFE{pW!kV)syd8{B zHyp@Tp5N2O!pbwExy#w;R5BY+snHt~k0GDX#~QSN4D}7Zn!~^+lN5W7yQGk<{*RA` zaZy*wAMh%h%ubAi2zd4L(ED)4uhw}^E^DZD*Ig9dzaN*~F5t0?uH1KtQ?{9<;abu6 zT2b~|ks3o4s?`04!mma4kmgJO(0?<{8>rca{=`HX?N6hkymwBd&z0`_Oy86l+H3!O;dZH`iF5lldF|Mrt=&{_g#sbX^ZQX|7)Um4c@e}Ih^p`D(=r4?VAN2 zEUU{rPW$+O6QKWBMdN=Lr~iw;wSgX_?7xFS0|wKyNW&q!e^@PV0Tx{|J{)X|q2Dk% zI``YT6Yx2s<)erO^jd7B^J{`P8NtKhrxF=0);bGw`3E5epsmgY<%z8!xNoe9N}v9I zd0^}?yq(x5eLN?7lR)6DhSd*rwid_ohKDLCgKQHTs)9%Me=zozL2sVG5VVtUA~rdpopw}FP>QN8cMW;LOsy&I zsFq+OI14?Q)t)}>+u;NC{)N=K81_zyn_kwUJ@#i>?aEC0vakqJwn7nEqgE%G{>C^B zLKa3E7Ul+S`QJyhN0yibZDsD6TnwDnp>>2D+P*jf5?ZKH#BY;mk;8XXl#cbPqj23XiK4GqWgwC>Wb>h#&l)uID`WpRe|rpWxw*nvKb0%v?^*o z1oG0m#_q6Bnn&ZaMGUpO2WoozjmKUnZ;31B^|3#xQ>v2hrW{FBg@-Px-L5a$m*NoP zyx=ljR-^HNsY$w;sJ34toUnUequ$W?WsPQ!68zwn`K&=j;N{kT`+kO|;8>&OO$gfl znMiss`hG8Z`Z_v}pc8^)v{l-B)jxYyMZ=E}(|*ou(eT!bj?9CdAh2@|DY`1Yk>>$% z)k<4*dGi~mt2eXlErzbOZ>^Tx8~K#e3KgeiKYe9WFP-Et4d{Ty>tJ(4qhMzyk-%g71fYB5ahKwC^qb%>=zq^u3fVu#+530t5{j8)K&?f zE;8`-fkj2^gI>mE&6~W1!PZ2AOd~2@ZBKKNp5eU+EKYwlN(MR`{>Z2F@T#wWfcl&U zq-_G8+*8tHykkZ#=Sm~bT}GdyX{L`P+<)(jR6I9SOkvO0Z>~MueU}#(5V9o5SQs5S z$HcVLaD5ec%n0w4sg#a0B3wAe%%B*_d3Df_lyQ{q^?lgGf0Z(*ePR-dJn&XK)5-;P zkHNd7D+=!Ck{q{g@jstWMYDkhi(?{T;xAwWLKqr=yKKz)u*=LU7=&*1pk!S{w4S;Q znpplhwrs;`dd*`Y>2O1>h8To~(Tj%h{eft?R2d}_{tfX(mY8}^x@+6MD^@5zpH?Y^ z?rSe4WJu2}`L!}IHyByv^6V0FIsd^~3WLwtYjl}|_sH_y>wxSq#1?8%T#D{qymMIOXJa^L*`laec9)osBW<#)n{f6I#6ldp($|WE zmSuzNRP~9&qy=ZV1;eNoWgB!F8a!quNkbQ3B@gG9%WKufCHeLx~eLB2*~DKsD++VhpB832VTQMn?Zg`*R+Eky3UN*uSf%Q#p% zsFj&%v2Q95M@D}_kr&~9P8jkN>K_5cN0*&fR&OjsQK zV!aISYn31Q`&_~Bc)DZg1O2yiu&13H{@yH{yVD!Ky3-%e!NfcIMPYN}UXb$g?26{m zz<2jx1%=!{{UweQa!b)2J@K+aL$y~d>}8H6`55wwkXonopKo8^sw+J5gb{eMWFHsP;p#fJ~3kIK^WIRg?V?3IM=Vfri;U zdQQAG_?}6Y1S;u)hE}Ka^peqlXVC}q^2v7RuyKGiWSkAhZ^+NGv_c|ctWw$A3N@{p zkob^$+9kC9^d8)dZf?_Z)bl}=F!7PjAM+1JOy4g5N?PROn`t$!hfaFS;3%|yRsewe zH^5U?eH{#iJk~Ol8p17{eHy|hB07jDN6U8(ZZTLV$5Yn3DH71yV3e1Q4HT&2t;>c3 z79(0mxy-n+DfRglYALO*JamXf?@5YM5wZ%U!`Ua*0Y}8|h4sB3*F?nQyNr_k(K_}F? z2@I}fYY2+Fd0=q_D!n}q+hv*H!8W;Vj)}=D!mkd0Oc7BGeoj>e6=enQ8RT!H$ru`;q5J-0GlfNE%2+4HT@Y?O~WuitotiQoLXA2 zV4hf&^20kvler6j`8dq=P|Kent=s*g49|mY=BlNoenY_%=Vkl*d55-n2a)-W1)U@w zvxxXZyz~4`zb&J^>)uw3)a|qnmh-aItg6$bP8ChskS8Z9>JjI^_F3e@bf;Z3UDol@1U!3yT>xI&^}?W_6m2k3nM$BboGv!wrl#eeD#6TrWnDTcvtq@(U-ChK{Decw z%1HEh8qlS%(8U;dBkK~ckM3bEr1LC*h<8D1TAZGMu0~a@{`X*^A$(Q|r<~o`^v3NDbW^apO{f`P0>tRM1U`7o4we;7@LdsFOTWRjf zF?Hk{4cp2PIyY>;Q7p(*tVQlo`$KL>S--#j-#{lYIhP}38if(zS(B;8F`=0B1C>2P zCDp!>LM=axxnMrvaXmns4h~?3R8W|aK@MzLIl7SF|CYsUX`^ka8T0+zODz+uA?+2tA96OoHj5zUhV62+%Fv5 z{w%K!3Oo7yd2Kuk>+tZ9Q#--DB;XhLja zaGOrE*Fxz| zd}SUb6q7*BO|ir0{yu-OMaWGDVP*WdKq>Vbxk}|1CUaD^=1tL;o8#G0ht8+*@e4z~ zZvpYA!!o1s)=cU#N3A>&WE`{9qW%*On z8P$!dnaiJVTIzDg6HP8|(&NPpm(;0_GNvj~O4YS6hM5hv~x#DfdPp)7fbFztda zJB(MO(oXv{Z*~rXQNN6j{XUxLReij3-D`0#M7iB88_}h=Jn7mr0oJuz0n+vZ|xY*Q5vuzja0X&cQC@EbQi%n-EaXqD#$*Bv#Jtj(n z{9>wdUD>hC?rNrf+sAdM$Lmd1sULjP?Mlv_ZTJIY=l3bXY<>BzyO!%TlD={k@ofKz zJ6*&<<+x0`mP5ebCRUE15>a4@m~(vOv0B738DpT6VlPQDm=Kdlt7s4jA75P&k{W`p^vewd^q6Bv(UsRNWMu;8oq_f)kHxdkCrrCvbB&pg zgpkWg0)Jc~s4E_jy7zaampiyG1%lg3kCNr02~&_Qph>I_?P(&U+9W}+&|2_Z>xE#o zgA1k@;IjAPyzcW9{dB_7;kUqszBGoh#Ob^=yKXGEao$GE9|!0nR1i<~8kh)Ok|VNP zT`*goh#bLCqdaCh`FZbB#KdA;deLSOWWvx@D%^LSVx8XIDSsEm`0B8kjw@0$ z-jXTv0m!W~VV9x5t_mjH)`=v8hXP)#sd4Favji)nhmT>@tba9OS*{ zTNfXTrN0(egtrgq@ALl61@B$&hf{g?NzwS8R_j3|?#nS7vZ+}(&^LkP+ky4O`sUrm zg5_=hAD1-M5>mAiqrviWV1%8qZLduE*P(feQ7We%P^{@CUVKdZi<+yb$5eC9TPxIc zPp5D?X-sc|s-?j>XyQx(g8;#dU*g6mz_ZYBeKS(v@f64ZsHKbyi|= zXkzHOr+&b>{rnYh203}uy4C$-EFS(oQ2)QY0RMll@mi$P+WR+sBweRIx0luu(G$Mc zE-n5~BKE6YA&VZzVofwH!d~Y{**@38nUVp-6XFjX**?emVlPVZt-;ZmzA%Kh8#YX=uGsE;9)dVkmr^fS|hC;qlmKv?Ntu$ErOZsmAit1zg+K9+f`HzDP&_sh#rI}Y zlK|tn7*bdxHH)eaRPzG+dgrNC0jyC#?~wkxI3hw=*A?n>un>T1IP6QHEfnI(qv4kD%k*o{z+8 zeOt779+IS?n7TcGqTwN>3FOf<@U%+tJF=w9fz%-cAZa>qc=(i4ePG%if0ST@nP@%3 z63XKT<)AQwU`1P_Ot4WEJy%HtTUj|KJ^~s2WP|qW{;V`jSdG;(*H;dUM7ljiVVYuJjME+22zB&0DG1nJB ze?9D&yU#Rds?gyCc$a|+8O@w&MLIIl6V%r=-tt+STub|sxbMp^EzkLWcf6agGtKMb+s_tc)UV?V z`ZQ__(uuAu^hdb{lJOs$5d@kOjlNt>S{nPW=!Bu&SsG)gE5;+@|H`xy>@7k)$= zaX+5X)bFaKbIi)Kn}t%dj`CS5p?`JT>;E?SL#4=Q&d)Lp;=~t>F8AYIjbrVn@X{Zc zixOJxtc5NcTw?0{9#nV0zLiTvXP5+cF9b=X`T%K9?mo+Yzi2sI=2J7>`Hj@6!!WpQ zu^i&Q%6SgQ%2A_`C5JMKIw54j*85$Jlvt2){%TtKT#$oz-!qu)n__Iy8z&svG>D;0vh0b7!rLt)>14(B zEg&G$Zsgc};$78Mzr+8@lu8v98T}(?R$G;zR-yE3ZG|jua&-dC2iCAoBt%^w*7HA@ zK0YY(i*s!rJ*38R=^{_`(oEgTQsj-g`DDi0XTJ7}0=urVkdv>)>Vj;`T;%%c;NOn`qA{9G!GMC4MXw}F+S!ngeE7z{FT)l zVJ2VCJUuX{S`z|{d;NZ`OLin&#CDfp1%iK$(LsatrmJMZZJBV(;gru|y{r5~k2W1B z=;d0DV3^nl!pz%}TK{W~-{YCR_upppBwbRz!1nG%m~CzK^i6ln+LW$#`k@<(BE2V< zpWatD|67d1(|{nCCg}D_@)2L+1}fQqJ$^jXMjr3l8Xh7caXnSt7v6pBmrJwcIsFpH z4gkuZk4nz|a8q(ZJk9-x0xqfpRuj9F;(hOcEz7y0U06y_9zGz;L3sbj{;Rzto)`Y! zpoIdfnUqCP1_ao}jngCj!_1=n}=g-8qy4XQl+dY1ge%OBs zZrmYx{tm`pz(8Vt5POxuqzB&d{>imjHP~qQ;?mfy7tQ!>bD$>JfJ7P{t)H!o(#qJG zp&{XuIx1ZVFnx#ek8aqs`u?I?FFNd@EOdXHXrNbCcJQm z1^ZO==lYj)%V8l?X9jQ(E5V_9qvS&ApXxs`2)Tqb%huR!@63X&j~@GOz8tk&I^co4 za=q{X<@c6$cTB_mg-kSUeBA>98~rPB+=W;+4fn+T$E5@YF0t$4KWF}Ap4^x77SN~& zR(zJU!eA$A+exGoCwAB1w(!zpI5h=Z5+^SNr^etGBWZ0lFQF(tIHb#>h*EmF1tnbT zO@Btk(hre6q54sb$;2gO%E41V$#pc9m_~{t#Z-qNW{9e7SYc#5jJc45*9VAUXc~MF z5a$6z<*u{T>JNS{F8nSr0`%q(g7=kz1lP`pAk#5%UqH6rSoTLy6PYfrjJd4AM?JyT z84&V?CWfL1Dzbk+`X|%1>ty$!<61W2v8)Hr&>QhZ>Q~H3AxYNj;;=cs`Qij4oY-ZOkZDW9Qz(dC=3P1vKBwzp7Yor^mh?NkePkNSSoeL!7zpp(COJ3|Tc*-_`G|_MSj&owT8sGZMuZ> zoGuB5Y9Km?*U0E-#tiGJ2{5nRnj^)|tYwlFNGm4EP3sT;fN0(OF4m?Id{HFAHiM*j zE{wxeqHDB-a<;=%rqwrEBrJ!gzotla#N?@BeeLMs0b47h5w|jFzwJJPVE4P@(*|HeJ_Ue{;Vo52DdfU=sXv z0uKTaTK>Z_MD%5>5plgd_x`)>M3GFSx6{I}^TY(+P8;e7mc%Q9GZV%)h?a$ReQi2- z9o}sYjYOHj@)?b4dwxbHre%vo?tOoomMs!Fn^@ww|INC_eV_21#o6DlSJ+IyjPWEsq2O}$p;8eR&rCiqf*m<4zGp}!waOKS<`fpWWkW|A;mh#o z<5fb;jPxF%RJg$d_=UzWR5TXVFRofuyuG28V2H~1Vvq|w zx{C8>Le>hpVkjwzD+l5XHP1djsTGzym7{pKL{FqrycvvO$$LnIY$JTrf70hG;@>#K zVY;Cn=urfYLq>zVobv^iY{ncBOAsLAdW2 zQ4|G5--mMB_|Z}=g2UI|tUu;mZl)DKy4mYqd-3BXi4B;&9F`w3(;Yjyu_e~En z2^y0k@Uz;eXDXzaq7(hoM__g6Cxr8|&7DqP(l9nNxkxi;1w0| zFq|WU6dg@~D@PQoPCYkKgH|B8bLieoo```q&+51LbwBArB2bBP!0tya5%390lVg@kEZ9nQ$9rao9sGqjqH32l1(qdipXp}+npDMqS&!L2KH{3c{r>B;#Nw?#^4T6+BL zP3FptmG4XKQBSGKp{z$I?G!YWi`G{<4+HIcvk7CjM~K8nsdXa-Ycr>l!7V3+x1!(p z8w^3}dc^)|O&S=$^xc9==>9dSxu6~G%CAy}5`}BSie$1Gp#F<{pfPi(91=5aMDch0 zXfclzC!a{Rr~HvhoC^_wCkhKDFppr%U#I&7^xu6FX5Gdldh_cWZBjxy_G{}K+yIxX zxu(7qzO^VkHZc||yH@EuwukDT(5h)i!Y_50g-#*-uj&-pmXl`G00A=|cNDMg5x8YgoMj~s!u!B*{iZ|Qf31nBfbS!&?~ z<8Coo#8s!X8Vr5!bAcLEIM8L5_>tlptXI_kurn4uy?dXTj7gwHRYHW1jQ-6=I~QVk zeJX~S^k}9C4Q79GmKlrKkwAn3q7xF~^j#b-Og-~}&XggJ*L828J;YX4P6 zbNrad)5j{*C*{@uI76^#p4ueGn#C`DsQyvG11?_Qj_$lH=eY=th5|Ybb5Wm$h1B|b zeI4s+7TRNU&V!Ya=f?Dxst$Ol0(r^U=zrHaf;`j^E}?O&&siE9B>rb4XZ4ad7xVM; zo^utVmAeX(_jr#`$(N(s0f$>siSu=wg2khb^53iPe*gDh_22&-p(rFudLo3t_J`M$ zAc$ge4fu|{6E5hIWtE9URN9wWuG9$YP&h0-7-|O(yPLbcL&2pt3xUB6Jq(|ttAi%< zBtD?BOVy(CF*Y;6VIXt$4+5OZa6~AnTCWyA#>~?%uhUVkI_Xl zo=cNK203m-ky<-y$~NqFaq(?y=ZR2s!>AoCuBSZs7xh8|16=V zj1*mL>d&v~>DYh8`iH!!;1SR;4=oi%S^GlqzEN%lI;ueMquwPvX<~eRH(g7A9DX5L zT6IOPDuOjY^?o{e-Nja>Lc<%Az}a|@SAFO?^qu$N>vK<&_V`KBJ4uJP4S^=k7izK@ zg1DvVvke@iw=|q;8&O3_Us2@_dvE zLwrGKeau52cxW1fm%QFpLA}+0yyTOuxcx#d2l^|tty_ZJgc>jz%OH!6#JkId{ai5l zU=?jVOA$&8zIvE(1%yJ$@9t4#Jd<>NDH3fwD|78I60O}JlrXAXE*!Jd;29HS&{U1kPA-Yj5?^yJJgYdaU-`iwRk*O|h?LW*4hf1Sqc^*B z=6Sg1OYTSa*B!ad8&9l?@qJ>>DqE%>q-KByR~``wuc8X&CiDm_6bDx@VODA~#i#|s z)w1aBj63#RC)Z}I;ww{h06#XX6 z{d8aIdsHB;`yPy|wM)L56{y(P)Rjol{2O{LMJE#~l5GYyP}KaT|JDQ6m=ERn&`yZD zk3n(N-XLIfqMGcqcUcnAaBd+_g7LBO-F+eLF3?>ivxaqOe{1uV5yJpQvEBdqk{VA^ zW3s@%CXY(Ib=_7p``GI#ls=(|g1><)`aqYRkPHkx-e%xwMo}6At<%n?YwH~)r2(Nf zHf=rncex4gl#;xJ6Nm1Kmccp3{RxST z>v-eH>5o5YKe%fpa3FFi0$rO`aGMhXq5(n2##X;1YF}u*hXn`0zS;(e4ZOEP?%PXZ zZ*&3dAa8dj9^CIAy<8vsmW^(XUljcOMT6f)jKTi>91}W6atFda6x~<)zGoUH;^$u7 z6xN>iztDWoMJ0n~_ckL9{D29$TNqF^eBXtCn+9g)Ld%(sjDIXg%N^OVbgx>99A18?Nmd?}W(DpSth*nYP6)h!C7+a~f+ZpY zKL5iI=KyYmk}kuF4BOEw{p#q7Md^h-+9P+*c`v&0K-&J=p;S1ia@H3z*r7h*hHQ6l z!{YlQcDDuOaURJ0AUPt`FKh})ItH&?SUR3$0y*<%@WRkQ`aP3*YX9N4{$?&TWzU=9 zUzHgV?#HwXtKf$2G0v4$`yiNXPiI8ggCV0IxR9s+m79<&>w;41QOOO3$4QuJ)4{#V z8l|4+$rznDm~vo1{n<@VVTK{G6l>kZs%V7GcyQ8>KX<5;vvN| zh&Brq7?xClY@!O56{y~%Q+L5G*wvxi7q@-WtmbmyCX!2tf@hV>r}h|Q z2t-PGM(~$CPMWg}D(3pyuzlQGXiH{`2NZ4e^NJ5*f19TiY+BT7%QgU$bzZ5Yb8z0= zWUJ!1it{n`mDXiD>phh&f$!SZjOW=QxrtCS+tDFg9lu%OqyP*(HM^ErdOTdLZ*eoF zXD0JMrs$P9~l_MD#{{h+-X(>Cm3x zztW?0YtwJBqVl0;j&Y+jrFeo)_lDuX6E=m`rPklk_wf0|rduv5bFulFnQf}Qq`11K zn8&TVOK!@oW#yVEz!Qq-XSGct;WtU;VRlnN0EeA4wBZQ|_3O2r9APK6av>Uz5)}a$ z3uAwrvY&!5^u1a*C~{NOSN6s_IzeCROoCj;KytrIe%0>HgFrCss?_4pp6{|)#zE+K zkM|+Yc)m4bk#z~Xv1f<<&2A73j?EI9X+PSKSk2Zt|1)YvbLXpw{!ZS+03|+A19y)(2H{UJxGvrzvt`UySZLAj4vtBR_$i850DYyw{FoL9dp#i$ zJu@K|GGp-22Qki(3|<{>Jvl@@sT`b_zuZrJ&%+uoZy$dkg8Kl?ScoE}|4z-5+G z9q;bLW@sBLMA1|eXG%J?v;}7bmm3}_3&s=@$M`vipjw3%^pfQkzRxPOn++s&6mB9A z-)BO$1yKn1JyFP0qNa61FIh`<-a&JrSnyCJ`{bc=vY%~Id9h(=3`v7gc)yWDpqPZe zH&qlbq|6wE=wiz?^Wq{{E0EYXfAeSy>9W!kV{F&EMmBmKq_uJwyYo%FQMvKt-EhBm z%xJNr>PkI^(|R=9ypFzZF)$iOp>a}|nAF+6`T#Glhhc4qYbgDUEXs2(781&F)2cfp z6pz~G_vIEfY;Nh9ZZ;2Ex7y&D_ZlQdOOhW7Oha+BQa#)6Mf}v^Ud7RKX*B(FG)VK9 z(cpP&Wl-m9CkUGS2o00T<#}7kGjoIeu>sYJ4rHMJyR_lUOAk_daO&mluiV~GI57lm z-XHuzbr8@8LkX1`G7~5eL-y5>9;AHc*(Zr9Pb`B@eZr*Hvzl@3mgO7#FwTB+$}Tn| zvo3W;+h|Z=5h#`l<(0QZ54HOgPU^FYOrMChC1A8rpYXcaXY}!q-2ArMAQ^Y+?Q?H* zD{MvqfKRiiSBleqQSlj2zY?lB^DuBJYTX}iR;d{(9*KENaoU3m$4+$u6FickZhU(CVChGD^il2jK0M)6WT3~lcxlak4#?**vnFCq= zBii%KVO=^oJ6Cn`_ve5_)o04vbnJHtu4o`ibjUo_`Pp73i6o^x+cNxeOAhX0b#1xKm1Yl>i0aL;Ss42hezQA^ zVs9jvYYL~;d_-cWbbaeukF?C6lFoFSzVrL+@H-K(sr)fFmCK%BCb;otcS`v0ilmDH zwXap3b^L#FlUs{(Dz(R9(g$PZx=t5BQTnuUyn|_L@KG$*;b(hirhWicX8$pYKTcYR z?uIDve|H36y;db<(L!7PJ6>Y+xcN61l6MmE$|momC2V6m2rM#(!k>9<0gDU66wUi> zjCuRv$9iZe(SFTpOk0fE#I_I|bV=G;$C#_B-6~HiFVGf9OjZpn@~KOa(#@Kr^C{1( zSyEz+$Z0s>$J@Mkr23^cCT29M{5<)lOZ34l_S-w}jxRg&=D9UJ?@ZS0;RxI03cPq! zBjEQxtd+w-5eVJ@x6IrGhq=zMm8yJ0@;T{im6E_UUj>IM4^AKdMWd2Q8EEjta8}yx znd{tNd!a`cXX~mg-J{7y4_jY`(JU^V(XBA)NzrC?EE#Bn|0VLn57GMT4=VBR^qGmL zzyHWDB2e5Ny1~`?rJnXu19SUnv@_v0`6Qyql9|f6n>!s_Tv6g$X63cqaypbc>a7%O zqLoMTHrWiw1(U6ZA{WOH5-Z+?Ae=SbaV~X^J&MP@Fd?Le(e<^h-N0Z{5_5;!U*MVaL z|2~KVGxPkyO7FzW-|F?BjT9OK2vjpgw7YSEr344%@qSqGfE(K|=ZCe#4T?hg$^8PM zCiFJqZn10qBJPeT4oWW!Vu4?Qx;|&h7E( z<(g(qqw8{uA#JhUn)t+Pi|CO>^1Au7bM--d*RA~|o2Xg9?=zb3#LWww#LFGGSkq03 z;B#&FRl%*#%T>JOZ>!r+E02rMPbYBjPVpBfrq8A?EE3n&68AzRy(>UMBhW#HB4`4|5zsFXyfnQ_C*grtSrnFZ zfH_YDWcRc}IE7UzeS7KE4-_W19hnxdpF;PN+qgta7m9f@DB>+UaNsPQ2lyk86)4W6 z)28R?!IsaxHajdoJzbiUT%pfOhYzCnT1#<42LvoK)!x&ID-6-*L0f4<#j<%|Uu(gV zfW<2P-2ksAkTr`{h-~{#%27cMM*rAiUYZbA^i#4I!xljlERqgvAGt4bouzW0Rg(wln}MwjlcjwfhvQdbqOgeQyr8V?hF+zVIT$;msQR*{+_?lJMWy_fz2k%fRKkdtnYvw zQB_`CHOyEw3`nE2-8_AfltHIC=4t*OuK^_IsYC39Sz5KiyFNNTO*0vF`;yIZnQSV@ zqcJWmt_%Pvow=;oSm9jYz*K_inE?q?$3E_j@m_c!+UqbR=fYkY;}A4SnRzzngI2VR z66_U`VlGZ|ciKp3`*I0{RxjKi%7K8{TST)iWA0Yz0(CMwo%qMInw3(au}mE?gYm$s z=%qGfaU0>$OFftO`R0+o^s(pW%%Zp`vSZsLG3szmN{vu7tWJrkv>m}yg}ZA=X#I}FM9M*k&{vaUhhJlw zCZs&4GWg#{>OiJR=F=bConfYTv#YYw-CSvi1f!+-rt_gu)zS_=ZS z`wTe5ORzv*`;~rj=xs>e0*|`wA=mS|GZW0cPQ-pcTplP;Ce># zYCd(tjCk$%H?hR&RXokBN1Er}fROIz@7>ot(e^Xc1xQ^lC=yVOfbIHtx7ca^9~9Nk z!xqM`d|dv`!v2o}?&-mdivYz$c!PC~^C4{uSuhy=`_G$!kdu~~n-i+Q0SPkRZ*PBS15!{I(edUt(IEhq-{4^Q5s`#&)Dr5AMEu>Ccy$Ko~^s0XYi0+0F4n$ z@D)YtH6Wu;ff10&K!pZ*kcxzX*GBWWmZi9KlFThNKn*Yy3k}3U%8fY%rpMUh&5Hok z8YI$bp)zcqBr|x7zI`XykUsHWdL*N{M|Em{Nl6|=nVpet5x6c=nalU-(-H9Wtczx5 zzHn+k!42ubJor(B^yU{mh6sn9HZx{t#;)FOR7>U1W=KUZXMGLLoNlntIr zI9XF}UO3jWIX4ffzs{p4i|iOGG`_%p_BoT&gy7>hD@F-MLJ#r-wtP@j(U#ax{nauMp~#&-w@qS6+XpmJ;VD- zoC*SU#YUV=BMLJS0LDkHn3WI?qfmoWWckG@4iyQFm&5%-L&OwX&71#^1|zs*;Jd?? zf3&z{UWv^NUyvN=9FPgouGIGa-dH z_Q#|pcKEoGf9g3;VgEG!m*d**CeHWJ7`F4P@G`hiD+wIJ6uBRPc^OT>&r^$@$WIhB zjLoEdPDA~ORHcL@=JLN7(oW_go9h=P%wnn#I-O6)kL@-MX@Aa-4^b8j5dS%vJ8AnL z3JJ6~DgndE)tI0YVE#7ByC0xv@i`zqfAU+!c6TQQ9Tu|g22@=2F&^+KgK$Ztp?~2- z8{f5MPk(gd$%}Ex(w^&ee8LYWeYG6tb5GtSbHEZs%4Er#CF|BEoj2&~1Ti*r(6jt- z{I_FL?c3XWtB?sbC&h+?EF(|{`J>LE!*OwJb0q?_T`uTJ2EgBy zSbZZ;kdT(Y2>;b^F#1_+hC4o6_R-N=9)L}ZWg7G*pMo1-{8%cWXyG^T=zGO6$Znh#3v1f3Uq z#%A0ULy{*m{%}+QSe5NCG?3AV^DFf4Q#|534&~b?lE;6d4Rs8&opX1(WY9+tkh63z z)ABc>4S=0IF-zgP{a3aHa)wxQ>XhA0fu+f%5CV}3pzCXex=n4#=}^M*tA(ND=cv%i z!ar2N@=@WI=B4C?jQ?}M ziC7T#b1wxo_HkO#sfd1Q*R}4O!W2F-Ou~c9>2x=hralTKlrra zgGTVVJI+@IyCzq!&jN5>9&?@(2fHY7>ftgnGH%zxC9iq7y%=YnZ(f#H2XUU(N~niB z?20w~|H1X#Ee@spk9I+7V}wIK9#zGO!%AgUD}K* zmOw8?(Ub)O#>ceDJ_I5pj?ad%%+4uLJyaMK|INJZ=bV~Ex|+TYfxq8*gPtFYCoe;m zkl2j+QHN6}IZlGmm)~I3hQrYm-bA4y65xc1p&7hEfYA@t%yYFJVnk3l>?6k{q{b(3 zFCPcvi_og_f*V;WKMOUlNWXdpVSbPFNm+lfK2gu*7#_dxd9m~ z2Yb_mf*V8ntJF|S1^fyPKG=+O!ZWNlFm_^;%wixW_7Aq>07HzOlwJ?d2kdAhFlx|s zQ6sICmaeX@+nkB9;XA8sAMPgM)thCX4f)OTvWkjS{bc=BTjOQVGxH~f{#c6Yho@;5 zQ4R>Rb!}2UA|WRd5>W~#k{pIvEecTO`6ILZ&(wQCMtogFIvArose)EMsU{`eUIQ)* zZ~i+dAu%T2w6HD4KH#|LgABE5r5F)x;jKyG=w+glG8<*$=Q=ud#s7IG{P190g~ry9 z8E%J=E*55CpBZjJ5r|JK%N_BF$HegnKX{f2iCrb|dtWpQo=GRI=lU!LYARO`0>JWf z;))T3?Zn+mJ=T#XI0Y{WeJkbjN>9kkYEC!v-7vHcDBHTb&JEAio-8q5@+_QeGQMt0 z^zC&0STl6%EC1#7^dQ7^TAr*qum#HZwJmKmyskU2u9;SkZZ?bhr#p&`n`Tp+(dzB2 zP??U)+K1Xf{RP5dU?BVTH&okN*Z{3n0}r1KC>OySH*Sj>QDJZCXgJ(|tAfnMMdImS z)N?U{%vD9G*d{Jp$+Z#cl(Aoh_&8r*3&~TceK+@M*1q*2*~2v9L3fzete?k>){T(1 z5XO}(jXu`h)o4e(5qh0%;h=n-y&Y6_Z1UleJQ{1-dD<*0Q)-YyCVP8mT6O9B=tW80 z&+hdOFJowKBomrIk*B^@WGBXqUfP~{*Q(Q%3<`0LLv1`_yLC-KZTwUFee9cV*OQa} zthV9ELYj{VH@-lt;L1h#?r#dk08K60ZWz2jOSU)q1eLe}`-pCJ{t#c%(rGbhI>`yv zPe}biN$~HBBTFF{h`om2@`2Fz$B-G~$I9cb_2PR8byblfP}lna8c`K#(O!(pqM_9| ziCmD^ubz~tzmA|LRE?zfde1`zI*!mpNf-Ij>RP;K_GM7>$ozt4|B#^eW>Yr=?b}fI zuuO>Gz0GcvSaeKu2)>gZEw*A_ig&F*f0gAB&w5qLucOJbrP+P^hW!N>tiW0RL|djr z+pwRsByf;5)$gKTexQ}7PlDU3N`WUQ9&_QsfSLFZ$WQUSb1tk0{QuhRyvQDu zSTeDWvvNmmWNPxqgrcjj>H0M9$@9?AB+R|Nam%OaZ4m6n?{wq#bWlQIN{nRN`pkIs zEIpD7c+aZ_!Hyi0Tq!)vfmg_Je?i$pA)3v9tr6_$OzbIMK7}oP*AsRqL!&|GTh=RQ6_UN&5{i8 z)1Q@S-STc1J{G*4bxp>4Pbd55GuecFWc(qSZvu}X%E6Qb`H~t4F+^zl)rQ5i)Bv8U zO|Oi8*kfGRD>7o_X(M3ggu$sTG^8s{3xH#rs5jY3lVu8jOu~gRU`Fc{ZlSWq?s$j( zKa{<7P?YiCHcH3R-Cc{MbjM1G#0p3^(jiE9?E(q{D$=!5B1i~GN-Pr6-QC^Nac+Ol zd7nAwJihbJ`G=j^VRq-<*}cA>`}$mg&$h-~4FxH}&v@>Ih?Cwsxj)`PjSO9Tpy3Kb z$fKit*IW*VW1*fQM^5H9Az5Prp2lmp`q5JZ4+>yIjh3$(TZoBM%TIgEKp{d6YC%pC*rQMhx*KD3lrBrh5NziKdWaq;4kuK)X!tM1gxpHY3(b-?mOr$f{ zM%ZG$SFRfJ3cBGck1$$9#a{N#c`yVpkj~^mx3Y-v_mt zQ%@|wJjnzp!jhEV@R9*HKELZVw`e7F%bpzj5}t?8kD~f$qc#?yF`B3D+7!ye(-xA( z?zq>mBC09jp_)?F@mPD|$7?@794Q-f->_oQm^MdPR^a28c{1RqkYvlpFP#{{3IX3CC~WNJI*ev_uN%jKVbTdgvTG8JLi#S8KlkL4EX%^KahvX-Q@$fnwGfgcJw zp_>#f%QM~lc9sVY!vJlGilkx{V_4rcMX2a?``_Z|<(8 zlvlNiGgv~zr7<1f5&mH_T0jZqnf?+*M*71p9GM(G#tWUYD}@5l%7uCLFBA~Fywj>^ zB^wzw_8v%{yd(thhXjie+MVEchL1{$#N{gOc^8h{(0wZ%s5#m1g}iz?+=WZ9)Y&zS z1}`%SI8FXMf$g>uQ`QA$aDJqszG>BY|2heuVrIqrV9To8rw9H*ytlGucRs@wu!<4k zQ$AYL0%WvUTxSKZC|j=R!j6Y>0irrSleep>y!h;0>YV5*8{E9PKqf}j>P<`{GFPO% z)mo?(0#RFz*@aIK?=w>s%y@y6%agsYRp(#DmM#x4TP0hG4hjNY80~_Ci6<7iU{lRW zqHq{Pv$-|nfeLQzN6P#B^u_|hIT50X^Ioh-vXk~;GH@L0sLzYgcSu>ik&q+dmzd-L z^%utKowUuOkZrCAMfiW{Sf*+w#%A(|g(II3$@h7`^3iXGGP{etB_6H`#de({tb4J; z|3Bb14CpM}tH6k745Vzii1$5hxg4LI*S0K_e>d5(@f`Z|lK>`YGUq%PkDM^-vY@uv z^-l4dXHVqK#ma29Ux!rD#BY)C8-ehLlfXk{_8l`T#BFNt##FVePAJy@uJ3F9mq<|Y;i(D0d} zlT&h^u&SEBG{t#T4YulWw*q}t?Fe&*;L)(XY=T-MOEn7kaljfhoPFw%Cg zT+tCl#J(P5!?64DcNM%g7zbMr~MMaS&~tJR%|&>4?!mj(kmGB^gnVq^GrEjMRrQa-{eeG$%NjLA{yM3DhlC3%aE#HFQFL_S?l~ybJEgi4)0COgz zf{w|rG2Wi@Y6bW{ck$*$-kw12-u{P%mS>hoLCAJ)ml{2_;}UU9_oJ@TG|jyJnv^I^ zQ2+B*L5|c4B<^ogmc$AQlN)a9FGtf=DINzSqM0q#?0?ps>m*_-lT_-`RSaq2GMUvy z#5FT9AH`Pm>7I|+r6y{vNI|z2Lpd1U47RYm02g;Wb)>~3CLN(6nV`XpSw->K%7a^1 z7&(DmCT2ID4v)tSF=-)-#pC8Z$%*bUC)+x-vlNkeU&^IXe+Sluomk z%}-oSM8@^HNW>M?zW6bNr1cKbfl)s+?L1P6M4ddlUmMk4?R!He5qv9=QpKeu2<;|t zd=40r!F83dSm7e^H&*O+o||JnN}BdHs1(KpwS?sQ_qAuLF7}g|egm=Iccdxy-XFQl z0{EbYk+^i?TXd+V{iAa&;pGn^lH{A5SBp-Idm(Cz19JI270N)fCwpdMI3&P9HWpc>-%L^S>>qVi$QEG<-VeUq9vG0 zR-x6wnI6&e5wh2KvMP08N;45J$E zvUyZ$HlTJj5l_P*TGcdENHhpDNKI-`YotXau+}tT{&_=m@f_%Wm&B4`vQ;wK> zz}MKr;M3j9#>8(y`+B)YcTCq3a%aQZnj-3$ATC-ia&V?gc0+a6U+9!ROxiVo*FJA7yYHhiG!?ZXOeDiJbp5d11VY8+4bkHKRJAf&4 z&*a$V;Y_t6bg}sjuQrv?6$UwY&aV(e?j|Fh<@p*{=01IL*@h|f^=rJj)(=}Pv2r12 zRdlIU0?d5>D;Gf5e)wMp$I#y=mj+0gyWg3^;)ctow|$o}$P10)pF1ItnQgUB4It8L zLj)#jN5oe))00Nev_*dt$|a!;?cF~qR>@EjRLU@+QR&v+W^o)uQ%%dqi)cPW+eBGB zQse5O0h~ zX%93?(28=+RcRFKWup0d8gBEpnT0r=n-1mc9U7CoYeDb^33)JvEtBH#6T@?oWKWzP zM#xz{{|y=$P$^Bij+uO0Yeh)m+vT zbp$oS3n%|cS)^wdF{!%W6+ZGA21WMciVRuK1Mct%k^&(8qd!y z`AEHDIe*|%OuQr|GVtCv$=mt{x-4_a2TVT_IUovF^dvBYPxb?*$m!T;rURx; zoqxtl;k*7wTgim_coT+SkC!tGf4ymp@2EP4c2tUKk@=pzhx|@efSg$3fRoTvZgBYH zTp5gVhZY`6t=PH-#3BggM7pLwM+s?)G7yk07)tnlT>=yrK<$GWOP*>NejKuO7UTs{Tiv~o&2a`$P0are>(pAwvKoh z1yuk~ws+sxp{t!VI^s3aF_uNr-lc|r{xzBQ$3_omL}oN7f5;lH|Kl_u!p)t&d6Q$^H-N+Jp|q5f{({+3+Or8imOMQ@#U@&| zc#btTe6qfPCuyPT7Xv7yn>jmXE`nK|JJ!V&duloI$Ecmtt5Vi7^vvYjE{%7(R1`+tgQ{WY) zG6mlf)8-~JG2_yTSo95DJQpfM18pNlkuW#vux-Z1nH3l|0o3mZ5iM9%(F$yNoa)mj zz6t!BL$GY*ItnKWMPs_{=K68twpX_wdX~{bXmNy$t_^DO;fG$b|HWo45$(U&Tm%fW z?{rgspp#9}=N_RpotT*aKp!138~GO~*1bRjI%6)DnC?~|XeP_dTE_FQudv82uX65c z{r;>bxVj(AX1GewFNa=R+~bBEUOX(F+nIKaHTv)^$pb=_RUY%(_1#osuIZis0QJg$ z12q^bQP1oB^q))+@MOguX+<;qQV-)D1vQ~=95tBT;n8ZkIpNN!pnNQHrHH%hfB$#qsaJ7)%>hps3$4HiDy`Rlf`Yto*5^;7!rJxE@-P+ zDySdHTlU=yR+D%^Ndr@4Ew)qo9z{Z%J4DZfwM?()5ML`fiJ|MxWv}cYvi*+pa5*$2 zZ*U@!c|0J(n#AgHY;NY`KfI7LlH6DnU7Q|nSgMc$qSYO>*HK}_4NraQ?{EwwQ(=L8 zXY3P1hhuTQ%uiHTJdZRaG6m&QpyIZ{o^yK}t{j;HsWGSk-jWav_MK6KGqbaiBICMd z*yJ1MGWJV)Qv`VTkKH!PxaB3BW2y1rdq6{36?wNVGaXB}E{1&qP3lqgSc(>1?l%Pm z>DCH!s|wj;ftTprw?~ zhs>O&5YCbJ)G2de6n_GL6zfS-PJ)qWk*R@28-&5Lx(b0k<4M}nzv%a+Ty10qKGr`c zTxgzQa{-FSFL1cobzzpsRCma#-0;z85&z2Bse#A!6^B7t3PWkKD}Ild{_u)b6z(d9 zl@hgmgrL@C-Dr&&DqP&%k5(c7X96xI_p+`@hd!IM?ztBzk)6dFom_|E{n9o|Bqih8 z>3rRz4Hd_sU)NH7Jxv+b+#BRIR+96oIAGqyc$IF|Gyr^flI|$-p-wmEneNmoWpG5=_C~$wHF%Jkj#R5LqMYZw?9z&@QRT$)y zdiMiyraP>}5%59*#}DZNFo!2~3-U==DF`AI;2bkpT=>sP`!@YNnfgwpmTR<}zNwlr z&;*_|WVgPnXzy$*<-6fbMSS{BLnb0CaPjLfcKX*S8RwSw_5Ns-V4htFAd)3#^B{}k zVy*4K6-K8he9<*v@jx3i^cfb_yV=q(go0my?f0>&arong&AO{FD+Ve>IH;caWg<{+ z<&OlS6zMG=X}kwIw>eGsCh5%AD)#t?VNRRp{& zFu=l$s!$fieF+f_G@GFUeOfxQjTNm#L;F4%?~(dN?X!sgE&g&U4EBcJ79Fur}-T-_FeW$V%4bn>_V z4aYL)JCn<++H#Whm~NI&J?K|2hx;3&T`WI(QU3eTQ6Q_+CS6(#5$4xt3B=Gadf79f z*`2tu>_O@Oz2$f9l0E@`wHA${It6Waub|}Y`s{kcpwsBhk|ic(Pjv)#PoijU-{GIT zr~6_V`{myTwI)%>Ix2;yvhMm^QgS1|Tl^RxNbfrA-*n6LA8e_tbwGsUZA%bC9700{ zL{Tj;i1i&<`c)kemhcGDk)S+lu$t9-R#8iYycSA3$!b)ZBA#&fE#?=)FVG{%f;|?f zUmn#Fb;6`rLEOVY$+j{iwyRx|2_eOQ|GvBl%gD!a>9H^I6}wd|2USK`B_z^cGHhtA zCyb@t7OO`Dhb53Vs>$7HL&9y<2L-vq&Ok(;jFB=;W_m8nd(I5rB%wUTDdyiK(3(;t zt|kdn$Bqfggq~#F3F+bN$if5?EP-mq_^Ee;uPLvnDqtA zddJ~>n?TB*C(#MwH|>Zc9m{mtXJVVTv%+%cm?Ld59!QrYkd6eas;UC=E6#x0Zh`P` zH2CA384hu#PSK9Yo6QPe1EL$>Kb5|S=wOkisV-z6Ii+2r>0x@-hiBCu1IE!iS~6eX zm_BPD*0lTx>~7pYqG$hF$v6@9Ws%80+Uba`3)m(tg9ab7PTFf_wsut6AKz||n(F?J ze$q^06jMRb_1cCtrg!X&rVU0($^Ix#QY6w%6oH%xtf~?!+aYYk8utoKpS5U|m;R$K zh3T)~1_K(sirv)A4L*m9EodE>3=btpM~Tm>w6rUVKg9!7--4Pn2CLRldCXooRy=S0 zQY}Sk7>UT!<#zUe)s#8D`q6UV%m=J*+#x1p(9~oOodAja0aSG0OHZko=cEGg0m5J4%fR9TE1-}Is&`1-b<7WIzX&DCj4@MX-p7VhU;eI+ZlT41xz z1>pF~?^8JBYvA*@`i8j_F^-!L!sK|WDPTRt%gwa>}wcyL_^p?(+%KUe4ud+xW?MgO%0JkUau z|1&Bdn{#(%Q76sQk}%O=f8i!`HB97o&1g{{K(ToH)?j7rw{Au=zj52(U7z-{Xn8=; zvxx#8BMV^JStd~Ap$jw^{?`UFS7CYW`xwXn=2J;;J%84U3>!aedxll`W$NKvx*y~D zXCRHU3nld2v$%wJuE{QmH!u5Bi)m+3ljGg`#N>0n{0O2ue_Iw&Pq(-%!FYS>S{Zq^ zMs4RXNCYE;n5}|J)EA71m$f}(n2LdMy1yk*OZL3R&tPpNdf+dJTb0nH1Zr0H)Wn@8 zW&=x#JnLV6h;P_epmw<9?9YiOyEj>MzwI~;BtY5K=Hsls|&R&dl^6f_D_Xt!8w zs$dzjNc^+zRb;C=KP7LHqqEAaCO<{QAv;wpL2~zKoMICz8MQWuz+^7=h#xc*_7aQT z)D!IpXRy_M)+(`5l&g>WL*BOin3m70E^4rdPgHUH3JTJi>Mtu@kN3R38z9?F3BIDA za3jOo6pL*E3mPzS`oxAK^XESdf?t){Hh3vXn1;)g9b z;Yq&Sp^Kw|E0BdY!jkwdjCY-s*hNk9u?`sSDJ<~p&saC3b95Yy}ou<*it0 znP-6O2P290Obl|6)Ml%+SPYJJbq)pirV*;ukEUCtqdoZ9P$KNLU8Vl5ZpL81j0HO5 z#6+bJ(tEy}I=4DL##g@L3tx?iSN2Q)whdi!cnmVpIp9H@i+^eQ)Zl2ZzQpf*jzT8z z{?Ydd{1ZP~WubR6hz71B&YV@OW&C!jl`Y!j$BYA0=jJEhmeUAqqT1jr&BEw?S{+EX2JaZfhB_JpB`!`e8wBJWU|!A zrT{Dj&%vPLHt)sJ7x}Z~6MCum!gN-%S`LSgnH>!)QExU&CAoeLNFzl*cAw8JAJ}VbeY%oHiUsXy-9>rpeXocy`JdY#1YfW2-23Fe) z0!8~7gmY5iT^R?eo-{Tj3PfcX)LhkS%OeiI4r#!=>iM?eal52I&0g>tA$Ttj-#Ctx zR0php*e|_|$$tJWxOylY-tTEe&u9Y=i+~GpE#tHf8KNg^~0GDO4cMx##CuCYq z*9D7F11E};txx$$Uq?x5EOu%vmgz+v$&6LJR$?C6hKx&XgBMZ2$c)~8B9JTCT>$J~ zqG&Gq2z1n@?6ri%y!kP~YiyJl6k60>ZmD6AoAt2jl<~-SB7P@wZ*ADNRhcsry@LOq zXG6EjI9JFHOE`04;ynnaw&1m|EBm=+0ZL9yqTf2H9v)026BbM)7lTeDhXXic?~vKD z_+&msg+poCD!u^?Smf7}C;HXlyG?#xLjRsTEnU!HWFZH|_6Jv9IIJ?j08O~J7%SW8 zYvuDJ!L3O4c%QR6u>#p^knFy(=btGVh-yEOwHf-je!N`Z;e$Y{cyRlq+aIU->%Yth zZqN~1PO3v0h+IoV3*aQCWxO4w1;YDLKurEyIE+xNd%K_KT(8D-6AAxpTeTNeLP+Jjmb= zy8F8fzb{SKee}Pe`>4mD#pl`Vv4@G4>&`E07u5qn$PGaW;vc zxkIk+KZZd9hP_t6G^L4M`m34dP;{*QC>)%Qn9Kw$TJ88`T~r^tG@t2(06)4WM?Mjb z){n~son?z7*bCoFG;LsmKWS%QvhZvgrmmN_G59w=vK(4A!(yx!WV3CJ&qlp`R6W$^ zEHB>Mg9q%4U!7orH9R4>o>(BDhGQ8>hk#K6Cb5wtFcS{MVL{m3Fx|t}N$%NuE7)i9 zTtRW~aC*!K8|O+|oJZtA9r+vY!7_g6tOeZW-3XD*NF#P8?F>9c(~X}*WJ0YSI4Mgo zG`XINJaJxVon65>I6)D#`=LN%EAXW=zU(WS)x>HctezM>ECbc`5ZHPIHwFbij+#T< z+pt|~D{|IcB-o_29Wdx=P}drxQT!mikK4l*qtM8Tmq{IBhAX8R4U~d^3*ZV;P;CL$ zNN+BF4*>Gbg+?E6db$U5Z*Hp)XhS5%ZG1^lj_H zI089}?%TXXHWhJ})#`8Q1dWvyyvZdqp}9yL)SNs<_zGbl0glk?t~%UTL5z#v9ly~+ z4(Y$vYTq9;QVyFFjV!6zVOj8Im6M7A)v%}_dmr>sPjG!7Fn!nemzF)1ve-rWT>6Xt zU4~hcon!ygxx2_&-g-hBy?(qXT+E6j&nI&UAhEkSPpacRIERdH2=1U+-CO%X0ffuo zXNNyf&QY+*D3}H;f>Y!hPiIykRq1r?xcbtW87}vOrwid>m2vS+KW1tP=0Sht-k-r* zG2SOom1?8!jEAqZ)dqW=Bc%5EPk7VdsMXuJgSO%&7_4dozsflC#ZK5adUd^0`mdOe z**%MB7aE7!gIaVynPU?%8MlUa&;V>mJ9IgGKKQ&I3FW1&d@gI>83}sjf4_^7-YTX6 zY}H-8hJv6yOdvgo zZ3ssN6|k-Lxf1PsebXmr?I6qVX;C@qVPm$-Yw*gY=r<#pzhMP~<<42G9N?x801JMo zC32eQF7qxrE#D+>00LhUfmE1cPnLEbSn>0x!uWlH^L-d>fZrvm3l-kfavnaQn>_ik z-4zwS8qh}YJsudgF?iVhDgm2wBJ#s7e(=jUGTMB>ErdeGb0i3S7A70L^R%l~mgB13 znb7p~_wSq~yPW!LpWruzr(Ic^(i&ODSeN*E;IpF1V&N=dO2GaGO^jmbbM$~1XC>TS zNg&cX(cqcUKoTf3uP|HYO(KiyM}W7n!{S-H>X)EpylCCMNSarERogC`eiV=M?GTgZ5SHc#B~X2$0jFs&eeD&AZw2j%%;p-Nt$DinLQKDmDIC`^H5}r( zkI#FaW`CQ=x1Yib-*L-4s#%-F$86;Vu%kSByoWqdC=0qVZyu+_v-I4jo zPCf+8NM)&z{ola!|9(jILW5NYFSVOoM0U(hhg_HVSe$*1_SjmIqnD|8r7MX1<3(y@%F!|lyM%Y#Ic%pxHK;+CdhXRb$tFGYd*_ZvdiO~%cr-Ewf85K<$vzY=3A~) z9?)Lh#)sAwquw4R+*60F?zhwz?^4$uO{dW`-IyJ=HvbH)4VrI2*8GL+<0^odd1qhb zsl^Yx5m!n9|I>e8_z=bq3pC2Hyv4w(ZGB4W0!cRAGt~{;w9kvdqrLw4ScWITSmEeA zyKQJX&Ep)S-6aRHN2xuTuiF{v98kc~>|#eqT4LMnstkoUZngtOuQd!Y8Lk~ZN0ZL% zQ)%~YaJE$V;vm`@*mYxle^(GufXeJEAVlIXg9dN4EC60FBM6<`u09vGffd4 zVwXmfiu^Vhv=#PUEDS?2&7Gi{_){QB@+r<^pzLi!Hgo8bJe-;kMhemc$3GbXVlK$A0TFJ>r&GuCt*;+DmF(4@UT5_{COU3__ScT7l zUK-6cp_&wU6(hz3yqY|AXVyA~ug+g3q#(gQ`*pGW8@QK@kG+k?WYxICk0w7Kzw&CaB7 zuXXv(8g*Khj#vrz)7si(t0d|Iv7*lhSl|5K`5a8}ZdTb&>yJ*A?aiMg+Gm>rssy64&)32}XwezElW}#r5{40eTI(ymCo8zEnE*?K53Aeg!MLmu_t1l#&fsc_evwUZK_rJ6N zeS_9PdN+01(G+F7-+ z9t@L)7`lX%r33`V2F|{^W8^n$ zejO-0Z@rTeGM=*PY<{xxhX2%u>W8F)71QEr;pDKKO|da!(b4`*2+pa=kEH!O)}}dZHQ{BXAo* zP0|Ks+i$=_WK9g)%h50pQfb-5>yLRXLA zdj~iVgs;EVD%h`CQscBMKKow&X&<^DNWdfl{Rl+)2i-nUOj@EUFfpEmDW)}`p)!Oy zq^yn-xfy9aDqW8Lz8tSqN|Z1yW>k)fzc1!*iExR)1Q9?SHY-!v6a(!t`;FX@QikAe z1JMPD1?2xp{T- zWy%$z^e_b2yAxZH8m$m?S|Q!;7(@pi!ArGfPzgF3g_s3LUZfrlvtWCD0@=(mmf@C= z(6sbke-?NHL)6&?f_o18PPg{T*wgqS*tG%Jp(G4wvY@cQT`_EtM9)n(xm0JZ5){_Iw69I z&=&Xy!trxsi%3iZ8QVVaQTbEz7?AK8il#?NMybO^k7YxYMz7;$A&e;lusPYjzq;Pe$I7O#lkj^&0XJWk|cPiS-c!=`XQ2z~XR0{G&)yQ(-whZ>24M0do$fH>dc;V(xrFSJkQHd_Gfhs%^PEd4R&t^9#wt^9|vgap$r+d!V z4x<_o)D$TC5eC~66H-^Za1IQi*zzXx`hYX9UP#7OnxKP9 z_%raY8iYlccyDNJlWQyjh*v$>KTyR{oMdhky6#F##+eM)ElNTSnR(Rns>n){trJOf z9Do!$8{T&wNVWeIfa~NfJ2kb(@3$MVHD#<<0yOgOWdq??qsw`;=(u@mGbCc9Z8KS<$WQ0TZ?$p!Ws<{xPdq#GX&>q_vwGYGAFPuQG* zWBg^vOCtTX?|W1j*+yaEg=p?jSx&O!TV>*J4txf0)1Y7(qp06(asB8@eXKHDKLg#5 zgigX#Lvm}fZa90kR$*>aF@xsVi>6n0jeF;C@H2GH^Z+UP&-OiD5()ye*8H@&RE1k` zwllWk_AsgB&%-wgi1|Rr5+$5k3(hI4hIoB5k}rZ)7KCZ{-21|2265ywQt`utHg4ht zN-_OUGVjtmIMu+p31lOJhph6yXfuaQV(Is)W*f8un! zq`3EEeTaq8e6EG*ZrbR~&VD#2V^vCP4Yi>Vp7FzUX52%Y@nfBq7tQ~G_P?BYdh0%y z`^lIQV2y8%d}t33T>dkJ9)0wo{8oovGHA(sDsy%Q3kj0H81o2PTg~ZQ=P`KYyg;WZ zBR<>Z+j5M1Cuha#SZ3CZEr0SfXsKOW%WK=K50C z00H+0*;xaU^%&+?U%8Ifxc;B(%t1Fxtt{pL-KZ`SF8wU*4)6Mt+{3v^F68>Bc%B+o z4r?wnvOfmdM2~S&BD45RsGOE* zV3z^m2g$7;=W8_?%dk~T#tT)ek;FFh+6eeMD`{u&v$K_y+18ZA=gr%pzp`KZeG=gINVEN;_ zA{K(w(|q(qPRl`2HR=&w?*P4H{%+y!Poqp6!{0SSEvOK$-+>qt@+mK6!$I0Q1H5x7ri zlV@t4b(UB;kIdomN`aYv-;FOE?n#vd7J&INh$%6KPW~u+hkK>6Y74jFjb`qKrPyXN zNcT-5G7VlD4R2x)a3c1eS@%%MR%1tB3<&9{t%u*8Zh4!e?yztDAk%ohJ$6U=AfnS~ z8`PU?Q~L_o1i4k&*oQ-$_AV6*c|A_19%lw!ZyOsmc;QaF$9M@Y1&B}!=6@pAx3>g@ z9yA>IPgFp~g)1?lq#rdy!{t(%)1yh!2ftXAUem;LxH$a&lY6(l&qc}QB|49zmhGAg z53&(MnPI10f6BY6Uo@PB22XoGEu6QD2P7a`MJe(_Sx_AbxkS{gxYX(O9ptMN5P^nG zZJi0dw(SZCBULhRQ+6RBM}Efn=Pf3Ph8x2M&W59|#v$rWQeD@}V^>*B!@5iFDQmN9S#3gKa5;bojEJykZP+gcP}fD=Xp7giRlO-|oZV4T7+ ze_A}n1OqbM_g5zfG$27Ej+)DbEGLNXA`Z=kDflV?`LvBTr9Lu5S~x znH5gj6yT;8(q%c${}N@pn4uYz@h?v<+&@@}sMop`*i0xbx&|%BGImZjt#wS*2>Ke- z+UoFx9<0>otz0vt1?&XEQha z=WUiT7D2nSoXv{>D=wpBwnX^MQa22VO|<%A@mf=wmwTS!pUiwlD^t^I*5y6ZB~ufV zwu6|-dq51mynCDzvi>dO$JdM7>A>So@zB9SzR;g|_dsMJ&3(JTL*pNpfJ-?lhjC)LD9v9RUowgWba(`ykn73+T}M&Bf%&(5F!mOdz4{PRX0Tj1)Nmmaa)lxYsSN5dAt^I4&TU zO8is#_PN~4CLpflE3n#BSr1!Gc#AsnI>zd8>lgOcA#!4O2?${XF)kl@tNS}{5|oZF z3hybvxQ|5O3S}QaheXS+cGwvru*SK#_ke;=+Hj%9fkIx=wy%EfOINU6*SrJcIoqBP zogwv8mUVhG2x!>Xo0^vy$BsCl;ALh+Cca5bj~X>MppuNc3ZB!#0DKQaK*90zi9tXg z5Db*HKu|&GSVt~t&g)xTm;q1QyydwoL(BRlmdiq{cPI-B3x`-ThmzcC+xe9Uz zJ*`dnH8!F(^J7HB#YQblR6N~yV*$Txzr54KWL2?JgeN>Cjx>E`A^N2vpuVrkN;x#C zxrxP2p1Db%TrjwxkA0Nxnk$wzDOIVT5)Zwy^98|F=oGM+3@UmrU_ zV7!nb^PP(5Ag3!5J731jlJWG8|614etjIWyVx%JY>Y~~sdxDQ2@6M2{Zu9Thk}a?F zhhU9RE&1`B>Sw7pWrMQ8hA}=Q2>Yk?|hEJZZ1v#CobAv*VxP1C`>I#T(Tvu5o2B1U1T?VQ~jZg;dSNNy; zb}!UrkL{nmrc*#jQt^}yR`}^J6#fFzsiIWYd}!3&?W5P;&H83z>O5SupQgs6n9Rz^H1fwSn5v7G3@Dy%Yq- zAI4RUBln3QX|MxUIMWVc)9&30%PtZMA-KLjOxSrlW4Li84aiAn^>xiqXcx& z6&_(M6>YA25*{?QFoJC4Xl_B)aH=r{0r|RlvEQB@i4D{}o0xjl02l&B z(@_wxmg}5QDua}=`Ed;M+X9Q2mb;O1g;(kKpv8eeK0dQ9Z29ZIR-2rL{j5O4@Gr9i zdp`D;L#p!di_oprTIpHMB7HHTlppTHegW|wC;N~9x^lN*My37H3sRVlIp2RAg1lA_R+`#hK%2hIb2fT+l#|6>GVGn2~Lq66rKj` zmTDTPE(19+63h5#hDq*}ibGGR&rCH1Sxll$x1`Wk^m|)jXrwA05&2oE9`7ST!MTaw zq@|jT9K)W|aPGy0Lrn1X>V^g$k3J&MGPLC6t_W?81U^a=!>yXS1D{5R8e@mrS%;c} z4cimR=`XTPdNZ`s0>_dv;KXvi!@BRfiV_GHE;>$t9KlZxZ~I=-j>m=4iOZ7@MImf- zUky9@(sSUU7M-R?SXC)aTLcY$(p6o4Ku>4Ws20h|_f9@b+%)gS+$D;dl-JU8PQEgK zKOdr=1^S+C|JI37VFi0y2kpH4bPymz|4m*{?N&FYzrz$GD*ejGkMH@G41=)rUr{xC z>4z|6l6rQPCIoEd;1qGo&-ZO*rU%;db&QLj(EZ-{t>-hgOcQmF5J%X=8Sjb~(NlX` z5Bv1@5GL?T%Ry{a=jbB;yyBU_w7pI>D*`SNS9^NAGB7bqRyyj3x(Hl8(=AJga1Kf% zg_MI^;zp+6MR{c^!X>W*cYTKR%cn311Q(Nw{7d2_8`aO$7w~S8(y^1zefHJ(NJ0Os zh?eH1Zhrb1*~rMieEJ#T*q^7eeS=;=&)TkU#n9ozHR$zIqv79#i{=kciU5<%IG{#p zGDzqn_z_Es6yfj^R8~oy0C#w*CajztuSSr`u|b!6#yQB@AK7!OqY+faz0!#re}7A_ z>_C^B*nj~e`%w0*W;cZfCvov(gR_+AfMC^~cpkyb_SgHUpTY6dG!G#za_8X?piT3G zIH2O}F1B&eUqY#tmWi6h1Os|1Ar=K!xL}Q`JCcRSJ|=<~gII?};hhs2sQCOnWX8gR z!p&#fExC*DSlJ@Gx~l+n*GMjYfDn&dr(c1^Cicu7E8o`9DJ&f6j)g%sqm$bq$lz^G zQ@d*QyrkZzof0e(zo0pgB04|25#y1bFUw+P#u7YId0r=j1;XSl9k3|r3nZGz_pe%U zeQ`*K3O{!`ecH^aec+4nvq)UO?Wq(MgKTa(lTy=uIfM4Y@4-W**f-`ajIU0Ztr@8e z$$hg_+A!Ct)#nL?yduc=1wTotRT6)*Ygreu0kPO+`?x6f$K({sE`{Gv6h2Fm`0ZtgjpN713T=C}Qu+0D*@E%q1uL8s#P z!F%SWbG>_?3)rzU>C4eq{a8l74Swo(O88!QMPt+m`zJH?OfXrn1g|E`rczGI2)TGi=1}0joQ~r0%B>WIR3Vo`Q`DCIzQXu7&@AY=5^XfOSXh;J zzq1TMPKjU%PDKnc2I&B6D?QSZ(rQSRSi=Js&^u#sR=2*BAn@Q(RPrHQhMAK7;)?+B z8B!ltnCAT$3rDgR*=Q~q{RTRF>0As1^{6ckRH4Y}3zUYTJEVK)lI{UX3F(HB?xDLGKt(_Wq+07XO0#nZGtj_B`KE|ZMH0~Oj6S#lCw_mem9<#se64{@UT#YYa zxs+zR`z{6N4b|FJR=mVyCB*5Tv@OQ0X_hN{^KD{dpp2DmCIQvq>?1h&V+iD0Ff zWp|2mS;O=5b7W1+!Q(r@l&pd74Vs_7ao=B%t`3o|UXUul$-&+oIqrAm;%sbt9=-i< zPk9aI48Dr9I(`9~w_HnT3|mar>oT-!w7&V%usz}tCw-|Bb; zp4|M6ceXjldfs=P22F0vYV_twyTy6Se3tY?HzQR>@|!z(5P9IVr0iS3VoEC5f0+9p zV#BPKViR8aca9APdB=-K>pSFbU|^CjN;mynUM$@?K2&5m4eQmoQKgf^5$NV^Y4-WeV7`z)t(8$(GYSGaeEH_Da!x;2(; z7QcJiv{G~oUr;UW4U1dsb&OS!XYp!A&Kte@CLovANaM*y6YJ{Kbdg5)`e?9uZ+50e)yVTMv*t@C^Ts|!<2n__Bg4}PBla*UrN{L@m0RpxxTHFK!`}Tnvs*)r zfX^4ITCMFwXrg+DYdSESu1kGFzKAPsqFKE;dXmH@mLGRe!C+mK0CwoMn65!VrbD|D46O__?xn zzQ)WWF>CzGXd=)i(G;${8p?^F3X}v~bdVQoEc0gY9PlnBAM?6FKx)n&Dxdx0<$Y=A z@$q-FpY>6`!gQ@4ANj?;hb@ivc750fHyroh3ETevfy2|+p!hw*`4i)pTfGHACI3^< zs}j&;!p^Af79|(ICvdRKsx~hmmL4VfI^VSKrAx}c7ZGv_UA;S{-W%0 z^5Y79@PVOwl=SRk=G97K2=>wKaxZ%miI9+V@R47eTfx9QK8|i4Op=Cmp(i^HsIroO zN_J)bDa@Yd18rY6Uk<#Ry#M`=#q&kgW#z{i+1{^@J#$Cdcuk3Q(QOou^Ohk`JZRke zEgn}SAF-A$?;r0!a|bcw+y`0S`u(}6zu#4|n+RY_{>Q{p=U)@c2xa;pVVUbvEiJbQ zY9r!>@Bi&W6>-aqd>?xGAU4$W@jsJ*Dq+y{lKeG8t}tKx2bN``NAme;n2il3OQM`) zdYctvhh@$!ZPR5`NDLnB^cIG{eYZr(WHTDL?zP6CNGtXL8H$2Qy3YJAPVcL zf(jsXe5tsug}E~!UETZ&@5li?>hAj_h=LQArb7VUQPD|o^{oDy0@0x3=kNR_Ry?wbUMzw9vP^et-L^T1gSxe7vpkf_DeW z14WT1`E$=1O}`rfLrK*fY7~6@J6LiYJU5O6cmyI0sO7U5;!JheU*-7%k8eq~vwzv< zxD7vsrAv{n@Vh!6GIeD$Ht{??5^XUxJk2yaN?R(E;GF2VpJA^dKRlwxhxt}kC&;A2 ze5fcsmRNLNZxDaPWaa&u+8~HTz`j7YYCz#(iVcOw@6OyNl->{4Dm&sn3XzYMC#y6k ze_5z0VYc0|g4BIkr_m&y<%<08M`Pzb-ZVM$3WfUDeG-Ds1l#Cn}IuENC zk%ONLt(PLfpRpb1A;AS{#Ew2Et^F8yKfKYVF}^WfUzP|@$0xrruiUb4@?T#@xj5Rj zemtb2G0N(USP5r%>d0hCEH&+!8h93b}fmGaSQXSdM8H5u~5Gc=E&cV2wMQrwi~{0z^sdR5J{ zDV*t5GPf$7N#-}NwccMqzk^6$$C*GTdkI{ysOX+Y(o`@g6Wd5}%dKFOBgkT~w+hK> zk}|Ic6_R&qZs#DmglcKz;vb3dwgBOo8QN`O#%I>56b3^CrYbE(UebtGC!kF1iLH}< zn#V{l`S?|cu#uAJIVI@9$>|Mo(|0DU`H$+jWEsvvmsXIBR60RprC~3Q@*?|f9_~CX z+mEFbzR;t0T}Jcui8%6by?O~+8Now!?j~6yJztdRy^2|rd$a!_dmUVup(>#j7ZoaAk`yYW$(D(X%b{z1;L)-w^OTVk6VjtW8ZRcnjPcRVr(K@QLkBV z_Px+Y%ws;V%m?Oxk};M@;fW2&cO`M9y2GSQtVkya7TRBTyQaE841 z$5kcn$S6H-MTFXv^)D4G&;;b+6+b$o*Ch!xRfHL%$#k}X1(J9?i@rAMbc%Hs|MNr5 zPW~0Mxk*-3_~|`9d7$gBOAv<(bNtKUlsG~GDP^BNIHANB+L)bAr?pCN@gr_*`&~61 zf!Ks0WE~1GrAd^i-{@NjfFV0Sz~DZWGQ&_R>u~7s0Yu}dFMd?2bQnQ@i-|v}coch+ zbe)>X0JjKQ))e`k&GZzze9DC+01X-yOJ@ICpGWX*~ z5tN_4io+G*oZ_*(t$C0P7JdiFlmVi{f*jDakEDupWe~kjQ~P!P%F7UTc;b`Q?w#$- z`7wquRf#cm3~8zX|DE?|!g>jd9g%Rr(rCx0i+OFOu9C5&d&RQ3?rjQnuB&zFfmLb@ zpE9ZthTNOwZX*~XjYw(s^={9GpqH2}ob(%|%^ZxLz2;K0ojk5zBNty+2~@hCURv5( zBr*$uum2RwtMYX37gYY4ly9aEq%)pL{&fvJV$;#8Jw_+k?*Sw#Iu}DLeGlRu_LL5! zc}Y%yg~>5uZ06|aU!tWKe?Sar=+V=E<3bBOmKcP%MTFUwy}+Az_BS!{T?Jj?A+%J5vW?c<`V0P8@N=~u); zLkKt#Jy+X#=5zPrIq&9M5nlDjV51>GW&WLXp!9cHz)yatemImtY$Yxn0ZZLuQh6el zFV4(k=J>)X6srmzC@RymwGwSj*RXrz+3I|BG&h|<<>${WJ*?#`ay|PYWBmmmAo$ct z6ECm&?7p{SQyA`v#xUmc<}U4f~DKdse`om$!6xL z6|DBFu*aJ~cFRj|%!`9gYKzEknd{D}ByumhJ7d&f3qG-XBYg z@2UF>4YUPTE#uL=rqMjxZ$0pO(ka|%+t#i4xt1oYrt=1~ z!Ul$W`@5F00?vSPf#)budf-8*8JQ>K6-ucY`OzLGZi-{#FofgfhWkxF9x%vJ)}dg| zqRO%=I(`|Lu&2Hwz8*!2z80Nc3i`yHHDnc5Zuu>|@d9P#?bAYcIMGSx2{}{+OFYh( z3eUUhB3;&9VQhT{s{<$EeHI2M5n>q$0V9GkuwqjXB?S;+Wl+F0j!+p$rL^-Pk+Z<) zGc8GNOpTL;pExZcuY;TUsZJ7tO;083tz>13izi=sC(%oH(ca+ZAHmJb-$r<=?L%J! zqjjHAqu9Vf?+WzVTR z%}<*^b<=6SctcL|a#14vj-*yT{0Ojy01c6LnxM|K8*8vk!yz1lsSyXUp@F)@lw{lok%FRbpLwS!Gd2jQEa)et);fmExRFAM4%&jnfcIOB_PSwD zsv2TsY!Xy5Hjw#g-9ky7`Vihyw!l^`YEW6geogJHbD9HShJ;3Hr?X|8;KEYN<+vFR zAqqv~;GRCuuanve65E*3V5<3$)cK6_K#7TsV0a7 z%<{@tiqL*_0ZCizu;clY%_j37Ujv-$^|A*)G718FRKVui1h;J-ENz1ln0Mr9^9ysv z>v*!8B}6|mY+Y?+W;O0D@&%do`1aX+-ERaaPbKW}T_~5P(b1CQNydC2 zSd5mE7%acGzY?bHy`kF$-P8cuTTy6qUFm`U6ZQk!(+MPOM|xvDf@@^4B1~ht&%IQk z-}qJ0^Lp8CyV0S0!g)zTCx9N)J`Gs?SeT*mwzm`LKF9rRXZP1Bg_3RFYn$+bMk=lq zlK|NMw0Nu?w}%|;#JGn_6#hp;zF+beiqF1?)%E+wmQVzb>aun274G6~TcXR)rhPS& z3be0xsUKG&x-jd!Tjv~YwPa7Z7q8jFA^=-%wnvKrX*jj<@#AS`dqn%aFyBI7dA;y( z^TYbWRb~BMJ@C4SUB>?yN#^cBHuvo1kwd`WGA{G)GX7r*7OU^XBS(IsT*l^=|M_0# z-9fnD8I`E=J=VolcrY-_s9n6YX=4SL9tH!Vl9z*PmnJlU=lTHg3F6-MF$U2swg52to>%Zl-#KY&N0M7%A2z zBQ$)Nq!Ky^NDLXT{kz7j6|M+E^inQL)?X*2j_(+`bZnl*2u0a@a7ZVrN0TO$$Rv*L za*mB+?EqpkF^I?$lt#e1S;xp9D^0rdi-M){YVh(8YlivSMH>5b+^~R6qwBJhV>D(F z5l!zOa#W~#UaExfTcVg|!WbMZ)GvP3e)4ot02HQu?@S`7r=qDe=E$u15;(UU@kBi~ zl5u--r^Gr97~o$&0U65sw^C7Bg5eIW*imGMnSe_jQ5q~F9D$DvA5Z%(2mRXB760VP z;qwa#t6<&)W)_d=w5mGrs#iI_`K&WSwz*a0M-#v2Ns~xtEuL_m_VbkGRY$9x0JP5| z{FUa4Rl#IN0=5A^ZA_u@Lp#TWcrO&UZILO&)!l}!0@%}Jw{!glmG}jFK(&Q7*@{HV zzyk{SK5L>1HNVgtKC$08qv4l+^WLf(tfV@SmoaOHO8R=2Q6;~YSp3T;Z z2|CZKveOv|oXo^w&do2ch-d4b6+M1WK<4Ee9xMFDg3G3??{s{j3dCBdEOWc`ML zv!TA>5l>RI2;nRtBz&fZk&(p!HD(2gZm6?YMkowlC@sC3=w%gEHDwy}vXxNJ^fFZv zD|zA!+DkI;vSwBvKm93-6#bMvQ|8rH@%E*o2d2ZI>u;PwDd{N_=L0hJ?(0F-p=(M% z-WpQn#wtn6OOv^LOI0kH+MfTMS(2{LW_bM>&j`iO_-|-j6|Jvi9*|+DQO?T)_1R%F zaOZ_?Y~q=PuFzCkHpT6I< z1uA@q{{wXiXTux{JXoHpw`G0tSzv+A+m7sA2(~r6{LFxY!o0vN&uzhWX2`8IC>+uW z0&$jyBWR>CD~Y63k@CZ%QAj4uD@LA7)U;0ES^4Ptl+o0^B{4Ak#nFtw#0IhcqGDTr zZ@Y~b5g&mVnLrL)Y3YczkXBr6io!QLYpxCr(oND1648x-98hWb=7<2ohvtb-PFwa0 z>`JwEjD6}^RcOQm=Q>8i%}}U*dN`s*5xe;Hxix0@55AV&HMxAn<+{;Vb12m~sfPK{ z_}J?NYFQ&K$eiAwE9ssckw)L#eu*?i8W6i4QBJeB_aY}6+gbl8tO5<#^9Ht~$w^U4 z1q(QhcVkf_??89ON$mMK*rddoCD|?ykjPQ2S6yc3MojTZ3G9(LJCucxE&c0bhm+pN zj;;l#Mry;h2v(%AgowjMQ-}D z5I6F-GsangSJ3&(4%CLDGsi5LPwNbgDO9@B@0O+^`}FHu=l`U0@(rQw6J%Lr-A>f? z|4k8!XjNP88MM9Y|9VdzM&4j4tBlXL+-mt)o0jQ%FvWBX7zpt=wt7dA)8*XG&Y)c!--y*&L6H>ICqt+p_ z)LQIY?^^zkL_0t3h^2?@#AVFTL9D_zEUe1fzK%@$2+(V$IESQ&BYx z(8;S*fTNvE?iLLA(8S_RjFt6p6?2L@dd`WUz_DpP>*ig0R{A_!LGhQoq|Y3>7Zf+O6sHavzQ(a0&~uKO zwk!qTZMRU8e$it0ikZ7RTGv<_M~*FK2Hz`&sbYHG}mQ9R5)Ioe*S2`fabQ@`Y-yr zumae=5e2LwazFUFx961DjyH{MVHOpPG);RK$*3_QuN9Y2W!fTjWy87ShB)$^wX%_a zY!d}`HJ7%w_KSS6JM&6rZM8>I!Ud|+PTGYiK^7D-ywBym>N zB5`t3EQmX6<&x?tu0rWg9>zRklwl5SFv_bm&ftU`ei>5YjgZy4TC+6`2WIK1f$ft_ znmIC3m3AN%?@>vK7YjLH9iDWp=$f)X{H2gEw-KfbLv-tB`T58?G2%G&Atb(OJE4HO zz)GaOX0d2bQ>QI`2Gd$hPEs7+k8=?G5%@D9`SH1!)Z(?CmZoc6F+gjCLwneSm>uf$GBKEknY{|^i?+>$;!D7P#>DK$$@!j9!D`G`paJ{j4CF=c3eUHA!uOye z%WsIFrh4P(uVGDnjPN@;^BS7%XY8lg9LWPqEGi|0P!MtIl$GDk?>B#Hc$Uz><;f=W zU;wVm!ENa*Vr?%SAjYE$GOygJPBXU?)$6+|J{WSTrQwl*xkm=Q<~q0=@$pP1D63fs!F-H0nT(3A%m2ctQ?P?F68cLc$Tjqy-ee3h4n2fS)$BQsh^|IH#9q%GdU$q0!h{-uH#PvvO~xwl+jTz zuxr=dLUm0ZlRqjIEH+XTACx;3dcL}TW6P7XTEy$iV(uDCf=yFWp(~5_Zi#Gae8@lD zUi_hk?#p9_-5}1^kOUtAaskmw^tF*Varv4`LqeBcq{!5{Ro|8XkN1b4w3nlV=v~F0 z9~QX;&(yNCt+brxzI{0iR;IOhCQW;xD#w@tPIj+jI8NCuz0|f|>eEJsM||BU(diRa z<5j4?BL)}w_+{HvIBMQSJPQ{{ONu~9DbG6Pi;P1;>|*vz%HX&3A@NWI34wGR34v7H z2f!glH4mmt!I9bb{}l??K|H;I7P?k1^O|DFa(1K9O-2#{YQJuES1x?9RBt;Q$sKXP zRz)GVvi}?h$O~I)SlMvAu3UoGA^SN3pkVQnF6NPxS@!V1Yj{NDo_f z{#~v+!VwDtW1Ih<8Pb2#^e`nOy3e1LdmC2GoA-FyqjR;ADPgUFm54vZA<|+qJy4@b zCqGCyy?7hT9Ed^7DEnqe0Xyg2g8cCJJ=9(NIFd}c6L9r6V!MJVG@F;(2@%rhpoOZ{ z7>lCkBx6xKA1agEbY?>FYsWU4)31gHv>0Na-v{iORZLsML;9mx_Xi2 zWl8E=`eE9#ovyhAd71|kOa^7a zi0RJ0Em_fiX)AVh-L0Y1E?JBbc~5kWEsRzY($|Fck1FT5U1U&?rML&F!gT6SC+2MFNNy7})ldtfpy>{F*9V z-hCWa99_(vTMcNH(PKGgY_n}&rd#j3w{U7<^Nn3mPZwTDLQ}-#ioQqY)#IPdR+ck2 z1W*~Z;+HSVRry9alTvA~W4)%K+?3iMA&RAaEvc8zG(NPDLo2vhwrx<#3~6t^kVk@& zHosTV7_q9rFT&$3G>M8p2xMvJBcglCP%GI4Y!o$Lg>&o|#X*<@cKPe^`cquVI9Dhs z`>Pyw68mo4l(x5iwlx?@YY;N;egBlSlcZFB0gEb}_FqriNM z*r%o82r>M3KXS}JJrtXrscAXUaCr|*rug`OcC&WW3)u3`FnmYxO_o+irOP-MK&jUZ z$~k0G_?|zIiG6^=MaXd-sN?ZuTDoz|;c_{R1$lbN}!c83- z{w*Loz8nax3pA+smVpb-^1Y~!s{|PHg%Y*vfK(9+ICm;h6d5k#o$h| zEN#g}?6{rECJMkA806K21T4SdQkm{iJOh#9zmB}*U`)m)BCav;o6bOi>p6#xheHmr z0#0TxV34o6VgY7v&-DNh0@%vwl*mEhBzD?=jmZLVBgHGn49K zW<)*%lQCLY!j49>r0eO>^tA_y*+i>ONL1u4VVqy%`1!)1Tc3n1V-gB;Kil}jd+OBP z9^IznJLo%9Y>p==AjiyYjOYPaT+xi;m_y#A6O*gRySj{q$5CaEll)lNZhmaz=P`#` z{AsdbgMR|vj{jGwT(;0!zJm{3oiE+--IAlOL@%AR`4w1{wY_s%B6q|2hF14392q`` zwI@>eM7tr#cs2{D$SlD9>^t_&gXeGhF#yv4*N=vJ;;WDJR}3;|Lb87Du4l;evquk= zM?VrD`UsA8GFlHc+&ec0K3;lL&1GNng;K_8yP`8Axv` zU-Ix8-{{{d4^ctcM3PEbHK|*pJF*pA;aYVs@}=l_AGa1y!{xh+)IM~)EvtccD{Keq zhwE=pUTH7?@PA#Ea@tD9xs4o4=Tt(i-Dsa#@#ZnGmXWv+6LGzV@J)aDn(T|wrZL1b zg<3A1i@&&14xQ8hmJhMNqbrP#CTq%oLS~8!^H0fs$55g-%r?h;dccb%CD!&wRWF;W znl$e*QSJ}4r|P58P9xEz#4-XW7dY>8e|Pm)ee(0lVZ%8v?dnI55o)>>lZZCW?z3dP zYNzOZgQtXO8fa#s;d!sX5;6Q{NyMrL_^H(y+9D7T87J<~;nL!`4``}iE?b%uz5kYBD-vMt(u2GT zMnVSWaGVm(dh_HG`pH|meh>P+%A;KZ$2!BUhvkP_d&d^DvB7Puu%tv~6l}~s4Dqh$ z*QD%TIrJBbN;ZbYABW&oY zMa35F0{MB1JxAZJ6Djs(`)girav6hsLNw|PHTFa81l{W}5OvNTjilbweqCGhlj_eb zcQcFE4>lnISuIL_goZ{JBv ztyz>Zylh^HgCTHDlnap)&`?M{L7&_J&jC(;6`#+&*bzDLfd+Dnw32}7fW}%vVGdDY z4laq3_nnHymf~YfLTvF_n|j{Jhc+^BQ3k80-?(5pnU6j?*n8>a%4TOf5c6FH=?1B@ zhKMN|l`)o15sB{FhCEs)t~@ByQE|PU{56UHoO2xt#=+4puo7=qE?8i^E zl7W;;Kf-fALvZihvX^}{Jzg6$71Tx)cKfq^Zrs+zZia+%IJBJ@1K%e;Wsb_xpucG_!RB4)cG_03jlH;ASbIgOu zDGYg65o6CvKk^kAI~U|U)mC>ZDfapOL4tf2C#_--SVTN`aZM%aVu_~`c#c4GOg=u{ z$d3Y*vb9v9tpW`w`==eRee`DPvpew>UpiPlGDq?`pDh}})aiK6LvTx`Z@fJ?9pQO` zeOR_Bb}$o2e>E<^PV~&ekRbnL%5;(1GDa-&^*2}d*`GWdLTOE{Bm>#sc)u;KB9A1_ zB7Mq+d=-x$>Baep0j`E@fuaksI>C^*kqM$oUq0*f2t+0>883kwu-fvByMpg!V+gJK z!Je5lA(oDc9F&fsPa*bANP=Ac(TCD`(a8ogCF`{v=T8#MY_SSIY+{wLBB{_;a3k~d z@nc7cqDQ3=FwI>DI%Z*+5?`}tlqA}e5mpc$Ri0=2E77VM$!?gpPjUO;ecu>go4tb& zfNe~pb_B$!(O#GAz`}3smBc0~SByw(Lu};35sm4eQL!`oKuyeO9^et$e82J8hB|(} z(o(l)qyb5E5dgO$+H*jX9vh{|sa+H~DP@LdIE18bNI2iZeLhB(_Z|CePOiO+H7~1_ot9(2q+4P5Mt{cW&*$0&S!cAe?JGW!G-ZlXMh zu6R!M^;clos!4}k&-lSp#EJG|Rcx_(3^fem$Lsrbua1>f(})p{O{!+_`v;1AKyRMB zu$ff&hvCk#N^i5)*|%C;4EaO49@FKyjMO&ZZ;^k~jA|>unkB9=0uVouyp0#l@9S7G z$R{r8v%9O|Yzaf6W(z-3enWFW{t{IQGY~(<@jL&bi4z4`P*X)(^(*g`wc5~! zWwBSj8((yL@#0=4`@D}6q^%aA4L?+FAc;ll%AZ$xp+ReWGZIPWU#wU*t$8$h(Xa8n zhVDh(hLXm!v)#{1LmU%{^<#^%?7{6~C~MI~XdJ&i!4B3k`&NuF*8Ku<+)rJHDdm(e z`MD{Ni`L*Vx4&QUSyG?y(+UN;@at`BBckQBcu!gDzgHI?go)|oG;@#`_PaOa zbu0uJ=0gye9J)6zN|K!GZ5An#GIg3dp(QXCrdvX222OXe?!7}qHN4~qRi{t zQYj`Mug@shezz_46Q_l5?f*ke|BHnETUs4Zw8C!0gV+5YVU{TAxtZO5!2``N%67I? zZ@(Ft#<5ndp z@l>`qHtgE)!fsP!%9RzcKY7H)!NHl!uxn-x1Cn{HgU_tn>lc1rc;9I~Ot8bww%jA8QW;&BaUj6@7ZzB{_t>yVa zuZAXHGQ+7#)z&~VM>B=;W2==;f8g3LLlw?^7dO8vGZUIj4B?R$Y(-|HiScG0f3 zbGwk#ZRIzGUtyiJEd1iC@29^c9~aNlo*btBI?uacXB@}f(?~>Tu)X-Fyk_@0?3G;c zpI;!pq}LtCo+H1dnAMBP)z$gY&_{yPMleC_E0AmRBvnXF2|nn6dQ>Kn(35sG@aTyF z=75oht*~j&#wV|a>QFM#$*-!dzIfXt+A8Rj9vTOIsQbx2CBF01bNXwr4;t2DEi)-= zOHNXYTDxZcDzx_G%vknBXy0|b(iKQ)A%ufWxb{R%84(ank`vD;B7~ScWe^a5kdKD_ zK`{>zO+)a9_ctt0Vz|$qp$Miox$gw~PHY{ew$5fPH<&W+g+_wX3q)Z7OK^W#EfO!G zXi~NOYa9{fwDjL&dPQWME*!qObXg}kSp;7)CetGz-A9m4Y#+?mOWT{Bp|MT0ZVfJl zL(=(6?q-mxKw6_l{BquYSP=Slt+}zXTvuq)Trj zXWvnxTI6J@SHFKWgsmuHQn=N4tORE671f59OnS;eab8rMKt3P5_iPPOJQ4XQE3K9A zR%8(K#F8r$<`X*UODma|#GNTtP)Eb?8F#u3m#}fAdM_OU-{}4<5thuK-vte!(XE=s z=Fh?)-2^goxF`Vy$U6nhfjXV_;H=kR<^k4n?Ypwb`#h+;YgtD~tVvpK>B`7++E}|i zqqNc$Rsx#N``#^&N1(<_h$N0*4t@_Q6rphaz7lPE6g88EDGFd5g6+1^4;+4|(u3zG zjb06R2%P1cd2zFV6?AU%=9tABiKe|hTMhP>!ElJq1Ulz$YEn#jk`$5A0BGX0d2oZN z5FS|1p=`G)zIfN$>hQJ^I@gaD-_|t0{Uz-9Kvl78+?&(r09N zWCf^PNp2-CkLl_OUT<`%cp5(*x@np2Rv(`8;M|^%ji}2YJVN_Ey!hv`&vec;}Zv znnMOg-qum6<_Dy=O?!Rao^){yAK0}EOpC7K6d1f{d3TZ2x41Cx-%;W$Ya$nC$WrDX zybONf=849cd=8dx`&qYo=yT2{XXD6Vm&XrGq3Jf_$%Zh^e_=@fg5Q7BWEhylm*R22+!y`(X)RY72{d1B^E!hXL!suf9iaf+-dE9oS zidr@O|KTwHfy0+IS>$q&9}s-^5|eY;(w4#Re_X{b-MP`oJZ;|^_=awT(wqWxT+Jyg zBS!?s`MHl13z_+u<3CAy|F|B7ZB-6W**Sn(jEMR3j=R6Hy8>2O|L1+CThZJWTV74I z@9=D&-!_Ymqw*JnUmu@*Y{gBKIw7PBJeKDrkb*slB`4>Xl}&7H6#q4pAPa_sg-sn? zjO_RViAJM4-lKcIqlexjZhj+&HKP{pr$=RFWevd_oV=E8(dxiJeD%`+B`!wpc#NN6yYC^!seshNS_BwZ4i1MSvhD44 z4=n(a{m>#+g#G_~fPXeDV$&cqQ zYB5x#UVRL*hML<{Gbp&EV^s>h+X2C%yovN9UWcGWb&QDR7!>Lg9dnJJr3*^@a;hH! z!WmloRX#wptVeR9&V!#;L_cz>yiL_D2tNEsTz&HK)9}Qt5mfk(m`q>uLM&3RfWokg zZrzCS3r?s8GA0?AYs%v#Rg>%8w_ccQI3bzf?>cd0@?B3U@EFfeV}3FTkeWc+cR z-${667c5^w7{v0qm>o^k@2QOjCaY2_UP_y8+#m*p8tQz}-#CBta6K2C+D2x+VJ}23 zb#ODHhKI#n%jF+ts`|rD&JPl{4!r6!Lq^9cG!P6>${{aWMZIC>_)Vf19<_V_b^ zw3qqmGGY#Gl}ZSyfI*)el$hC54A6YJ6%ZfdByB{K5CZ`k&d)&i8Q}qhg$2FfM+#*eNe7@}peq*!;68FKn^G;?REa2H9HtxZkRi6=$dy(lv5n3~ zT9QyfeFCaobqO!3L)SUdX%E9IBo3U_o3ho{thX4YGz;_YXcGnAStO6oMv za5ag4DGOh-a%Ce+wBjCw#`y9n6z8s7JG+`$?5>{Z5PVGiq?zFrx6AVb_}ChchvoKL z*lrUkbJBURle~)nvd66bp^%(vh+Mu)8CQN3wgQlH`876TpKEyR{dl?Gfu~VkL6zps z`rd0XGqgJt8U8!Lh>x>+pg@t&F(B5?Db2Mg z&GmDdD@&Rq%hg?M!b&Fzj#x;r#qRB9_c1j7#jhv*ky?(M%{2S?c@+yhB*3{w5+&UA zJ_e2W$T;Zp<%mbA+Ycv9l!?0KO}SZ*?Bp#ScxpTdylRb~97PudB7yiUf^{M6!0zA& z4!h0Dd+bmSqrQ+)ottdJw0bmBH3IG7kMkZc?`Kd!jpO<&(VuMgjs^UBDlVpZV2FdP zEWcZpHVR--HafaeK--9P8<{8xa~hZf zZgT+{{k5+9BhTsF03o_&TH&6WJ6b1*h{?K$A6Qgw?Zd>T&_;W;C!sRuSx5au|GW&N{sa?Aslit~5E4;UqX*2g!`zzC82 z=ERBo`(P*Az`A=U)lEX2wIiyFYU6m5lK*l5>LnTH$ym#I7_OKE}aj@Lvfaj zd-8kZN9O{0WAw?w(!bTiz@Lp6Uyase-{r^VSa07IAc8sSv}*Y3$D)b*2g47Wi3+0y zPKbe?${rzO7m3Tmxe~r7#?ZJhx5mt`IqfF~U7}@2yMBA1B%yrb0qKpUk&JIT5m=R3 zUm@^a53WzKmJ`XpfknmujvRBqS@c%;B$uasL#AC~wBc|kEP52ID5|LW(yuGdItEbt z;EpHnVbXdaUcm-SAV^G@$zRO^? z`T8O={7 zMqkWw=9+O6%jp9tqakToSwc}S+TbuSlEmXHnTA9T0PG+^198MMV2Q# z!-PajpJAfnVo*KGC+*wcToyGS{rHIS?g7`3hi{kXr$ z5A&10MTkrN`SHOYh|&0SsU-z`F_~HgQ+uufWQ&(?kGg@FXabjjyX=Ik^x;r)%j*gE zxt;Z9nFYVFDZf?au=QBKkhXC<2f3BAG(7Npz(3S9Gc(D}A3LVn*7p9CYDxe9HaIYM z7OUK&Me5s`y0QPzZ5J{TnFpChJC#GNVU`O=ojOeS z3r*;kR@V9qEajplj)r+CrsXq4M-umxu=<1oIWiS9=#2Am;fm;Z%GzW2`P96+FGTx0 z9U_H)HoueyZR;@xEN{)Ib623DwQg;wXZ@0PKPn8DvQ8X0IYzuk`IFl^#eT8i&sre% zCqDEQh?B*L=?&R0Vf5Q65XJeQ8 z&PN*;&$V;a4tVaiTy>+#*M#$%9q%gO5@SF~pudwOTwbnsMoT%$wV6i(%qS4nS-NJz z1YA=eg%i19uPIrulI-!z2b58!Hkjihla@}^X%ePiGOBZ9+gx=9V3H7PPoo?hvikg=OjYQpyL!Q%YzjI^A3;T;lM~vD18OjXQ zyx_C=BrRNbFvc6Q4RcA$Qwzjr;A)`LG3~=4ZN&YsqhPc$QtKh;zMdhzE`-|3u3g+< z^i)bWakQk{q3DHi``zg6@$pXu|KlH0bP@q^u|Af%Cgg_ z--cx`X?SHGrFa`4U1g%~Onc`^m$zp2_cEx_@x;Up8>n#nr2l{Ov^!r~n`20~a?17Dey?-I6OA-Tbb< zsVk=`TvUWw%WDcBu+2j40aU*k zvpuD`{_4CeJo1_^Ys{oIQ@8cid=*cn^@~=E{J?LN*V&Ty^H7~?DI~|?->*hAN$^Ne z(#X7p6z;vgJhPxDC(~2uRk|)LlipB@=y^9}qCd_b5iO*_gG_G6T;%v8+xUxf<>$=V z$W*O8AQ%NOWX5dOq%glpwfj1P8jv2u&$mZ#rGR%6gV|JFc#4lV?u`3KK=aGitGA6@ zKtBS*=dj62ihvk0D+~3FVBtKCEGXZZ)RN4>&9Fv;fI#4)HN{wQYD@yBie6Q8GVI0O zuSdSa1S!9GdX3OYb%OE;lF&-#u}BRX7!NSfajvO}x3hL+V0ouV*^+OFkl!n;pCKDO z7uByWV@6Yr1gOsKagG#sFc#b*eDbve63|9AT_{pPR6@`6b}v-lr85MK`3HvLTK zL)W8dV8~5VTkCzmDRn!Rd+>tug)gIspSl$R(8j|C6%Ymm(UKa{;?Sd{PA{!7CQ-Q8W%ARvJpW@qFZMp}Hy*qiVeV^PYpwJAoSwTl`_chqocq#IH?fSC9fzH_ zuCU-XkAim_gX!&8@ARGTmtPf?dV07^^!4{Ib{yqM`agiu<=jJtAvgb(K34ygJ~lYS zO?(Yfzd z4$c4LGEmB1_QzOBV&{v@03;)ws$PBeFm#(7lk zAo%4W+yGGO@z!sy>)2e@?R@U)Tsx_)`m#o+l0mG}#H=Fw4U@MhK;|+}8RYLW9J8fW zLEZ@S9AG=t-kbd`vf?nhHguhflcR+5rQIZa7%nlZO!OyD*&P7~l)2<(pq^%RAXBiH zC7P8%Q|H2nB+@0J!?9G-rDH8^4FTk;L1V+2kgNzQOf)i*64iDWK@#PdZ3~1!aGPGx z&1a>QXo@tf)NH2E$s+bDi* zFm?1(E83x`VZ1zja|aArXPsMctz?;Y^k1C;8TEU*C7}ag!K@><^kBS`d-BHOqF-Mv z)RnU#I-7O`+!}fLZB%g6Rz(QN)*u){;{jcYAW~AnCj}r9^nRNt$^^M!MSM2L_w3hS zHyVhq-AV?)c(ci4q-2vtKUmS*AQhJSNrEM8U}ssESknODD&9m5pn4CqkjnKOmZ9U${p|H2Uh8ck z#1$&Nr205vC*+_Wk+i7Tg{{I>s#Hw@t(#>y8sQ8%X6ICiqpdm-EQEznHN{ zJfGb;>+k$SVK4UR196qsqo*d6m;l`XShOqMVb_iO&$*F~BMZG=&ha|YsAnmpBMYKf|0CVg^* z|6Hec;KRK9QvpZya`%%j2q2!C&A^c=dI8um6#7~C5)!M0WZ^ovcQ_cF%)lO zN?wv-O@}!;*FKu{(O5=2kLBNr&*nn}Gp6E~3(~#}5MREYTuPBHJ)?0?|JozS>{TWA zc)>!=(A-eT*8zWT+}+J&&dgY~Wk#uGLaB6SV~H!vRdX54Jr6?ndYcK$f^Gl$9IxGW z^qvX(1Jx75i4fuuA}D&2SWdp1$hur%c75^&u%n*X{yt8-Xi|-e24Vv(b=A!PsQm{V<}4c!D+%h^^>_-_<%u5%&rUxsa1aKE2NzCK@N$ z5wYf(-|ljK)P2-y8MhLg41LxzNCbo0$z@=hH07a>t5p0CNsvVvXp&LVK}3*t?(h9O z*q1L@OYR8oezC>hZ?NWiIGnLnqH*W@h2P_96m<&$l1bdGvT?g*>}Kmg2C4aX8Xi(w z!1&(6M~N4du>nY zfYh3M`kmdncb7Mu@-Z6&>AVkzPL$#&)s=;L-23wGj0`@DWM=1AaoNL#3m!DIKmXkv z)Q*BCHyS*B2q%yKkvDm{a2(=3OoSh?%3rt4xzi@If#&Z@Jb}&5f$vd8a=^W5<^5^= zDiHe0c{m(*_bA|om9cYVM5k^a_vGZVwBb;lW&>`iV3M-0fdA(FH6GC4c!;m<|CK=Rzp{1x&#&^F2ld9!v-JIstN*U~ zTbn*A=vno=qq`FHbPx9MrJr-G;c0sG=N*Ah4nuvroKHK0PmUaxXn&c498WI8I!?y- zCt=|P1#0vNvM!h3?j!{%wtZhR#bH`$CZq-ioJE39wcq`UhEnrs5VNR6bbMEL{#lbo z7sYX(2oRlfe!1>O0dk14MBi%DY)H*Yuc773A-XHN zta>NF@;yY)a>ryUOy>CYNt)CiMp}8s-r_57k}$_KC)c5wZ#9w$s7;OGibAaxDId;6 zCghMO>ekMVS9}8k8J$;al~g5^R370}wp$4>1$hRjB3AIs#fLAOsPql^WU>8DCSMg{ zN>ubus2IO)Uvh<%g)wOmBO=GNx5a;|I-_+Uh`Y*}kiccz<*5abFK&cO!u8lD;BC)siriJzj3D_1-O{IqiYWisG7{N zrg;^7sZqx>#IhE?f`gHG)sL(C>zHx`Nq`t45G`9od=`WUgGhWM$mlr?QcTXDdr>Fa zWufMXQZ?TWd0OY^u%avFHv6Jm@7182uYVUBh{sA)uy@#Qj2ah^+;6MMz9K{p_P-D* zqLeat??y0tf}pyQS>>Z%cCMq{59}s&b+Nn>6S-za z-9OHW)aQsl`WkViQg{5odS4-$(aW~+<}s%(cBYf!Gf$oTMyazr{@4wIS`t#L(%)kE zp8e0W1OXp!HYE7&o1eS%snJ?!%Dw$~c_%dI(afu?7X1_s3@$6g5c#xzC>~}@W^!q- zOSZ%8cWMTDGy)zr((tZCqcHOmZ_cC1t#+^5Q(~F>R^k$4{OJia9i3+uORI#%2LYG* z7emMx>cGEU?XYvSJ;rwWxH4hn*F#F^7X zL4qVV-Wl@kT~^n1-Qe_*5wGW-F=85YMw>%>p0?fvx4qb>P_~<}{bL!jEf~L|Do>UL zmukkq#Un1)V_;e%NNhz2u_95SCX7}kc!2J2H0Ig3^`pX4jRxCeISuneq7zYOEWJQBU)%xYI!LH z-j;HBssR3a;?_t!qH6Pb*&j?c;=+QAjRO?8A(?L*dt7q5!!NFStEaD4!wJU(scc^q zB{*sFfXvw3_d|RXnEiuR0ydm}$7_m-q2DidJBZ9hjD$aD1(>}Cni)pvn#YAtc{=19-0NiB&A7~>j2=gBmQY$~{WnSRTXXlmm zgLB>EZ5UL4YwOiD9F>g zYtqlxc=xvg@{vEz&gr$1_CGKN90oMr=EbcXsWL7*HU9X|mT8fR0~km#bTqx(`NvSu zI+uZR=dii6a7tlP=W|vv6%Y8vOc##!E)N11Z(MdKhZ|lu6%=!=J)DjXE)L2aQ38PA z%lwNbN=~iJ*%}8UQ`2^U0!mR-TzoJiT)@Bi`9VNF`rt}+q0VUsC?cnYe@AAdfO3E1 zUn8@|J5#UiuLb|Vy=MxNa3MZeG`M8Tvki3Pk5+oUdFCTCOYJet>$8cEy-9duf^~%w zS`;V4Nn{_yH%PQjZOo*Yz}n-AaXRa(Jh(I}vas)cE2z>m3^Dr7sZz7m>dvrlpi2T(g@asiYaZQpj5Onp*gMNr973^k553sZp%) zNXL0hvGG~&rLf7Pl^FwKWa1^uC^5kZDFi~793Z41-WQMWO7v0fZ|4^%q#3p;nf7tJ(2G~%Yfer1HCX@vgywY>!8%6qi-P+1?74f$giJ>Af zcFPA_+pV;5G`P-)TqN6+aEUbqHK_o>4HQsMo+8KlRnTKN#?MI9PvYKvalYJu9co>B zO1sE}QPro0{aVW!l=~H)-NMh#Xk#p&2nE!cJk5|j1?LtLmmJ$^bp_81^&!*5B{SL{ zpVrn7McvI&8uD-3S(6l)t+@<^#Zz(>cEQX@c9ltID!}3@%%B+dm5h0zOYuX(G9s#5 z7pLH$E#fEl2DvPy+YLH4DHsNY!7Kkx(L_slRt3s`0;roF1;99AqJNSpCwnGe1{!ZOmKGzuhqfOl5+FYwS#zXCCO|SJN`Eid zV1rNQMQ|Glpqd4uw4xI!s3;I51PMT4kjz#BgM`M!A9aU^AcTu*t;GO4`LUEkC#B3= zI>HQ-)^<)pm%E-{N(LzoKqJ}yM#SCS!EzT+qVI@Wvo2f#g3HE}8;en~9xQa{tqW=% zy3-pxQZv7M?=Fyl%!3S}r=Gr{3440{+W1nuc72KzQ%PCU?je6O6zfzD6*;Zzj*^|Z zOU}yX7Slb_Ti1?zHN6iXN(!&_JqUklEHy_VEe@lE6)+ik= z+tt!C;WV5}1o5_)PPfzt&~~{x^@xsV&c9g9w4rPIVv!zi0SN}%(gvfU9&0l}m$(!P zIzWWkD?x1lI-N)f!IC5b;lkxgXsZ}BnS-iT%gJoQWWrWY&7Oo&Gq}weP6^br#XZTL zD6AzQaZj;H8C#d+;Dg1AQB!1q#%Uq`~Su3BNRJOV#@K(LD;Amj;W6Ax% zq@cF$!`78JSJ}$4)(MPz4;20Lm2|Hlfy)26Au3b-+XU@tmx&wyQ@6}8KqmEm_$}wO zElnH7$GYZ6>|STQX7wAr<(RYo{=ya51IM>!V&#_Oht_K!Y%Q5HAOPmnx~$&En$c%r zEAxxIfloiLd6!pS!4D`)7K!tBb~Xwb^~>FMk;7D|Ta=Az+HVa1pZ*^lY@kHCn962}hI^eHX>&4Qy~!uwTv?3bG*q$l-J2oRmW36X4DpZv#vHRa`_r3&_wgGC)X=Pr4cceQwo!#2Sv2fr z=q6|*NU38BHA&c9qPR4vcyl*8S5mf&k=@n82)nY)vdU;l$p1IoUk=l!@WS?ORmFHfgHGAvuh$-K=k(%+EV>U7pD=PkVBal9v-s>2_JcUMzsgR$e?s<8E+C4Xr9Fy* zL=WnmjTUxlMuuMgojmHuiuDO`orm;~3g=w>k%MjL9wCnIfSL(a`Ci^!!Csiw)-AON z&Q~%2uYO3f-(~Wj%TElw36fr|V$6FFvCXJi7G_5m^pg7NF#Wa`nCs}=v9ERZ$ES2E zIzBXF$jMOMBomUt94B4|Tzy85AJk2m1E&9c3$1<`dXB=yr!}U_O4n{W9t+R0o2B9p zhMaqJ%pH}YPjkEqAcZj>JU7oMu5LK}Dz3q~BJvb^gZv4Ffazf=YfLF+fWYt7C&bO+ zJuNo)$~}`h8^Ok`yE0V!`C?H!T~0jwCaxa{5b#cylM7!7f9-5j@)O299{!q^HrB+* zv(jw;2gCYYQxm|n2)e78inLVl@hR;Vgnxc56U)e{Q{g`X2D+3y&nN$d}bp=Wyz8?D|7*=CJRJI%?vD%0w42qQNe zv)=XOTg4O%aLqCE)t+W+jc=etYI}7sq(!7;j%o1A2^0dyhaEdV@a73wVH2ng`P`$K9Ws$7LbKcS1~5np}=8c zPH;|A$V>H(b>hmj-UDC#-9&j~E!WyK%f}LPRcm+ry&qy#`arZN0h;ItA_20UF0r{D z>$o}_PHJ+qXIC_*J}~XLD&A4Ri5UhM_rMGLm;jwnBSi?v6k{I8BNJZ#bW#rKhLK^h zYXFjrdlyIU1+ohzXQFZHQ7*Ja0aeFuhr zAEy7YdHn5r1OWK;aYJB4f&ATUrej~^P3+Q4%a*BcJzmo1`gm_BOyc^ zI67#j%9{Sz7d7zVt5ztip0bzlE_=-0`~=ghow9|-wmy(>@IWu%;mR|BaZs+M;btWp zTXV_(;HuuZWcl}R8o6`qz>pJ4frCH!yIX#nglT4HQOV2rrT!ljk-n)_{j>j`r~OMK zR)(=}g|=``|9}&C+8y|oQy;G0o0*o@86J4FaTGSu;;6nd12xvl8r@p#ce;D}*Rg%A z+#tEG6>x~|^>8!a@XodIt_Tp#XC|N`Yn-IhV&MN(IeX7YAB~L;?#|1;51o_QTkks~KHr8BkUoWecF|#8jo3CDZqK4(qJ8~p&eU9~W%PA! z{B}SMdQlPDUUkx$c-YR4aA3e|lh2d_L9a8|c)7*CwyT7ex0UIw(AY-h>Uml)!*#g{ zIc-D3K^0MnWbpJXfX$(dM$Mtk(~d_DHiFLM5Gnx!A1S_osajZ1N|MqSvOJQwES^)o zMih8S?Z%3`V=O9Pzl1kyvIW)FkbALYq(aNs$bd_ED>CJaEAVyjtte z>z%=a-aAG@^aPM}G{$YoM1!TvosioraaB1!7?FnL7oVPXEpvYopo3Y&3@0Udk-~qW zT_v)*Lw)Sg)h^5#hW%|xIFTm}%+D8^6s(r(T)E&q`#Y1myWIq)2*v;@ELtewzyXqk za(o+?T;GpH1ak;XQ0bVer;#b}XE->K=EokG1HimGLomTgA?wS~7@?!Cbaxd6xHgv# zS37uD+bo(K2m<@-XYsp)AxK4t+Yy|vZ6%fM^CRoq0hdo!8DiwAi31528D*RNYqu0W zlIsR|#pBI`r(e(eQ*8rcXozd79J*wf=9=bRGR~-&ls~FHqhg-;YwHGo6FGm^LHl0e zNjp*c5Qq0(OUY#OS(ASAJWu#k`qTK zLpV+MD0h4Sv|^wgPf-|+o7(;;0YdZVyl9e{A6bHV>ht5F<8k%`ZYO8B)gaFOH{`P< zE-O)SHwvP0fUkiLSh=0=uX!Hvl`P*b^VH|i_&|pl*>w~cpfQ6Rh@qz$Ne(fCUZg>5 z%|JC5Ycgpz6WR33*V8eKi|0tHYegglLSTK9YN~@*$@#`k8XBU!Z1TQ@jbBC$ z#8R;pY48SvD+9uSOSwrKI_zk2&k^uiM)GkX}fmz>#!W(A>+1?`f|Y8TAd?r1vg0Aum%GrHsd15<6Me5OxR0 zMDjug&uGkoUk|2%n_yV-d8ng zxV>=tA&H?0T9gn_gJ_=u9KD?h5}dNbjJwV9SiRs$HsqpW z8POOpGXBareusHO#fym<`NEaiZ-^P-HGx%t-ZT`v<*zgJm9af`=tu<-o!?0)Y!{sDCdKC>Q^^6Mt zo44do4H3mTC0yfY*kt(t;(_i($}v7|URO^>LwBS18radKE-FpGG$_pJ_)xc~wCGDy zMabD2IfEuz)~EbvGQ0i_dMr%(TgfSLnYB`kNz+hn>CDE!-!t$7I`$L(Y|St*=3Y?{ zBv=N>hy(EeDBW0c>R6fdGO33c|EpGm#PjSn5`(kul-kIw43!P@hy0X}te-{=v(dn7 z98b(9oZ_FfHB1fpmJ>wC&_wZlARM;r#79hzb|f%%x+Rfou?Tm4Mtz2m2#dz&qFvj=4KKH12|X5d+k*0R>aZRu6oql0S3>y;e+mg85KBNELNYLEq*H}e0>ao#9NQtPuv zV|H^b@c5AS#9P1{6tLFb5;0nEAb+^yQ&Y0Y`LKU*G=CB32^Dwyw-x{wEA(fxW1H{7 ziR0}UAV2dr<>2r8Yj^y|Km#bv{y&->cQO1-v@-8FhWb8Hfpy4FZ?91d4}Re|@t8f; zxLpM8Gb~)z5bzFy@{jiS8ls79s1CQbkrJzeppJq1wn>2+J~tf6%xo&OEcg*#!9~P^ zHomNT2{XOolV!DFfV2+Q;c~Fs=%3yCp1o-V@Niy*VZl`);7eX(WJt($$i}wreU^O2 zb7`3u+L-k2@??s1?WlKa8fhMQ&~!B7ZyVh+`+9mp?d4b8y@fU(;{>32Zr8k>SMbsu-MZ3QUI7(WFwj@nN9ktP5%`4GL zVLxYjmfA!kJW?V4>9qk$dmH=>W;i*K#-^EoJ&EAcTo@hYF&~|w0RgFI&rR&%&vHnq z+8>ij%auQo+Qh+9roBgxi0Ig2`WsAGDtvVlJT+} z1E&5QM|vEC^dahC;jbHwZ|e~7`iYg4MWs)l2Wn>Z4le!HZ}EZX!JoJjdM*PW`0SW) zcY4}sjQx6!s7Urjp1mBmuV_%_mS=Y86A;R>hv0je3C{gF1^lO?YpN0b7?{gp3uFPasPNfl1aX?D8L`8`AnQ-X8P~Tw_K*MZU81Ul+{$Z(rJwt_)6)(bz$H?8lE;yqeAMp8 z#GfHUb4K2c&%OluW?rcJ0~wZC@nMyY$=dv=+fWYGuNNav-p32p3BI@5&l2=pIt_++ zawn5sVZaRT_ub|5;epRlTe-3FXA#~|xV9Ii?dRLKrVM))Z!81%uvH`-+^Yer`2rPV zaITPWvm(Es^AHXG#g>XY7wl6~o1*&&mO+tz{Ll|v|9;hpZ@3MIh>*6&Vm=l4mwPYK zxW+u#4KgDJjIXu`!`WelFnE{5Gc+Wo$scW<1>b*Y~$%SrVoR`X)KHWKTr(&-cZp*d_b6pke{BD!90 zrj;NC)Ey94(b0k1sF;clIshz8=skN!*|?-`U~JSj-OpZBFNGhZ$N%K}M`%Vq^y`U? zi{tbuR@aZxin&7-yNl=3&!3R%NkD+P)`&|s74r{vnm>>OkTR9f{Px7D6eZ#Dm?dK7 zm;>MY*vwe^E3Km+;HCcEzSh?r$(6Vk6XmzK3~M`9qP`k>5*xnVPK^pSWj1&}AD;9) zn&j!@vrSf$L3$}fm7Zlvy!~}GUUOvSfA$yuH}J#afb_Dr#TzZ~v>>pq0M}>E-W(}# z^Il+n^wne^q26C+W6@oC#t$JNKgV{eHUKTdkudN)vgPN3?A4a+6DLBWZO&N6PT%>n zaT_sOY=`mulEDBp=$U4P7t2@G*jvA*92~`UIsJXX8X@1>9=fuxGa9S+=0Hg zTKM=C_b>Bl^)K^@8(^IL3(@zzWuM;b`jghd-=?AfNX9&$vi18eSNmGSzMA;+1Tgq`HH$7H(Ai6F?M+QtYZIsX46{sw9?V=f`<6|2g;r3 zst*iTyH8ZeZcJ!Qr<67Qlj7tXCbq(|DTT2&U*=Plrfpb?YvRR?pgYg{#;mEi-;AZ& zMig#8T?=?YG@N1X zcTj1juFX2Zu2x%;2da2!(3T=f$n)7}Nov5v^ygGX5!w$!CR;srhmdKxHRxdunUuVJ zzTexl0GE{_ac`$LQN^+P%$4`62uGY@*JSM{P}rDespXjD!Huc<2(yCmW3S#89=`i>^DE<}@Cho)FkU;W7+*O*pR z;Be-8Gk&tSDEaiVS$D;!M7O<{@o_A+)}zIx#PGw#NK6^G-l`jVs>}PQ4gvqjSqTk$9^7V(U_~pA7K|m;>E1TO z0Cx*)`k`vm4TUoCrUzcu1;Iw!)L8RnM9Qa>CU+9^We9qATHDXGb|p z$T!is6so*d=Tg=G!NCp;D>Qx#Y8pO}1#S#nsxusA>5w-%qt9M&rYmWwi-tQ&hV#7< zd4&%^40CX?PK!W^TS(f|FDMfG$%rsk?UoJhe8+o)Q>$ z!BKG~(2&9CVBXw#K?O(FTL;jz_V;cn}!)Otm* zAPBbCh?Jl*mzcjV`u7!+-kQfrg$2?dF=mTmV}7mV%gohv?FAC(IA+!ivW>w$=A!z-HKFr4l9FJTqjBl1w7v9hTi|3tXKL`C z4RC5+J-+QF^m87hJb&NK*zI(C6By2_N^o#hk@2HUh?kygrJGhqh1?Z>ZYQ1iu2t}JL=E!7~t_3 zRJo`Vh9qBX{sZg;GCktci<#06We-pU4Iq*tOY(N za|`QcG|o5vhE3`7U8r2HBET{thqWuS{v3mf!$j&i1ldH3My8in+Ls&(&S=cOs_$o< zf$Q3=e4Jv_lRR%|1@k3(jp{q+;Y!;vCDYg>isE-OX6#{{luy{={S)Se^v zI1QHZ`~b66r^7Z{@>vI}+6oC5-sB-s5sc{Z@f$K8HwEUO&d5g9Gp=aCw^^Alk^O}h z=|cj9jGE3b#etl!ZBkca8hli+Z3Y<+UogZPLWHLc3^4?yf6A!7ym;Il?h3?PxG32e z164|8qH#1oRdD3v?~k%BFF#Cl$50Y-_pby>6tKF=dT#Lj^?%^bramySUTh(bg@IUcyyXv&?Tnc&Yn+PR;94{ z-MHFYcg28pyt&6RTErb{kB|YSiAz5f;JWp9bazFqfTbh98S1p4oF- zhW2baRX;pjSdS!gaM+XJt4}mWKQKgMFp7ObKKXPzD;~gt}C)@szLw*q~+-+sBKlNO_?T#K_om$v?x!&Ut@W* zhM3>FEx+GyNlzg!98Ii^BYWEYGh^2D^=MFAOa>YCR5uVIra5E@&GvZFs2RVX+W*nD z!L(*{%TZ!srm2NJqpnfb`KlJTWP*M}V$-wj&vm=W_LtVI9z`4GpKqc`V==hKVhdT2 zjZU(H@s7v2?ca!&B;_Q-O1%xz0}a0~DiLV3Z@3Esv4ZpeTp-*rCVC+KTFL=e~>Mw7|$wBxGA_B<{d3 zRtigci4{j&!LF2#R&rV{RDHp7^ZaU~)-~o=evimD+!c&i~yM0Z>~w+V$e)vG9;1`p%At%FYgzhZNPh zFV*=qSM5H$tU64wCbVc&<}|#OLFT&_F_Pe~H@Iwyx>Mk zNkcng9cuWXaTlGBY??%!+-+PcRNWDUu{eSnM3}^uVcJ~o(80w@_|g#Mo9YK^=JrfW z)dn~kZc)6nA}Trdi}a|z$Zavqhos+c&qO7i@u9CnxLzu}+{TLSX#Zam) z95=~+4US~5kqqX0`|fnmAs}k?ggpWl!k!md@%?zHe^K^Df^I7b$xP6{6LB4A;LB7I4X8y{qh7o$ z1;PZ!?9iOqR|Dvu|pbBLRhf%FtsDyrai2w6m&-rNcfLv$j7S z`t?$lCvM_d@*4e}B`iO!{*Az>mCRJdFHbV-)1CA^7Vgwa6eIy>A7;*qA9c8^`zMKv zc9Zkbiad^kX?M(zBdL+bIt~IbEfO}MjK?N)5eI5t+4%-($}2S_yUcB^UGA=to74_7 z@M`;0z>6aVi=&oBEjM#;Uq1x5m6KjhJ{ezVdi&nfcVHZZicCO3S{)5J1H!MMtql`M z4FRv)MlbkNUZ_E^CL?E3HTz9(a8)!tSWvB02zL2U>B$Lu|7Oj9@u6JxwZq)_Txre4 zbuko&=-niOh)JCP4~~81@atcb79GRU$<%|<8 zK3rxiW-nnhjOC_oUm3H58>4a4anld#%3bLX7jv{OBD~)l>g&HX)ZeVH96x#8^>*bQ zE%C)`{rmTl+0U__aPJie6Hw&5QJ~{AHD?+E*u(wNh#qB0qg`s?eh6&A}M)RUdh zFI`MzT(Ky%K6kNqiCDwj8bXM{MAl#@127qnMvC$<5v;KG6DIaqmt%Pw4U5~3E2%=Z zE3TM?KX0T&ITqMEM}l(en!~cj_g(k8CaSRnKA99x|E;_tJ0|4dEJXhVv_e#HwM4PYMCAvLxZ$$^{J9>$#)WmwK%l)cay0l{Yp)x&)>`~t!+{KXrusM*73R0ngXI?DS z5fFr-#5jh}-icvsm^i(r?!+^luP_W^m_2j86Hq42& zM4Uw!14JiA;BKP?soPv{8;0+8PV%>SXHeq^e&bCWq9@WJKcS{Y?r#6aW}C%62@aoQ zGMLRQAwc%OA48%q=)vzIjQd#R67T;!MMCHghY$Chm(~x1^5!eE zHJ;U+nO<~^H$6@kK0e#$j+0Ks1Fa|Kfv5*}>hdwHs&NhmdY7JYjKGHcP~twhMedY! zhPFC5%^(3A+|AjGj+7XVP z{#bthdG=@UYFkI@Tw7=91my+FQ`6clT!_aTXk!7x5YY<1w%AmtRkG3%DR7QL`1|z`DiXoyNNNu53N}?5cIa|d ztP4~<=sqO!l#?iA9#h@w3mlU^eW=IsQGoRukQlKBv1^;(gPEZG4TEuBFz&07ksu0% zq8bBSYYK`{c2loX!|F_7g0>-Hr5UPVVtT)!lIgog8GmLJNs8yjZDR5@lkUQ%%6V`O zXX~t;0nik8x>>Uqx#==O|KqbQAcB+$*};PRW2XtHWEJ&Jl-Q|{K?kWONurNzJ&k!# z!1!rl5rS}*h#*o)#93BD3}dueNrza`D9Z332!;J}!zYWHv3S$K6iqy25&y*i{uEc) z0)pn;t^ikX8(>0GFy}Jsfi(&f=2I|ndliv9(Rdn#3XBPDAY4+F4v=~k4%t2vEQKl; zW|_J1($(O5s6nB$hZT1Ne@o1R8Xi3x z!cQwSF_Khy@9sX?Ui0AZ7iem`Zl@X*PFR~U9)#GWQHSl=S@z6z@65SjD2Wvhu71gF zVm(|%slLYm-(Utq(8_2mefZs6q6;87ukwmT$13*1X8$;*HX#kidCGzDdbMpIwf&HZ zkwI6R4k7MDJxy}Gq~AWzK%cDsIGO!N0!wPO5|@T5=gt9Kk?)PJ2-6p<0Jpu~nb#qW?L|6pph+3Lc}~$RNW(b2d!%Vvt44G8d$dQBA7ya7bO7CJemg(r#5ME|9H&7!+i(fLX_F z=exocBrY1lRLYmabz?y7le{OvtI`6&Y}9284&Wg@i)(7svIw zI&Q-8aE0uzE1USfKgh)CQ?WRzgbyu-Owx;0cF~X%P1GevDRblsa9J;^W~9BRNnCg< zf`UubfLUAKBOa$r%{o$|tX^Kyj}AZk^?Xf6T75&QgfedNRNb46!TIQCXUnZs{HQ|i zQeq0()pf8|NKM1ChcQi%w*Dk(mNU~0XF?&J^dyCaNN5)eR6A7%liYhG~1Y!3HloJ9__!qC2ETbJntXJgdrcQ_xPOZgVmt{n^OY9L)p(WkF0a>R2 z@d(a=1R}U~ovscQj)Bhaene4rk!sIR3O&T7;HvgfStr(<4IC1{Wl203FlCV`V=Xxt z<%(rf_AFRZX;QrO(mvF+J``UFCj;DIc|wEcp4FzZXZ_M`vBD$EnTm6@d@t=J?8ffG zG`LOG9xxZR6I$MsnD)%<(QvMw+9_oFY8kDtPYkaA=Y0HoPQt8PAA%E|@cw;r=TKiR zwp?Er7&O+~Mtb*uHw&xryV((UxC7b=33LXo+4}Bv6|ob5m@mA#5P1E4aOFb&#{1OA z|8umi@ZmbJ%r3qA=rsTSYDy#Pu*Ltr_p)97`uOAQL4P4x_vFG^ti)2DK)_28y@QFZ z|9p@#7WDfQ(*>@7pV8tx=nF@&2W>w?jSp0@FE895)>arhB^o!M(Ej)yAiLA2(6Z1S z9&op?{P}h?5Np}K=Gbe-cp>EB#OZ-w=6o`zrXm&J_zyaA<@r`{+nIzAP}$@?1AfKy zw$8Mi0B^~;rX0YF91b;aNYp)?IsaFoJfxl+`KMxRwXg7Q{O8jxia6K2YV8C)_TQy) z-lzLkX-%ABVA>r0bKJi0O`QjMs2QcMw#CrFrxDfRo$SpEZJ-glL28ah6=G49MHCAv z5Tm`K%Cf>k!)rsiix^Z2#-+XrkdJ~@9J)7CJlW(_#$zQTQ98{bdc}~Vp@b*=CCq5Z z5!IW(iaOp}LFIXN4A&s>t4|%0g9+6f7~Ij7bZURlh}%7;DRKq1gd+{@TH630eb@3Q zHh2hCHa76#$jMZNiTxL~H>^F36Kr#RAYs3fWl6$gPtscJ3C%ZbFFOQtYLTJ9=9`0UQhXLtB zNOj7RFRq3z5L#wIW?m?t@(&Wqr*u+8yk%`dl1p6sFnP~@JV$l6Uo@NTC^(~gI4j%; z1P(POS324LUg6j{C@izIVGn{pOpnl_!&S+E_2f@`dh3(hO6h25)>qOPCh&mMuFo4Y*G7-(o}Zbi5=!=Sif zHx_i{nhg^uuGfU@lRqG}xaX2nelcuZY|D2^z#${kS)JKbNTb^PJR)9E?7yFd9JuK~+i}gu0;{g)^+U4C7Mp67w>m0d>CQ|B%=|QF6J$JO z_+e+%NxWGQDQPQ&&2~q2SF&ugu`{F%5k~`vt3Lp-&DJ0zLzdC|B@t(dSFPws&rO?R z;Ljr+$dHVu%yo3@oi6W!mMmaGR5jG4SUQnqgvCk56%+KSb7d0rU7C%6;x)4j`dA%K zHfHU1+SxNSq-M=r-Q`Y9)*s8qP{F7-bJX>yI@N!as@H-cqsG*G$#EHtJq%p6|sWIhfNhkJBnF-FXh8D zpv44ZTcMy=ge3Kdee3{*LW3Y(Bv@RlW$J7%nHTJ$VG0nB>)I*}-WNR+RHMJd-~Wot zW_|{L6AkR-Xwkf)HBFe6lVyp2SgRU@z;6qGrZ||mCdPO5&#sHtL z0<2u|!>lgFgr)$i^v@C0YN04AATGbX9>8dW93S6 zY$g8GQBxhy#-Auzb}wdzz*AqswLH}ywf*vNm;vk)G%1NUmSV2dl9KMG+Rs#DH(E<6 zZu+b6uwpj(8B@#FjB=lU2}p0 z@ixJ2ON5ke*85{(Zp9N`@}CET{`0i{=?{89FDUkX9w;H)P#&~eQ9_8bIcIdcZw4mR)X%c2<9uDhP-ADYWu*`~{Sy5LT{ z-FdOM=j4rU_W$tp)lpHlUBAG<07Jvj-Q6H5F{FwxfJ#U=BAwDT0@8>`GjvEwm!yD_ z(%lG1Bc12=dB5k4bKdi3e?aO1wSxHhM(aWuXJ19P#OkMV=_eHkf zbge6y@y)R?b<<+ArRCDyTb;GjiZ@>uvayLi$TMQ|S$yWAb|-cG&i3(+bh<4Y1|fEaGmKr<{xnC?{rSf4|Mj_8S+)m! zY1T_Q-8ubdbpZOP10jKTB*&Mo!`YJF&$3};>c*)G?v|*!y5nA!sPg$<@w%8FwrsXg zIr7knpKxK3F?@R35Ll1~B_$sB*}*g@FL{EE#0iwWef^}Oq>={JFbQtXkCx<$OhaoG z8A-rR$PnAe(5(J3cFigc5Iz~H zWf8>_9>)Nq5^;V_?czC2@yrdCM+;~o%wn!?y)kGf+C~o6=K4;j{3ENYoJHhsQ$e^Q z{Y`P%D+DS(snNg-dy>@D#^jL<4=7f}T?;0EprdFGFVDCRFK^w%Q*lZ^2!Kuv@ta*9 z6HB`5JrG!VCATsXBO;#eHv@}LP%HbYJ8KH%Tu*<;klgc0@UbV8niV0dFx42XoHRBI ziCihGDjPNK5DHyz`wwpH-0Wlv5kV-hF;76VI=hZ~+=&Cy83-ZP&w~fat%<0jgYn@u z@txm_c=jqjH7@&V&H;MyrKu2lzHRa7@fre7Zh|qep=;$ zv81CrYQMQQls&-{?(EQgu_1a}p7LXd#mM#5oM89pH}*47jt}HuLN}`zNviI3Q@&X@el4t6JISs0hS!NY(kD(u zQ#-}cqW?8!f<|lLw9=}sb7!ACgxSnLK|7kK>9uyMU324?+-2LQ@fRaz@}`fJ%L#qL^Szu=2w9Ju1Vg;DO5LI8D-@~ z@8mNiR?5&Nb9^P5qof=8xqQBxDF}jr^}g8zgV?U*=y82b1U}3Q@cz`Q_a%Qa8SAJw zli1KTTHa#_BG<1c`3#ertS$F2r|CUhD8@O^mwLVLyYC;p8q__G{+t>rTB;lcygsaj z+N*t!)fZNIi^{y}Vj&cR`|e4CGdk%4#9l*8i1bMh>s&PdeckT8nueF3<+7>60%4Ig zRUsOSLyAlY2nj?C3xL@mjn5aiYH{*4lc3T1lMI^h&iDlN8X}FFc!4@mVxFj%yxnCq zn&p~c5pZ5LtpnmNH3+@1nn(L+zNHWVmOym`IT=Xx`VjTPkn-pZ{8e%2NSpv*&V*pj z;&Y37&IOT|j&LkU4>z`|B0CBi2J45K|z?oZj1rDmg}H zReSR;xs~tLvq4i~{OnVxkkiKn1m`Wwn0V4UUUZzRy{@(lnnM{^U6>E)y$oxnv@;$| zO$096{Whp5Ecx-I*At7=tsTbwgE|x**cqrLOKmBsEK$LxZltPCASy11}XnMQYG52|? z=ASoT;BY(G5||0bz^tPcJ=X2OW0~$-`{tKJQt8V^*~OaH%eU-w9p`?-bN`qMr2b3= zv%Q<%watcdi~*G!n*l2d)R{#On;*g(Rvuv-&i@WOjL`5?_HP}PolHWFX}jAc@Fk#| zZ?m??nJ;L300PciRi1J8UmwX|AIn|eAB^ixe8`{w-|-~}Z7?nt!&39l^X9Aox`_x9igfYf zqjl^=*N>Wf21<<$;VwrdYM7Sv9VUTJa`Q!rw({qtDGV{x_Zpm??F{M=iD;zHht5z% z>?aYD3xQ!^&QdP7T$ENqtt&PUVdO`YR*Eh5AhnNsG?qzbary4JY_oEi%y9{i)Mnp@ z1M#&m>+Gkc0{!upycWehB7+mU;kI0r7JZ%QkD$!hX|UHZUNmLsN*l0C{D46C{hH^Q zQZR-5(JP;lj>h#7tjCrQaWHS*laAj{HE1;{R!0HmkV(t9PucDS%(iQFdy}~-bb1cB z7DcavtLe#R%pyO{5M*3%1$8!d1w-jFw2R{8OwW&nBnzDMBk&-mV6oDt{8O$)Bx1P1 zQL7B5%=>odd|ul2`- ztR$g2%rFxgJau*ndAZI|FsGWC{gc`$)Cu*mBHqnZkGB$$paRq_D+2JM-lQ|oQ(A@Pl375v%P}QhVkt_I&vCaAznPcMV-%rLpy-PL^>Z zUxtM8Y^}(?V5O>I^F$zQ$D4xt8(OH6VA0Ot6i=niIXeklNZHH@h6=&BcRk*Ht^KLI zw%8fwQ~C;7Dd9nu?zWk);Ih6;nx4`2uG)3V$>R^IWY~523FGHSO#P(C5s&PMA0;sl z>8p+=Dcb9R7hMHp%4UAGuQlx_t_c(}2KUh!d+u?QYq7vLe_Vk8%$-Dtp6^+a0Hfw8 zm?M$9#ZU!Lcy=ehQJo+==xUL0-6rXiJGJ$l|B^}QiPgIxG{i0JT_hB^sF)D%NL{4R z!$n^(SONV*B$@wOmz1-~IWGS0%-b-J;pOawvFS#8v^h4{0kt}GZi^#=te|F2;Ow`A zsPtn%RGeWib~?Am7*xF$BmNK)t2ffXp)7UwH&T3VrWcAujf@j8<)H;k`%&pCrlROU*h@5UuZOkg4(N~_>R(tb;;9FVSS3B1 z=zXa{Me25#YRyDVF$LyZKZkOxh-n!Y=M%zW&Nz}(qXaB5jRIj(Wgxk^9>r}VZn&yJ zv~Ie##ge#OIp%C>z7`;sc5TbctvErd5$s-Hm3Lsf>nDk<2}H;vrjag!@i6&KG?qOw zGqT`Nwt}&-aCWx1@%(5Gb?Au*vBcCjRAEf~7n0V`b~Q}p-|)v+!i7c1J~0TG*3W$y z-LYN&xQos%va=#0wZjMRv*nK7*}0ObrzB$ExTLSHrvC(AJb1D~?$U^dkN&!~hWV-J z;<(t0hB$Xw&sFpxVs;U^mBzJR=ezMoJOhK~rvCVmQLe74T__}a_!to36f2(SQv8@d4#|8*+iao@13!l^dMxEv!@cDj9peFli3PPncjcr!7j?tuGM5k2v@_Oi)E zkS+g>iNZ2WnD>>0RJ;0|PTq*y#$Kb1e@1($Zu1qhIO81R))~brk51q_zd^EmxrDKv zN+iWE3EY$EZP6)==B#D{8p1rM;UExqVCUI*A`C%7;t~~BuEbnYrvf=JVefF9{ny3F zH}q$y`1kG|1_scY09@-~!}(Cr9j<`G>%|Ba`ZqRXlLtC2wSQ3%e!k^8QUuV&)?NqU zSL$y2=d!;gZoZA(JwfbzbIi=YaFx9RC1tEx;hU%sF)j!6EJ=~Vf)`MR5fOGAaB?%-XBp11|H=x&PDKlE9#~&KS z{=9^v-aq%h;{hFqLBRe^0`*5!0;q!l-)-vOxRfvW0r#K(c<_6vamBw^Ouv*MD&f(C zF7hyZQ>QDD@7jf;nKIG)H>4+I6*&R+kJctw711I6#HM;2T18q^AAnU+BrV~AjGK%O zA_9ot6rm+APA?Wb{fArbzBHvU4%Pf=dxx4yJ_lEVE|zRIHTawuH!$)lfDz zz2Ic=Y$XUDoTI<8HT2as6wwK6IMXj05jPLT=FSX`0<(=5`G0dO6D}&T`qc0k7BP_enTv#7!mS^nh@NozNa!=5xRCZcUS(x(&7xIXxY33Vtg6 z$RS~UN4o@(W_uRe5KN(ycRBK;c;zPOz;0tiYa?tsNngGDa&3co1-+?>4G z&SC3Q$a8P`kNE%=wIIl-YU9j{$-e$|^2>htT*eo=ClAVVvnS|#$sF!b={*f}=1Qb0 z7J7f^lRVp*LZ)`U%CVsC8}Q&;@GFn6S-Jaia;-E&cB5h1HCi+@)FAh>dL(;}FPwxp zgCu>#1o7H9N1S?ZX6S%UxLKxfhvPlXp*}~sG3PVgaLGj!1dTX%vF$61cCmcSQ_<}c zf~u%N6P0XfI2zLGvy9bw|C*#W}j?LUBY5TR8`B-~_$LXd%V?pWaB&TbQ$YJ-u`UJJ{t0#h$ z+=XvipXS|CP`p6G>8~w*kR@BR9 znezScqi`*_rXq7tf;utrY1-*RpvRZH`wKQ2>iDE}!v)%lz72x-g9|sG3YUWa?UMW# z=md-ytw})}>g4w~A#Go5niRQfRZn@I;d}A~C3&LOzqJ4~wFQI7CE#4Sezgd+|-bnLN|i%RLSkH zvxHcJvI*y#f}%A|>KIvIg9MZCECjB^$2C^2^5^`&n8NTCw}ym+(H_hOm51Hm52O@2 zCyTG-E1;3mHM&vslb2&Zo@UnS6JPpKMl5W?=n5Cqc_o=F*2@q&__FE7$pKX8Z(#QV z)ixh)s*jS&wJ4n(AdUTuA~f_@qGa`vfWxNRg57E}G>Q z1cXo(y@kAwvS-&K?~+5Le{=x7;^0%vk^^Kdc+XV3M}y)E zbWnWo^0MX=U)kSQTf^Nk4eHcAeCqEo(;zsTc|nLixExwzXrnhWkL1<#feMv*gh!Kc z)a*^A5+^M=@gjFpT^>{C+Oj<=&YVWtmNPRvVgDn+ZE(IRaf<(sEn*5PZ zjmpZ-B|0dPXA7corshf0{RHyBB!Z``S|mV(B&<9j;(i?ntLIJO&i2U`ow6s@jecn! zZ;Z(^o;$K0H6j6dIWtH#xI zD-fuepYNi3*ry3pMTea`bnVY@?q+6;e>Q*h+Vwa874GW^K%8-iPftl+M2&GeL?gw< zFinXGPLuM)?~jbs)n54k(^uBOnh#G|*l<+-q z>$Y@Co$xG+0di!If{VCNoW>MJjS=k{2QyqelibS`Rr%6EOHEU~Z}KvI;hfUHHXDW^kJtk#XifjZnB{pfM>>CKq?@;VogOoHCGO&#`CEI5j5()FSiMlyNZDD|F z+{7M#@f~UxFA8E%V9AZbGSFh>N$Y+eN<>H`RnNGqT8Fw48ZU(nW^K;LeiTNODs?nO zx8$4F4UyRD-NH*taE~`iM^($iD`^K&b&6EYYW#w7Oz8J8ReZHRzvWz&Zwn|0>8wWM zW;$=IW<7iwc2z9~kBD#~+kQ z+t`mT>!y`m%-+%Hns+HmtnH{ateh;+R;bi8|z z^l^}0$$tI&L6>{$>C=kd`j+GTmuABN`qUT}bdP`fUiRvC`hjq3BlWS}`M-hr|4>K$ zdDc|{XI}o&Ia*k{E}W`b|H=E=amh&fa(n50Ti0)3&Yg<~etXRDXH3Y{(v>v4;cott zRgCWs?$uy$C3^YSgz-0M^`AfIF(@feA*$qypew^g2d^`qUYwh}V_4iF|ihh;~4jO(xa$3`)(0xab7`{$yGbvAc(!KwY|k7K6rMIK~<-KJRnKR z(Jgq-0E@zMCS+3P_;GsnYtkZ}EBESKKctdVeZ$NVy$~88Vv>zfiir;AZWc?j^O^%&yER-bO3FU#F; ze$1R+@LkjAfkK1MSn1p2&HdC)%o66lCM-I%GDsgc0-`7WP!KDQ0IjA(F0&qt%BQj0 zH8F{AFzC6g{{<9TX2^j}qHIfxO^Uw~+T$Efi~(T=f(e5l?|EzkVN8feJjx--SUq#g zH5d>ac}N7hzA!9yHqeHdAVeOURY*fVoDvNIW>1fLQYqb%%gV8`PYHsmTZfOKYg$MX zr81pRrMr&T5!J~y3E*kzO87?}`NKNxd%#58idCK75@Q}SG1Rj8L{DB|Kxm(7=y%2~ zWDnbQ56iuC<2n(=fPBs4#O%}ZW(N)^;e{)`$3nE8X@!0*aW22E;Yg-zOhzM>`gFRc z6{-1%5*osf43jgDT5Hn~Hy-jPmFWp7+p{94+5joK_Y;g!KbR0r+8*~acPFVi_D_$r zm+jbpI^A@l#bgblFXIFuit*A%9pya_#Zdyp5M&p!8n%lGP8qF9()}dtoMgnS7cl>4MHv z_;mf`LnQeRLw%T|Ep|pet6PPkg6?y$J~LS%En%=8w1d<*5?z{E-1@-B!yBvSB?edG zTn=b>;(Taleq*8jmFPSw_QvWfXBf#&3$Erjv0snH{o>=h7lG)uS9>ry7|vPBkjBGG z0T3z<%4CzvAW6C6K*Yz>h!kw^BD5MNN7N%;BTMk5UNVAi(P`Q^K+{l^m-+Bo+5QS=8jSq^2k62OrhOpX??%p6Q_b-abt9;AJ1!D-AW$& z)(T`tyWme9BJuf3i^@E5_tPdu)An4PD^?*KhhN31digj8YO-=;>6(G-RQ zlmYh=fE?pUSD<h%}%Fh z0@`3W+wAX8bm#DMvCZH}cVgZe)$C{*O>z3$WE`>}n6_f0P9A*N4*&HYKh;*vS7Jlt z2m*q$k6EQeqIXVveZCeHMmKuA97625yBHm@ZL6lPG4%t4uxn+$zWi6${hxoDd>^!Q zb8)ab62G>i=k~O}Ws}_>t9tHM_IoL(-uadqfGPSAlmf+K(Y!uhlwIwjBjDEba(7nN z;UVZoEVk|Ny=+wRw}_XJ6rorhq#L*Z_N7=T=XHHcI&q0#fryJq(>p@v5Q$+x+zhoUL3rV+zE8ijY2?LCLk;rcKEWxG zJ(KALYi6NmmQ!)Bjf8h7f^oy9KFtX{89#&}PjmR-3JB6KE?3;*ZbuCGhLWKQ+a&42FVI^qMAW$bsL<(VpLQZ(oodW3fM?4u4$W63QNzL zkG-5!H*h#e5EnZ_`OXoqm8{znF%H~{CxYGi3~<$ZCI_? zzhK~M=!b|Cswh#bAtF9uClgpCsRIeJvOBQ@yKog1UtA90^itZLwPZU;@Zh>3mM#_> z?ZoHr*Ej+9acom;&q39-9UNvCp{N<2qYT1xvcXRk;^KH@ZB_U?~VMpS}mPraU$23p4$cE?xiIGxO+#iWnv zD)T04#>gwyyk{kk5;jSL;0;iI6>TackDLfi68+BO9rt4WSb6_Rb$hZzZ|iz{sE6Lh zGbqQi!ot6*;%TFS=Z3HS$zvRXU;9#1nvuklbJax^7}$+;kvI=3^wKMZyf~(o4$kuT zpbza8l-d+U8KjE@0Ibb4uJcNL;P=aRg(1hd&JL70c44(z617?_wOV4eTJ4sTss%5L zB@gK)#}}*2KJ`lmz1_om!cJj-rg~K1h>{7X8=%#Iz$tOlvOYb_Sr>#A<9l+4#m>;+6UqVM&*G*D464LIke5=POrL@} z^-|RJc`;-MFO~cZ;V{i<((5&P+3`Rm1_XnEh0A7Gf_4`dSjK^VnH^FZlHjEcRO$5D08f zev66o`a0KyeK?ZpR3tnfH$m-d+A#R7GmM<0X7iJ!1T}Ibv;W37F9`Qi<~+Lx`;Grc zR*(IqSVIDt>BQUXD%S%eHMOUvE>>V|Vc}qC&!bUaxmCbh*0GUV-s{iyUoYT4xJZy7 z<;A7(1)+EApnRdn{&Y;%RTcf=o3`OUUy2y03G$t{K5b4{}=rKKMUEPzt#DJ z0f;WurO9WT*jtBe#8_7`AjlcjRp(<>!~9oRA$Pp0>Bu(2E;&j^hrjXTQN0(Xl`1uH z9Hs=1>Y2y%u_!%AIe2gsErTi4X~p2 zn1VgQ<-Rl*FWSoUEi_Z8&-&wxcL@~IkbH-Ahj4n7928p*r4F{O?nsji2?bTMw){ zU%#ByFqFuM;$pDK#x`X#u0~WidzYZ;cVlCdPO6%Q(=Z-$DY6N6&J_D4JMMKF_^|)P zg4TIrtBZ%5app(-%1JgW$Q2<1nTsOfzPJFpfDigH%n}O_EeUXg5a_RMvjI#J3=SH3 zNWGNY$}=ItB4A6{BKVI<_2M5E;KW~oC>#H3h^m0@Zun1cu7;|}T9xGdA*#&kK;QIkkMxJ~TW z@6BV6!+Ogr9wgIL7kBNQV{VbMdVfV}^^e$8A6mP(RHA9F3^!+c@re3)V(vRVA%^c5 z?Cb7jjbDy12YAQpCFE8H7or`B0ga)cDBQ;7>n1vO1PNQbG81C3)(sbKQ=U&1Rd3-3 zjU=TC`?g3Q_Ose5>LGpE8zplB zr=|>KMwMc6AEkNA?$Lr*q5z z0f8ynbu<#eh$kP>Id(mpIVhxP8*L$<*)n2PC270Y(38yz-&Xuq?vB(N%K#v#F=tcE z5W_uifeRoN#dc0I7IkM<%~q|jT6(ctSUvnS)?^QMaQd@FClJ=r2XrC=DMYC%r>CiF zpNQJzq|#|Ps19StMB%D4i^$jef#2vbgF{34N$Qxj!#`K?&TOL37$BW^B|rNNO!L*m zNXC6oMZya-+pPEGJ;N<$>cN~MYv8fsNpI0EAPX3tbVd>#B1w zGkx&T4E~b%&8>BRAoK@*(^XpprEtPvJJMndm_m>?^ zs?tKA#hscV^A&ZX#y4~y^V>sdP6YR->j|25>3rdpFixK{lUH*OfNtI{Jth9Z3(w9B z0ySVx6D}JW-uX9W{NGlqKR*TdKsb?8haCZ@2M>59D&9==A0{~lEEJS2Rb1@%{c$nI ztcjE-{ps#w7nG;c?;TFK+s^2nF2AoTS6mfL^$su852|G^I4n5Ls24^yUiKe{DZJb} zTFklxk>sqwsbjj zPkV4#oHhTy-1R~AEw@t6TO%vcFIOZdyW1RPy$|hnbX{(ktcNqYT!;PejQ!3e(xp7< z$oUOB=jT~3h4|>%)ib+`wF`F;)&+%Ce%Btm(^a7Bv%Rn8u`2*y zN=SB+y&8WNL%?35lM!EPNQBNoF(_i%c?ZXavPA{@Kg*`K;~7P_B+gZ3im;^0{Vx2> z7LvzVA|n4GAL}<+u!usOCD!P(g7+_QoRgT;wG{z|nb9mcFI>xyt}qlL%<|}6GTcSm>tla!8a=q1)hi3M2YwDStKC17+mtJvOfHRMP7K9Tqj}qHR3)d2S)R%HD zZXN43q);R(5l|*oq6j783Vz+Q#2{p2k9&n#Bi)%N_%NX+C5B`b5#JsPfu;hxVMT#Z zCoY!RqL#E@B*CO$M(_zOO`emFzKJBCASt)H_=iOC%4)>I7z8R~g6s_68wNsTcPPJt zU$_cNVDZ?@MV)dfS2#>Ua?TlF#5K@oPm|+06^&#^l1%Ot14|*_rP!gbYF6|lQ}&cs z2{8IW{Mon|a*C@v-zm;;<)K5qGGqr4ywfV?8Bo#$B>nb-_ zhvAD2C2Iw<^IY*sHV|{HdvBD$BHuEV$OnG2X>vEKW(`TVT}O*JYibjrzX!m9Scb6s0u#l`+R2)Rhk8jK#;t zyx$s{4f;H6Xg}#O8&=ayO`Aq-Vs#EAD`gmB^17~{#J_ZXcvIvA>cT3E z^{Qc*?(QnxlX^fISbp0(Z9nGlQRhf@t|ztccZe$EFhL~guL|6T-}rLL0+CE45`zRh zCk=Dv!@Bn-L9~^RrQCyH4~@{E$6YkPLrD4H98*t2JoJ;{3<}i*S~{5QfC>Q_XSuo9 z8cYJwZSdr0tG6dfcbe@6bQC^&E!tB*s0~$auK)I({rUM)3bb}bXnq~=(nrj9@9$RI zK@h}GBl#}&cJg3hRsV(Y4d&8mhS$jorq~}-nx_hQ|2gsy$Jm=H;BcM%!3~3SL%{Ic z3fER{-}QF?mOIf3-Gfy-qjDhR^^Y*sE;FD^c>j$FU|(1`(BIkh|3YoCJbk2n5gqHl zTDK8#|De)tljm%22C+9+Z+bldt#eH47#~->Wj3-a#_g5({gK@1XjB@oNOU#ge02JC zBH`BjQ^#iNbHdZ)%?2%mBX_aX6^!^Nx{;pAkSrC%jC$NzfA z38?p%<&Ic?|3iHODw<41z*?H4B`I~&>&(rIV{)0W;^J?3h84ys!|l<3%_x*W5scO! z`gQQf!qE8piGu-n-vb$Un<)F{7pL^;_?XwvPs6K#{uM9tCH3iavo*ou+2lbxAeG&_ zs1x($)-R*Jrx;bXpR4HoC-u^91(+Rn=KhndZwJJ`{`4gcb)i6>$LBGnnQi2*hx6sE z5LuFB!|b-HX-ZY3%+diV$8~p%H^((bun__3N=FX*JDFhrpl};T<7y5@RD}@IWA_|{ zX`9^W0jHKt6-;>*0p?>dqHvl?2s8yz5{p3dM$iz7ID_XK6J;ZgpM{Cpc~BG8S=`wx zFv)n)uS^k4fU3~|e~X>*JBOkvr0_`&!Z`{R=Lf8ZN9hC0acVbn~j6p;mXun2e* z-IQAe5JE*z6>xU)kkkkW>Sin9yysC#w+NkG$c>-bKi8Bjc| zUfTT_z_adP9y|>pId1%;`A7nDmzu&r@uoATd(Lfr0@6 zdJdKUnB7~6{ZDn|54Fe)Ni@1q5I1S?D|$5a(JdmIXH{}DI+z25D3xzdUZ^5~O@o7! z<=XSOdSbLxSIUDPKu>v#6|P1`(gpxX9{@ z`^sET6QB#ReQrO4A$GXKH@wiSsS&eiUVIRu?!j7vZ2ZIZbjLHnoNK1D$OuM(fZE7U zPag8{RiCiZNj8UT|LzwTt+)6tl=B)*K7rZd(Mw__DYtg@3_4P+9#&gBV8u|~-j5$w zT#s!k-dssPg>^dzibLem<9?)5iW2XnbDT;>6Ynrok(o|_@_N4;ARCkLDJ#OP9DK=pD}Gon7yLPaX^*FV4yqX@lNWvFc$If}fy2+C z?zKf2PU3R)>qZ)EB`3t;<^%)O$1pQmT%ZN4+4Vi<|foqUtRW#59s#;x@(R$#W--hCYnCFWKuWK){^h+3+7S-gem zzUk}^FhIN_s@ce({8#fz*%?NYk--f(&|}fPbSgkP<#kG_XP%2^q}mrp>uqG*fEO{| zcG&@nWv#$T!1+o#7TQLI+{(cvPC!S4PKvJx0wpO+l2sgFDx!aL2LWdjJ44}^R4$uV zF}5!0DGH=wiXmT0LI`<4i5z)o>=olw`SrKb`guu$D>HeXfHK)m4Z{a;PGT(Dvw#Wz z3XhCaH4?l9j=Q(lY+4-l3;2%*gwWgoiT8o?92!YEuuvH&KMm#wMgxGV7QPbm(8ogG zsdH~`r;otmt>h)RY9i*23X@q(dgu0^&gVbZ@cB#d4ZZk+qssijKlGjL7r4GZ3EIMk z?~k<866hA~?!IICYsn}a#a>H+&bi=yKuqC?vP?lC+u;}4`~7Hx4Z|uvK*UNK_K52; zKK2$rhDNH9lXU1eZmg^~%JrEH%4$M4w%E>Z4gXA^tKBy=GA?Mt^wPoHI%Hy&S>^Rw z{)_iP=XVsxYO?pOB(crFp{{pP)6g*WenmWIRnT6SkY9X5L>$2RVJ~lPFK28!du-3_ z=$EL$mlg(rjn35xsrcEdxf{Tt@fC1rysTIA^Huu0knZpQu~QuEuWi~L{58Tk6$&37 z-QT>t*v`7__iUm%JqtKl2q3%Py1#1V)uuO&zh(zGZkm8nfJ(n}1w5kv4V6blYkt80o}!{zaZ^opem0^X#5)xDQd|Sg7W~ zQ5BTY5L#R$Wt^HI^#MHuF)BE?Mi5qTN%aH91?B0+!5N}<@?Q<5x<o2gqqG@LLqrJOZT6K6o*RTM5ha&Rjg zRuCR*TF8kqeg3K!c?234w;O>~G4Q}`3ZyoK!l92TZEn6t$Z8_v#Iw6YIQYpZc%T#p zoSJ-z6g-FFjRb4xeLtzvmp35-1u%0vZQ_}7iBQ}K_jUCt$8F_*^;^je|$@|LDq*U}QF)uJ7cxtM7 zabMw4wdd`dpw-YCdNeXg0#uJY)`AEU;G`Wfq9KGS>5_-+@&uwtfMrw>6CK-rMB`Ym6=I(XsF+}Kt$|$l#1x^Ww{XS$7v)sPwCI%zLV?_Qx%51p*a<9h|i%3 zRLzD8^Pa_y#q8AWtfrxJe|=cx{;UYzg4@f!>Y9lO>89-JD)1-Gwn?sZoh|pFi)n~_ z>RQJ^I|BHsXi1HHY>RbqdZ`5D83L$FFE?biWF{^Xv8}tn<;CBmT}yYrID0fn>5l_J z-3aa{$!(KgH$Ex8mLiTdXm~69QA&Yzh@B{(x7(I4UTJ6bm&DK!ZTa?v>#cIVJe`0i zy`#weyYmIm+Jl}C+*G3R&?ph>99t>HYe0{a9#`eC5`*_l%&QkswKuRITl=Y$sd%ru zrZ;{o7$}ODEmOR2ojP+W&d85Cb{Plk_z9eJoLf?ONrFf2eWS_2B1mP0gN{)rAUe?Xc!aqo~B4ZL^TTo1!LDW5Qg|O z4LxQ4=E_OaC**R7B+IDX9<1s3RTENnRhu#S21J-xt;!e#g9VpTPj~C4r0zzU;L6YGf0t6J>8l}r>)mOkcP5x&+XOUceF2fKMnT+SorFQU%RCF7mX3L zW3?>i|GVCKw|o2Px6wPlW?)34gF_Wn292iFIO-6XL~lVuJV$hZK{D@U1=iU*c$ z=YZ${z}Zd;ruKlwOkJ|3$wAtOHNvu9_-8}RjE$^2M#Jx({f2hF)Kq(}u>$sWIDGO0%v zSIM_f{##$eNaOlp9#{z4K9X3;Lxisj*2f}+6y%{NMWfYBz^Oap;fCy0bi3#4+oU5n zno+}K{^V^Y#b9?mwlj1jj;ci?8QKEMR;V01N)uycI_g3mXDgJ0%_Xr68X`S0{g_DO zDbuU^w~y^y^y4}QlFnEPW&z`Q-EV+Ph$szZ ze@YbUv3)`zdH#GYl#H{5Y-3BAQ?kG<7q_?IY}LRHbEr1WY$0Mc3#+yjkCaLxbbVDd zuyewxlOqQAr`5V}{1w{C)d?At4Ir9+*{~@4Rx@l0Xf-hNqO+$9s;;OBwJS)?qLIi5 zmF< zEH2~)Pw!=T{yP;-a`QX!(;D}>`*dsO9mVNk45%G)#QB*)gX=~c_N!c|T$OF{ppp;X zeQ41aBar{>m3w%HetM-b(m2tKmk2j6nc+T)5%1=55r#>|Dy)r6Si_Nx1D!p`BApDbRT@_YxFJ;AWigDM zntn@%3v-7e1x^~*dS_FZR4W^Doz4qIKZZ_JXSCI3%A8hFZKvzLncid51%g-X?0OXV zw6^Nhg+&BLJr=Sb?9D&#C0);bTrOZNB2spd1!Of^$G={RahvmCnXCWiCQ}VKDQXtV z&4CETE)sHPs2tqI4n>MPn1n!t!xTh%oDLB0IHbTQiVVhcn_fo-5pwp3%~i`WqCS&{ zN5T}};E>Fo#$Z5VvjDk@iYVQ~x4#Rqp+-GS&Yk@qF}T#a;P6grAZ4Y(vxcNelQy^Z zj%ZE5rI@$5N7`;&+Zl%AJ?p9x2&4d@L4S!<)Svz+52YRc)?PSmim#l9XH_H98($;f zbmtgn8o2Y#J*fSE_4>Ep6Mf%PZ&}Lxi+5>%m<+&e`wzX~w|xJ2Q}aEzHy0XTFthGL zhUa^D%fA%Q%;-s<<_|kYG|eA;xZn=hs|Yb!%JANuttFwq?F$16Vc3IK2o6ppn&4eC z!97^!hMIonWN)Du?@)#QZm;5&%jkS|YW^81z?5lkQ>9)S0Xe@nUBfGWvbU&*(v2js z(!)nPZCBi0w>w5Y><{?oZl>C<>@Hs3_YN-#iX8Ad`jgyk@ZbF(zTPq{%7)zoe4GQ|L9t<&OFt1z^xS{%vozVt=ti%gWx{n_S@(aj|iG zbRDlTeRdZ(R%$%s@qdNXRsXD5Rr0}|{{w84#}0twR+*a(=rzT-FurwXj3fW(+e*jx zXn`3?`2Z6_U;wZ!NN8acyi4G|HM{7-0lopv#q~>Sa@HUIqb@ILe#*g+UX$}v^E7X8 zoQsn=;=+-*51{om|+vo5<2(9jzI$Ea(T>e?Q zRJ*5?nZ2Yy&kBvZkmBfK<9WXXQg*9Q&Li=3 zn|iXn7)e=ewUPx&5M>o-9U4@!$vP}RDH&bzM~^XI{#-OMN5=ItOWf=V#_TMF5{_1V z#gD<^M-=Xf!YELTq9ip`bbSOmW$2#4a5Q|14|r>5Ia+xbVlEjX2^EbnZ;Df@8%F*7Zutx?uvjePi)nU%vK9L=TsB9eE0FEgA>n6!sB5|D*Vdei#EAmh+1NG(w>CE)B4Y0L+@G9>~_zy|Tas zXb(6|KasX$G8$L0Vx8JaU{1ewx`Cc$p$z?&`(lE z??<8;W?!D}HbYRm{he#>FNU;Ma^(ZEbT-X*k}N`MA2=B^IlL8o?`26w?Pe-VsBqA> zl_JQ_T89qA@mW(~qk|`>1d;(k4~N?aL2g%dU%E;qGOWhHPLa5azrEj?!|Sc}uojnBGY z!Ci7Ncu1g1hR$fl1J`^CqW;2LnQ^Lg!xgi?KvmOW#9zY>WseuDf}NXKqI#VX@4GDC zCnBO@(&(0!;jxP=qGo%2>HYI)F^Wlc7D>Q#t&5u2?Af%%=h7tC?H*@T;1^uaEE@FOTF}LTJjq2H z0`iGWb*gAbdX!EwS~gw053?fP>ZKlENaLHvD>X!*3ob;g9r7v5eZeg8hD#f#&*Djo?$`jrHJiqBU}?UQKuagdW4 zcJp(WQz5BZ_O@a9qiLgVhk-0iY@%#kDIvvuxvEN%9C z{oZdSz!UL?q)El%aQl&Ydmy+vcy#|L5b|g1?!4<8_EFes^n9e1_SMqq_(p)jnUxa5 z{-Q(v^0AxiEM@0UN`b*ah37u_ML^RI6FtH~9TR!{CeD%c^1gkz5PrYw>X|@q*wtk& zrv6pHioowu549uWo94Le*05urFlvPpTZNBRuARFdj=EFW)aM(1sPXl6l`A~gQLweL zv>fmV-jp~gu)6k>yf5m%-eNaTO3 zs{i#|$RSMdra7;ej~`~_4Y)Sh^TlDUpH?A|0YP5iyls=a;+Iso$NIW>mG&OK2G_!* z;z`kaE}Z)+y3E$x1esLzH9D1od(`;Axz&r3;~$d_UO!fJ`5cloD*27Epb;7c+U@!&8 zn{N?d-z5MSY~cohrACK>9@>`(Gcv2={JFu+e+;Tg*2LEi)Oz%|^oiDw0puJne`8}d z3^mb8p`}7I`?reLYfTjofa9P~QGyAxQ!HE$gSF2MCd7C`01D$zhv5LGK%;tn)f@?#l1PE(RHHCY3tvWRVGw1j%>Kf;9*}Y*mWyvJ$1LhTP{4 zd*36%8bWMx=&Dxx$y)Lo3`M_D?fxMW2|N=K5&CTIY4Pf+AbAyqbs5kW1?JNk;-V>N zNGCMVxSZ@P4P=Sa{$iXqS^7x1o#=oB`>WI}G04#%Nm+1$xqBVZTH7DeX^&f_1=_oV zsR0|-q1PMQ*vJ)XYHlb>5R%--ViTtZ zNnKe!-)$DfJ*bZ@Dt)iyH(TvBCWp6MiK*MdU?Mv=R-p(teH}p#+2WqwyYmE=?tLtz zbGT`H@}(es*~)4h9Wid;w;t0UVb*jchgol%!{$Z($F*(zOJ5!6^TpLof1qTf1y16$ zSI4T)083W@{up26@4iyZJB{5pYkL&ZbjGAeUnsChdsMeVjI!V=F~Bv$w`i-O@WPVKiW6%sHcXdlNUie%LNfh2~eVdQsf#8+m zWF;UOps*<$bN>Mln=8EJv!H}G8cM7pwCxYY|9&qh?(6t%dSdmKErU+rTVSw47pda_ z9im^qtR_$u0c>Re{}x2dij3mJ;VgbHU|l#@OUppWhNSmnS{;#km%Fg_d%!2U#03RY zqg9bgl`RvwGK?BhM5;jOyQjsSzKjbg!oU1+mD#j5)rEaY-P?eW83`|>Ddo%+&aJ3^ zSI34@$&TIlfw7Qn0k+Fk7JyW-#&iD9E%5H^HT#tHiR!Di0aup#`*ds;cg)X-((x4H z{~ubhZn-SPwv}W=(%pI3m->!t7lqU9@2B*DUgqzrwG4>t{=I5Bg`CQs{vf#QE0eD) zMc!NLqOQJro4#JF&h&Kp#_UPKknzSlQiwVJa8NR zZyfXAUmac(^78Sm(_8-h$(I%rcK+l}DD?RH?$_J$@!O{<0>LLqC)f%%hccblVP^t& z7nl2Y8&)0PpPRS&6FpHlH4Ofi))mj0f1@mWcSgM#&wa76(Svuf;gf&+OY5K&u$_2d zp)9gWptv5HBwPCjsM10a*7JjppM1gl)wR1-)PY+RDb$Z!rj#EhSfzA=mjUK=nDO+u7OM zZR(gBTGfbOtMt*{ZRdoX$C+)X-^EJYjAbAHmS`uNuNEL~;Jbzw9{m}k-k1+PQ$Wo+ zAH>W~`b~gDF|`ya{^Nm=2b+BBc_y&pu;3Ca*zYW(Dl=MB|M9a}Pt-5kSy3Vd9(P4y ze~F!@XOYyCb$51{q#7pV_A0BHSrf3NRRVL3nu2_PkdW^qMNgIx2OZdZ9KP4%ye2f{ z&Kb|}!4E_OS;7V!3seblgxTwp6&#wA=K$R;8p7Atw@?_wl$ReV@Hmp<`OrGc1qK!n zQ&Ma-EfOl=x2Iolf*2`-nG__+9w%Sr%^+fAUna+3z7*V)PJ%(b5`+_U)W}|PZNjUS zbl`o-1=KOJKP2dn(}tL%h318dkYE^gq-qpB2hnNX8Vsw~hFurC7L%|-I+ixM&YEQw z6ZC)(pRf2Xh0VGh{K6y!+?yDV93)gxLnAH;8iKq+cV(+8`PcS78=9MTysW4&KIz}M zOGrEAEY6V`^J~F){rG;3L7_R;yp1&ep{iJi2NairU1uUZl2X?3SP6!2My#eG$r7S= z$y^j|c)-H{ScxdtTa2mSW&wTnF0Q{OuKyjfjt$SVTqy4GD7U%0<~MJjm-BHyz&7i= zMkZ4}EQAzuI`G%cituieg0&QR83uXVPy_;OdTBk4*b`-Q0j?;(T+m*apt6j)pexr< z0b`z)uqL?<4S}8{EoHMyBT_07h_TlIP_R@Acrr}kU4baIM#W;=dnDP-lN!DFs}wx; z&NxXH!n;hrXCy-mY*l!=Ezt7?;R#C|kkNrw2ZPj~JsY@Oc}a~rdf!<2k^oY0j^t%Xwq@NR689)Cw(b@F3H52DGub-QY6qmx>bh;&- zdAXdS1e!zZGk&wtE3Xydt8x~q*(&nriG3KvsvP8F;!OU_B6*cci|z8#bQ3dY)E!NtlrG4gq{xjvr}N2j<(& z7su?xT1ns5M!0~7pmyMV4|qiD)mrxq*SwE@k;`*72-KO{uZta}xXyGK_bR;O{4>PJ z*ho=qJ*r}OSn_H@IU#~fQ{($ctt}z+w;E(i-IGXX=UbGo?&gIWoj(F5-b^IF07R!z zEwTE(nQ;?1moxo90+s%P>8`G-k{;hd_?WHptw<%+Bpz@gdoWP9N3i!tslG9yh{*f$ zEZgd04pOCt!2*w>#e61fj#54vt+~ySHa#mb=Pw0Os8O*Ig6$>3uiRFM zOxjfU3w_@F?)0alt}$B<63HiQ9==Z8+SzIkJ!}E6XlakaTA?TdHT8;CDVg|zO(*J)(*Ii_#KZ_YtL^G9lfP}T_+<0k8H*(N zF5uvEyI-k<6&3_a+&K>AVvwy+8Zbb4Cclopx6S7fLd|d9-hN2f`EBxI-O1|Ijv*tP zDU?Mb@bi~}V5if8LG_b09!qcK#$Rd}NcBV6D38Fo>5DI3Cz<1+nD_qdKRH(5SdO2U z2;FV^=uJd;AXMvv@ZXa;p=Zsk6v|!bFUo9mVmI>wdk0}PY^Dh=B5`K2z2hJYe2x@ zFqYG=pYOGSKg%H}5|5zM zqxG*Z4qGV2)ti+5vz7eqFU{Y{nZ?7Inhx&^ZPt-j91u&J4lu(xM<)*LOibNu8`2&* z1porujp@2SRCqzX zSK-G>0|e#TWPVG`$;prBl=|}hNVAU0OOIHe=WpY`G#BiZjWO0?NqCqHqZ3asq9%Xy z0;`iuN5c;@Nf*YNgU?%hA(~UDa`@(kaTY{V`YVr2xqSCD@kqp6!x6rxe^5k$JM<2O z2&M)NrID&`7R6#ib;D)P5k?WhaR@a)s1dG~@NO2C!Hy5UUp%EjDxprpoj^yV@-QvN z>=hNAF80F}MYht`IJFGHr!;s5teAuyqzH9>yf?`%&%x<_lb^I|cAY~pqFe0krF9h>)JAaxNBBcWf#WUvS*m@*s%b#};fhO|{)L0<}?%{hc) zZ2nsdkTrSn`zBi#_q-JW8yIAW?0d>?*FJHxxVLtMGhN60uGzVy2gC<+T%y~!n)nE! zua`!OPM^0o5NmV<=Z~fVx4(@V3 z&=r>_fJu7nk6)h_o^!Z#?zIffGHfKLpIMGO<}UVuptww|c-))fX-{1YFX7SfbMJ-Q4h>fntfh?hH#5A zn;CP?R@RG7MXY!^%qN;@>Dw9}`k&)LM!(Os?0sBvcaP>ZkR;S@)*aYq4a`2su(%AZ zkX*8_sF}Q32}$0uvz}8r26}?MG^xM(6>!dPp6jjDOIfNgPLKgLbYF?=VnSzZsk&{_I{EHBV z4SnhB+P5LE$l_;Up_D`EHGu6>oE&XRS}om5#Z#QkG1>1{PTJ!9Wa`#93^j496me%$ zJv+rH=w*RQhNFwHpiFWv;~mDWy%3)0R^Yv%%a~wA0!MMnJTKl6wpNSFDB#|PPMoHW z(Zj$cGeC+RpLg57p&*M=9^ku_>()|R(OTkSTuY+ECGqj+$7x|TM+}&B{f+~jbkhFO zGxK^UlpQ10vH0+;30%cJr? zq>~dOQ(&?GToM*+usmK|%be&d+}4@pI0vYOp*QZuTy!0c2RLj=&-2~)6al&k;O$*~ zyM6bv>#C2_%Re;q@$w6qSAaqE*F!ge2;}gIV_mp=j95e*KWV zCW(kGQH@K;=ZTq#;z&{M*2sW{=F_(IViKkJGe5|N3XGFgRWUOM*G#8KA23^5z>6o| zHcu;k{5W!Cdny$|Rpu6DI-tXnE*tp-7iQWmpvh7^5lCsMg^`W9N)u|EA7L$adeh#} zq&N`aN`dWc9Y09IzkxD*NH z%~$wA`i~@~BT$Pf3(iJlJA{!ac z?^(R6Ganz%$DzWk4D5dSK@Jdcy~UTs!YN@A0CBUwPTrd&r;*TL2{Xbf!o8n>(cFJV z-lxRu(4$03WFE+p**6;qB{*?3v~nC>a~4J*2PEg`={6{JG@4mHm}&R}k%@#9ze|Zp z?~@gBRRUrNV%qz5Imwkok%+{Zqh=3*ONGaXDhgoxN zL`PIU)tEqn-Og|M1tK$~MdkP+*Hz+M^p-PeQGxAzj@npm6C)fSfH*?VR!+7mpq7{15XWr}AikN;C+bR9fEe-PCV@CF4aEqPiG&(zy zQMrNCpls;ay1ICobMwQ3Lga|Ytvh-Nba1oc@oi#bqn&{37rt?WMggI*TWRFOxoxvo z9zTKq7c+R;tb>^1C!$(a!dJ(sCB7@9>|BHLv%ar5o0F?fuxQS)A-gb3!KKH;zY-)2 z1a(aCwM{me9bEhi<33H|a;Vwpa|W(-_%vTnKo8|b5pBfU-wu`2Tx=R{Q`8# zDvb#mHmykp4(di9;?3#Sk2YRlAw`J-NZcIeXsdau&Oj}nxn2`P{g@w7#g^1eeBH(} z9vwr_<9(4cYoQ^Q9)}OoU)n5lMj|G~BVT(tEOK=cQqUDoz>t)hjv&X@hegx@c@Gj& zYZ@>>Ba^kzYc)h8G-OKS!U% zd+@tCk?Bav#8a^$>m0|%m;qD8rX~T_MPo+0vDRqtixe0E^kboj-yCMUI zw(1nF7+{7V(6)oLoa`B%Y$!o67A}NOTca1SQ_gtr<4)pzQov z&~!8u>?YzpvtL`JI=7n9e=f&`J=N5N@(Pt~6FRRr&#?|XjzFt}K@=fMH`1)nON&aXt@P=sNsIARVI^aL5 z^1>1LX8vwN;yAnO>~~0Q7D?yNm}8!gvGn;P4H|q76sSf8(?|wN=LX?Kd9EpU9{nKT|I~IRKvM+7G+k|MMhxu&GP2 zXzKq3R)r*E$d!$eM4|-XxA?7T0d)u^l$66rs)7S zO}t((rR~Ve5x}DHUQd+yPLTI$(7=QV(NzkguHb~KyZR>#aw4|Jx~Y9?;d*%|o)hl9 zPxSefIQ$kYpS=@A!6T{EwnVP;_9PFONAy5J17dKbsHO&7XETD*NC*DOlch(qQ)y}F zsUZF6A)2mW0u@E&YS*5WGQbdI+UuCv1D1)Rrppmj{lsszj4f?=02kw><p%-aul~^%d(wIt@B4_Jjk=3FU8^%w91AyL(o^uh zq8YPPh_9R9_S#yKCCQiaC#N3I9qbR%AInv*0bN!w-57RzyuddCQ}fc&5O$D9Vd&BF zgd1^}I61>0PR*F*<;T8qCFjfu1=fnN-_+T9ARgJ(9Q2#Ogki(?X+q}C+O{mTgAI0s z^O|mO7$y{l8w3w?<;z&QAmbE5b7AyE6(As=zN}RO8R3<{aTe7R-p3?Pb41W7?#b_= zG?mFf{ugA|l~W__>%AI9c#iD@ewqie!ZLd6Q2?mHVv-mV`#HhWQ%Y)azTWQbBI@+* z-8QP^HcRfO_Ra#!DlCx&wxfA%JE^acjb=iYm*l4S_clsVN$yAEI-MQVs(a=WwqYAo|0U4tg0ji8tx=$yN7c+fGE?4>>I^7hGT4Su18gugg% z=?>~82%4I!tQJ3m%TazJKotrROKSNonKWGf>{BK;FK67vse{_q|+7 zL5JeH_ZEr;i?bl8TJTL^EEWk|<64E6y|?-o2J-BziJt3qJD}kIkWR-_|0ka9`^yEJ zF~i8`z|s07D+G_yoR;;n|M=xF&9Y8d72Fi1i{R91Hm(qo2do^#i4QG2S9x_Jd>K?@+92i<9G`o~kGessXX4}Vlhb&wJpsoEo9 zX`m8QJsZd~B%-Y`h{Ps=G;SG7vLIYmOvP#}XW>t$`4%ogOF-e?9->NLoW84&skq(R zP)wq_|2nZ1iUo;O!&XZ5JdmKH5dsn|k%W|EW2~BVv#%b05i0wY@J^l!a8(K9mmrW+ zWZB*7P%P+I`I6Y;D{M|WS?#GKMN%I5T87aY6Iu=@MFAKy;j;`+0|3V2mL2E?VrzQ7 zp|RdpS!`rIQUFdB4CLqb#D@>ZM-$HuL`TiAAdH&H(vd*8=B5;{o(7|-yD=>{6yvQf z3@@q#P5|`}NN#g~Y*#mj4IzRJnE)z8J$mP`z=#_$LYE+Yi(5+kQ#Oj+>kW|zio|h< zfyP-++uTt>oxfr&g{+MK&({&MhxBG>4DLtNI*AFaLWx-;VCo6i5Xd^H~sdM&Zh z`Qm8ligusD8PGq;-u18n8%$>GI@l+}g7O2|Cpa`vKpUe+J! zpV@cE2RFuP0UKw3jM4ujZaOJ0W{ZMUd!pN=rkM8D(6+(V#WpynQcrA11Yh`z8)^)Xd{Ea$vu+t=c zIpn^(8tQm5=>@Bialm#{mf3C~&@4PIfKDfq)`W%Z9={#w>VI-`SrG#F)QaNhdABorwCL9Z#+kMi?GX=AxkXL9ZycXtAOpyCU&aj}9oGA!(lD zy1jS#tP)=KnTh*xsJ-RK8bMpk-}C<5a!)*5U|<$W_#>qJg=~E!dAWchd@maw0am^j zw8>UP{#%hvjaC)ojpR3Y*J2d)AR*QB+Sl(ERWx)gb85uM283+T1h!WRd$GyrW`y8N z9PVQ2mt@gG5rT@OQTQ-!vR))h7?qJ&CQ}q`DxA5O#3C5KjCw4?J8Pd{aNK5n<(au$ z0GME#e|@iIIMz74hDgPY1g^dZx^IJTFw}sT02NbPV17Lj9>q@BcVE#@53p%;MCtS1 zI7|@AQ*XXNfZZ}Zv^Ttk6NgWlXFf?*iE?=5dZGiN^BY3E9JYkyPctTndllVyQ)w#& zwF@B}>_@EZCaE_Jk>^1JUzn41MsQMd=(m=G(A5fB8 z0nAyQ@X+Ztv(>G9uxQ-w6op+`On5wIM80TcG$+IxKCagmPc=TpIMX8)< zzCT;UeK0lttj^7ih~m+*OS)UF8Wsdcryd|+s5Cr?{fnL#zyca*xkNpgWaK!8_ba%K zmT2ED(;7(|Y+p`gx=02&fjI^EHa1nu$;1$XxcD4|6wI15oKPQ?nkn~mhoXt-`8{{Q z+R0c?YK{@G#e5zPd)aR{qJ>q|ZU3lAd^@{E(RcyXPzBdydMblEhYfRH|ZSGTBWL!yeaItJ4>vgcne>P-R4M&ls-AyG-I!8I)_Y3+~M7;i0 zrquJ^dQ^Z0Jm+;~jp7o9cl56k@Q)av9IO;|=pYQYN{gw(03Z-%<$pnIHFfwXOC#FC+`%W8TBXI~$ zf-OWOit62sbg0`?6YmpHKw1axC)*A%fjb6!P`BOm4OYKDSa}#%P^T1SlLJcT_2iis zXz&J2D1*-z=|Ixa7O7}(x%=1{i*u^4C3b`;FQac-fm|0av6J+!C~?5pt~y>>Rk8{m zH*~b4Z6P~c34wkjyFK>Gu(gCu>lARb=?CxL-UuoEg@M+m&|6L1|l{)N?Fk9TtUNW=1X? z@LfZfw@6i$tAvEZF4{YEw~uSCEz73=@9>MbO;PNU?_&5n!-0U{t4A5JimN zZcv{fNJ4$5PoilN7+v>nI;lxSb{k6Z@P%5byR%KA7_QFLcXa0rNH_;6h~X{y&S1X9dTxg+LIk{K=;$2l1oVYj|H{ssl%C z&-+_f4tcx)c<4fQulB}6`mDhVpi&MG$O;wL$2LDGuAhga9YSvd7!47E&XnWkJqo0paa2@Kd$L8Qtr5tk*efLqu(Z6JHVUVYnY87~MPLwY z5?bo#0=+>{W)dtYJe(CjS)Y;Msfi94%tyE`hW~(YopvZWI?^6Hl%ZB;opbYvN-S8| zu0{t^fYhB*q@nmo{W#7R;Ih453)<0$*k>@aR!%^LY+%8=H|d@~KXY>%KlwKEM&Crz zi|p}swaxAd*4r@w>ys6%EH~y|ofFbB;T3k*5zeE8c!8YZb{a8FoPItCJ_H>wf; zuLt~Vj%qw9GJZWrQPDE714=O!nex2a`l_gMm*&x$3-#-j@&mQ+?FpR)uz0HgKA&x z^Ke&H`!;trrhZ$$QqAf77%`o0>^U$Kx)MQs{}{|6+OWui%ON^g#=w$op5kGTs*(TW zq@Y@mB>0OIm#I+ES-JshEc1$TY^5Lf!>S&4Od+ZC_FHp>O=!wdpRn(sNHR*5cvQ?( zvy$%5{l67}ca)YJ@Z25GuO5#0LEdiG8@2Kgg>vDw%J=DcxNb zKG}$u63Z!_tXIr(+XS*KxXioS*8C|PB_*(AF{4B^CEj9DPz~i|b*5<-k7WN$--zbd zu*9kCC#3l7Wrrz#sN;U>$L3jg)@ptm4x>)CJx=>5q{G67j3Q5celPmCJdqU_Vg{n{ zmg?@+t+>C}YQ}r)NX5JTmhAHj1e&RM!h*!|Ufz+XA`4&Lo`IRy3I@_}aPh~!B`O(( zzS_X$3XTH)95fHw1J8l@3yZXmH5f2Miq%1l)NpH<+2HGCc@X5F);g@dR$;~jm28dH zP60NH-kCh|M;dFaln7bXymL7=9S;m>RSP9*qkARLNU=a&q6cuGae6FKkBxzxvACb{ z+P%;*n28^fFse!amo^g-k@Vcuz@Y$Ci|(R1#7*^N9pQ)K*_gTJ{~pfWdqKZ|tN&;j z3klPIUgbm}k^7e&P3>;R!VkaE09IxfNB{834*&4VtN)W%_RUeE0Bk10?nqXNTcZyL z_8Y2$P}>47hXZ=8+b#5pEVoT*9>M3+Cx7|k3kmD=^y7l0V66PB>#&vClE1JK`S|mJ z`5$}2zFYs*FPiMJeqSGcXtDCE_@{g?#DntAN2&*Rryb61bm{ro2$f}jmIxeo;mpjx zdZLIx(|3{hyAZkCqdc30TNt;l_wRO%PeKG4j891Be5pU9rC#AY##orLb@8npa9q}BC71JJVdC6g+5ah*p z3otGgIFc+pLr3uAJ^V5rFpX$$m5gol_pW+y!r*pztuv5?Bv~-iy*tOi&z{!2kRf=+ zUUh%Ng@EvImpbb|SgLCZZqep~XU*lCC=OUeV1CWXhv4rWH>) z<*9i+uomS@IPKZNBxa{^TI+~f-a3o6k;Ulr^V$0Ik?wK|`u|8@>E$U-3M4W0HRkr*)uS%IIo+S!cYQVdcoC zk%eD~8^NVS`dDkZd7z&R&o^y;%eGP?((fQ&UgOAK)8C1+Z0Aw0$dtUi8#+yv=>Sf}W#(xL$`Pt(#cK#Nr8K5)l4{22T^SAVJVA zMWw;)95yVH&teH*PnjA#;W)U{q7k$l1>>YeW}QDLfg7|n-2iP7K&l092tkYw3O-Y5 z01Eo;zY01vE+&MU-)f+N$Jq1W=dRHuSRH^u3E<;2)m-qeYMzl!$R9tPBIWG_b$Po8$ zxOx607Z;`RbiZH+zU)8z$zk^Ks$j5zj{@hrnpLF2ug%M3*4~J!PYzMlx_qxoib$*L zl+2{W#YgS3*<5EPcL|`Np}M9vL0A@RTE9t-A4wcijk- z0Sr7Y%PP4}hni4Ch9F>{$LbYU77qic>qAKb4kSXxGDFh>+^oj&55075alk&xDhgP@ zA}CNtWygP?HJOUONe}>APq@ioBds9`v^{nw33l*(VOZi0^!+ zz8zF#uXe}$rV4IMU3IYZAmLTwnmW_vSBvbfF_V9FqqR9L#jw>5zl#sb-JKjNFa`!QdIeAbz)QesM~aUz>R7EbY~w93pdT;hOZiYe z2^px1&hH`Cx*|}x5V<@t?s9(DBDZ0>8cxCagjno@c6|fru>F)6h`kC+j5|5`p!Lqy z%<(xdw=1u1)+RaKsyp!lEmBo{b!Z!)#qoz{3c{mA2RH1S(w zW1iv({-S&-n{7imdXEC3fDp$sd}ZN7?4n5A(=@uFk)J+o@)%QvAUT?UM^fm#1!lh?DCHikoeuf*C)rVN$$C8Eze0oaulU?yEeg%1ABD13ysc5!&%m)s- zqK#NHzGz6f_ER<*`b@RNS51o0Bgr@T+LF1@W*>Wy4}}&Ux9d+K zF7G02$|?l-T1>;pv%IV5$&a9s<4n0m#+o|!d*U9O4`L^}Q|k|2n|;ZV+Of-D1cy7< z=+w#2sAazKd8{>CmEET_j4y)0tgF3I&cvT+kJ%e}*mJ_ykCpMr8@B2D?l;AO-> z>}|tZocxeeK^9?YYsXPQP4>7f_CPB$Q?87tz^ZLb%zpRZz$(eE8of6J1b`J~%d69Q z8OboY@80XrUp7y|Xb24GGM}FNS*(b?|A}h!sL(@wNg%4SaA^!pMppJF{xW~xYH#5Y zlg9nsxzUM8nzytVd( z$*=Cv)jm>$N7tvZX@P;0nrcii0K<93^JgS)Yt88+X+8%=8+>r7?&}Kt!5H`}#YuMS z&=jzcAY}5HC(L@-rvYcc(O@lqVO5`a{V8av{n?a|JJ2?`Rw6qjNp%8u$*U||qj2=6 zkN-xwI)&y9Khxhn5D&n(Ec_0(QKMFlr_dWclE8wLp8&@JqS7-9Pc<@PXuk;OUi_AB z6)*SWe*_$d5>=~$-Q4ImTVraOmRIfo3*8161+hH(bw~Hu$V3N1>&zK**WIo0uY`;6 z2*{}(O1M?3ke(~E+n=U{Dz*j}{AInn&J%t+A1RUgu7s_%i?r_Sw+S{T5*Np=GFdtX zkk91fVP^UG=-E3=3if_lpox%F%IL#y(cU{9x`IcD`A1HEsxbywP-X4wBwd9UOw-Q= zQ@Qpx--d2K2w8oRHnXf$@@z4!^D}aZnEd=9mqTq%G+T}w2iH2_S}Xq(zo>i|pd#Wt z0YK`jL@K*uKUgi5<^wzY(%TW`N$+;btjO}L?_K^?1!*Hk#zbMhZ{nn2t_TP^b#C%5 zd5rSKkNIo(!J}cTk2|{CwB_ub%X3>>(>I~dVvYZ-M=oncfXn$B6c}_*wdlGs1-?k0 zB;Cj5E*6LTK7R>^nW>ePdF>pw356Up+Y>V+|6T)3$eX-!c8mW<0|>?XO_K9#=O4gg z?Oc0};O>U>>jk1~=?&>&$F+9wJVAcf|6l!AZ2QvVargD!i9+Y%?tD|ou+Qiny*SU(}3SPi&fx3`G>ALG0; zxLGhw=Eo(tP|Vj>?N@O?o&zB%CC++i6xnx^r=vA1V>MY@CQc6KJKq;H61ZjsL|i}g z0f&_$*jbI#oWHSAE8QF zXo>sI)vV0H$rET%%6d;fO8C;&I1NX!^ggqoB;0U269WmNd|H#t0M(b(*EjmX^7`Yw z2d33@ZwYA=>PfSDCO!%~yw?3eR1W53e_aFM?wAl`SrSGP$81<{1xqrnBImpPN;2kn z?9JMwoV}r#d1tgo-|EIMLN}@NtM+4WYH{HO%qcZvH@zA z1RJK%z&|WGEx~jS{Q0TBKRaDI7_VC#c6Y6;FSX^^$l^V1gbK@IF=I}6LMDwm`kBHk z?rdfmP7fX4n8JzZgarw4)#$(n)X&2{`r&V90UEPxVdVEfPz9W#LKnLNj(zZstG!&9Tt+zix8YodFRd1 zm@ZKh{nxyIK5frPt7*QXm|HVL5(;Z`ty%YBSci8bFldu26Dduj!=umI-+d_`0}`Go z_8C4=`w@$IrrXmNMVVR(>S^@Oxp}dS3bMy@D|Xi~2l0hAp@AJPpgPv+pyFg#ZjrS< zXK&$5jzK1j@zmHzFwBqk)Yw=s>>2IpyokBwm{-ulJ)U8y!eVY*t=G&6yRuK-?}x|l zEjGLh7(GrLe@lqMM4(@(?O)`+h@IUslF-p83j?67jVQZkWLV%J4;1Vo$5Y7atJ0RN zDG8U|c1bJ7@Ff6D$S@s|;7SwtE=7^sYfLkksyh>qEV*!4NbEjU)hNIL!>h{L1=UD! z1b^uWPCVLp%5?THNj~cOCPpuHilpVUMyN>BFmA-f-&rB5lBPGE(fnn&UR;Kr16 zt}mdsgEp#%7zjw<{E)BlREt{v zFe)v0-@im4FV;Qd_%fE4$a}peD1;RKwc^Q>?Ja+7 zh}+;pkmaWa+!;tG_s1ibNsF}x%llbh)hV^PG~gU02{K710?Iv}Ojbdf@0qT2XuZ$&5@TVUfszK2V_=1-vdU_EAyK zw8nUo&F9=PzAqRL$AGMY7$?R{2Jzt!f2jev?LB72b-M2H9NP{>mJWp%KWN5ef5u`U zOF2Z}Akh2sEv2cK@Dv^-*Y0Tt2F^yCJU)L*0_J!)K zM@2x%+clcHwhRlZTqHh72bdR6#9sOmCMS$l*VnOh{5(Zd8$F))oM20_QC<1t<}jV!q)29 zg!VN5d9m4v;<9fwlq&4@q?8vrmuC09jWO(;TGId9ueYVNcAH^WGi7VEPp;o9seP&S0stP~&leqcy_aa} zdrYpIECbJfrZL5MJRcK@&d}qW{1@QgoJ#=|RgdkC>gB4xMZNnN9DMF`7fu~K7#{-+ z-`ZDQw=rQ!c$OzW8FPxpoMrxMfOCJHU(^GT`VpYbbSVSo^-o`cbMUUXC}^FV=Z&1_l`iXI2H3DobLK!yzpW%Nkt?Kx9o5n^HmzR2hsoCBo%MbfpB~GHTFnf{0 zn*BTnp5SU&!J~nK9T~NfN(Y-{?w?p7dtOOuy8lDiTSrCNZ{gq4-Q5jCw=@ic0wUlL zA}Ju-QG}18eTZtl9VeUi-Q} zn>}3s4Iu~xdCWe7pGqvlIOXA}Bv+b3S_N_8Jg0Ht9!8JfBxqnhVd@iKnXwaDW)o}@ zkBk2vk;bdo_lZ?LS(%Pi@CFpZ5N?Q}5g|batrzUhQeL*+c*d!7@W- z%GeOxn6ZOSi#Cb^Re`uc*NGBL2#F*@2b*U@uI2&&e?eL3g%Gp71FP@Ak6$Mc<2#VmNXtRBF}Z0V*5n;ix^ zyzt#{9?p5Ny%3+LzO^lZ|I~>(ibJ0?<9%bblVsOXh*5cYSz@+p_%NR`Or#n5;V>}w zVGiF|Hjw@mpJ5?(Ge`Czm%rye?c}N6Gcc18s!<+71A??(9Z9Z5J3|((4eI3=b58~r3L7JL zWE`(@a-v8y)yXeJz^2h?SJtY-j47GxquFn5siTWHzq9auFD3fU!V;mVnD@apkXJy4 zEv0sv!;-40HN6!CrgPtN#(7^yufD0W@GJV!o)veil$f$dfl&<3{@h`)6d5v>Z~wHJ z5*5cT1^}$7tE(diu@)j65md*sR^XiAmql-aMv!EIQ+NB*0O#z~AtDIYDx-NJq77mj zn)1kl*)aBX)duv}^bQ8;@r|u_22sa$x$YE0=;qvGFq8krj|zYY!kOSeosmkM?EDtp zOj!GcFjU-alaL|=8#vOO@Ax!_t3~js{3EUZSLNNkpC8`q zW36xha!pD+Xc>pM|LvO0TeTMja#V8^hwTLSgE}0u?l&B_{IOjpEk`M7F|{99fXS(D zYEn7R(%}8D6grJh#MkZ~#iJ#H7V`*B58{7DkAEk$Y7*P-&vRP8bJKgTO|Z{={(8Tp z=JfrfX0=0bOUE0;l1pa%(@y`RmISdoU$dI{V_#Q@$pkgOL}?e~>+P+GNUEbs$%di*lIUYr zN-53modHEYUWHjKbh}c&5yeqGs?QJq$?e+KX53^p^?U{w#5&yD@CbcNsEtXAUgj{V z8rLSn?IdH0M5q)Eo7YuW3S)&%09{cu2WB|-xL=?hM$VH*O+^+;CS(cfL?t8E-~6lx zry$nc+(4!xR##>;wRTpJ$H5XCAOz;5i$_-VFpB8 z*lEIsGa4kE%P{!?QL>uHQGr@a@SJuGJnhX7!SPR`hyh5aGjCYfBlnthqt|_xPp=ch zusDDo=1_1EPC~tt8-~>t1;PZ`TPDYxU~{IcW7DPp5ZPrI-T)AfdO*%;e*4HQ>D*{% zmZ(}h(N={gL=*Y3%&O(I*2_GrzI&Tmw9u;K%a;2Lsa-+^{EZr^)+Dff0%=;}n{v+h z=|b1|l^~@07nj1X`=qJ^o(OB1L`~-o$jFn+7H4U4v#KeNua=R)B#lCIxIedKcP}r# zIn+2_j?yeM#|P7L4xtIS&(LjC>_Q z!I`$-x>|jppOt6NgFAxLbm?5eA3mz#C`L}64|BpGX1~MHdbcRTnJd7NQs;UnP0sh) zJR7n7X-x1UyGPV0!GX8e@U}%W7YkDuY804Vv2Oy*`d`Y8Zu!;GOaZc=lPU`j9i2`3 zC3=_bYW_+4t4B(P`!+<^J)54kzTHzVk`rgMo!q_gBX)fORgEQY*-N<4$Zi{mz(PnJ&+p*Y7 zD??R{DJAuZv;-X1H_ng1&YLE7@fqo^}!lYkT_yWSVx60SQ|HqyQLk z4WM`c5}$yaW9-F{Z2k{H*}l zatvu)cai^5@I-=X651N?ZUR@9b2&UMwub$Ogbx!qWE@|uHFal|72`+=7N@E=e+QGzdN$U z!O0XI4I5)&&wHbBF*dj71)gj>d5_;AfRnhm*d#9){rOL?`Zvp9O8>v|Nom$e-2n%_ z3-EHkQ4+8JOBoKlqzJ^9yJ8{0uV#92-(a;mUNoklR!oAI)fOsu^C)0#@pkl3c!cwR zyeM!J2%cp^^5jm(6OBQWtCJaU+as3{`f##(iAqSUscR0R;v9hHJqL|iBwTWt!7W-s z)|!HUNh>xgkE}~t-jvXQsu1x(&$`)*gM~2oN-|RpI#PHhs>mI_WLMJHL20pF<7n?Y zbs1rP@4TRauYSV>e)=M{StrYqAl@Cap-@?(+nJZVLqI}swc2s8c+7h%6mhWFS@RH# zofTt>2v4wg4zEi=w7`RL{fSMViRqIp3r;imX=WG}CuIKOl#p7N zkSZq@@lmly*$0E+b^;I_B1y&^IMOtkpmI(mnJ0}vb-qbBh(BL=(_82=ld?HC1Pf?v zpK{nT;+UDs^LS9cT92+4=xsadd_+Huha~T%4XmknSXewE4iwwB=adeDeSQBsl$lx)epPMXzSYUeBJ4 z^UQsUqB4Vx-Z`t0E5perymO2kEjmzt^SyIoP7iNO-nTO=f#&BXl~Q?qelw$jY;u2j z`jWP_0!Bhn#Iy1p&Q?OoG-DB@PNZx!DoA(aGT24Xl|!^wQ9NI}<0XXzN7m~IN(7U} z=dLl~ZhFV-N724}j=r<|yDGPGD!{R)w3(Z#3;P4$Y2HP##VYAynOq~bX$->>M#dha z^~pS{M#MbDAv-3^EYC&n{hV4B-t_v7gN6~|vE3oWU@@?V6n{8oC1zT1PEaaF%2LV2 z+7nlpge5t}+9f4}wg`XzwUUxr@@&qB#=sh2`?Jgp#cdnUxp}L&T|Z*CUveD8fMakSKdW6*>VKBrS()J4+pTNomGNn#cgt}83wG(NyGAdI1u4O?VgI|x zi?(pt29nAK&h?|_#miE0*Nq&ShBBbzq;Ca6Lsp`_$-v|I_!wbTGgTn_t_XI{X1>|MC%jQ+o!cyKA( zVc4P%$=zz;)mf=p)pN7JbuEUT;QD%_)a$EC8JFYVyzs|ATaEpI&}i(@(N_lxKr*RW znVlrzhSrsP4VRUsBf~qDiXTRe^ZQz(ty}jOO0uxdaDv5sjm!r~(@+`2Y=kNlf=!`e`CON?=Vv?Sj7204Fmgg5 ziH0`nVLtQY(#!N9{_^?!h|t@J>wEvZJk74{;Va%;SGn{{UH8Zgl)H3iF&Ftw3D0Xk zUlnCtn@ooSY9ab|qnjqF*ymv^%V82K=;@ezJWC#uhu;!RA$&ez&K_v;1uRgeNjg^U zeq7@2y_)e1&s)8ofC+hXL8sZ8zjwTRcXUjL9!jYDXn7^&=bIB=s>RYTsVs zR<576F@;*pyQGHJ-u7hHpTWf}XUf=wE)xbkl$)t&zlcoJ2|anD7&^0ag6FKIcl>n0 zh*&WRN$zGQ;cHobylqSZT+$j#D)!$EqWz4fL{EA{BX*dh@N7AB(@9%#KvfY2q?2G% zN;qTQNQ|-C&UI7ZMRKtU9_g{mv+Hui-ZmG24&Z|-U*AGmI^E;V*oQu=W6wzlcK}<8 zU}U3$OHu<*{^JE;;bQoe?_Mo;n^r7;1lFHqvWL6|(NOx&#tiZ^mr1O~3`P{$knot# z9858ugIM!Mfc6&>=6Ls#X5Bu|Lv(6iU?bU8V0vtx-n)YUvlPcCyz8?tklD;mR ze&ls|E3{WT>piLO^d+mYdJ`8ye{OzYIk_l)w(J)qFKFEWc8X7dxL zi>3~ZtzY*mgL$@gv-d8f(-_EPCKF0kp<=RU9{m!Jsn}V=yEzOnF#TQxK~P9E=>U%f zC0yO!4lN0r(!8yrr3_$gOYT1rF2%_bMyGJk-*XpI#M)Xso0YUmN5m)$$a zE@57P|JB5U{1{7&bYL>1)r%p$ZO$AAqd=)nRzNhw7yrfb(kTcC`n&i$=ieQi?bmCu zq=wRQDC|jQD3dtPrn*9ZJ~x}yK?WoRilNLdxUiLT+;*i^eT(-xZZ2%H+_3$uKdC-J zuX~C%*oI77nL=f_4VKpq;2xyH=j2HlOLkTqBq?e>X!vh<((XN-^nChu=5xzn!cUXE zLA93#5*bfljZHOjebY+zHJHzVa~!WT(_w4f-TdI@N+n2&!$O6Vgv z5!T3h*fU3hnm;pLH-(d4MfCI#M#nHw7eBY#f0U}F zWv#0A(u45n%L14bp8JXF$r`@7kwL;pDKE56RvQ$QlU_U8DcUV=_PJT?*_ng!Ed|jR z|Giyj98wit<>f6UdZZj!jqF)(XWamJnOG;0{A=GCG4Y~Rwlk|bDwpaT!gVoBsQ9L! znDwM}uGSeK5E`G@aVLu z@^I6#+c4YclCw-+ox8KZzH-U$z}_ks5_qlh6xI7WH1TL00|Q=7q&u@g694P~1-5xn7%0P;_5Wu{Zgin* zCfWchwZZJQ(?zpf_i^9$1Ut3!Zjam_jf;s58Q-?A9{;N+4|~4!DsXS|sC&_W%$eli zP@5w*z-RdWcC1JCPRzTDKqlhj$B%RisWehjS7PtwZf62Rv-}2Q37VOK>!6!&$pR8t z{;iRo7H9y#Kz8C5ne?vF_{yhgyZzNeSM0k!xq7-K{LmFU@CP4U?jL-2x;ePF@D=`9 z^#9Wq8%PGrDgzFCo5sD)HC)U%9d=!)k!yB>W}<|2nXvcoHwjd+uhzMvNIz{-mQ+MD z8zl}Oza*XJ>hmKjzT=r$K%Gejhub3NXD>Q%9F29m}zGlCgD_Mg1+qi()pVkg&NPOvry$0J9V{7!X-prdDu zR@;bvfo7oCGg2ybN@A09C$RkJ1yqGt0{XJ(_oT9}gzCCUAI!XjRJ?OULvheKSrGvA zf>Fd{9N=cWTMkF!QQbrn*=1431mO}X1{VR7y>b@?9H3DD==s_ck=_O)PX;iJkQMW{ zkt7YkXbZc*>>=RRIek!~4D32xF9ckQcr_;xKJ4kSU zek6bLQKx$H$7`M)$ibGrT|Ef&s9>ipg$k1f6pD%YrVR}L$ZrN6+D`pmWM5T)G4|542VJl6HO*J$`Ig9%+F8_> zNgykB7%^brox}qgHtQUSuivy?(QfY-i!THYk@%7uZ=$az9Fo0X0d{j>=4YJgrMC)0 zC3WRQ6u>O8p~)oOX*)B_DghZ3gBPv3YVHgeOULyH-u{S6OHc>MaQ(LMqkwU@NIC%LS#`pwo%@Q zCy$!g=rZmkoycAt(L19;U>>m5NDWHBQ4uR+claZj!FP^5{^2&jRvZHjd&f5+x-lFO zP#T^++d>bM|Ca8gf5n?*DueQJM)Hrsla^Re|&-6t^#A|^7VqM z)^@?zxp5j#SXeNX6upzT|3zexcYE9LB~(07J#;H#T%~49It@trn0N%Qa9S)ayHepE%jyA{v8IJ@i4iNx2Mq9VbzWb)x&M}CN z&Tv8BO~QD~%J*Fk3V&9b7FO7_l_viV*8CUbr0yBnY$4JKe2rFp1;~^ zEdX4?+*LZL04NwI4MgDSB|BgpUcOD(Wb+ZPRLdjaX=%-joM%hT2loPZTag3@*7O>r zD;$BRYf_TKgO-5$Y>DL&c%RdHMdP<#X*@Oa1SMwPR`h6_Az(VlY{&W^1Igb+0NkWD zyTJ90!^OovG0W@=?M3(uvQZBLv6OgEyXD#LhAaVr+)b|u%OcFI`M&v}oh0+{B4@(z zAsN}o$*!4wm_MZ^)e;XBcZMxMX}-VZzeNuC-OfO7s{o84xoYTABJPaN3*;^Z0a)2= z+~wB4CZB(c+W(Q*gAIg`-sZQS%e!AcY82&qugwVg4K{^#eCpg}>>~wfCOpN+yd4;4 zQ%mB{9ncB>G)Tyl#H^4qCPI}Y{o*V2LU4>+sJcL8ja=tb9R*4*&p7L;N6N_PqgLxF zff&HMSFyq57wL9H8uR1lnyR>S5>EdQW_bDz9r^cX>(6qD#CwP9rJQx6$w$x8xkPmJ z(5UpYflmM$Pn7^uuxh#t6FdXIiD!pPzF_c>(G)J9*fFdL+k1?Bl4(c3uMOz;ba?Xv)>9Z>&WoWfJ1>OwM>n?(06nzJ%Q3u-FH2F=^1y~A4SV~5EioQxY2M0qq zDGVy3h!bHXS4@+z8ogJ+Xtdok(ia*)eQFKCWPp^~(o4bj06`Sac9I%97^ zJBC!fl}oFwjwIVP9V=g419X$%rTDAv+ShX-f%!RQ6ukWutS|K;jXWV(R9GI{w(}Xk zENHrt1<1J)Sf*}TcO3uNTPE$@7 zj9U?M`Nh(8`Ir9H~WmR6<-7X|x>an*6!E5X>MT{)L3^&k(0JWL=Vfy5u{kjZ= zY*e(HIYQb#s6*PyKv^ZG{s%d`5{YW`FD9sSe>-oFqrA%8XT>xpNAxUq|FAI ztnV6O0?9h;087RJTNAj-UzY6XKW^Ff)|ok*LC@lUbo*_)p7K?O{p`_t8a@k=TY(8L z)cUhS?ef7M$YDa<@y{*&{<=qSSHOY4TeTrj=> zs2C!W8cUP@_whT+dNGYo$DSW3qFb^b<%%P2EtRjyy3#X&kwHoLnrdxXGQ(=cB9cT%JDKG4S#78LiP`K+$w1qL5&SU;Q4rL+vQ)C!Pe5FZ zAjbW5wB4U~U6>99DHV`A`JOp*y(R$uFiuXWX&v10vxpP$!qT&4A1?R`0s}u3c%|1| z4tV)dPkPL!e;L{yDq<(wNcnvWS+9TH=WXqO7t3q5V7ZGJfK9>B( zeX{T_g$r6o!s+Nh|IlD3{htkX;Mva?%UW5N;il|HUeparz`8E_sh>WMkA(q5$@9YN z>wj~$hJi_5$CTX7@j?6V?^ERYBO3p1a~(HOPtWIPeGYbN{t_hw{E*Avp4lDBEu7!u z%Z1XV9v9zrX&vs%`CsD?Ivh?*@4tJ|zOu5iL^5Y?)^c=K-dWqcWDu@z2QVn#@8u^0 zAjY&eVtW@VKz7D254h&640TSdo3yM8mz#msTh3^O|EMif0zm%p6#?OY%R;D22Int{ z6_u4ubtb2U`uyO_^22SRy-7iSWUqgszkiu9jg8|+7H3)40MQxjY2n0P`{O6Cf{Jw^ZC&brr`ADT>Y zs`n%7Ia3zgI@~cGkskYrRW@o$V1|!McB)q(gETn?pnWg1RI-q%iih+@ zg9_1~iSETL!O&BL9inMhL<6jh$Z?oe9O{PfR;y`j+H^LKnR;`MQgN%imuI+G;D(8x z{~d7=_pLVi6)+ye(4GIp@I?Lbj?rE>J&UDOqnB67=jzE(JpOY37DF@2my^=d`Z%Qe z1d%+c;>5`a7vL81?ZgT{^{rry!xz4{`583_gXinILR{rVzdt#Ycdkd*$E5zrOnnoA zS&3|3kEx=|SPjlWp-|yyYsb2Lt0Ca|ye08bo$DSqHh^&!%`=ngIn@pMY8mx4k#i6; zw)OnczJ&C<2caWV;RC>~%9~Oc81MbsDs}BArIe%YEAVFiJ}@@0eJz100$T?oF`2STu^Ho){QSZzHdDE zKN&Oh#B3nLg?Pm9epS4DJ#XR6V1id|c*c!xLyJLWRqlDFvm8CxeSkqqoR>(B1|$;W ze^ziW%sT9$WBYfn7-kCy0fDEU`9I*CvCABsYG46&aBRiTCkR$dYCP0uD5fm!y*Ucj zgM%rqK|2*co%xPO-@Vq_RZAu6+`C+ju?mLh*BCJv%%N$!*K}wi`>vQ~= zDpM%H`Q)Mk=!vu5UN9L-yZ|o?=_COQxeQ+`vS5w~ftQuz51r9ST@6?>4g<=87FqtF zd_t<=3jP~LbgpDSY;?LKF*G)u`S>gFjQd_xf3Ul3 zz?Z}F3wT*Quddn$a8h``uH}X%TTlg@;5kuA7b5il)ZDgg_bOhF!>!hP>APc=thr^R~_}CtJ5-M;yl%pD$ca*4`I8eSal=wUCkZbx7l1^>8Us z^&!;4=2h&N@UKuyX4`r54U*qQx$L){{%L!p;lKs4)$_n}ytL?=)kQ#N4gkvQ{>5^Q zlVu@zxn-Knx8XtjU^oZ*;5wPyDUG905ehFwzGy{_9qBJe$!E@mj}yt*i-c;0mAc5O48Uho6qo?WYe3c z6_6`F1I1v%&lI0PC`HR=qCU8iM@H64Ud++4*>rS*!?6GlcY;V{`1X}@4hpZtCZo)Y zeMu{F`4STa96Cu8CbkN6RuKk$xl$nvTAnZGKHf;!cyFz{E36tlc z7tEA|D2aSzO3MtGm;K4nLWaIhYAC>?2vc?Q{vZTzegRT+Rm)dd%&TKe_ETtL{^RRo zlg>6E!$=-QN}oaphd;mueJJTOPJq<*4jWeE#_m-}cXSXI@2--aO?asTvl>j#Ya@%= zKxmsv-zbx*jZ1s?{_92`SoIgiL0>7yKB7v_hro z+-%V+>uQlmoh0fTD~E}E^aPbF!S(7`i0`#QSJ#e%VN=Wd$jKRTm(M^ZMH0xQl&Vm& zVSb`SVuI(_%r6;u{+ zbbF!Y1{7%BX@EgFA}(xPJM(li6ab!+?zg&8pU(?MU+<@{MDb`^4i- zE+qS*d zL2guJCvolzKNw$S3WI#dw`eD10ykAj*%TlaFGiKr`;~_#ohjBxQS@d=5k5x2@J*_k zz5v+t<3`$|NN`x3-e0h)zArJcdJ$dYdE^7}BjPwD01I*N3NNY~uWqsCgY%;Qf|3Tk zd&Z3U1vHYRC5TRM!V5nsOa0!1mruDl^Sz9}@_z`vTP8G=E2$I{(5QA`B31O1gQ; z;i1fV5VT`jYM-!h1YitSD_l31&a0<=8ngWy6WF%T{!oRzPJY$@)qPehl3eVG-4f~O z%r<^Yl{@;v0H#%H6gtRAE&{+waVrz+Ks&wU^KbH#kBK9-+~Ft%p3s+=Tr7Le;NgI~ ztRp$O)33a0!o9t6m$`C5pqWOyLZEBj{kZS4aJxXT(oeuJdj&&LJvuG-$IiSwEvGh7 zrF;6HrgZb3B2nzxi#IjxKl1nCr-QdxcYnsR>X+r4F5rv7i&um9H5)s?g(Y=`eFGek;2J-&2(_6;05qV^E1EDT`0R9rA;7&O)DFN&gEA_iRq^#1zTTBEZqQ=jd+hm7~>aV9#7B zrP=4Uii}51`=i6~v|7%8Soj2ge`QNLZ>SU1!F7UfQ!FFPF{@`aS^<%({!NnLt&p%F z&(vCEuiL?mVH1`0C@UF%XMo~xPmjGdp@5Z2&>pT-Mgo|cqgOKo2k(utvq?dyCT$LB zk7Hp~DkdhYy8_0qbx9WZN6)l=+n(zvS+T5_n2%N8z#Ij1O;bOme+CtBBF_++A=iB~ zv`iM@{zz4_n)C1|)X%DwLwtCzc)AuuBn%U(yr$RF`cBQ#OK9=#2lrFe%tznpoc{y~ zV)lY7$U~}$cE8q~<3ydLoN3{GiYiL|d1NvPOSCgWksX>p%hoDmWKJK!BTG+{?gK3Y z4yV^t9N7XUs)WBC4jI8YPtmD3S!bDX=~R2crhp!TLK1e`LQEaV(t~9)Z5}F-Ju(&T z!h8nkMOA^QlY;h}OmNOsn07g@rfx^h+X2wB{mX|5zLS(VJ`Rayy};PgDz8V7itvTQ z;N#Tt;7kSiAQmSy^l}loKcjcbqI?qC#z@y%o9i{PJAScO#IrC@7!* zq{2|nwV&`A-M3zw1qR^cCZRiSDF~&Ef2WPS4EtEIYe>sbGhoE%6rJB3k1vMdDfXut zoxmNM6X!pFBgBsaM`zD-**=iWz;t01VEPCnbu}}Shj7`<&k+#2KJP8@dvQzYpDCmd zy4A}iK9qgcDMV0R5mg|&4x;zC2B3h~@>=wW2FDTANHWLVl%LN;gX11ra^*L3mk|1Z zLwQ0?@4KAvLjfi@fow!EDrs5Sr|O1n6~Hl=Y@yK+02q3Ql~d}6K0Ce~5fZfYNvC-k zN^u1=GaJ&UC5wEi7HhVpzruZ;So4Da#6i4F-*nA7Fnak;+TeQ`M!Mh3i#eou4P8bj z))&4ICRA1yBOnJ-lwKb!`HqVs6KNu7U*!&Ue}W-@{fOtiW#oN{edSC1Bb?}uW5;Ho9Nh=9W#d8Gg}woNVZad zg5-E;!*EO!c=eU-=+PJ~FrD!MU~**Bfn_BiC>+{}4l>j=G*5TF+te7RjxK9hV#vB( zm>{?3au@nXBdEiF2&FgR=j?F(rC>}cVKtp>EOG>**;2fAx}11*V*Gu!oF5JQ{H_-S z)s+SoUPfBIG*s}uHivIzV$y(l-_L^`%AkqaFQLw|d-}O?NY=#>!|c|Z8kq;j3JZ{tpZ&EJmIA=LXx5?8?g|eB${}Dt z*>dZ!7(Us$FRHND>py&joG#_%Sm@)C|C9Bw#7Y*W^?bHfA{D-+`EM!PvF|~+Njt9C zR{w{-+ZKkpX=>O1Erp|UjItN?ChprJ0-Gm!9c-$on*+yK{YA_0dsxTkiZ~c1@`M{* ztg3fea@2&_ram|-Of$5{!0ZKByS0A_rl}7u*{Q$dgN`6OFI3*sYxWWy`d&x*-O2Xg zTA(8l&<&cK2^F6g}2r z0OOA0QB>OiMd4!_ES5Sz^_V5Nge@vX|-`BK$|#IMGF>g3*}qY-JaS zSOOYLFL?PKN!{BcX8l2rs>w8KF^fVBq;6pCW)1{dVDG8S-sKq@_HFAI#*OgXVq{!@ z%Mh~BODI+dU!K6B$+H54Z_>B443=h0){S`#Aisl<)wFinoF^do!~gV9t?W{x`$iP= zoaIUCApvnTCo*;c;1$Y4bjQy5aIDAjy$Lu1tpZDg@y8mrr2iT6L0OS*G1Q-Gj@tZH znd*E$?Zd(a`Qv6f=<1-v(7Ahevb_cOc zHoD80>~?QDWdjf!uK=^XWieIg~RG2?=hd+;3)B z)59DiB@ zhB{)K$*wu)q*Yp7H?5tu!9x<(x-m<$G$0#qC^3ft(!NxUa8wQx$P}OEN|`A)0KiU| zClc0lJp z&5_6H$6|tqkfWojf9CAd>$7G`!eY{1cROh|c}dxTJilVghn34>u2#V2IZ@{Oa#8eO%qp zabE9}95S?78L;3iuMVz`2qT`TM>PpyEdY|ptAM-(ZXwPry>=ExvtLtQk11fddB2)tkMri`vhF!q`z_9Nrr?_CJ|p-^ z9K9Xp*$XgO`}6KHuejwg0c=&4+oHu+h}P&ne2qB7HLQYl^+m%u=DuEfmrO04Ng*Qa zt>_arTxfAvVHnbvkB5nMzsEA>j31J>FJgM8G3X!RMUkW)6{CZ82{r3l!v4%i;uIkh zCF(wAJB(T&VVyuDjbBi`&y9T5U~CP;(cy|)%)?_RnBcr z6=yenSR68)!ntvjnjMqc^Sn3xqcb)eNnTI>Ax%VMar*7m$@P~2*%ti^8Xnr{Z3D35(ka8_@ar{xko=T^cE32>pwtFU=+4jhXPstjcTpdg%i@!4`X zB%`V26j0@zA*ZsZuC*IcCRwMiP=2R>atoniFZ^mBiD zXssRqPQ0F3RV|MHY4DiZz#5U+mRdY%F`8DnvU>rvzLUFs-;}DMXxK_0&5za|YAyHw zS!)MTNCQyMv%F1`G0}(IHujW`JosIJ0 zt>V-Ak2dmOW(w)E7ORz&uIH07@5Gw!JT8I{t`}q$@qv(wQWrUAJb6PWpt&b{Eywf%=(M zv0fo4CkO_k#C{F@N_&_Ha5h9R<-!)unF6iaAP}Mts7(CNWk2|kgj0SygIF`|HFXw6 zHA1f0zOxsG9kOZ{Ki95&ZrFECtm@#!=1-yb3YHNU#fx?o)xBr(Q=tYcifnX^RJRqj zhg^hH?|^tm(*F8g)Q4#>*p#D?8T$(^>FCAf4;FL~Y5JiG(0>pch{KRvpD_X)OVs(_ z%BH~4&lI0bhmw~^st#ylGZ(37L&zkv1pw{wn=Y;@5VU(&8;plfz~v5R+M}~F2K0%L zY3gMduug!z&i^&wIl-c^yR)19vq}Cd{=47m zvd;ob1m2SfXj*4O-qJ_79XouAcc9r_pW+>wOFBmtvV`W&90Dit{LnY2ZTvc6&G99rz93R9g zq821UUx&k5?!%ou;+|-Uaf9E`$g=Olm_Ph92nCBy-P$ox)hrza-oh@u`K>7M(>2}T z3^wiVd~s?1xf8X6)pH6F&R|==N=A!xb`$&P5eQ0|2k$JcY{v#BBWvC0^N-#r~EwDEqjH z{OqZr07=4%jskXux!s7WA9O zj^IxTpjYEJARzT|)W;T1*-bRAon8IBF~8nCU*l_>ou3;!E3S7j(~V11k^_0m^^Vkm zBVF&t&UU88NxSn4d?>RrJEq1(V(6v9;Irf|hnpIN>cAuo(W7nk53KsXJav@G;NtoA z#`|lhT@}bLTQsM;^;i4sq2shp%|ZVL3^>d%5Zm_;|c(-i?7 z;iPWQz4$>#Xb0--K58wXF<;%h-LIENyT&!}{3D|LS0z_l>Q-qB38s>V zTW%Vn#CtHl7F(nKHuV0QM>s2K<)t|)$+0gI_VcPJ5ykcP@XtK*iCrKfX7fH;9#Yyk za3^azQ8JLaI~E@`LH;R@1ovY8GXJhFAgVv=khwb+b+VFBQw+Cvaoxm(g2$R5Zpj~? z>@?H#APmpq2fnjwP>SCa8vQX0IEJhb0x;ds1=wW`HFrDM%Tuc4(tNT9{hc1WusXV+ zIc1q$x=_;Xz|@!kIKh87?Ad#foQDkc0Vcc~s%Eh)K~Lp=Rjq_ER0}?>1VB_4E_+qQ3w!BO z%BU1Xpzy8-i#3mFtsNPDtoNo3!X*VG(npL$)sB&7@T?v%YY7EePpri@u8zQo-+Ba( z@Br;;wnasUrLGc3VU8L&ryYCvg>LrYqeMzjI!R<_gILsWtnDNpai->4V2cM#o()VG zhRKa|;SWAQ17_6-JQA%@@o5uxYpM^cqn%il#A!I%cz(&yIl`;5?;s{Ts@P5xY^_jI z_PX08@lIGcK)O@T0Y}O7LU@$=vQvgfzsV4sMb0R?}gT8K3N#}{?J!KNLJm>_A%e%5vdD1FIWXbRuckFa|!Ngsnpw zDugPMd8tr{ghm#94vdU|WQo!Ww1N%IB)FEJ!VQ?F?E;in&o-q+Hs z?7Jgo=ij%FIicY@*5u2d%&@#+vEo=HS<(}EL98>#f`61t-OCi6tef$N041d0c5(vGDFW zByA3MXj<}8JbLQn;hkcAZJ-@vt6n9C1-}SLrDhIy1g+>}zwb^M$=sk?$cLrDkW$?J zfU3hklMB{$G%cW_N$P z`##S;fAP^j00+G0y3cja_n7!tlh8XCV|!$|$lo$To13k(#sOZn&c~SBB1%Dwk^NMm zria+})+BEM+v)e^uBWWSo+W%wCR9{h2fh``cY&YofMN$-wZiRIu%-`mD$_jE;)C)h?3F&me5h~uywFoePxsV6KUis@tIWc$^s zJLDh3pPhQjIvm5lBZPmK?)}^5hj#3CsXJ-oDE4L*|^_ESVBTQN<73%gl4tu8aHY^C|_r!90OOc#Izyam*66LqOv&j z;IbErZp?$sOq(?;p{C>lhWZ{}^wEt!NL)HTkzu}j8BBs>_Xq8VBJT^M8pujdsGsHu zp}b`j@lDUiU$PP<`P4v=T|uI3{q8%2D|%%0X#o~f!tCY$@t&yR6}HAs9Ne|*sY~N4 zHs@A*FQ^$?l$BpND_e_)QI#Kf(HxP8t;L3I?s0FTx%t@g6fm{m@>0UQK=ech?I}=2 z06QL63o*e!!!#k+ClGv&C7c-|8D1_)+Ir=$6pD&j9!ZE2teBwiHc#GFaX$M~d_7wX z0m1iKK#<#t>XblkoNIXFw?I1fdd_m&_pAh~QXtUWsjPtM`e3Z<7YOOYLaHXS7bCUy zkFddMy-+UJhr1<#2|b)OM4QfGl!0>_IeEt~`naZ3W-bwy1H2`_NrQlMjOu8jUB5ba}Iy z8UB5*X%6!N*`7r9K7KDzEnwO)(=99>9$GE02R^v7&Vm?Ykr>v+-BA3I!vPPa(Vr_u z9nMR$cds9rc9|$!JMZss#b|k3qSYqiC}Fbpe=90`FDhFneGZA3Hp;ed0@#gIJdM<2 zR?njjP<@|ksDM{b3txR&;C@lwz>dm>jT6JNsRSE;IT?zZq4b>rLc&^$^IQZ@!tEY? zssyV-7o=%>=Vw?PoYdE_lo9CWhcL_i} zktEwmebwXSCq_}Z+@{hjy1WXz_b{q~J7a-D*jz~xJuLEVFZ39M|(9TIQ$O8Q%dg8%P zAwalowR08CTVxe;e2A7Dfuji{M-&NeORM)Um?qR^dm1XqW;0_jp&mZ76Yj&FczlFEP zU;dAb9a~P914h#voq$mvG=?8tYj1 zO#09zH$>)kh1T(1?Yd}RwX&3l(b>-TTTVpa?OulF7M1NTkJgvc^!Cr{1KV$Zbj+is zdk^1Tw@xy%i^8$qsiRiB`ix6n+irzaCXntw`m-o0Y>KEGl;;wDU|2hYVXsZmQ^d{OyBc1%TGc!|jHb)|(?;2i4P;u4moi@yd=kK3gI9B>*jZ3~-9%Em%`E%{<6P$f& zv$rcH6*5-XeaWStrU0c;v#o+Bt+0g<&DRhsBCI+9{kVLxCFZTsu0Q2uy&%N&pTx28 zCvSPb(67}@+Vh(ZC@{rAphY5prLEc=3jAgQIWHag=jw|B{ps&A(Vbp0{~$9e@FP~! zJXVy)Sg?zTc~ij(nm6dnT>$bTnX&9X>c~D>EzeRPWLFJE!}Ro1>Ell0KPv#_h4=Ux zqTz*oRLIbF;N*@;e!=Ko6{RZl0t~_rqlZVBhZ+MH5e08vFqu(WT-h@Wy@3y3EdQYO zHsipmNGystL|4^>x*ddisnMURQVWjb?vgeXzyEC z9V6mD7z?QNS4))tMmVXP^$*IpZh`2fSJ8r->gM}YIs5Dd;HsQ(Re`?y*s|5(WD*TC zyVc=@BsqPHq$*-vFfJz>`mEeNQFjq?GsYhz+YkHz>LhzBE?G7@05v*UDBJdSThTF*yl`r&baH2UvKzH z;yu%Wun51LSR7Uj&~hI17G((4YFFb``dUo zw;UIjew@<3ToU|set|U+-t{pazBeaFBDT_sNSyJG&EiJ2-&#VwBadH}9&}8?lEnWZ z5U7DVIXMQa>X34&?xCcy=shm0Sq=Wb=DExg~~2 z-$Q}eu81Wur#^35pNgNT6@s#PVizdHInw@FdGbbvu()}aFwBMbg24zD(;R*FLhPll zyYC$wi?Vl({^SwI-{%CN3+4ZFFn63}E(HRba3e67fpeRl@vZCIr;*I{G?G_6?+{GS zz5zjO#@yS#WBrVzJlH}||L=S6!by~!N1McrQ`9b^W-5O*@WrYi`<>*t zD19iM1Mf56xW1tu9IAH)M4NR#*0BjQtgP%P3k6-Zc=y+r2*j0~BujWBad^n`b!%i- zk!qYt*pZ>SqYN~yq%I!_UiwWWH6pT)?5zTRTz*YURf9LPwL5-QG8rL3a31$6N}<2q zvfg!4{W#_45a~n2d^F8%TXsA~_o0;68+W?P2N$x6kLiG5e7et{zn^Qa%@3SRu!u9p zfR>{So|7&hF*@k})?s5E062r9ziglC1Zv4o9-IPCt8mO$T2Zc4C!!WmJ_sOA$RYzt zao#%SRVWJKsUR9#!Pp=gHfxaqT=X@NZ0E8L}}>Mt)g{S|1X%xIAVn zVA-!6kP|#h^WIHQ3dL6lmnP)agSX)k>uD=t9%a$?2Ln}F+G)s8#09E4O;>)O{T&ke zOA7Ij`OUWtkrF3fd?aie*f_ca>D9Dv9r9d3}231-m8FFD>LFbqYY;&B5DCwW5*vXNmffqR?I_I~PsX z_xO;+v`mVgpmaX=;(lQ&Eg+ZsHDT|q2_P1mh7WeZsDx;W0E8S^9uFwyM#Iei1Oa|D z5(KIS5mi*8f;`wL6E$gBE1@JQiC7<7vQ|gOLok{X`MmN3`Wx`W+scPL#ODe+ z0VOmp?fkhz&`x7roZI;yZ9Nrk1!w7gN2r*)zNH!(dE#Q92RE3$B@#(WcQ|82H;V~c z+o+3$!{kYmT~FXG)$J>Pf{`|(+Xp;JZ+*2mMKoN4IJdo1A4axaI_YJ+{h|a(Rn<9H zwxCo_NiF8UKP;BEzkVo{fIKZumEsR%#~j`vn->ndXr5>HC_$U< zs*_Jpvt(m6I4baHeD$k8U&_IgK*U^pzfmNc*Up*H=>geZ?c6YzgL4BXOS@4mZQx4w znjH}0|1FDpum<_hJ}CM-{LU_)DEyaQdEW}@=hEZPvHQV_^3##!J5}9MzlZ$AigFhp zEIpXBk9YK2d*Cw_m&ig+$|BUh0={*zJ8Ghi%>{I^?+o+6)SD` zJu3|xzul_clU}jvMtUsscqGG-^}~bZF021{$o9S2qoYLaPTjxeTchn0*qHm{%7?~( z`raT&{WRzL_>vy&X24=TN^k}B?8F*-{I-o#e@schRzQ7UX!h{Tcc&*HC^W~Ka_z&` zzCo6lo5v?HWr-ny+>^f(JaM%4SjVVi-oi^)>XphW0d+oTg&^&Qvl|;~OgJ>|Xwu zBI~MhK!Cfp#JJ8V#Sy&twdIn722wSMiUQoP=u#i#_*1s`P_dIPC6DX)_yH2iy4^X( zzowkz2q8wl$` z&t;+3tyko}qY4ets#y3nn``X=&BK>xG!k08BXOaH5zgQf*h$1Rmdaf>&K9IkBGzg(aBk%6+0u07tSO{_5q*Jd|>K3#=6QM zocD?3wzqmUQ9P*gT|x5wUU8|S;?m@I^++U!;yTB=)Ca)f5XNZKHTM>Y>x_IHcyIkfvX16 zVPAR5(6TcPJM)578Z5)o7#O_9f8tOq(}2@>RYi63_U zZHMlBPvYQ+IG&_cjW``vZdg3wYXfv|-3CNoppZn!y~QD72eC0_#f9s~$v5am*=Vzj zecy3@xZi@QAAb4M7QL8Il@Ge%`YBeuH5BwyeM$HI-0KSl=yiuGb>Y2~n>RIS%0g4q zZ+P!Bjs+n47ZO7j-Xyy>FRCokL{SsOVzbb~62*L611SE<;NG~U(T zfJ^b!^Z_+e7Wx|ttJFf3-$1f#_FS{ZvNJbPV-f+{FeQjl;m)VzSuI*&gPAA{NAd_&$w?M~h;V;uuzrT!ws{?f~QrX{^u!y(t>6bUi51M7bw&My0<`2r0 z8=(W$V-=>9m*wvQQQlnD;@x?Oe+JR z<})Qv(m~ta>mFUBy_wfScM~t0x^uQ1&8TQ7ll`y<#De@zDnm!`l$Xrf*wBbm$V>_- zt+0qEn}Jl})nCEBq9R^^hQF>HL)`;k`$D-zN~%TsF3s9|z3?vDca%g_Q2g_MR>A$Z zPZcH}e8whq|M*K0StOb3+M~t#^1GDTPAZG&n+ooL;-~pt3~~(cfAUKd{nz|<+_wX) z=R=&O`X)xowQ~u1(@M2geVOkcO7s4LKTxq239i3{2lxqM%ReY<-^CW~;Ke}cQ_nx* zOid-GrDr6$ek7dI(UHssj(~e3 z__sUg#cZG@H|^T%7o2$XcBZm5{)i_xjFK8Fba?^HZ-3R00cS(~KY>+n1ZtE|&BR5~ zFnSK1Bw03mIpwY7x$R%qKdyMn@IS>_5rA-UIn~}Z(w9@cFick_8D#|FG1yTl$U8$0 zFcSVic;Y87dTUIvp7A$Ba=FIb>7ULFCdS{Bck42GVJTg>M!2LV&slI2CSKaLDHQvdHEo z1ry94p|A-~k!4k3aSM`!*P8p1hE2$as8I~#{|%2B2;?)TCj?@Gh68{*2<_>@_z4jn zh!1Duw}pr(>A$ZBf1ZDmu-)fN09*6gClqM|ymA3`XYQe@6f1q9O^QH`49pi#_%j2 z5TQ*J+)I6cJljsm5cRBwhO2u+gu1l_Hdi@P$sb#t8hKx&>$U8pUSfpL~rb-@z7&pJl?v8Z3zN^y0gp|T1N z1p=UTmMBI*ZbJTz>5*v>%5R2Cdio*4fJ6=|*gl-85&#rm!;UYm*{QO~d+yYb&!#N& z)2REa^J_(Y%?SaDhU-g(BY<8;kfql0NgV(-AB*eaBY_HC;u0R2#NDvI<5c3Mr~8qLiLxTxevpOUIyhn+^!kW^ z^#%XSkyr zfdP1xH(WykSW3<LL57|!Fb`VFG;MJ?$NFFW z7!!4j^({EQa^W)Th)Q#YYxF0d1JcbjZG6cY~D;lETt zjMON^OVdDK&m(W4nM5t=_I}*R=h347urO{VgBF{3mbY8WGK>HDw=2xY0!P=cnf{u2 zc&?s@&H73ev9NkTe&ibzAVKqgxJbOxf>n7LKIzck29kgeFYO*Rrw-kncTWjjBA=Tgh()K_>;{!=+lVNW5C$J>A_GSj<+)PrljU6WO#j{Ak<#pUZ1sRh6zcdHCE z5azt@HZgkg#woX&1;T0X^Ju@9nqEf(*$;mNOtZ_3H>=c60Sn-T&ct-->mQn{-ezYE z4;G5Io9987k37~An^Ew!$;{lWw*P>> zm)hW$XDQZwL_7Ioa7orAY4&`q{>>m?uy#b1nm&CpyAQ=3!{-RoUaMWYB=W{oGIy~wv21S#d8 zUr4t)j^NI#K8hXR&7Eh-CLX!9jpz0U5O*%S9eK>PPyOIO?PI~9 zGfEmWDhf6Y_Ty4suD_|l9zxhL%bM42NGDf+(;(MoEj|9+Sa-dRBF9INX6VPE3X3RY zP60FZTcUn>ltn$ib(o7WO>VzyHjwS+nzg9{`d<3F04yFF2k;7Xg#rT^S@#<~1~WD% zV(Q-`Tc9nb2pt(3UxV@V9YOiUY2f~e{$H-v?lTmvvnund_(|Pf2p!z^$B--4M_(x7bx_^Joa@%wj z3Ko$oxoYR=LGteU*Z1>vv>Uvwa`M+I(r4Xrm+2E~2cG=dE5VMkecPX)0BZ0e#Qn2AV(ZAkDi%_dfh*45U7sy0z*SY5a&E4yrc!jtOz8eGs(22i9) zEO-3)`otyCg&c&YuO0IM9l8w{J@bJ+1^BcaYJ`%O=0My`Gsm*Pc?1dSu0_$Wva^=R zgTWPJE!#X2C@%*ZQGAOBW^3d`W3VV0UbCW9V4ug`B;8p;^*uTmC&9_wGcNJ-y!5;# z*}sgbQ(RR$VUO}JTnW?_L}P`M1=Yz#nzIEm)PkiBk@sF)t(=qTCWf>$sGld zA#Mf6kvtAO-y3Tg{fZWk`X^03dNpO?5l7sayv^b*nLe%2>p?+=Vv(K2!Bz8P5+>$+ z>YZhBfbUEqR|bcc;7FOs(^s+t>`2iPB=5hssM3^)d?dXQ)eZ71aK0XV76w{pbCreU zAtV(O}*U!m@Iw_!n6IHbTJ)^ zvtp&eDn{Es;ttcs%bVNwB_G7Nw;S$Y-Ls8bzQvcHB`SBf3`TeRe*W8$5ysem2>eI9kP-GE_p4`{raJ^LySMk%8!vr>!cJ13cWuCr)FtL@>|w1k ze=08kS9E^1d>$`KSkdI4)X_$ze{_4hWPN!453z&n15)hA;q?i709H7Ad48~b!E)Ds z^bjMpjPY;Fv2r8azCJ?dGT`*)y=<<~6NVYLA55A56oWodd(xe&Y5mbnuOm|N>KQfL zkJ6jGPA0);G4@4!s6eCQV`J`}UE7(>veY+|xf559VD&?<%@%}z4S&DPz=w`jC%kCK zwErx=*qO3*pel#~ScXzsMZ-k?uhfc$ zQh{}@_;#BJu?yGyXqZ+5{D`3F>TOlZ=@ikt+LWl_?y;P0QNs@7NWr=7S*wggo8|WH z;RDZy+U>2{yK6rua_NYjqO+V*&!O70tFwzhXxrK1^7hV`$FdI8qEo*uu3ZD)ke49S z1`YFUl0VBgt!*>tV8nRAO2WC`1kwa`n2VKzb<|P8A1e zc)J*)vA)hpT@i2hxvWpc)sG_+Cwy?L7l$TuAVT@ebo;6WrDKzC1og;RvsPUh!78kj zd7)N1gyQ;2g@$syfTGFn4j}u&=%7IgXaE($g+UaOHC|2c9QQ}zM;bQZ*{>HT%;BNk z8I}Pv!@$n)uis>${Xj;_1>PedloWy=N}R&2trGa9cdQB535VEqP?3OkmUlqqa`Md6 z!v%Yb+&nI?J22*VAL)IbC(^9AOYo;5vOGB)APCSu)KT_|-!ikq#0Y4`OS9>8>2WY( zqlxs*Rfu%eIP+F(C=qLvxj*KhX=#fI=MgDv`}y78SV@3A?^)`&+Mq2nbC96ewk(}` z;&e$neL!VH=4xE{w_^v1x!EP}-MX)@2gDfev{aEWd;RJ61(iSTrg@&{R*cou_w~px zh5{f=vHXD_2@ie2p?~#;xxSid8zuq%Y4m4=KrI=W*z?ck^(LZu=!BEo!$L*u3eam` z!Pvj7=tY!iG?i~ZwrvgeFNwuU#15~;C`>i2A7kTbC%PrQa)HCA^;oI+pEL_>N7h;b za2md-?T~1n9TFyvn%CCPuT*RWVQdj(Yz09X7)W&`7B(L;!uU<-!0b&V$zrOuykawj zXOVKVb{Ran<6^rSw?dMNmG3`Vog`5VbHozME3Y?7&QXv_2M3z&*p?@t+OtA=Uy-GMD}CVTUqBJ4>(Lm6-urWzOn(qT zaiy7nG9Y`PVd0d%Mv?0IzRE}@Q5Wb!%SJ`HPD$6&m89($*5bs!!2ly=PddNO9TL{h z`<>J3*pXv(wH>o_Ji}7A-S$=@{U=h?;KVYZ-DtI-~^}@x1bMvlHQ({*N|_bFONqr z+bX@lsKvUlDs%9xcTN2{3(eg=dDh2c!Jg38pbnF56Rx(8sF+yF9~N2f3NP<@Qc~Y2 zcTh(wQ7_-ztkOu*T=(rD{mYDT@!@Icc1TOMxcqa$T|l|O8o%sw`iR_dL1ZM+W*`&SFB&rMW)0v@5$E0aHZ6Ep9_lbkxrPlf4Z1Q zb)Vr0%7Za|V7_0N;6R27zQAS2Rt2f5ka5&0fK_n{tfdwDj)tPh5;)EKeq5^%(Zs2w zYe-Y<{yG@wb9A%PHjy<%h1-NYiKo5*bR@o`fdEbr~Xayx|Q@+|As zS~iwD&NWMK1e9Jqv&PxXw393V%^cZQzfkAm|Ng%<-j+(=e z7We1#Q{^Z<1iC58Z#A5oJYr+a!uM_D1Hk;`peDEVy_+ZeQ_9I-_OdyNMgOIaNLdlP zI0+Mz%8&wxoJ-J|KH4ZUjPQ`!^G_7eWwv5h)NYt*o#b3EzB*WHfnGf*yDFGkdQKkd zdx(H2A@bH8LxYVs4~kh@j|o@B05Vp31Gwltp{RlKu5hhNEo#M^w@TSq>^k_T@X9$qEd>@{sTkjWI9qZ1W7`^Fo}~ zmu6*#Wr$(Cjo^U@0$c7&5!?7|wlxm{Rl;%F^e-plUwqJh*X}H7+>ovYMMNl4&eoZf zfDLgM`sPI5e#7vvS!9M$7w&v#|MES_C1Nbg%p&Xf?=t~o$Gl{;odPTO8h!>HS88r8 z>XG~O>oUxWj zNbRSBTFh%ctxD(Xt5#Cy{Q<5R>g>(aiu~HX#Z$wSRn2OK*P6u>C?$s^E1p6n@hpI5 zuxk2q$8sX;|GWSL&bz=f0xMIQ^TE6jI#EJGMlWcvtddAxr7Fc345DI{&AZp&K~YB@ zvH(B}-mHTrKDlAVW=21cTA2pg9Yto+AJ36mR$-YcaM0ILB8`D{yTSKGE1_cAY;mO{it`iM zZ5tv{#`7tDfOs%CQZb@{AfLW`50jIzOk=-#{*eqe-kpy|X9aWqND*zvZU^M%c(}ND zS|I)|1n1RgPFkHFTS{Uwp02*`rvEGQ5H!Jhyw`b;2;8f@|6-vX*FV25#Ab6u5{3p%|*XL@GR@+!+O9=M;jww{r)O? z`5IZ$byd6ib0gpDLc+C$<*RS+hw~Eu13UV+%ML6V#45RY=6wEVdQD(|GHDiz8^|CZ zBff??r*ilN^>Tpq>6o%D7oPH%2Lr#cZ_(K9;%VWWohOuG^YO*LO9IZjlZSVnD_HXhVR}K7Lr;6UR9(%@mP>`LG-yM&=z zwWs^}Pwqqc(ZmV$C4*^HVEJHGYv$|}s>m?dz;uf8iqaCT#Pn?QIBDMstdqR2 zQ_1stST5^tVY~45_ixBWM>In-9L%Gbh&tp0Ve}3W(PTjWV{JeI3&;JX zeqIpvS1x8gxE_|=Pr32kCfA!aR3*&*N|WxZ*Bq(NLM*^6v`;W+ep!{lVoUT?s1x~& zP-S5Ji^wmx*3K_+5*SwSI(?;qAb87 z0AqR?jDFx-H+p}s(YfsO(62`_sdu|~L*<503IRQ%zy_wwg_pN1WbV@2HH!b}(lt~B z9j#SDJo0l($J9k*NBlI<2Uml^WJ#QkE{91CCM(9}sAF^K@R!5-%FUb=C*#?~eNH4e z;F%Z=Sgz>%-XW$6O71Q(Hu<>VUj7yiZzaWBaYVxWiexi`&!Fp_v^}S%tkK!|>>rvg z)d%@@FJ3Txbgr}ul8t80_jH|N<7US|cv3~Eigfg@Qn<6IwkZyU%_anyn4hZOmdd1r zylNQVsCnbv3$xlIU#)@hn%;U~{J(SD$(p&8BJ20iqU#pCIQi0z`IO`e` z6H84VHIl`Z3z>A5*tN`PwnPQI&&n5xD!($;?-c$Ni+qcQ#+igQQKh@2rCh%pTPQ^W z*e~$dnabi|axLCfR$|z#f$(Z5Y7~He7Xrbt6$HjbZOn-u?#8Gc+Q^>8flnJmAtItX z>mk!Awqb!V3k#@Fm3L)r*;G|b>i7xXip*FzjEwq#ntbz;x=m=wgbYh%55~fwT zbrq?Q)TeDnMCe6d?w?vk5RnpQ;i%4Hg=iM9EYvZLil)ge3(TW)&}_Br-MFvkBO>y> zxs_W5BBI77;&-u?+W};k7V!agU4KzApAvW#SVU*@N@rjHj{)aA1i&KmW}i4ZyudS*&z7dMoouz-BDgH)OYy)bGioyfHM5 z`aixGS$}Nd4y#MoE#z-0w z4yqMgqmPy_t|&K_cRQdk+?zFSp5KSf#dy(`#0}bijmD=A-TXA9n$8OsR)ETV4M89X z&|_|k>x?uZPa$dws)nP;u`05wYQ+d7F(P3J6rksPa=78K)bV7jXYL8bv0NofwG-{L z%?=C+6(h@tHD|c3Y66JlLITlArc2 z_s~*o48b*}hISkMa!A*XyMJrB54<%aj&FSZFourTI>Fb7?pvYaPNQv`GoAX}?fXQ) zMQ)yO__L3<)(*Jzq^`e47@Y3XtI2i*()(6Iak&fZw690$!@dSWJq_mr9mvQS z07u3wC#}}a?Y93w$my8YbqdVT*`lgZ*;V3LPedql)m|VW$i*rMvzaU3uv8QXAj$`0 zlHYUssL)3f^-ZI~lUD=bp=GES3Ug+31>k*RISfE2h$1=#D`ot+DnrC%1+ihb1_3E$ z5Jv$&9c;V@6heo8SGh+e@Y(RlMIo|7N3HBuWov~M5=X*D{3^7DSY^uVSG4{PADJwJMg|>|Im$m^F#B0Y{MKwH`2DN|2VTu3;WVGn4&^JMyM#u9hqK(B#> znV2N~s+q?K2L9u*(%^Y~FmTZ@e9sGUoITsD!F*?EMQAm2tsc|P@PC$k z`RAE5QjN?OI%aDwPB4fYEDF?|xBiy~`|cR`{GEj0>U?x%%+ z*1?8zy2ISYSjkU5**0lfLXgvZb zZcGyAn>z2q1NsVwW*;G4i_7b)vrp4+?%+JD^YS(T6G_B#{hZqABhF(Z*MBSk|5+({ z|DYWD%#g19ZeG0Z5n7m=N%dWfJeiU?F>4eUQYiu!TIVPr+g=cXQ;+|o6{y?HGd#&m zl~1JN+-#ZcJ<~Iew)F!i$`N>&@t}nNM*s10z;0@ImQP)+-rZ{?a0LiQETu#i%Ii&AY0r7jUK6ox;Cp7(*#vr?ozY z!Co*{Se9y3lFX<(M9uR~F4W#!Rv{S64ucS-99s^Z&C`4kdv3LuKC_*4?st2IS`Wn} zESRfAg@!C&>%{diyj>W>zPX0_l@Cl>^l=y>RYC?y~h|mwC`z8ZRCsD||&+s>lXq ztAv$fWL93!2d=GAnc>Z#GrV>C;^=8MxFJ=uE4AzbrMRW)FV*c?_}j12own?CKM-wY2%PHGiC9X zh2C{gjeEZJbbfh+`r{ZI7ZFp81qt)>CSP$99`U5;0ws#Kx1KVb4Khau<(D@4%m;A> z_`RHa_A%y$5?O9z z=imP2sqbmTXq+1!(?4B|!sMFK+5VpNc6V91xLQlxEFPUbU5?k%qY!;L6{|w+Ksaw8-O~*(Gs$5}Jy8C!ZBLx_D*Bo5z5fWTdnS<2uM!$sI44m4 z#Q=@^2U`RIk+zC8QAj8|2B(@W-TqXPWM%i~uN!*Fx3Mo^=BBycn&xZ)JYIk|8j{Y> zYt{!ec-^-2a=%1*ZpPJ*vxdd z2Y?{F(&FGc#blhuW~Ls1@c@VUvmAySEDnJ;3{wh_wdDhCd1p(~)GvYnzc`ReV2Q`- z`I2qCjXJ0(R-U^f0qqpf<@J@fm(CB0`k$Imiy~2Az9{o)0pWZ)w zXlpD(D_?N92daJ+=a)dKU`!n?^*SJTCpqYksU&6F@9-uN`i+CeMU|PWY~fF1fxbN< z>V<#j??Ezs;-cHv*HjQx@6mBG93-Dw=$UUB1U#%%k+NCxPA(aLkPCMW8|wA*M^;3i zSyB`8llifEAAZ$>CR`J++OsDs~*PX-qf}oPM7}oz=V_3 zM+kU~eT?Y5+38nmu>}}B6s$n3@qNyM3B`TR^@%2R|MJ7V48+^&eQWJdW?85W0-dqJr09sxRNt<&xR_ z*#RK7I&T0jFYgcT0MP9C#wtLd(f}~;#vTIZT~Al++`DGY{>`B`KtLJA?GPPy{IK4C z!fbYt%=jxa`}tZ=zy+(K#M@}6?D-{AIQlaqDio$qgDZ#ruyw@lRrhOuFF`xz^I4jI<% zBK`d`EY@L&e1=f?QWUy5ue=4AAxJhfiikoaFi`#-x~Kx323hrB*<~D)zSj=ou%s)l zKx|h3Fw`y0Z-Yv2X0~d{{%!lBeC_m_>oZv_*(q#pfQPvk>s1kSj)U>HRzFV>c(Ydl z@d#~UYp4LLEHbn-+Mo^t-~#e$tE>lw6D0%?9K(?U9|rhwm=Q5ch2Tq;C1eH8$Y2#3 zw6Pw{@NUeZPuOaKnhU7-?iiBS>uRMfe(GV zWUSbLF)UEtsQ9)8Cxl}DOGB&_(Jfbhw#;cZ6a=LvDC1Rkkl@IP>H%G6@ur!j&|`d8 zr~j@w=o*u@Rh+Z%X^oeDq$b;sI_V7`>h~{IMRrNX*z;_*MY2$v3PBf_=8(vt_r}KY zr+KB+#>SsrAVP3dohL>iJ?&9o>@Cb=dihk#hdSSM36>P;-;wNNY4{k_zqHy?B*h9F zUkgQ1ofH)z{|$}se$hXA5${c#kxScy3~k8$63vI4JLSDcrVLsSMIs^u+rr>!gH-Hw zvh=fF$i&v5`h&?9*Ov!vL6}o7R^&4p`TmZw)i^= zXE97zT&o+2cA>@dnR=(XcjXuFr)T7-BTQlqOgy#kD?r3-`-8TnF)g$;*4qSC(Xs+9 zkobgZc>%{f`A5RBFCN$}$s555E^0K-1;SoZH&c7K8Xh!8GCab;qlr`qbw@rf@`HIU zD|8g-TVNSygy?2u;}~ku!1;{=Y$77#6lColRFt~yXjJ6ZSMiG?fSb1AVk)|wvdr}R zIQQ(`rwLTz(-98Pjy5p)&E;thWn${zy^$joiz1qYf)jvW*hnJbZ?Bawzs5xU(SwwV zZ#EiK#ORo?wvFBQ1|4?tuENyu7Wdnmm+adgF7Goc?kZn-$>=vF4^-ElN-yt!3~-^_ zq@}RL5!4v^;+NNF=x>R?A1Cy_wNmMu4b0gZ%|cg06Ufao_Q$M_zi)&>-bH;*?p7m<{uC1IoEj`seAUTI~&ROKpM>r37E5~Joo4;o*mnM`9?5< z1SBY9Y~3-^6EqV2asP2;t#I!a-*OdaiIwP33VfA%HGHDOxajl8t>mbA&g`d+&T{)x z=E0NkwMo%`F8lu?Lx7RSZvT)1Tj;_1tW*@M)CuTnh4$eg$0_{DN0#P4an5F+ z-)4G`NOT`KN?ere(Tv11Dj(wooV}T~lDNPEUzt4)#jb2V_t^!L12^OT1~ccaWv^wQ z6UE0vtZ?xh@x=ev7yifBuX-e^vL#7IdG~V8UTDIxapJJ9(4n!au5DCw_0;fH9K4=H z)qrx7ONpE>PSH5!T0kDlBB{i(F78;K91kQ2M8T-N&0?CFU{%$VO7-M;g~B{Yyng&halqU??7BHB-Zml z4>oap1lLS5v=YOJJ&q+{1X(9)>EE+Z#+wzGixO9q^@)OM%44=k>Q|SGRvz97vqn5@ zkFiXd+N1Iwv)qLl-+RX&xQJCVfex__9=IG^Vd#k3HB>SSJg=Y@BUT|H_^#Bpyxe8Z)U1ae;ih(Z z&p4$@WBQXV(hT;7m9%S-oQ4zVP}w>6es~5>1<-Yl5qd&7>+NXt_H^`1$6&9s&C|ex zAUrm%pzom5mn9uQfwnV1TP%N#uZN_|rLB)c#>mvEpxO<3CHVZB+#2ScFRGA*)Po4M z3L)OoUv(!FP!-h>h0twEogoqPP!t^RH~>2%9Ms;O?S$JhY&17Q(D}AT(=$^F0k{*t zQkqeWPgnqlnW|@R-efOOx=LGS`QTpv@N%N8zJIVt^ZV_Z(xxzgX>SyIHOq5jh-LH->3|kwhfQN&I2FNV1<6IW5lYH>j zwHm3r@*Q(605a^}YdMorM|?pYvy3jsr%l$8x%G#u!~rm1QJyszar0>AiUx(_fW5Xh zUP=N4Uwt!ErSxWp5aN%r^y|*p-+_YG&*U3>f_PLLkuqUJr<11tKfc~ND$0fL8kX)J zn4v+CkPhh_6i{kJM7q0Mx(85NK)R$vq`Nzml4mH zuRZ&>L-rcDg;@>RB=lYt674v-RC}Vn{zZ7#+#uYm_7I#gG%AWz|4Bo~McL`?a+&QMJ*@AU>3C`Syz+!1?YpB<=|Yh*Cd3w_5-b) zRKRG|GhpFGA3lM{1S%OEw|vfDp-wtxiZ{!9<_^qnPqZY3>IUfi=3D3AI}Sj;i{ub& zq-I_fZT!AHbbq8PFZ+&PQDUj1?=khjH2@@dv&^uZNdM(&FjhbB3#<0oc93SW;@?O0 zk_E!c_(&fz>gyhvkH+P=Y!n?VI@d4i%XX99GV`cJ!XM6peZ-EnZ>PbYf)R720>^7M zrhb5tR>{)Bfx_0c-wrQ@Shx2+*`eCEEdb5rXgj{y>|yUvq2bkOu*Us4^*yQ2MXArP zhtK;84b55eF8~lu-P~t8z!SUFrx3rI(pGQPY&sje#mhSVpF6+RSHM73aQ64gkX{0^ z&h_z!jz&FO63zu#r?+gg*L*@*e(2RTU;f>*NJ>91ao?P z7zt8-Yz{B<(;NC*FJ?LX79i3E;Wm)v%zOezCi*IO>K1sk=FB^;VfM4~Ex)m`2|??n zByeLMay7TY&e7<{cFvH7=@X-~NaP>}(#DgTcn?;|F&afZ)`2f<~|054tp|Eskc8W zv+R|#C8K>M!@o*~6-(b32S*9CVxZW?w)!x`CJ!uf7NUQ>i1*$Df{xB|1TxJLv5iz3+JLITm;=hk6QO$(Z3M!-kpDkkfOquvZ3|O=;hdszyN5P5PbYMW|r~j_eo$o z0@@4-Jn@BYw`>Y)eug$G0JUm`+MbIHlqb@;L){qlHX7~@`+R5~QEl_yK%rn0Woya% zcw1NlxqY1z{UU2s3n>yDvEf%mt>+vH0r%$D05~G}_f4vkvT)+ZN%^Xz;vIj$TkIy* z#I;Se85DBZnnamv+BYg8CR_dT2lsHJ+kz~ z4wM(s5aIqrWu9r-vN4l1aExJrR;df0t|##BqoF&0P?2tZ7dMYC^4r8*U@`&*$Vv*Y ztw+C$o=A58)*XBpocC(^50LWkVYO6{i9w+B4{oezRN3DI}$K*5;Wd{Za*rp{hDczgfu_TjFlAFwcd!CvIL{{kFP=E&7$N6F2NuOyHit7{`fX_=&;a8-S7sG5W&IA zOutTL=84x1q7?pUU@^g7#Q1UlWm9qFhpZ`uL6ceV#Po+V$A9lklN66D7}FFplJU^T zgsmS|Tub8(-qMNB$yr)oQ*p7c&z(<05u>&3hV%ush`(m@xJLb)@N-UY$ z+);S1RD0(Mvy4fyG~XpRM=ac)`AkS+3FZF>v0Gf^B$^lIY&2CSWc~?n*Gv+X3>s^O zC|N);wz>?^P_{yV<01(fDI*-G!uw%QSwLZ#38r zX@$BE4#qAK03K`oTz~B65FtmZJIBz?WL@sFrw#!tJtRQ{=Qy;x6>g6)uv(}ujfFHy%0^!TP%HncvHVLaaiz4N6|xMdCF9XG#ON0#;75ER zfvoq9o(RS%nM4u#3o#^@oFRty@+k?bJc7@#HyNT<1g?hOZ+0aMs!st-JH#9g$9@ua zic}<8tMX1{GCfAH z7dg@|xFI6;6kd7M5)WJNHdl_HJikbla9Zd6VXEk;umNW&2J(A1}PCM?UgN~!bfElN)m|hzE_WMZ>IX)!{3g?m3xZQ|Z zBT~0tD`%P3(ULE}YlWME%LLI;3RgC|!FHRYgt)2Cmxs*r!OuxS1rl7p^?)ip0Y$6d z2~FQ6GEalz^tx7iW`9noaSo#x7>fW&Q`yV|M0f*^Dlo(0=$p#cY#KSUe};U3{ws07 zKh@+RiYOtBXr$2DAGVeB4b>kbAD>$@UoD{|s0W+q4X{sVL1!odt#aEeugTrPJ?bzb z8<%qP6qY}0r>a2mFp;*u+GU#XFp=RBiIUN!?S*9)z6(Y+eSqr($(s#V^jO+e!NFvHu^p^iposC8+OQ3*YmAu zG~@`L7CCeR8OYYhGOMaLN%p$ob52U8flswc8lj;^Q&L2^>pel@;n_@2dHK+ReEmHw zWi=Tu^!5mjtS!QefVsx-<8>)#=2S0vcd2#ljf)7b_7h=#(_0iQFIplDW!baLdcusu z!}&&mml8`?Ck}t4dM(}n{%u*>Gx*p0q}`i-z4o!|8sVmyV3u6nk&SS#co_7C3aNNK zsKP!mnW{C3VnP)Wb4@OCHN>1l%6w+hd=t#Je6i9y8kP|lNO}yG9S*u9M!VvM+W10< zU)-=Z?#lrw7~+B5sd5S?Rwme_GWA&Wzg|*3?MIZ<_@E_J_7`Bxu=~Yai26p(9e1V` zP88q$PQDTs+R@#?pb&8arC&iWsByioLem07b)GN6kf6g9F zwa29tjNtIx+F^=knKM6pYW>Qut+0Ga{N$>5adF8|TUYP88`gYfYqRTKKb`S6Or8G) zQ{FQw6S9ASb-3(e^~0S{2V44mpLCx^zuWDp%tH6& z5=+0`MECNQTD!%MGtW@m{kp|G&RL5Z_qo8X%ui4-XBLo?foMaP_@!6F`HNx;%U4wA zJ>AQFz{IY?OyMU!ELhD$i=@cf*5LNIMdjSUj_wWF?UK(;v9(e$(ckj7RWtJG&D>tK zf^+zPy?1Vife4@047c4W^9K6$Z8Ad~PeN%@4sY8Cf4{|vv!IOo9u%?-0dWr+@vy4M z6q0cn$-2^l{rzB2RD$-vsxUD-vVe{CWo{>LTrW7HLoLN|EyaY&ZL7Dd7s{myF7r-@qA6<_B-01|wo)XlkN@$Sj%9wA|y2_z*Go${z+w&cw7b`l9a) z%mzQzA0>Xg{=VhPK5Lp=J=n_MiKGoBMMA7Q059*x7|E*6AY`1+VyAw%AW&~{j)jh+V=rpU-7t#H2bf_Ojtq}&3lN~gm zt@K<*FB1v$l@75Togp^qNDvFuCAZMGc_`r_MBIvzf6lom!*ux`bW1i72-4w7>}C(b zDA#(2;ixW+vGN6HWsh9Sci?{2->0D6+U1+ca2KX&AVW*%XI%V`FF&?oi~S1vBp_8d zECJrV9qqfclYCdMH#^rS^ve!42Qw9~uQv1fM$k-TpZ>y~+~miHrxP~^x=>;<3sUMA znx>w=y6f1xJhx0xljkPY&9#$nIJOk0C~A4la<^h!K6CcaobmwfiKlR}nOvjR9+y+m zj~aQ^{CngD2=HIHf6&8@uL%hgpqPFZFAwd*qoI$hT_FyFZ=#?`APv1J6w&~k-_Ah| zxt2H{O}TXVI58|;>7;6jOB!M_qlZy)jP_fn=dmqJL}%L*3Msjfu^>#>g~~r5x$w%7)-2h)ipj_B4S3W0|G$jm0RtiAp})%aDu2k)|rij#oN~5cLeARaw+#FozNp7AgMhqY%lm;6iKVKCC>l!_~E1p}M z39)W^?!9q3*yXKMtbG~rHBQI$=7vCdi^thV92K|$2rNZV0worwmT0QVd|)OnnjUce zx0+%=(xn>ABBV1vCqmp4NHwGKvMaNf_QS%J;h*c-^f7 ze9rbAr@lXEcmtcTOP6qL%Y!y80@zOpyWbRb@4sQu?nv^g;^YnVThc#`#Llu+^KF~z zMP|z8HsAJ4M})JTy`}uS)a9`WaXilV9x2bcGl%X!`<;7)OeAuy2RGvLpfS+U7N4uzrZ{O&q^-qqa5dKg>_>=2^zV zflagZ56kSwa6yYS{h`OhTJ!Q9^(4jQ#6;8aGcCun0DgnY6}LzFIg1IhG5a9jFYnhD zSHAEW$j#dYwrg*SKSC>P%Ts~-S};JiO_*{bL6a8{ zzybr`V!V7cqKYFgJ80xcj*P&?2jYUfEXH{DGSwG~h=su%#_76FalH=C?t87>V-k&n zXw)9H!+E#_K0b6*XHUz=VCY+Q=rJl+b`W%}rN9sBfn{E_;iDt9I-jL6#D{hAcJrLTHGj?RbXD|ZdehGZw4rV4d1KXJ-sz<(hC-5rw%G4+ z#B-W^PeVkQ)`rQ{JT$?hXkf16)oGv+HlZ@10*ZMgHi-5RDAR%2TBExTkQ~7)-nt z*+#p_@;(-@J4T*3BkHyX3R?0MymHx}q#k;>OuC|&h*~ogK7m&VnO?i-HF37dE{Vhw zpklI2iK(D78v(Op^g8HF3`|e60>ULSfy}y@ZyODZtUkawGW3D2W6Q1~CK(A8Ip4>N znb*%L8bui^%Mw!BV#^?_Y_H!?_#G-^pNBut$^lrd01yu9S$9A$r}bxL^Z2?Wz1Vji z3SrDXbiG%2**f~ifl@%fER(}U0xF&?j$)Tjc%pRKG8IZ^#t@ivw zX-`g9ySR9huT-9#fa{MCsk~4Dryn78;0(p?u`pZAGn3@F1omT}t!8JN+EZ2C;Ofzs z7|Z1FB1{n9&Njst`Z4VCN`cH#r{|!kC~Js=!qmhU$0X5%+Kq^=v8c6BnFt`++bAu; zhXZ=UNS6_1Z`g%_^8BaDkMA$vzf|lWArvz6lR_dKw%5UjLIbyapFKt*oamp*8jicB=lFDg%rAJviwLzk^QA7qS&W~b*@euFc_x#9iM}Rso-T@$ zJq{C(x^9QP6$3|Ewq1^diT8$Id$U7AAM#D&89GGCdaL-=6T8Z)WzB4%ngfmOs38S+ z1&Az{E+^PyD7g@|#wXQ=_)Up}LX}Vv)z>3sG~AdOr8dO?%Jl@#ekj?Z#91 zU{}Do*6^9j#K>Ylbu)3EV!HMqy=BBrV7GQKqt3(k7u`E+=zt}JL)%?qckbZE?t+Y$ z4G_1^WaD34p4ob%i8ronG^YP~#f=73fei%Rcj(@|h)Az#-oeu9**Gk)^^%&H8AJUW z^s00pLGOGfw@&)6*JQh0S-9qH&HlGin3u6g;a zO#U-cqu>(*ZujFW?w>l!L4V>Y2NmR5PKq-sR#(xknfe&5Qp0lbz%(g&I7I*bSv8}!+W;D(HZ$*4IS zf$8Ts@Y#YbZ3Fm#0bEZ?DFB}>=LUv+f@wxc5DTLt(446(kg_He4@@M7vLe8zSPkJW z!gZBuR?^q6-N;z3geenPEnjRUh>!1vfthu>I+V0R-!?4fWp$l>!h;N>)hv{D)0?1< z<*l;_G=r9AzZBBVdgu~#55(E;T4BfxDr=)chEGX-UUkKL@7T!k%qB>pY1;PIQL&JV z776XpukE5axXQPelQ3n!OQ$3O2mOQ`RT5^{3EI^E)UDh23E8!dASuEIO%E7H8`i3R z=kZJd^nGIKxRA$#7%6r3Yqx}mgFxJ2?h*U+T>h0qR}yCW3{HdWp$IA2r8wd45=RGg zVMer4N6)C>JVsP5zS-cTC9$IXnvG{8=5VXMA&Ekq8t`2!AsIsGUoRtteF({KSC6NM>z;@m)?WjRb#(Vq2Z2N%v&f7K4F{G&839Pf+-AL!4);yUK^%8cp-;I;PL z(JcragNY&`$pCnk+YwObG z4ncpmdd~u*J=3n8l)tvni-=nmP73>=F;5adxF?0dx9Q2Oe>D5{ACU`L-3hrda0} zZ^{14*Z#}*ME<2kM*IwA_Txi-THnsngcxMdtt-ZT_}s<%w5K$tX02Rz8$jIEAk(GK zy&QY)^*iQ3l3;v49;Ikoon9JYfEfv4^Ny!Zr zmr|@D^JKOs@vhTjApkso=wD?52)LT1&c;sx5h22!1reU7nA$~0RVbmKC*0lFhwXhh zHzQ=7Te!s!T+)gj?$KP&3UvOA3jqu_Vz03IxZrZ8_*Pp}-No|T4%Ly}Z9mv%Pt|cc z;-3rIf4x7zn^y9Su%rn=)T)0_Z^F;<1WQfrxxRirlXEG0kiUgL#`_=0_`gpkL_{U+ ztWZgfLegJ>1!NC_4-XFR%Mkn@=-_yKP%rwMXULs|6Z(y~%{l?P#dI`S*{V_!Azu)t zzOOIGRO_+gdsi(;;a?x#1sUK=wc!}naFQb;gSqt}G(*l1zA7 zH(Wvh5&Oy+n^(Q3Uu4Hdi~#66C%2V^j_u zK`}~Z#NS?BnTbRYAuX7!7B3MSuwSL53PfaL%m|oRS!z9z_SyqDPcbnVSZNHtN8MsWmkHbb zg2VG9??!M<9RWhit(<@}*+`=qU@tD5+RA5`flnG+5J)&q$`EEzfCOg;Zez63dKI?l ztFLqP9!albnjZWE0ZafGP>x^1uZ7~CF6fsDLV*g&+1M%%Wx|vwWw0>)mPz>3@2?hb zH_UEa_nh<%69p7rEUa1&7K~tMN)yiAjmaC?L$^Q9#x-C^q_c4=U$%HA?z}rOrV!HZ z`c;d$pB!p;+%SsWam+Q-%1izs_mlYWud10JRoy=#zBtOqT|!(wJ?tKhru@G0lEm=y z-NB}7QQ1^su!)v{v)e$Z0fMVZ7b>TynD5k) zWFJgaFz{C?f_&JiVw!mqTUmmoG*D{N(NAN>~C z6$OK9#jG9&>@b*}{GehmGVDG?_>ls7yPZFw3o=Nf!;TWnb`@tRfQxL884@Q~#WmO<89^OEofnJhbn>n_;QkIW9+0?zaYg793{uY?`AhQ#jL}G7a zEWXFqU<^55a=PYBG8d5bIF>NxEJE}_Y_klDEE&*O zyNmy$wXSzuGR+@f$5(Ryh$tUG1~F=x>aj&M{gGITZ@N~v^*m@Ii7%@B!#*b(`l0F6 ztuVX+-o4#f8@>#oBJ1F2Tzju6K&tKZ@3rjj*EQLP;kiQH^r`xGnZx??tA?X~+cx2a zqk8f>*7Vvl$IJeO`-`LI#_6F%+m=2Mla^1~l@% z_ON%J+y^Z9FwP&=oqHo2P8fgCI$ZmZfqxkzel69o9mip7si}1nBD1nv>-d)vYg2dB z@7g`HFkZMWWU(RsurGX=iRY7@1^5gulM1NAioJ4f9w=)@0JZCXHP7+-=`ge~ATEim zM^c4~HJ5~R8eqr)z=_pKC?$DHH}O6IB>lb>eQU5S=mn}jIytv%rk75=Mx1F)za`l~ z?C&0x=dV9Ky^P~-VDkSEUNi8L0FkUnb!o!l&{7$Vrl*Ac1(+s#V~$kki|IV6@zY2e z^hGqW+o9TFD$lBmy>^r{kD zOw_;B@Sl0_r*J*{)h+=l!+FWgyQqPLXeKE>qK8d)Ou|{LMKLs$@rklVBl$IXe+v|B zAde6r;_>nRm;Z6SLJQRLM@R44Vh~?(O~30L+4`Rl=B`Cr<89w4WIY0g*S)rfF%=h<&_5bwoKb>G)?~pQs!it?00J5kj{yat zhcty#Mj~@cMk|B!oD6#uVjEU7Go{jrvUQ*CYnN~RetGC0F92JjK@=H!ivlXB&W)FK z>-hV!*9TPeQbYQYd_0koK%$K|0^UU(XP+F6Da1dtZgoCaWK*&KJsQ^iWoZ>ldDFvb zH@11~YgUYP&3o&}F+V6jS4;#JNT}P;5-S&IUYEIEZHEyY###XF*C$f`O?*2LE>?0S z!wBDVHJk7_W7TnojFPSiLZ^A2N-Thn16!m^fxNiIMV)fBRkE2{J{`dGBwyr#%wjAg zPyc0Nk&MNAEuj}-`G36Gg=?r7ufo>@u?T@Q%6*EvZX)D z29tsPxZiqx+d5ydlBSf@l+XZ|Nem32J3h0;7O~||e-JhnGUJmJPbzRIaa~eH0B)I; zwOE$<=HUC{E9YLBgta^+kJebNgkrZJx)&s7URUFDr$LG(Q-7e)!5`T_dCnP}I}R}J zyV4WMk5s7pjPTvPJ*6lT^k){PG2G~?uIhZ-MmvKW1;3C}U=bfHh_?ouzVbytM>93$ z0<2zsTcq!l==cTD)0;R;bjbqs8}Rt%4__d{dxzRkvxoL~SP7jickvpGf|P;@j(hvO6aa zq@@Azb$WdNa-;FG-qy38Km6m6#o`TwifWacTO{zl3GpH8={4Fb6~ya&>5F2;<~EI2 zptqkcsRbE|3z*`26Li%&5aT+ZQL)GUres~3jse;cT%?nY!yb;r#r-;S3?{&)7Tw76 zmr3A{|4O(2*P_mw#R1_5(q_B%^_mUWVIt~CO|hd=oCVI03yygA_W*idUBQd`Z-z44 zFLyV@7AnuDt!06dY{onZ{gb}U`m)}l8uvRt7q`!az*x}7hdb9%!}Osw`tgMnMEK5N zpQ8Qlq`k=gCnfV{KX!$yeerv#hGSxY2+$2&++N)r2K$^A+3=3JkbAJ#gQ~qw$p7n3 z_J6L!#u{-I0s7&?Gc#be3hf+a#f%u70At6LAvaTbzvpSCN)D}OEg|ZM73c@ zRldM6mAbzO(TDin_lt~q>r#6{F;Cnsb2FN$6QKx9tF^hxgB$q>H1VN38jx>Pu-A)F zcg046JWJ2W>sP6&vy0&r$bRx#_1ayaXVN;6Mnjyy>A9ep|KakRtf6Zzcu-BiU} zTUE&hQ=2Jm4w2)}0*K?Mph5d(BT!9rHfhv%fwTvz{qaiY0@VkrhO^*?#*KE~DqJ!+dShRs(Ro*?p`7H3Uh24bilR}Jd)Hzkx1ncWjl_ON#y7D>FS{pSMk@Cl?l5sXT*m_-3f=W5$_zZ~Rxy zGc|vw!Jz1iz3r&ajJjp)+Ds&t-h}q`8nBXi99p}~hIjLOZ15Kx z)M0IJI!E8W5Yv5A?=b&!0-YTcIMgKbf)4Hk;$8uYyd)e7e@oEf9Hc-xI_#*sf-$v} zu)!s!8xRvfMBw2HO#CF-r-;iI>X&2{eY<5>u(Jx50;ue{9!otqESwY4Z)2{H(AoKl zJrM8|Y)%{@p+Ojoz8|(A#DYnXp90Mo0B`ggk$@Km1j_v6v# zERGRtIoWMZw99EA+jz$RO|__)ym1bF*VFMOIJM~s{HI~>Vaq8mYHscy@fYy>EoN+a z1zK_5Fq(9!sH`s=)9auG{V$5Pwi#g{>d=F8FS;5YOh_clw_AsdC~1Pypwkh#e;D6~a+1|B%f_ zc=6$eg?OE3D{?)aUOs&{8}CJ9rn$g+?HxTFECl$3k#P7A&%5XwZOjv*a%oedEc~S~ z80ZuJ7{HOT=pYo70i#(E2xVtqPP~DG2?9j@&~HpxM^fdf+5|eLSCKyDpBBdhUMZ zI21>381jiBG6YJ;I{>cTC$D7SX_dVZ`tkwdV6TsHgGbpq@vtPUoeluKc4UPq&izrk zR|%u_L9G?P&i%KI(`;*NmH(H=`=L<@z;~z|?{d)%3=9Bs@6rWdXPHwS{zBc}jO$&p z&2B|vL>`cYxFZ0jLqvE`Feet`cR`H6uv(<|EYXZ@hTJ>gAH1Vx5SV}|3Tn9H#$Yuy z6r9_z)y2O8G~8-}HMSc*Da{|7-wya(Dy8y|N@DTw@LaZjwB5--N&R15(qEfv(->+V z*82Ggh?t?LDV{288%5TD1G8eBB)ROnTH*6S3oI3M1k5c`XC#oardE&k;zq5dL}`e7 z4t8wJa-7`ZZ(>(szt!)^Z&AD}F`LO+3#3I-3MU9MB3j0giG?5}Q`ljKYYvBP_}+Yh z)Fy6|bP1fv@c3SbRN7?UAgSlHU?T;}KnZ+V45U$>pU$PPV2pdeMn!fBLS!l@d^cZ< zM>pVfnc9m5?2tOsW)k!vp98ia>uEFmgy%_Yd?R*sD}Joswc19kd+1Sibm~8vQGS@DJW+q`0Kfz))oy zdaItg*W|N`*yKs~gDV2mzP-vbJ|0l&ne0vFSMKl2a_D&mh?!xFLVdRC; zwg$L}ba|T_1bLwd#Kt5ym?Yrm7EKt$0>r-{fr`9kQjaPtz%2}in3FH6n>b!xZ(p+~$qSP` zOs)+ftck)G5cKVW<9$yA^>#~q-qvF?*22@t;+ zib(H5LO~9*2mBR;$O0vXm|nsRf0_-^t{FdO*mmQBq-F3)uA}x?>?lbvMGCc4=yQlO zhA3rhYJhigs`u&+!T`#M0^WUMe+)TemES7y1{sGva~F$;qNq%~uFtJyLcf!sGde}L z(Jb=KiJ5WSlSlF>a3p*{P@FT2+eWT4~!PKpKk9Zw60=uviNp4b6~E7+jn z!@g(n2A(ElL?eMj%A(<{cTa<^9IMA%>OPt4J8m=mufnai;^OEQPtDaz`i?`vYsW$F zE~29l%i{k4??3*pqT+F!=0hhfudy|4+mE6+07$uONCn)v?t~Ycd-+>G`n@y_rM~_) z_Pkt4Z=bzwLs4J6zZcW;T3f3zn!tA1OIg>YZG!m#euNglmr%P?4)oUSM4x9%UZWgb z-1|`Pyq>{pTz?~SFr~EhdS?3j|01ED9z?L$FIxn zscE#M`N;Bt69 z^Qw1Rg8&6{r1WlXqA4PI{+h+wc&hMIS67$aO=R;z;d%w-|09h2rH|(k6Ik=IJ=&adGb&gJxP~C73;IZZ@IwueS9V8 z#*L27a=Iya-@@-qV@KNv_{mAB2A)c_>$eowULr_DARyRl-S~sA#kLU|`P#$4n0h^W znTyD|3_U|FHW6qNN>kk#89CT{UW%FN5BztMVoXo)mh&5I|25dR_rh9_J>0;O0z>S*RC^N& zCXVtZ5e)L)j{C=QlSN<*G7wQOHE$`XB;_ znXmBP31pHEE(eM&WnvSL+pi(F1_E7y8Fm6KdOiqnD3w4XtH zD69VCNzU^)>^IS){!66o%&14o$wi4AQ+{KyYAWQZrX1@Vi14Cc1_~@wCZvA;{YNw3 zik--wMn(BS5ix4N?nz3}-tYzPR$+p=Hi$huD<$-|TR0|AQNfjrk;r^b0}7~AvGyob ztnmea5g`aO=cT7e#^Bb|r=)xbf@%OuCsE*4(=Q|goN%bPmhVxq7-g8=+lClS3q`e0+BRAqvGv#uZ$nP18{$VBt~5YKa7Ipd|BcbBMNU zdx``{JE+4VVH9z=nDhMk9Pq5szLCVl(npuZw9e$j(An8e6lcTs@7~Offfb=<`IuZRZr_C5cPV({_`_=fm z;AHIRZ;vRS5~9}LZ_4p{fgJgr}^1KDpk_6aTbBeKDy}%9_d=2sj9{BAeyBP5{7M=6qD%Dk5JRkaj^mI$hX&VKn zk#O5C;raV28(_Cwc;U9&b}8JpHvduFt!Zwi{y29vqL}>WA7QuZ?cRn)Le>85m7*& zA+=#fRM)fEs3$cR;g&;oAp8FIT!x=RP4SsNx=L!al5k{_aSK$ApBSoYc^Zq*Vrf}2 zWESLBdF7ZXy(3mA))nb>3%CPl>%uT%3dtPI(D#*x5<% zi+7L#TR{&T!XGO3TJ>Dr?e$Q@I)WsPVZzX6Br^!8&YXC@#1;$1(~f=aHr{Mcq5jpC zlu(^hT@33~aOG1#LJ$gDQe0B87mv;YF((u}#H}OYPyPG&=%IU0Fp*&1*iUr&JWvaG zwKcK#D-XN4t~Up8A3f@ZyBi6KrB(-0#w;dgWbLWFp(h}|YrOMccoV{A6u~;_)Cu~r zQF7@t@b`$A0l?rPDzgEUESRI3t3dBr>-LfG7hDhi}$G^nljq z@h5GVuP46qm`IXVp@N3Zc-j2|#VihMi`oZA?bd2qF@n(nZk%PSwl(#xu!b7s2!uu4hM{am6}^oIGh z*M%1{=&SvzJb=Y-wDXN&g{JspqAy?k$f2A`I~CtjYP_9MoSF5Cn4J5$gMw*Zukkag zilv?pl|{>(Dtv}X5_ONXwJmU6)^reI{T4(WW2iEbQq3A``A2diMU{^!GZe)A2G|55 z%?HjorkRhEV}UL(#=>PI)~34}Eevfry;!qK5e8yc+DgcPqB zxjdXmpe4lfxz|KmK{pOm^)7vTttrpCIt@^73r)v{6T$^D>sO{JCs8o>4wvc=x{dLFy zswl&G(;z>R5m!6}W6WFFbD)_;&&bMh>$rfPn*`zWX59c!#0+TDr?1Ht?BDrMU;AAn zN-wbzGI~|VSWo?`C_Ft7s0UJiwRI(?rnT7>D`dXWe$aAVLc~dau?WOCt{>g5H9iJ} zSDCb{LBUbfWa~>1CLnq{c7zk#2%)(hnpNo(p!Hf$BxkD^c|CB{l;%(5<+^SXA<^bg z8uI3?#q;3vd3gKBg#fw>n7uDlY3Oxr)Cq(MAkzULZrCOTqjFniIYE+*+(kTkk`<>1 zwtmXssi;4XwRlC$zT0ujZ#>rCq`Qob5hYI78(*aNvQ9B8{Zf(s%-{3pD{mmg44 zICQ@*1)lc?191it_E@jC=i*qzxJvSRt`3NFL@^ml(VsBn_vXX@P{v>rMZ8T+(IQ|P z4IQX-_w_FNSMDu%mhsT?`X=~4g!0KV2^y+a*T&0UCbAMFEk%PBi4I>N`0;c`mH3zuTOm6Y z!iM3Yvt#?wNQhz^Rz#HosWWKcz{yeg;LwR>8KKoUyP_F42xGWnT_kyMuC>h%cTaCV zf&l7mU1f_o#xuCWVQYBhQpF(IrzWVE35OUq4^@#5PH?G`eXZT%HR&{vq$|`R?i7*fSLgz zI(S@?9^9WW$z35MiVxW@C|fZ~{B1zD>Sxe&a}flbU^fvJnHmOihfwWyKUoU&a>G7d z`?Cv3F@sl%G@!y@qJL(}I z=-D6jMA`WITtGJrfOm&MuU8bJnu@<`F^|%}CyTw(OubGy#k>;VBF%r;c`Y_$KGWUw z#vvo3ykbfH=ZJrcOAK05MqmY-d4i=kTl*XeCecq$luiI~BTq=iD^0>${7EKq^bs%> zK4mLvBMGR{wg-;m8vrgrXl)Dr&l2epR&AM~N~Fx7N}-?|DeP6~=>`%us7y=uCWCo5 zkus*t5fncd@oXRp&;_QHIL@U3Q@aD688MSqN;=w7U=_pd&ZQp`hO+D8ljq1Fp(l`? z^&JGven~p9t;7>5-Ja4fHonk})t&%$(fT)H{fG6dsC}ZEthU+jGfKvcw~kF3^!lBV zF{^4u3kRg!UtdSkK*7+grSH-QWB7keO%ieqQU(_jRf5w7Gg39JsIBb(yvuSP=664* z)=Kf}UJi88&8p)R`s^u1nvk@7aUu$f1HWfW_dAEIpk$r7rJw?AhIbRUD}1CECcEQGmXW-!3(n~kk3o9+=X zy4}1muZos3|pmVM+uyWt0KTH_~{R&@Z$IgGHCe5K2bup+2Ri< z+4@dvXyCqJBP*8FrT*YUocRmji`IqReeIyXUae ziJ2KaC13vl6ImZa=OjpUfRP}?RL^_+nGONs!7!xNAQmTJNEm#D7g%PmajAm z#}D@}=4KmF735Y7vuc1Mf*tpIuwg*@Roe&q!=XPo>St)WwP;FS%Z6OMyd|_JmZl7k zZrAC~CxB>ufZ%!5>$9LZq3)RUA6|^u-e$?39r3rXs)YG5L(AW3G6et6cXBy~L!Ucw z+jbf8^G^z;yBwaaCr99eoAUop+G^6e2UPG}&m4*#+#5FB=5Ux>zAAE?bWTW4wtZx( z6^V~yW0&2ozj}4&3g256P%d29AI{fLC#n8t-baFHG7V$%qJGA)ep}$S( zjRW7JNP=iXo}(8Klzpe`dy<)7PD&%UsJTdo{bl-qrDbq!al+}<28BJ_{ zYSECD9EQ^~AN#ow$|Te6LbRH3*D}bb=TU0hzuMH~d?%jmbGu9>hnd1;s`pMsh7*Tf z166Y{TO=}@mGq!L0`6`~n$F%(iPf`5AZctv3n1+J$IOn-H3ngNP`vsek#{!`%I$VNpLu4K`D#+; zXXI^uif%WF#$T?Am^CYQ?|ynY%|Z=%k3`7g(~ji~OUsIpoBVd<6^bL%n3qRAN%8vL z9|Eqf);14nrlreT%!ov2yZ$2GVZN&}ns?X`-~K}bTj3w0j6s`cv!7B&3XL~ag_$!- zGT-iW{6qx-gF(PFy~_s#%!zHj{#y>g>g-4>c7cQx4`kX1NvIe#It&8#Ef$3^@e1fa zvp^(1Ig%W33j=lSp}&#cQ&u=2g7vsM6k0^Jk)a+V9A`#C2jYcxR_Y2&jxw|jYYZ-s zizY??4_|K`7InLJ{nIc*Ge}D+A&r#e&`6GeN{4iJNyh*R(%m2+h=g>fbV_%3cgOGR zz4!gx`+0x+egE-LJPw^>;+peX=USf?&g}9 zvvK0>cMr$kJ)et^C->}l3zZMF)lNjrCL$(y_=G4Dgs^e34fQ{gd9AK)kyFKdw4y+q zFYP+{sht_}!x~`F7KJcitjPgAWP)B}qwc1DbXdeitz9O;tkZR#!$c4t_!3+%iKmPbtomnEof zttQ`ZyAOGTDL)Rx{a>Zm6b}hX5eJHlhq=WIPnvDSMq<&&q#DnmgLJ@^NctzgP>;kI6z!D%jE^G2I@a);WV*PD8bRQ+F>1auI$$HzNr@58jmL+L?>4tL+>FeEGkIkE1{2#@+6>%*p1z`@g z{tjwVpDa2-bdqlrcP!$fS~@p-I!I^l ze*G+43e-whg0mr9~x!qkpQ$q9%*63|O{$SHUpGQNl7x2fyIdR*?A> z{`-L?xd4cW**7RWHcI9>-MP!od*W>NU7=9`c{mk7`8$NL4$L+X4i(oT^J{|y<8;7i z==3XA0g2J#bjENF`z{F;d`)fe8IGYt{Uu!0pFp#wFFSCftkZKs>De~K(jj16MQd5V^4t=2Srrx*Eg!$HUlQq=vO>1c2c-KLm6tFx?pq^0 z+0dDb6*pm^-IB?bo+&ZXW@F30wn9zP+#VlrHmI^M4=>c)oh^9S|3ZLsD|FF|184>n zl8=;N14dw8byS4=wOwsA*F&=g;J4)G5;t6(XEHYmH?b7EWD#F3Dy_e2L9;M%JNY)k zz1b#{(MX+a`psXV&{qLt8iZ4)Q04x7JEP7Lufg=`r zQ&SFZfzMgLIkCQc`Cw;+0tkkVIls;SBRpU9r!ui9F7jXZ_Lh#Qmb*6Ehm(Meo$20# zRW=Ve&VLj0m0!H++W3MBHlM#)h5nx{vN${DzyKD4LJFL0B*kdV!LYk*ifxC z&on-$rTfUG5EgOq&w5|q$$o+5Ummg+Y5Jqe5+CH|EBWSzsB&#*UA_Ae;^X09 z5u;HSWkJmBKjasl41fysk2ned4v()GM2r3rN1yt(6_zyOoSvTU2M@!UZ2xwi84~|%B%kMpOAAdpI z{0^>H(q1@Ob5SseAVQ9tM;$bJ;Pt8^gVtz*KD}sr1r53C~Ne{SnhU{pXi5(O( z0zPljta|t!o^l`uaVumbX;Mod_j78SaY%f`$rvUKpy)NR&w>)O#ZuziGPr72vF}w} z_<7C53AL1p(7dX9hKD=-4G7*l5CyKeE|K_^ZnGduE7n$8)G?BwN> zH@M}25FEEePTA!(S<&`Dx&TyQH^V6evje&Ziz6?oN8n(6UD;)Q6h6TBrzjD&s=Xja7*m^?jB*?4|LEfyG-HDfUL z=Ibs3GTF#Eq6N>I1nzW;#Ke&7Q@5If@1)v4Eh*mbRT+zCDh>{f5#~IYBk9NiX)HF> zZQj*|kQ%+c89+r$O)<>3GqAzwy4Y|3iHr`Y(Vqg)?eCVvBs*x4Z7TZ8o?m$BOxs}+|N(k z%9VM54B78J_h>e;7{vaJ~+G!>N3sa z3m9 zpGA7@(}7ArBOh2srn)XHW*>9RxPB(ImxX)qKZ~b2bwBZ&h+3bMg%mB^?;Suo%ZfAq zt@JLBk$gFQDsT$cAR2ycPh&qqs{~M%y<&P;&}G()dxCHZ!ReTV3-acVbdO(E?nnPm zJU60Z=6lfIY&Dg#*M{TA@w>X&`=Q56pI_|F=Lr+S^Cro_Tk60X8@RC~7&H6{+j@Tt z#-(b7q@SE!kZ+9uCFWIBYbJ=`$2;Xm6n3wk(7#vsXBUEY?V;>@5gK26!uqsh0TdMD5sy%J@lE%*S2 zW(jE>P{XY#Kz6@mNypI`2*X~>eK8Pk{ggju;XDR{(b?5y> z)HJ_TS1KsIykvYSNgS4Cx+MPH3x;14`M{HuQ9##C(jBsgUM0^JMtCFgBmx`B53Agt z7}&uu{NP|#H=sXKd`cbD2OxqXvkLG7^C9oH2tN+MkHl5^L<%z+sxWde0otod+eWHX zy+dgM2?96nY0BhJP?SH~zOF%4#y$cLlj)B_5)p-Sd<`r_q5*Uwfr>+VjOmTVI5`PdeMH2NvYQ-D(|iq&#m`e?N*JMI+2 z2b}eGZJp%DSD4Qo5qEW~dUJE$Hmy6nv-=~UvS)LhgpwZLUQBZDJ)kda=CMtGDbT5} zO>bHwP&i!p^0R>H3>Q1gRv+O$AV5e#@1dJsGyNBQA*1f6vIY6ym-7F0C=m1dm3ZFs z&Rw&+URu$lpIyoPS?&~@?%O5=4P|6>-HP6A7nck=rXN2Oy3+(sC`uMzAUxnQcacWr zQfUtS;7^aip3u+bv?uz-K&V<^N-Vd-;WiPTT^Il@+<)otZ=8QX^zU^(PM3@nFS zVzflkfVWm(SqxxvwGwR%2*z1{t?XrTi|u4C2ds;59m)~lhh9VV$I-;irA1HPWO6*6 zjd+|?K3Yj=EGuHE=lOj$M(BOj=(F?xNUs`07~?>UVX#lMvCM&l@9^GQ-qrOw$630E z3*HM=q9SjTK9Jz$JfkoR|Mt4oaU{q~Nhk~}f0&6|*Jz1WoOt`Ce*~7MEU-QR*s#+0?eH@JRmiX566qt*%OB%QlUvSD3>wjz zb!C>uXr^&>(Gay5kcfi}x}gS3=qeDk#J*NcWH*#2K{P_*pq|&=>nlh|nB^L1n7e1% z^s&XWVS;rMMLT5VZeA39`cUU(g+ivyA@#n8 zzc?xK0QVFAaIYKJZcV_ib{o+ZXUBNIRFcl=kj0lVH`NfB8*Y0b$`h4ZS96dIDR6{F z2C>3OB;aVPPOevh+j3NBSh;a$fT5O4X^O80@F>_KRdV27X^bJicvU>z%E6e)!`WS4 z%0Y8YL^>qHOH7^lutp8XS}Dz-kOpvo)CUmn<=@PY{pEZL9*VVFbNaN~ZraNz?HpUUq{8LA zQd^@WU&aL}@;>dn$YApNJ2@!Lbq>~gw3hrPHS$T1N6)S24<2;IS>zunTM0xU@u}Ao$K= zM}r+4D-p!ySMbtp?d?lI!*mNH9I<12hAb;LfmPyzxkH!?Jq6me_QZzNF+XZjC)(NI z*jW3u#RNir;K}N+VV|rkINvATX>S+1EN`qH656$%ZvJ_`%MEzPQ}Wi`H7u zIM=InA@#L&?qLP}BLBWaCd|7dv!=!uh@7gua+=hY2FPk@!wAc|C|CBDd9Oq91Lb{6WG8|DW_0u$h-(?TJZJ}!qyy=!u^$ABq&&QB5D%NL z<0qtbyVQrgZveY!uufw*Q=RC}zY!|?7VXMzbIxun=Mg&=DZADHH0qqb>g4K-%K34v zkb^ewv+GEE8uqAugNU7cOTi+D{3EY18EaoNAy>Jsgg*Y!5=Au+i;(78IWZoEA_eu|m{`LDCL84`IS0?;gpgfFXZkRKUT z81h}sLItuji3RwAzmhP#M9AVan#K z#@1di8yodKM~MTs<@-}-3y2dr2Noc?po%Xp$>d#Nx?im^td*&3I(B1Wwb11Z6PA?V zo&#vs4PR{{ETRpE{B?(X({la%NQ8E)_({<;%q;uuOE+e`IdxO~a50&nuV!i3ThFFq z5ShrUUYGArU~M^Cqf8PkT?=|KU7&tg8}=(F>$K!8YDn1QP;B(CgM)HSm^UsmTIv(@ z+dKfHG$k5Xo?nwTwG;peNqY6MD*CoL4e|CxM>S2=2os^Zk|ho!!DBe(fN2s%!w(e* zJU5Y$8NGpt_7b?%5&)w@CLHWO&=bsY7)KWXd56kigxtUNN`+yyFEedo47*R}mduq# z)oh-f6e;h z1E^nkyoTFS(-V16DggqA+5B}b2Il$;B6*&IKAt&uD|~dNC-zHfpZZ!=pHri;V|#A9 z+js9)#%s&%e+|tN1Dn@GVn+3$g+Vsje1pj!&2-p1y{C6X3RjC#|HMhRp7uzYml@Ux z=3_$GQbmVgG|PGnxKy3MeY{r0`-qyhuEq52TtEg*&)8C+ERcZx{hJ?vU=Xp$7b8P{ z^?RDw+6Xi$a1vDaTU<+tkyTm>fR#xjWG0;l*wO`bhbgVjD0!!QK{dqXdX`NtFEL<@ zd~*IjK(YYpHE4C~*QBq3!{U0AV#kH!_Nt4|&n;bs-Rd_0cHCFIunwK&(R6u~9VL;A zTQC%;{!}DQOqaH?!}EqVQ$`YM;NB_*bzd<7F?_g_MCWZ(&+iGFAkR@!U<1Zyqm3@| z8Ng?xGC-Xv8nw05u2)SPq~7gjx>CbPHg1SDGSZbUFy1o&6FM zDu0@S${F_hKCBs<70QJM&ec0Ujv_qk{MrNNHyE8w%2UsF%qi~@8O@s|W*Ih%_h79V5Rora=u<1|yz!7JF4?!VaV?2E_{FKq;c*yQgfVVSTuQwRdW((dJox1Y-t+(tRB5cz{@hhif^AGJuQ$&@{Uf)?O~KHmRj0Cd+gJO0 zL(1Rf7ar54NO0zF_49sh?J^Ooqty@A%5{^5l3Q?I#!>OCSX&&Kf9pf5BVmf1H5Y?u}r2WCPx8>3lru5TophS zX#HeOF?)#!U%Y+5J^K8)(bqXI53W3<&WJT}u9_q1smAcnw#ik8UB~?2qYgJ!>ltxtFkJ3)ZhsxgR=f zl9FPC^w>(Z!yw5+g@I3_7NJAqDWB>OWvo>jteg!TGtkQIUN?MBggr@>pesXeD2EYVa8nkhA zc|#T0f)#jC+VDtUa4W#F+!cA^1YUvAqRQJ<%B6z@fH!$|l2tD)(X*6+xB0&eBlig- zo@^f2h;FVLkN#@Pnhu}Kdrs9K%vl!KhBnG3&XuIF7(zL78SVVCPsv8|i@x}q)BM56 zZ+5rJ%kv(%Txu8dedqHXwBhf1`BmsMOT)g#$pK{Kj1T!7itm2a2VmmQRLorlb|A$E zxnz&=eR5btnaphhQTKm6F!iI3P5#UyL2>G@9g0;;#0lG?=|{s5M`yz7zi|0xD$B7= zPDJ@b5|EL3zN0c%WN73^>ZvxK>+}4Q$DgGUyzdxdQ%0{S6|pXkf5 z>3TH#+Y2By*Zv*}EZlO5n)%E#TCN6*RbeorK-IcDJ)WXvwOraE-8H;wBar2OAe~P; zb2xo+T~i!q#UYR-0Xt-m8t7`nYz_2m;>}eeF~A}Ot8^d!=0OX92Oji#aJE=3Y#NHR z2Xf0JVp7?UV^LOEV!hv^SiKRe@BFRTO`CxoIRp=TGGez1Bn7n$*k@Av^8a}6$TNf>aSwtbQauA$G2REp$;nQ`!`6am({RLKNH_=bOn z_KTj5(A)IZ{;T8;ELW$354dFo^21IwUnXS^;B|s|EHMpVp$3|;LorvKpFNdIcfh52 zQ;QkK0L_{^0>2|!ES<${C7I-oq?nf_Q4hZH;Sb)^Kcu%ma|dLYlbk3JTtj0$W#>stP9WShjubL^-pe2Z+*El>Mr zHQ!F92pR{6O9Z-SP816Q2xgp3Zbl(y(M{@EDGo0LsQ_X&W&c4fR(T#J*;A>+KAMz1 zntl^f#){0`eex200Y&8ay-&a@!@=&fzb+7Is#zvZUnsw* zmT1Ss4801)b)jPP+!&>dkP*3~A?60)P+Ads0IRCp!npsK6Zlh)d9JkR{NMXXgx=SO5BQG`lZ`rx=D;-DILDrT`-5TC0Jrvg z;j9Gtf0IQukp3d8d|A9nQ-lnDrF4JVbX7ZKmAtNT=nri17k<5?1Rlsgi=~Hq^rKi) zq540IrFE*i2f^c@$;PUp2^Sp~DXmdwN8q9RJsxlRd?s!@3aa|hxI=^6wX*U-YZL%h z4WJM{tXA8!lP}zb&TCdy&D7qszF*$eyLZqU-I4s~8|pvRT6x^FJIu4OAtRKOXsQHp%#6);7cd~)4fxu zefb%|)LHsBi@t1oMHr{9tQk$K%o*iZ=@Tn2*6&;vl6VBG(rOST^(URd%@8D7F<<5jMy3rU@w%>- zKW<-YTa8R1R@wfN)g&ujD>810bt~_heYq{l8MEbWa-*lf&aW$0Zak=F**%tnr{B?D z{fNQ=%__Q#m*ZC{u=^8-mIf72z3J)ZD5;ks+HYFoN4KX6TP(*jLhHy%W4u(%9^vzI zXokWuFc<+#EA#maXx3(EFw_ekGz!fM_O0Sv^^?vDH4sB4{LI#!ECaU_M}}zTM=qGA za!6Ye3=H}Q5-AfZw`x8Gq&H5eF z?OwqcyUwM7LyrSn&P*RD)_(WGY5&ctC3Z1gD5Uq*G%XwiW9-()BG3dtVed_IhCK(F zU$h4j_I`%IFogC#GYOe(lCUkl`rKDb*$x=)MF79&hQ!%=d7uBAOgrX1sT4<2z#Q7@ zV(%yJVdn%8t3l7##M>Pm`9B^u^1ENz0q4@uA1-kqid~T}!2Xgx;nBnDsf;<&#y+g& z$P!zcsZ+Bb=5)-rPPS0Z9By>rQ>~>0WU~}vMkhW8q|=^(=$1eyiIk;4Pe)6o?mJ7Q zJUuXN0%1m`@Q_!;M~_<{a`)d8Sd31#FpMZV446$~4u%{*+^+xtP$_2lSb)7yde%=> zz^FlMTsb!}{#H&NWG;x3{#RsTk{)yB{l0$Un(@Bz!KIQKL;$4yr}=RMRu>e|)X{M` zVCw7%qzun;hQ;AyEgZ2^u04?g!da-jB8AiYxpNTL108J z_qfoXTn{8XXx%eT@B_GAoB&tCVg@MoT>hWY*Ocvi8AoJ=QQksReM*JM=CyfxM zY&Ia-J3eiAWr*9kcS~!?(HUf5h1F?jwVL#^%Zfl;yivCCaNOgqv9xrt^?Xu z-3MQ0_-j!CW9D)z(p9j}jmSfleuJW4+lPc$BzR%pX~e@>;grwP(GHo$hpkQFTl1Rr zpM1DE`;}&Der>s`3tIp!>v50VO@BxNSz|!=F*(6-xBm?KPezJY7wMyq>nf{i8r@$) z$pn`ly31jwWBTJtCB=H#>dnOB-4mDnxmqiq-JbvqHdN~cP!g7~Zt-U_emuK4m=87; zxkSC^gAGRl_z9}D<#rjn+tKvjKFFxp*M64|l)4XP2^ZslX>9-JeFu<6^|?509)2G$ zh3(Y0N)=qiOk%_`O;|W+#=}saBSAW` zP(XbfBFJpsnE{Y01NgP-`W~%%2%F_ET9i=C)Tu(Sow6UM>qAnCsK- zm_%gx!*0kEPWm~eQov_QnrYHVC?|{v>;xc=#(``x5gJ#H4H{;F4ZgPwAV07ouS^RV zR7AG6aX)F`c#ZE1h90~RA|iOUj!(ZnX2n9%&q3YKv9!4iV#_gI1*mHr+%N+K_ROJt z9A5`gO1hxutzdbSWwQ1y)E-t292Bqn#N#2XU(Y;{2tN!V z%N8s&l8ah!+i}>eKwoa$=u-gwDTv@6wxwf!e)=x~ipbw;Mrl-;`xn}}U4B(Eaqk8a z+K88nz`0p4S1hlroeAL>bPnvE#i)#glHs`&m_4KlKtHP*U&oAO zYGU2k8N#05>a1Xf1&EF~ytWMR^ho{@xDZ=&JrsY}tbwAq&?bN5fb3Z$wuor|SrpU2LZutiqpbn2cT3TBem#WN{3NZZt4p$WLA-41_Ah=>5L)`&_Dy6-5=m;qYGcc=^!+8rUiRV;f&9af(tos2qc%yY$IT?-wM`b@%N{z&ICVKqC z)6(ToU(Rauu(x{jWCVBbtBBJC)x%~07yxUL8|`}m)!o8JU7VJH#Fe-&lW*s~ z{2F3#bvMtIUafYc>Dg;&>IJ33*RK3Wse#9TJD%!RWHJ@EF#{s3+THd?I`O=5KvdkK z&I*(mMS@Ix`<9!Y2rbZ+DR?I_JvPR!_bZtkh%=1%G!2wc{plEQ3c;1o=Ca#?mz&y0 zeFj)p3f<=cxLh!GrZ^IY9|S70^C*kgB64Dp;}>m#?9d{@{XNHbsU_wN!EEca{_JN1 z9em>lbjU5lcK&0 zzHUlFMi#dDXRnXy5AP-I{{>_bRk;bixb!?4y_4~gYL?5zc)T3C=UzN*($oVJvNf*p z3c#*fBOYnkv}?E{-(DsxTu2X79dFD34PM!Lj@Z~dD=#Q4PRfdMSQqnHg! z=24!E2$7?s<9#r!CDOHN`-|J8;Nlyoe4*`%yxs$N;X(fK!#Q?QHr!PBl(c47l}b+v zQ{dmUf);6c=;5JF#q;l{|G^t;qzAeLWv76q>u3Rb7Df|}kcaRwz;hh>+uT1yRC36@ zFJO_BY7?q%JrqCsYua?+3oJp**+o)G@VZ8^?hIP4eb;kKHe<7tgqd6iSjd z0`Cxas(46o(HPcDCm@C*>iNUh3oCGcA~`=e7Nivm#Brv@+3r?_mq=Xk;R>`2KWn58 zdNU&)nS(JJNYl=ti2pMIDbOlU)|F5y?Dy}NhcsH~i2e>BZ&?xpj0|~{W=*(^EKOYW z7-NDjhq#;%F|m}k$_oQV7|mpqQ1cM)Cn<0TlG#gyt;Qb3ex!07BgWWDER4jm-Qd=O zJua6CxD<|`M$}VqsHpkwkVY`dRv z>1+Jra7-&F^D1JWuajQ(diRwy#UuV{^po<@QrlT^Iof8;6WP%S^$|nM!%1j3gKTzQ zW>tPK9a*)lp4F5_F8LR*Dlk?iJ`3Ggv~u(skgqn@vh$EqoTQ%J?qJg7gmquAv{v6E z!3U!^oCl{9W>?)^_NowmQdpL+`rBLg2a~FikY{qpfKjFBf$XRNF^yCuHe>&>SP|vU?&?$tAsGTpVnCsMB?F{Y8#ruz#l>do5aDcUiBx!w zRvdy`$=ChLk!!w8-$qXyvf9!=Y`1{c^_$O_x{dwBO#^LwEI;*~a}Vw2eAC704M-g8 z`!cCxbAdGV{Z6gxwLg*0FV!?TzOOc(w6^$)M<3ZZzC{4tmTh{lw|cZah*XCz&w zH&C#j$i~)@KF=vLC*vvRvo8n>%j6PD9Y-PTm1?|(Bh~qG-w0OAEM*l|2$mX}qJ^cB z#YVDEfQm4Y&_L{|uaJ?z)?Q0~=p@f`9Da?N@xEb=oB50i2FgLOR1y*4I7&6i=g~Bx z0lFhiLAD|MeCAfOM2t?Bg>mezQDfFmUG1d=aY8|Ld@*yF>3j5k0XQ?EPU$x23>Bww zwtV}mO_8V`er?(x+`;`&C6)%Y7ViXNw{fx0DjEH*;b;rDSwn>B z{pIQ2g6U_0U4#n7d}Fc)t6w{~UNt{tUc^Z12;U{~mZVd}e2lP4(_Wfr;0+i$_Q(@bSE;xpk|%yDlkD zmjO_Obz?M}fEaATkQwU@uyK2gbv;}NFcp22`e*K~Lj*5gQvL~BZCgqMeuapAT+vIJ zt99UG)l|k}k@E59)vzyDr=cY%Z2b^8yb?5B+-BxRr>o}+1=1vVxH3l=1^yc&? z5|iG&6aK+vnl9>^q4VvXR@jf<<=d}>h)++q&lV;E>ytJoK=)-w@5?`NhezSr5qDAl z!o$R*Hl${@hqT@a-I+kdzS20Cf6D*qn?DUD;lm%ft@)%PXJ_D#!9@F!my$`&fSO*6 znisJV_k}S^E`bER>LNIZgsESyD5yg7Ne&QZWiqezuTbj+%RPUFMlD~!+kxbDQR}1o z&WfKTB+{}hM>9+cAFJbeJ0`1=S-fSnaXKVn8p(#7%4f$}XEUdnLhTt{t1b5uPs-6M zi7tiX{LMji)Sh<>Q@wqL80fNEGYkS$-p~GV8krCkRd=gGMg>OSIIu*)vDl8gc`J5O z%A&{k{Gh7IhgDgd#kkvg#qnK9?`jgkHz_Bd<`4WCT8J$&)L82=j*VRV5xi=yB_ zn)T5U8gm6bIy-9DW~6od%ULW$I3;~ANIDyZE|-w*P4Bs)*3Oj3n~sZ5pT}a}w&0RH ze+H4*s-lnak*f@ zIh+kPM8^R<9b*804W;TgVt5NLJ8A$tER1w+pqeL5e3Q$hS_#8-Y1bCpw&f0;XvVdufzCa;c zfCrwnZLZeU348i9?xPi>lqCGb8_rQw$v}=6E?d$Jf z9wJxUZ48gV*h_9xfBq9*T^|h;!R`sOqJlk!z9)zPzki;4aPN6|aC?;CbANfyXO~3d zbHbqOpEhfXHgciK^BuZ8^4iuY^XPzTE~4aqyc(@6S@;B>Zz-4EbK?Jf+D3S-sJe9t zE>^~W)ppTtJ{wvL*SlW#`6DnC{UtB}X{vt&2Fj^M@*($!&|BNgOd8;EiFTg@8uZb9 zb3{U8PO~$h>{A6?Utd2+FzR;;E}ls@?4}(uX6BCb9sA*Wku+axJ(~YD*YZ8=4J}oB zuZsT2Mt%BkprHQO=b4wTd@}^&*MF7O{ws%|3n>M_#DSpXpT2NVd!Ts`p-UawU20tb zLG-7{EFYYBsnIA>+PffMK7(PdkHa%k8XjEdC=Z{ihOhfS;*f4^W%(V?Jo%Mcw@V>2 zkx`K$TyK*%-vQbd{J6+9R5p4xd$@nP!(DVIOan)I{wy;xQv@xFOp!X=PyI-Ihn|1P zmLPeuf2Z^}6t4>dgTw0e)90WzZmCEW)_MF^)uxEyOT4JB;#HDNy>rMJSb>a^u!1(z z($fA)z#hSzuq5@|Ef+(V5b+I4L}uHb*C$cB@fSS$6uU-E?iu52&?_XQ5iYKwh0_rp zB43R)>qAmz?mjh(a<-|VeU+gJI`m>~?$qs`Ius&R?o3KjWD&8*Se{K*=TnbGDa%Xb zkjp)3%S)T$L)(t2MY&SZ*FZIv<^+`bC)B`%v|GTUc~ip#t9^`+*iWC^yNspgDzVU3 z;wu*%-b>H@BBd0TCccvpiH5JD2fmARH0}%10hp!t6pUENSAGqLDBytMVACLL2{cv? zGYc*uvOvg8n^~Gp5E>o#6rCP(ZO6=Wmz#c%P7vuiVQOSummE`2Hi`t0VhCzO!Ch48 z3hx2CaKL1p<5=-tpg5aA{rksn`8XV-VNV;0CjXv=l?>Wq#Q$V3Yn!gu-P27uqU*Su<<@gC1|#s$NLB0i>l5yGUJ|zEF7VO;T;<*sT1a!o3$IxnsumYFLxhbDDR=U(hshd@vGbb+&kNC>P+3M`9y_3bN1xrbUT6=2md;&Ek5_v7g#ws!9n-h!?Bg0o{ z!F!3;i)=6wvP_O{Kk*=tsVOQ$&PSJczc#wtOH=+oeYqMF)lh>XwUq;KrK!HS7BM#A z$pB8%GEFo0G7|jyp-}Sr!9hmX*9iKycR12n;^)3dZ6Qou%bpvBsZ}Rj4?DX5j zY|sOy9?eqz5i9M^{~X6o=Pb-=|4KGZyzu+lrLv(~0xmysJKS;lXM8z1rN#lnl;44hVfi`ah@LhQoO6 z$e-+jJ}cyE+3Ru@mEC(?W2#|BD%=fUm|ZMZNJn& zbJf3Kz}Wh9L}ri)WNxs#gU?Vg86%HSC*nM~fYarv*&MMU1|&FNFq zsdduJy++bbk?O&rgIUul5WRw?tmYaS#zi7fneyaiWNb8w%#unusAT+uhp!WV$&+?l z-sNfxRx5kO=duJ>uiJqAmjuEYafX_B4z&zC4CF$OQ2@@rz?Tjr`W(CzoHZ8Z1o^Oq zP9p@k<*`64Sfs3LHt|JBZY;WQo+219!t1xoVR#auL~O2shM zZc{SJvJEUzZZopTIVwOl{Lwh!XK04YUOqb}BAelNqxQP=5WhD?9R`+Va5oXwtI3I~ zD9p(d>JdLemsRrFG@_&$Rbs=`v`~ASCV06cYidfw&%-sO@N~k72J-Kb!lJ!fm6>5Rm}We1JMCGx|Jj}tN&gxBBl z`v6Ll;rI)b5o4$5OS7L!LY-qz9uj$h3pgW`WWyuxf%4PY5(M~0qJlby;`@=dFS87# z)}tXz?b^0U6rI0hpFqCyD1?o1ywbGPdOmOL$Xc9YSsOYVvI?w0mU3q>VQ$NN8i*}} zX?;8G9+xXMejpHI&Vdb-#?K_MsuCGqhD;O-vt!#)R>DJ}j4gJVp32s_Xqgj*B~l$E zIHn{ZgJ<2vlhD0bl`<0oPN*HUdffIq;u;gaN2uU$81dUOYz`3^Jb@qGxJoS8fFYw{Geq8+GM4mU_s*hfIfJG?q=B1 zDf<(nW`fB1ux(TG^>(8_20d2T)&anu7}3QVX?nwUHLEATUlQK|TL1B$4XhaBz#j?eKVMPSa_+ggn6rXw%H{QBDoCgEKu;p@);TOdr?wrwg;kt8Mi zBUsC2ujSVncjvcnoIl-@>-*y5h*~slkPEeT1S4S(Q?c~*f(KNH8V`zt$1un)ce-vZlL<%=Wx{%Miy=* zAQd4M*aB)$cag)`v-30@}nPrT;27dNXaW^^EJeK z^`Gbc-_VvSkkAFF+Q7xd#agDWf6DuT^%3jv>ReCj{b8Fu`8(e&7H8V6>Up-hb2N(~K* zCY20FcFrKJ2Bi$S;kma7xuQAtz-B7&QY(@whk3OZba^}b6&;#^EPqhg31_upFn8Kz z-%xpqd#ol<{xjB7zAH&Qe7a9G9Ai2Uk&Z-B_>dZzz8`@qD@V$zP(xH2X@P{Rhj!6L_s6GchUMGUH+wMn;&gThr2LgSi% z!S$>q5<$oht5M|_b-K(v8uwYRF*R*B$I4-WQhT>AoJJ-31|tCzG>5s?SnzTwBD-oT zL!+j+ntsFght{-%fkMj<+%p;)RkW;VXGtQJ=;aW!7teBz+uTtc9j%QI4h{_rhIF|v z4qDq5gtZ?d4cZ8ARRaj?7W^=u%$U2lQ09g-N=tUyK8q~Xym<4;Ggh9mH_9mkT)m>r zG5$`I5)qEC=?%v<2;q z=-`);BUX*IndKyC6^pFv`YdpQlNaV2@3Fx4j8m>z`+ZZa6An@9hVOk3pv###RP~G6 zKYS9mYr{C5t`v|N*m>=D#KU5Uld*^>vxnGz@!Mzh@OUZp4GFNjWH1a=V2{Hi7?+coG>6p zcCio8gzWyX3XGvNX;_epxN#z?K&Yt`ZHs!=@6WX+D2itv;=?=;!D*22xHQI!j2RYm zAV^uZ&m%dBB=b`c3;}zrO$e`S<#(*0uTY~v)W~h6uzV$0RnxdacE!Inl z0)Cm!DQpy=t(<5bydO^Vsm_fNV(*1Q>J_J~f2Ws>-kuy`^tQKaFk#~w8qM-zDJlyZ zL)uh6@fZqZErq&>6i_4R0wfL$rV8S>wR1Mgou{fByqUxL@J&-w`*8g>)o(c>USueQ zl!A6pUvbq@Mc?FBP{AM|6a!*ZcjWi`|BtS>0IIT4yT7HoySr=C4I7Y<7LiWr?(W!t zf|Mv7n?~twkPwhYlTk6)n+($U#pBS0s9Q*eO9|VfWo;O!HnmN>k zX(*oNfa$wILgnEh6I)BR!uk;tSKvLTxew#-U&W{@o47v%2Jxa48H{k;JDOLAb&&qm ziS@tVFjI#(1zjOsQw801pjq4OFm4m!F5O3Ho7%gZ=d3l&k`@JR-&P#my%~o8u|F5 zA`k(qW2exA-!M6y_}Ryx`A?Z4(0l2@`+KzUpZ__Ul>^UB-BjLGMyIPpm;7{sz`FZo z?#W|I|M+9aEmeSp?j*S5Ec*#BaF@y0Q1kz6M|A$pXcE%Z_&J9JPm5VSo*#pFmj^pz z@}^WTDLzZhN@D~znDCOfZPPspUHRY`bV+hsTJMm))sF(rizC+o$zzC~(4#SKiseFv zmGLILt@JmWJQ}EAn#k41O~MJ;hg{^s2uT%2Ex|!SgV>2M7uQXXUv6TuoCF&w^IlCJ zfFoj zjebI`4P1}`hC16FK&54s@S^J0%J_k=YKB|X#xnE{wMttWjYcnvC2CnWC4LBH*NBk$ z+~Oud?#oK(pKq1*3PzujY`+~ZGe zIg#)!@2dAJ7cELWb2=}HZ7~aHM#gBX2$MGIIav4J)xhIh=9Jox0=2elxw5DvO0g&^ zghEZSiL6+5m;HtM^VA{&{U=}I5&)K7duX3oJDq!KT#-_M{bNzWz~mtTVdrziodNuGyDR^wW7xV<1!zWD?=H9`hEV6awk_3|Z6? zKTkq=O84u(sofg0gX79c%2*4)XyIPT%&2yA)*FM4boz!K|n685yu%<3e7U*7Y zb1X`E?SJ~BEJ!8OAk*Ncp?M6`y4>4Vp0>9EJ}8en?70%LZy;%(ugtX5h^S6Qn@x$Y z;|rNQ-GlqVkc{WYD=jTTvP(a+h5+l%nb2!2om4Rou6`sNQ^_8&~wCk)$ZEYWL zTyHFAiKFAY3VX-fH8)!2jD68Sv5>~ZptRXTXEtD*FCQ!$9O;3uBh)8-5@4%$a{yuq zy`gz2SJmyc5APr(IdfK*;lJB-m&=zf@9vZ73B$tu%?1s6S~`Rh0yYiy)90}LyFaL@ z4iAHxspnhWkSsk9y1rzJ*7&-fSx!boz6NT*9K4Zb=;&HYFADy9n!un0p7bN*;#ES+ zHI)J4&1|&~s^r-lFLYOYZNoWttW~eT4)2_UzARU^EY~>>ZN9jVsQ7_fcUP+w?Kd5$ z!}S_0YX?p@snT<;q@-_vCKrwZua2iTIYve5T^m(*hu6bJO(SiiStvQu;C&Q$38`o#YZ-Hi%WMyYDsr2VVZq_(w=Cp0yr) zu?2`a)c@MYJ_LoMux~WlYdM^cBRb*xed_VP@M-MU;+bh9!pVn>`h77=p;Z6WkL>~1 z{?ndAW6J57`>`(TWld-CMRUXAE{uG8e!iXSwV>0A}x=X5EONR{*e`w&eCL`LQqg@uoy_-%+S0rlW_mRAL=3eY#W zT_^#t$H=Vb`E&F$5s$*hIi+4KqoQ=0=meytAQ`j*D%MwEkSR4_bb!g7`Gq{1E$_Y3 zTEewFFYdKA(H_uvzT95=2z0n~2Cmofqq1u>PF@NcAnY>aXkDta!Ch2Go94?A1-BE-B!wBngF;7<+K4cw!!*ez%-K|2(jNyX6v9ifi~ zsIJk|t2t>fLK?y`2s7`m3EDm#T35_HsvXOkRT8VmU&&Y+o9+3<8FYme5gw0~Ae5*9 zUjlzLA~F=tnF;*(1a`($ZI)_w^y#LToo%6^gwy(*UybJU%QL_2+!^iXmV;@661 zz^f2K!z^`>Sn+$3wG`d#mt7c+4f5kdmK!mc^4I!fcW}NV_rcT7^J#ULr*hk;l8>OS zKn`!Bgb7@z$0!}DzS$s5+EC~KHD83}4w8Vh0ExUhuoEJM1BeA5*Ip6_9 z!QpZoj&P{57yLy?h#X0yJSPok8jZ|f9Pd6mW?wM(u&C=Cf0)_`t-I!ScXDaMgKsHa zKzr97Jiy6%AX`Wc$>gNCU}Fl_L}p3C1M4kuywmA7+#jJ53ZG37X0r> zWM(mUEZTkvq042!f&PY-~Tgw9k_bB{S36Jqwn}uw!mhXYZTC)rgR4 zv4%|K+g8W10PEy;DkLr!Ah_(r-`Oe-=`5_`x0G2XKYjXcL za`hqPEJ<@Ro{KAY$M?bI5!cDE#eRe3nbWOiNN^B+WpM$1$gVoYXFZJH&6BmQ|L0&G zfPY*i3ySnRd>HR!TG3VOpiuMeGDDQe`ep{H#=I@$)xz4m)(jW;v_SBwOmP0`8 zcnZ5ax#+n4qnNv0vJ`X??R7c1B)1E|1TPx+kg6neoBU7CvP0x0PYW*uyV){;L_;#B zJsm9Md~g9^ELb5T3ROg!U7!lW92ovT%dLO{>_<7cIPded@%+evKQnvUfj7ph$&WX4 zQ!|<#+2qg5t@1yY+rzf2NbeOTuXQwOh}__&pS6|MNA+-Wj*852;lW`ZqOC zKvsC(>|&|+E=CRMzhpT8FzgGIv9sy(e&ET38x9PQfP-lM8Weik4C8-(d`X)!CZ>`F zr-jL^;6uWfgTZ0Guh_%ZO>KeoXYMM~+muOK0dB%=eI22ncURT#(#MZiasDCw^PHm!lFm{Fu_pko6sAdriTp*nS+1KtT7Krb{(fd*wcp_B8tNdwLw6%ZgVBuB@^f5B+O+KK$pgHu&!zju(GP&p2; z7N%HaAm#$Z17U2l)zzjHc`_M^^K8&7Pn|_lpP6yrq)zz{ok}>=8{|$|oMv0z^cKpy zE4~PIwj_0mD8Cg+>HtR2&12uHcWsGo#+V+9(m`y?xOj3m3c~dn7)*13rC%$#P79_X z<3J}+Y*>F+%^y2JjfF9DKmCwMLDDA3%QzF2%`>GuX3YRt7=ADlt-@oGXZL;Q#URfx z?EF3hEVrtSW6B_^B8@b@j(2hW&1wq=Ak!inGo}J-x5Dj9#3ug_wtm7v3iU8>a-?3p z<+!ys`Z?-g>Di)&ZpZ>~V7i_Y#=8{S;XGE&sXQP~8H^<42fh^SF$I!lK$7$*4nvA^!L4fjc^^7xgN1Ddc7Ee(7ZHm5j5N zFNuVdrOSbMg=11#Sm|_WZ(OUa$ZqP5C#$DC1w5YzZL9ws0&1MtHT9Y?6D9@h3gCy{ z+Tu+Dv>uV^Zt@p3zi35L6FyP>XqwHD4iid;bM|DlP-W+NJ40S}aAinGLac7hX)-I9 z7Pqe_wtU&5cm%GU z7{14_H5}xe_gQ5}PopZ$b0vZ5D_X?ZFGVDzlKen%VEMf#M}M`FfT<>w*#vfNus}o= zRs0ey&JB!8Tq9uSuw)3kz}7ISf>ae2A}F(-c$ai$4#>;OiAvD(kh?#F%#^ zE#&sA8TcA17zQFpC!LWq*9yk+Wc)TDH#hU-1kYabQ3wXl+a=dK`nm4BfLuMO_yCx7F79g2CDMl3n64Rg&^L{8zvy z!H$);#AL%y+!o7phFf&R@W^sPK-xTk{s{x0FCP~2fYf9Z+!YtN z{op;0W;`|(BeuU12TRifd{)56Z*F|%z0W>J!W=!0NWSy;zgOhMrD*s+#9vw5%F*OA zvt@XE0hHwrH<9m3)CB{Qv9HajrP1!*M^l4v@x%?Ytcp@stvcq~d2411g6vS%2~A?3 zxCI-L(fN9E;26hw*Y9_?r=&eEMR!KOBSU!kx|`JBVS*IXIh)Oi(hoXnJhOvF=eD+% zFqEygUinAe``iviQNpH=R_b>k@e8XNX~r1YqJ|{-Vbc9P2EGs{$lgh9NR$!; zrgu6~6lOT}aT*lwfYbeo9*In$x}XD@z1dh`m?3W>;m6)B9dZZJ2~KdNp^}J;G_NQl zk?DiACs}wNN$Kydvg*e>#KL^NQr!1_xAdvqBJlx!K>i^$oTr>KYdp1yn1~S9z`)ui zz!VBWQsY?epFxbxuv;xIK>^v<=kqCZ5PoD=om&_#-pd%z9Zt=iBkK=kX&m3Ur_pu7 z0IE*1t#5R4;?<=Ag?ljwC7-nz;AYOa@JqT|dOOYSvm@X)D=<<`Wv)?Ez3KHC;5M5Z zmX5J!E<8X7GzT)e))MBlwKZ5N;q$M)nMX9TriA=pC zHft`V-9`Pqw$abAQ*GFrXi9D&i=GJsM8%t-Nounj^kIM8^onFl3Zxs|`8LeZv%$pRhw(A{$S{8et0RD6gJ4l|W(jTe>;dC9sfVm3oI@?DG zNf>lM1~MF>C?(=wQ5Z7B1I1)eOYX+n17M3NB>)H}CWUl-A&IJWLKkkG*`*30>(Ifv zKa2aP*%nSZq_694LRR7{Z^YbcLa$tAnrZf=FI`*Cc$p4ei}LIm+`{^K&SxGMFqaUh z+?|B#JX)}!JDw-fL`<~`G)wVNJh?L^oJqBkXZx;9la_3WhHR5w_fWZE44$gf+>eVw zhq-5HZK4$VPMocccpjQ;z&|;Hm4qnnq76nn9^HD%MX@q}Z=TCP>5u!2Z_&gWpT;`n zCE9FofdVWp?aC#36|nwz-&)bUCtBb>O9Q6Au8|`=838j;JJWXkQ~Rm;*#22IHhbq^ zd+a&o78VAJ$|C>sjWL_j@@j#w|8ico;S5;(@&T{H8-~(zA(ryasH2Sqkb0|UqQEPL z*-t#Nl%m4%1g_r2vDraqc1Zux7%Hpq#_n3k{BCyV|N8$|enIiy88rSr7qnwsy20#6 zsoiGO2Y3?X8*gy1j(k$s#`6FlLqYjJViHGLRQE3c>jT?g&aw*69A{To*rmIoAZF%| zpZRN^r*XhFoc9V^R!8-F!2mY(6oCABf|p`UZv=Sjh6D*S7Ndasn6yHZRz3(w(+`M@b)8ANhizhbysJ0z5yIdqJnTfs47 z#|PnK%FIMaEcDO*9uyQe?hdO)5KXbV z#93o{u=LGPkz;5&u09hoyOy=BBtxmXv#jbvV@n?cm283K~tU~^z6QV zRh~f(wE)|in1(|;xHueebv5_!ztXn>I6%nZ@WhCr1U!1%bPVfbgWC%ES@4-46YCJ^ z-Aim>D?Xlff$xi@5#zjoB2o3c&y#mP-Nwlf@=a>N@}`V^4dYC{eCP|W*@aI~UNAXG z$(j(Uh+?3v1i8d?RnG{?&Lzpi}fo<{2vN0442z46Avz@0&!(;7J~!>##ZJv6j8Up%s9VRa08_~gY!4VBwp4KWNN?(=Vt~`ruf(-*f7QfV zbaiHL8VahN=`S#l^Q>5C%suWl+XME#sJK!0wF1GK3_%Q*Hi%o}yNYOGWq>dKoM4=y z_`>6UylfiqE8j&n@K(h4IeOvT1`F95&FXEM(KP^F;E2Hd{k-2Z0MH>sv$D6s#E9HN zmFi>>nnd}*P~qdVSHV(U`>(>U#{delKW4XZEgj@$YoT|=5!EIA)+5!PNX9kOu?F2A zxZ~X!0(U(1tGUaK2>oGi-kjm@+uPxBfeBz+7Ei-rcTc8`o_e-K(>ge)8}0$-HWHh7Y};fto3n}$!VAWgv@j%PPu$*avan7m;NcB1$&1@{)6gaM|;Y= z&@u43`?#7&+)w34-J<*{5L|;_vSL2HSZ5NaR14b0i?(;|1!}-^j-d~c#}KZE-VbLw zj=|a;r?QQXTlE{hbLvZM>W%G$Td6f$$Kz@Nrqp;BU$t9bOaUJ?uXk!W^C+IOgBxhq z&kMS&b6SnOI%0A6C&OSC^Sq~*IBVh}N=ulVc2M&t&$_8)!YB=J(E0my#$=5#2dmO? z-r}3vU!P2;9uugeGPqBCMZloh-KI=_;n#IxA>&C=(7lgAgPpe8gYo;UXZhFz5IhE5 z`Ja)xLB3u<@v_nBDb-O&NN7asY)F@F-3O#VZ!amE($dst>+n4tRS#O$Q|o7y)}6cC zbjtUZH8WF{KpJ@~eKE?b?TkW}f67ZV`+m~uc<;#DYN?xudzp?h5U>5DPLeB^#o=GC ziY9P>d56ckCUyVe9cI9*EX2Mr$wpubjtwInEBMAzp+Om=Q!>k9ZV;w{>9FmE=HEUVjqr8Dj2#M(z3(b zm0>MBGm73$zcc`X=jQ(TG6>B{f~ZrST83}{nJvaF1dW8t-lYN9$eCbCZ(pHFB~s@n zDykIIG@;N0cZN+Cw&40QL%=NO*a#C8Nvy}+xX7%v9(rYP1*j9Q1kBp;U%rB6E2WcY z^9$whQFQu&mw=Zv8hQ=LjO%*J$>D$C;DlUPg<>^? z14H+^+ao_w5-h{#Q*yvv;1aWv^IRwlo8_ycs#jpEZ$q_UzZQ_Q&~HV|qjn*X)Sd;* z`YXz5w*St{MP_ax7C&)RiY!hF6(csKY!H#YB~YyMPr?h{ zZi#x#Hxwp=FEQ4--zuYPgCsOo(gdaeIWZun&2dof)4>5ba?i|nU4f5s3-9qxll1n- zL|$e^BEX`W!JwuCPnl?;ov#rzH^8(@`t{*vRW74|p(Fl1XakCkp7{)&3ipS>8wUDY}`TE3qv*du@ z#i$1Z8#xjNesiBD65Y@Ug-CE0Ix(M}y<#Ly7aR&1z5mn;hzeRb;A)$rJ{q{rNuh)I zlk2 z{Fy)MQ~+dk=$R3Ry7%g`)DINEW%2PLW6gkM0%80^CLXFb_DH{}`4_dm&Ibj714NGc;UW)Trz$qe_#)!IJu zT{`-@UOMF1*GFVdNL&!jH`=X$h(BV!@cYE&lGaN({K$rsx-5+>-9(?OdQB5zyCtpMG zrZ)5J3osH#?bKUB^I;yov1~uHfwuA0*hN|7gRL2fRx=UNyWue@QI)~q@P+uUeIlCq zXvSveysNCfJ&7mU@EOcvN>Z}!{vP;b0EZS6qXtrMwAs_LpB16I7()N~+qUABUC_Us zY0sMCDlc5rj!kLmAH+IukW8dF4)M&yvc^^(_PH*5ivQ;~+zvVS>eHAF%A#f9}tB zKuczFI{@l^#2bnfyIww|P1AKD1E8ux)#Y%dfP2FD;b+J9EeXjKD)U|bk}dHo;p_E2 ziFv!D+3dfii%$MT;D6C3M_4;j_-`@8`6H7_)wlhoqoiOSDU_Wi`;buh`n+U)G|Fid zY?AG$~2y{Xf}vGr2A&?mFjORFQMWf}=eM7-#mgMSZb?ktm{D zbVO9uff*MZHFyU7+=G*yN`UB$CXyDoL*nxTJ#*`1AR(s$ud=RZsdt`gEOhdmA{8%O z;`P%6=GX@_dXC%HUVb_?G&C?d+&LYYCNjH0O5~J%my^J<+rmqL2VFS>p0;;=zsi`a zh4y*^7l1@y7ohA)g`y@W?b*iDvQJ?r{Y1`1HG^nQ+%glhl>Si>ho7_sH=%2?>b_#L z$Y+p7Q_@IQQh5KtgdJucW9gHaf!_=8b@v_iKCmxCA2g06gYV)fdqZY^2|3^LO(9vS ztu-F4#B7=VfwL6Hvhv4z^VX!6R-NW^6)H%0JtV$s{A-DwM8qJcs)7PEQfrsyRRF!g zuiBgI$0m~fHldKVWX7tiRr)D(d|IGjyxx(Qt3L->3deP_r@`^+U5jEg4 zz=b2p=Cy{}dGM{`ySz(~*3)(^9+8HKWq5cfdj%f6TpCw&JeCpgbsdYprMr)x5|&f8 znAs=2sA@Vi9aJ}R$|mh9tYQ`Ts8vAu4OPoh07HLpdZ?5n*=*b~6AG>o_gwK~FPQ)? zU!#PiDtu}1c(W6UF$0zZwpCKZIee-(O-whB78Xe91t~-|Y@n!|S{BU2H-5E&sio-D zN;Lsb%W6mZXkpsqv_T0=JA=Go|GL&^GojO>5kP+jL|&0aAHN?ad$$pNC0{TVs4Xa75a$cbN+rB;P(A-ERsmO`-rp>1;hE ztw4=Ay!M0SVF0am*)fj>WDQinHn=8%WKef>MyGQ+!$}Mjm}!ETglI0ZYu|pQCDpLr$!xe@)?C+Ti*~EV}GF%-}@Et$Udq0Ajuyv@sZqrvd zr$XRU;1AQ$k2kB?YSbL+%+l>`+jYO>_5{lA2pRM7P#!B}Zj6RoOA$E?FqzjsS$WNQ zG)LCke?Q(FCa$&^B%EYoeEoF__|wQawRfx#yv649jUhTMivW&t7QOo57hEW{d$4rk zhD?S;Uk`jF4F&i;!asi{zxO8+f461gu5qkv6#)$Nm3Xblg z`wE@Ut)<$gk=@Ki-zN1IB=I+`cjbZquTrnf4g*up{R0(d(K7$4qhQE=Thj|ZL{Crd zHKR#$K@|IrO5a4dlc zlwy}rpOeV$kkF~|zX)m01Pqm4OEC8X+U@O(Z5-9BgKo_;;u zrC+B`b(B~9sWhW44w3w)Z;s(8B$0rrY;Jj7vQsri@KN)+|D@bB@LCOtad zS5xJ!HmINEbIMEPj>)qmr77xBN|U}#`0`cvqaub;3A*}wWfdJ$^I+-6(wEC{6Tu9e z!Oo4H^1H7GUQ6s^2ALaQTvd%M+pWip!wlw*Pwk&|R{aB+TGIZMNnXn0@UknGcC98ctzFk$;K zM4+rq%I`z0X~O$PAZs@9pUpth*>)i)-Tf~B?g2Ff=n$^zLT4Nrlg@b+-OQjsaDO&%J*>0!X}TDWqGW0Tgt*>P4WFS%C1ddgvV*; zQ+~5VHH}~pX=xOgE5h4>+Bi4JBaQR}g$2mf+fWw)(qYCtYvAY<`$yXPbR=Fnl(y`( zc_v=Ok}Gjl^vCH7ki~b|DcGI;afiW7!&E9Pw_*5?Lf@q`-a%y-SS~YC`c{Bzp~PG# zlvkx3g|T%~A!Fj*SZo#mnz7%MP0h<)hcWD-5(g&>fG=jHmQ|NFR{QEsG zo;#9A@$pKcC=E1?6sXfk1@81k2Dn*yW5iiPm?eKy`;3*G*`3p1edsf|?Y`?LY_3eY z0JPnP^up$=plMXIpbAt>Ec9nTh?S0x84K$SLSzLbvnR7cP)Lr>Y|t-X3LhbN$G?{T z>L9oh28bF1KTGK3o!6m}AisMdRVvX4U1`)f3Yh^|$Y<2ujp`Q=DHG1A*(+hsc{W3) z{R&Zby-Nx-K6&jO>594DU^h30Y+{L>+QBLDfx2nx?C#V@QOTO4Gdyh)`3THr!Ss1! zmhDEY{=A)Un zKl?YjW)>*d-y7@Sjo#(zu(wF6+RWY*Wq-|2ZCzNefX6{0-~IJ8Wsf$kUbUxnHV{ws zB^FuP0Qw~;@fpKo&6g&AKI6yLuyCs*cGl;(7Z40{e)GPiJ?c%-DE{o}zvI!6`lg8= zh>+d4Yy|gRqiqr`T=f$>&7^l!nqTnN^1RQ;i~{)OTxE-HCg#N!pAsaA`}xFx6}}6o z7)tub;Sh!SK2P$-ZC}#c+~nIIUm-ax`_0;a@7*CxKn4$qvMTnk^I?b`D@?QC*}0WC z7S_R1NBLHI&ex~Y>>x5Ozk%D(6W~X}v95_QL|X9(Y3WHoPG0T<`ds z7_7o7wNDZMl(iB*Pdys97J#B@-Cn&`%bO_^mHFabELpuwB!#!Bzyqdwimn+UhWq9( zaD-!ebm8cC@-v@-(Eb-7(Kj=^HyN)b^4`Oc@=A945H5K~gkp<~&YZd3!K;yG#D96S zsctR=xT0VQP!*?FVfBF@Je4J%O}D?Vj_IStj7eio49AH{Ep7Bt!}?$njHEV1Rs+G; zXJp0jh-~JqAURykgj);F_a!)QS8(KrzpOws@(e=cK{RbeN5!Haf~jG- zG)`#%yB%D4+X5D~xHqWsHkvO>-d0u!@Xr$#jl*E_# z8?w5PCXWY644#%E(SW79(_<*9&A5ecGulF9wmPekiWUkwtg1Ew)n9Yy4LgA`gV2Yd9sQ1qa zs%4`l#aZ`gvqe$-(Js&YXrOsv#jj201i>E{267B@1PbzWu?TW@I5A(~fbyT4xikt| zq=fYwuS>c^ch9h^S$ZP65e}5|)GV9Xxm#|hk)hs3AW98^^h|Pyxi^QHZ58tYa`&gb zrU)r?4ojfn6ZeClSs8;@M+-4CIlQX%pV~_w{neCq5tyQ7JO~UNMA)4z9a@vN6>RRA zp$C9L-3Eb}$I2JQ-q5DgHa48Ot4kq{Es`0RLCU+K6A4LYveW8=)}D7wt90X4A40k; z6Ia5+@h8$o!8Awe=;|r8r*7mTu_wpuqkwH>r$tLC*6UU1IFx7iGmrp+D)ubIHJPGO z)&o3hAekXL=>PdrL39KW!24Hs`^T=B_y2cIIPpxk5>?t-c3{?X+dS2HP zwHTIe!aMMTS1jDzFc%N&t*hE**Xi?>p%M%WGQZMaQ;^Ac_$%*LaU&w`z;}oDGT{<3 z3~^3ty#TyJ-lxZ=8I>0+-9q(2l7QkdlG2O;2-5J(^!VcoM?x^?H4=>=s3Fz7GB1Gy zoK6dH1SLX05R8P6s;w9~5;^&5C; zQHsb)4A-VT9y%XDZ4RQ0R`n*fgXln}#QE7bH>*xjw_-XO5z#o-{J$skKe!a)Od#f9 zn-U*N(yuE({Njc`8vpSzQX&ijk%NBg`IL1`EVj4PKs39lNH$jtrr9`N#9D&f+(16d zRog#3o0m-nH%lz;=9tBS^j!NF^O~8Sqk!dKaH+**yuZ93?2IJh>!+&6r6{PDfINwM z#nc~rt;c)2rJlCGC1(WY`8F@f_VcBu>M?r}vX(O|phSgd_(4HWOm)A|Us5{mG$U_r$>%l>e0!RH$vY!Tz2nFE+>dza3 z9xx1o7Rn_eIRin!B0hRPw{*jKc>R9rubgx_B6I5sP8{p~(%0M6va8lRuVcK5*1T*f zZT=X5;rW4!?0Q774d=*rOZ08O^(^-A2b;NzOpm9V=@*uq6g+?wvFznlw(tRHrpd^$bQtBq=q!~#IXKrb9 z!A^BA`b@f@@E_sYrpRC<$LBN9zY3@SSUrozdK`)?Cb^pSN2NlO-vr2^qHwXlMb}b@ zf=Z!bXdoL`N=u`C5^qT$mxx*)lcJBra(OU0gN^NR6Cxd5QE2!;6XE9g|rv3JvlB_ap0k5CWJM!Gv%S%0OpRwzY7q5gZNX zf*siyTmc+s6$NMt5g;OP#}Z*H5MLjw41;L`RK2r8P*E&~Ounm{0J*PPN4|H|jOmH! zKj}Bp?vYnsVJItnD}x{nTS+SmEm>u6WdldVDRDVe6x)19EIh;j`fHc`b?z5FzrK*x zt7ujQRiCDgmAPRbEIW|E_$WV93vl~YZz%;on zx$2ZD)&RJhs*&~TI%GPDk+ck-L+?-Pe2Hr24bZ2IO6z%aG}4#jEM0?4!0i4o-22Vj z)4u+)QXF%7&Hd$FR&Wf*EYfR-76iup(PF~6UdmrzBtmT9P-|ewg?~^M@frxdvAn*f z-GbfJu~+Xx=Mw1Fq1Wy-6Bghn70OGP3&;!BBDHFx6AwJ6M}%no|acJ{T;`CS-+laj!mmkTbg%Xvt4(FeN)~(F2Lg zTlO$%6P&^#Y?$!T8x|szaf-Ec;vzlJEfO9VU=+?)*U68sM~lWUcfWOb3WG;Iu!(L_ znZ+BOy%zeao4S0slFCu6hOH&^-NZD&RRde3ImEQUym`AWQ7j}Rmepylo`~6$`1DwF z?(ma*Z1AO|E;o236_3eea0ZWQyB>WPkrCh@H0M!Qs)$~f7~Ef-C8i_R0t!i_Sk-=- z8mHGRr^37vqSuhCW6BcsiuIpfwtss8(9$q_7lc0#70zWzH+NfQb421zTy0MA4wV(} zTPiGas^A&MPpDLpGv%Y@6&nDqkd|5fR3E1{D~ADcu*K|PoSph$*b%NDW#Ep36Z`{o;`jY?oTQ)uC%CJr$;bcvmof2n z*!0xVY^?UeN!K?Y-Z7j1XGDi!eCeE@C#Sp%p94us2E859t<&q(f1Js@hBUMzba%^W zy91YKcFxB-L{!Q9gt!&S`%Nc;zb171RV$fS>#9Wnrs2H_Kq=1NY3O*Mm_PZNz5jeB z1j1z3+kFckYPLoQ_!u4p&+4;-&>pjc?!G+;j%~&7Au``z%Y&(%Br6OB#bC<_#aH|` zjP_ud{Q=5ml(^reQ4{u1%F)B47l?<)zqd$+>3@3z|K~koYuNL9F*?Y3l7L5%f_`#* zEn$2QJi&;YV3)|UHcUq-Uoq_ktC((ynM0F;2_j*tRVM-f#_Vy6Bb2KJCB)2KnsJ2R zH(g*Vl;Z7Fzeq%3qgvFlsCOWdB6DU~CJsuNIu+=C95T_})4fCF8r&R)$=n}<1#M7* zMVqay=A^?%gfeYxk%-R7frCdQP#KY`?e)l0J0^umLd_?v6Z|o2pvdtqQxaCG1j-DK%M_)kdV}SR#LAE^@YAg+l zd&$V(#ss+2y5KdHuG)yKh#CB?jhv;wCc~qWuq1VyFl49&OP2&7qQE`282H< z32C`-oX?aoM44Epg>`=bh#{}Y*HIwqUtycCZHZobaD$1M&AmZH(lr6nOsf5UY&8Ko zm7nUPE!hB+0zAIPf}(2}gn@i=te4qF zomFQlm-8L|z~z0c6d|*{00I*|-+46{XlntGP-9T>C+HOlOe-Q|?F%j# z$Zw5cTVZhBO9j*5zNK0MLHq0;j5((KMS%pEtm>wUZ*@*NMe(!VC(nYmbD=B@1U|gi z%?)|`W%P$Fi{4%&4(aJS0VJEdO!4BGH!QbecS8xmEeD#u3&ZEL#-mki>eFtT-*&2u zUpg|Z84L?;=Qn)DU6f<$)bO&4YrtDHvTlJo$i=q@8h<0H-xC_Ht<4NBV#%gIuD9O( z*^kUhmscDUn=^F5EZ5-BJ9h4_kD|n|wK`RNkEysVtN>m3bMQXQpmO86qmv1f>c>eK z(%c|$i1s_BAITIO;Qw5G)ZG=X`3B{cy_eeZj!L!=6y28dei#D}_CEi?;9bKDJFda0 zM@86%1#U2z`SxRV*jY3&A%1Q5+-3EwQRj?JL$`>7xdN1=qpeDoziiE)+ag|imvhRt zcyiC+>jh1D!;&+crRQPKkVi`+V`ZfPUy>pAuihpIi{F6k-GBT8e~TRdn!DxZutZ$L zD|Fe{Vo%*$dTr%z^N$0lZs4##Xs+-5>61hq_1S#$;V-iW!2Yody5N|92)onH7;uHt z{9C~Ye0!ymOxEXn8>mjb*mKRi2)OYoigep-3pe1X9@wp)B%`L-j84hL?tkndji0C0 zl>k(?*G>Q}IIiQI$lLh|8d9!SadScxRz30GP!oGBAwgG1OOUvr(>HrIiC;1ayHJ)M z(VV);0&hKt$;kBUpQO%#XD64Ea(?yeofe5`?RoU`8QijtjtBjYnXR#2tU@6B zm-_gtq2r@=`)<)+;x!;?NbC$qM&$Ly{xy-^7Rn8;Tbu&(o)B-zU4iIH_U*O&$^4es zw~8k99Bdsfo$XkPxf98!%ZfX_SdsXDUlaeCMFhAQ{0!jujLz`-+IYTEI-2}SpI4P# z*SOdpzoSJ4J1gv(C>(!9zoFe%GP)eU0t$&ujd-K_Argbxm|he(cjT?LJL)5Mr+T;M)>Nm<^?2(aNMQ3?c2YQYI%3o-*{++7HoCPqBwXSq(C#TRAauTHzWr9 z;XbT~B+?{!&RhB;l9{)T4=G?~479$^Hh+ywo4jVlE#5M^bdpBD@S`kVAb&|nb=4a_JDeJ7v7B!2&CfObTyl8zHir;MU2yL?_7mfKb-mO6;8Uy#4P&FyBl$?tp zh4>U?Z~@Xd19jEK`3}f1yfmU!b8eiUTXkTd$w)9|UuEkNi$YdP?xVS6eItU&cx1D8BxfPX&(Y%}XmHIn& zZlIe`qbmyw$XGd9Yw$b6KMD~;frJK5Eg2B&qT)58EM>GMAC{K*xMo8w6GL5F;gny-GA>%MWvjzziFv|8NR zpSs@#!P0nA4fOl1HQc~K4(Rc!^+(~LZ{Xvq4sZvB88THd0g07qGtby_-TFLtiM5MG z%4l;`cEFBTq{+qiu16&l1@8ySnhw}N&V@I4EW?c6wSG0rOCbo+dh=1=(i@F{u{c>q zQxS$0%H@H-{3UX9xiIrhqstw=Euslk1PaA7-^awEItbWPXRELKE4PZ9FB(b3 zDyMKNEXm<<^;$pLBBIXm1q#DJ54x}XapqJS4A4@3*(0s)do+vQ?L`oJrZ)_(L}W6( zAyH@6US-C@$OFi^xW8p&=Ea*GL?Z;8ejIPwpgS!NExb+*{4O<;wVqGcp-@94&Z(80 zUFkzx7HLYRp&+!v4U39J-pTz2;L=5%6{)U}fBsd}lpfsW+cY16KY{RyOra4qW9+dR z&bY@?5T^MBM2TH3J>ZL5GMV7vaK=7u-*=P^%cv&eonX|Pm*WuRgOB8QN+g@2b68J~ z5jU|;M(R(LzpHCLUr9XSB0ZtM2-x;3j*HC|;0c9}zn+wryA}@#Pp^0Eo%{l@-4rd@ zSby7cUW$S(I`$ed|7#>A2c^^k_GwG>YB@dV3d>GdQIpN0b(LYXH}-(nPGpfyxLPXM z1gHwlJDz{aZo7N&X`S^5@>KCP_EY!B{s?2i+ZXIg$oeE91IU%&D0-eB2K#%Xn>7zN zCv^c8M;CQek#^zB{byDfw`|GKP<;UVje$ttTJ2N{w zJ6Wlj0c5eNDqg=!-aP$md(+_)@d9$7SAhk@2piAJ?`9|NqqClr4cxysIoSA>@w0+g z^z`WfBln39xrl!$2ZiWS#)0=vboQ?=_1a&@k*FS7+5@g0II$8F_0&jL>_xO)7S&dT2&MY@A=~BJWb7g`D@$Ou5|9Cpx3P68b2Vu}+%m=q<&B3%ksd8G~P#lOh*$edCZ# zQ~z~VDt24y$Y-?BE?^YlF~%h)m|@Gu{c6VdM}xcct}qJ`Kar|bPOroAxKJh4}&5~4JF-3cXtn{v`FUwk^&;#C84x5NO#B3`9ALb+vlAB zd(H=7Ej~@G=Z@>XLN2f!#Ns|GTm95_83KE@L&ims#QiP13=Z0NJ4bAOVXT_SODCYC zDu~ZXo8Cm`iOez-kJ(i#eVKmp`#OEcy&U5FeTtAVC=&(t>lt+9piI06G=#VZu?g~; z>QR4=ml=ne3TM7xNtb2GR`U>y!CNsplaHii--nBfM_Y41B&nIwV;q#haB@s==9q#h z(g;rn!u9y>YlYjfYZkZ;D^4-QPDUe`++xC8HhHhH`&Nxos`ty+Jti0hYc;kC;A&xT zNhak^CJEsB>CRmSP(z}{L-ln<-ApP^<{CbAB<&Z=xe?fM6;gL*mOr|}JwhNBguav; z%U7Ul^Mc{aCl*+YU*$owEzZG^oWYN_<#7k-IKPO+iQ(7M6J~5lim7@g~OU zGq;aag$nR40-LgNtUOfWCIz^-r6wxiCU05n4erf1UCxU^x2Nwn^$WtEcVC!HcvTfI z$Co2l6~AGMTBvKH+)T{4W;ecN~^#>J~rV0E;QdXFn7h3#r z#3R4*1`J^INk6@+MWpDQU$3kuTNee-u%b8%yjwwKoE!=We{F@wKS+SNn!fU;%6_=!}wWl#)gi3Dxs~i9~brR0?X;$wHdBYRo=- z8YE;>U~b;pyz1hVBiHx;bhe}ZQx<#j+veA^+es+=CDu+C`|DCD1d-N;R+Xb=oLQWs z0xNHHnLr0=p*kqc*{BD1z1wJ}k^DAB$zw%V0(R;Ucgqt_uPqG-SQ)v6jZK{6VJvQ5?R$o!KPMl zBo0Fu3Vn_q3P}^22_CfA5p#S)|G2>-7W3Q$5@4$1;ieH~IMvB>{w(jltNWo9r>K3x zoz!5vBVsc^kDx_L{|D5e*@&>ChzF+u|0*hM5ie|ehaNoAf#i#c*+{qeJuUK9#2&m9 z!FWdC0OroA2esp1 zb~vc7UhzBh!@86@cGkD7S_Q969|34jRrQwvS8-HWv*%H_Roih)@}^p46}jZrj`y#` zVW(Sv3ylA61OL?&1QRH`cCrcA1M+OH547|X^F7_&5&mMT~g(W z$kM~SV$L@_jg}p}%0A$SN8G-(O~x7Cnj*NP4m?O3u`Z>z zLuPBao~-Ozx*swYnta?)ai4$X*hl~PGl#1_Em`T2HR$fu)j@rG`7?*tO#jD+05r&s zbQOjQBo{PZ6$(D})X%C6B}xp~tiQ##L&@EdWS<;I9rM%TKH+~ZPnc0Ia0V73A`F&) z7M40ohskw@Yo>5x0!nm|z$Gr(`f;Gh`ZvhAQqYZ0!90H;x}1MB(I?V(@%3o3qNp<5opD=) zFG}g6FLGJM3*IOxtT4V9fA^yr&f!)n{~4KrZQ>dBatNSumK^mgw&l?XCtpXBA>e`b zT)G{%fMXPUMsod6**Fr{ij`vE{9+N#wz#YaY?f-3pU<{``LS6@k+^~rcE3j2gO^h{ zbPdbyyLCQMaZF$pgjQ=>o2~*L5tYTRbhQ#XeCRAQnn zE0QXbE0*IMG$y64C>}y3a!iC~kwO^1^~~UCCcZnl?RnkV?(dXNu-B6XS|4|>_0feA zTbO6lbu$gT7IQ%^nzo`BTdN(Laor(pIs*kXZh9_IK90Mg?D&Kfh4Ckb&G97Gs&>?U znmKH4goNhTt-~i1d*Lp3_Fi|fUQPB@-z978SFD_(K!VGYQdZ7XE@Crl205+7W{Wz` z(x0D8Jmjbho+E>(@k-N9GR@m0e11VDF+n>NFSjis;`Bjm1#+S6HElLC6$p);@L0wA(>U^k(C zA2-F7LNTjhPx)V#;`Eb%wilX6rI?runmm->Gb;83cGRjzotMKjw2@)r)biNZEGmG0 zpIE`$;>d`Gr2v{j{5PafR7$vTH<2}g0L6V10b-q~ZN)BWJaKZr9liXvL(YM5Qf-Ve zTAr-u#@(VfFYUm@66S-&IPxMt*|%$sM|$Gk^``#W;J4cc_DI^#(ED>F*ppKs(*t9p z;D=8rV3riQ_@SqXlOEiWA<7xNyn^8mE2d`z1g0kMcTL^TFLKN3Qxv_NcvQ>qt0wmX z*=GkD{%5(U;Sc#@AW-kx_B;9CV|B+)M#q?We#3!zPHhmf-Ln*nwNZw^`x~qLq9VIz zR?Vx3o0OnO3YMZmeeoql?lvp{B%1tJLnyb({bo?HYpj}t45hY0TlCSM*QsQK$qSaw96!?y# zJjWskXe2}9f^G)x6ip8NNu$tP?zt+(n3gv@H`yE%|GfcBJfap3Tn7;R)cnun%!>`! zORt`m<;0eh)P@|^x(>O!yPI?`Geks0#1C1L**6(*udUBe^!D~rvf5v^nw2F3FYXKL z^}*2}*mUa&7f{}nHU6AFT(0_z1gK=_Iko5l55Y*O=irgdG3uYIkDDePn_J>Rzc!Fy zl}*K_kU z8B8loZ^cv}WFoXTmfY+lT-OwxI_MudCB6mB*A*t`r+o;#OUzk*#?y-JPNR=g85gkr z*1+w2_kppoHa_f3_TO*RBoO8Fw)wl(e{Vt^O^OU0oSE^806O|5AN-Ey^#(IxEE9Vi zavFXIPccz(Ix=q@SO5?I)EWOnk#ob30hGYEc!&n%VDO|Mx3#!XE7e=xv`_fBw#6rs zR%2ikKNUqKUAi@IvF+DUU!-BzhJZ@n3u9`H zP0AqjS0x*!#qmfYtx9+M?Y#>mr-h8Qq9NodD?Oz8*3>lbz49f*-)CPDHm!wi#}Y7R zXUj>xQZS{&o^*T0tcLM=pXq~t@UCcZp914gJ?5^fW1?DR-x@AoU34;E-7CStwNb&+ zia`~I%nW&*JpS%;P3cYpjMO2P)FBkNSu`}Qjbb#gaIPuJ8A(AAuT&{J*IZ$F>lax_ z02<$(LsOw!%Fo7hsxE1e@9bd88bq7Nkns@1FQl(pj!`Yl||3x#9xMMC~vV zs^a)yNX%B1q6UnF3Jh(plqs|RJo*%7igHRza&6@-i%Qcp4(tmq&bv&oI(m{gNBvpp zbeV#mNSRXcJqljI$_teCzt4Lg@NwO@#+J0eL0;LBT-mnqU3x4d`^!`eX+?R~oG;3` zP&7!v*7fgNm5b(E<`~)h&_AmUhqqkg1ugk;C;A#0hEFnz@S#Ehnyl&`_q zYxy*KC?c9vT};rZ_rne$@Gli5ZSMx6k{zt<0uQBe4!a-c@`Em;2eRhLN?fH4hr{r) z7BC`kN$H5wa=u*NeX=>a7z4xzgyO7}TI`PbX@TKU48!vZLzH|{eT79;*HE~4T+F5J`WsOZD>X>jlRX@aFx)x4d^?bNz9K|RVEc=yFb0VBPgJi~ z3T;WLeF$f3G?|JWA$d(?9;{#%V_x^v76=9Ynb1i5^#@NPuqxbWX76@U`3dm1mh2e{ z-(*)lvoF8VYyD$?uNdW6@~ETX<=+NYsC2cx6zJV`6@yLfq=$43Wi+1ciZ4x~%k;D1 zNfRG`!-@Mo;dt>*K$3uMLcx@f?Xxq`8lL{EH4vCt`e%kfG{|ZG!g>WU+NMEK*5l{u zUPbl1x#;LZ0EB0UNPAr!!yeOiW7*|j)iEZKGrLynQ>mL7UpS7~;0Yw_z_wX@VtAG2 zpu+?MeR%lcwj5IiUyTo%)crl1yZh56wHH}-u-1+Owbji7fj|Ur z$*cW+n!~HRX_u@1s<%SA^|Z*Kd8(t(m?IfyikzE2+SlZYbN9X%0u^0f+V2WHN95;H z{tcmsq7ua)d5r`oJ&$7h3mA29upA#=wvY!Qyn@<4O2zAnBm%>PqUr&w%sso&aUOND zv}r~m+dAT^{<58Xq~UPKAzjXV2n3zcQ918zdb}lA-l+6fM&Q+0|1JGTSND$uIW24B zT)RI{GsNs}J^fcN2+R?i2^%7B$5HO*e@wTZD3AIa!5_UFHV$}-IthZdmolWuzLMs~ zC+E=R1?*I|qg4h@aykQ86*udrmqh{F@W+lJCvQZ$Y_iQP{q41C{79LMGY`*y0HF?L zaNAPS!9OkFFG@6Jhytk4)OBQuw2(M9y1_mquYE|0w~0s+yu~_-K54^DAidZ^{0iOC z6h!LVu^E%rrolg0zXDaN6q~A1+O~5eG!IV$-&7#ItypM|Eo)hRfKiE`A(|U?L zMDFYu?&9`@7G4>Rn$lf>NNNMq5QBka? z?Y^5hD8ATNw>};|>n<_uaFH$eM#Wh(V7B?rO}Vcc496cq3ddMfOwmTxV5t{X2@=jF zX)OvCK_@xAjRrr#c)6#Hclk0dUqURn;))^VaGhaw$A;O>&0zIYg&YJs;73By?o8yX zGBJ#nALByHJ(L)@*gR;+5iUqMb_8^)YkcYy@^0@xfw~%^*(w;`{9@Y*=0(-y2d8QY z$r^7i=KMsfNm@C)=uOl#h_qPDw3osBP@4r9BlfL_>hwzH`D+` z0zrjE6Wb~bYb!ANP(+isD)$1RU4n5Z5Ut&na11WZODPbsCK%4mM@R%M_Q1pW0O&Pk zv163;WvctLPrOQ%Xym*OFNG|5WQ|@NSj`d7~Oiiy@IpTh4%kdrr(IJ?(=IQoQDf81`E72tJ`;p zO`DcCGc8P)&kRgQWxbdLu_~p5IpR~sI!S;Snm1Hz1xxgmnr52Y;GQi~Ds6aP5ei6Z zAsZP~5<4WuuI{j2O_v`YKBoTD3dO*;6U)?{PkzRAtAc!`BcV@L1N*w5DepnS}%G9cTM=H2$_(xX{ONc z{9MA9I1(b7%mc%13OW;gnGl++1=nxN8p`pCw7q_81c$~9H?H_h=%$@bhwWhSJdH7$ zF8P$X_`LZRKZo}mR=qe!eNam41XT9%{;SQxp64tfZsGV%U%cjp&m3n_LP3p--_c>& zMrgD4T70*)%_iJRzk(TQHLjjM4R&%|4h=Sih?6yLti$C}vr>yWd{9X?5!-AeU>)iUOn3nCxrect3Xiw3~)t69|ixES9jWC&%O}IM~%pg*Te6 z^N5#&ws#_amAEZjjh!462`q)Lmz3y zr;fzXE+;rhKWPu#CZ?dzvA02x%WOa-k92{rL~tU#x6NZZ;3|&(;j2US4FC5hBCY%A zcLcF}=g+_^bNbchYs6Re1Oea6X*o$wy%Ba!FJ8T>Do5x#uCey@o_tUZLQm8fA8@c8 z+d*eD5X?)C`-V6PxVlSe$8PGixwLc6C2(rFez!-a=javqyY1im2-pMV^uEIW$v;cW zfl{t|$?}|)G&LqZjs2E=!2ua7Kxh7q%9Zp>^r(xKC!kAM)nz<}r#t8VC%t)h#N@RX zAt0GH?z~)h4ES?anvvm@`%;4&lkKj#{c*{At9fZWFT18Nt*uSEWy|pK{a$vUgCdor z`!=EUNr{B>ZJ+dq09DDcPr~{O{jR}XgdE>CgxU{p_C1i{*+5>1T`rJq7UgOrmoS!WKjt&#v+~TRR+A$1o9~bp^F?steq-ymzyBL%$r7bT?kWCqSYv{>5zT(h} z-zy5eNOBvpgZpfgu32P5)C*~&h{X38nNxXANC#8A->>A0EPfbX59TubiOejprm$I< z@L5yV2E%llF*cYjZ%&TJ@6A}0nfKTGo?|TO=i}sR!Q_Rt^uBl1?9$4~mxLSsKXeIP zhUGq=FK3V;Mn3%s3u?P2};4Hqtx|5XTel(||~4f5oQt^m7-*mp9R_6y{q1f=|J@*5TbVMO^))aNvpMuPQFI zi6<(3%Y{dLI##h!+%Lo{`|$MSJ*4vFCn4|rxNp?`G?~n~=O&0=>6plFdVEm<;m9h- zJjWQRTcj*4ymjZEWV83BLHQmMf~}=|Ob66)@2=63MSR;4f%B>Neuv+sih*dp1r>IF zG6r|&Kf7*q(m@ipUy(tEqoYVLG~%~i6sE=B^ureCY$!UkysKKJmoLx!&v-)`*Ox~{ zaY=jmPAPvy9?Skxe%AwL>weJ|gVJ{wi6~Pjp!aVu{DB!|R=FAcsz$s#5 z(;Tiy=jSh9s zp1P=s&Lb`h1I2yb75=i#WkRIsLXpC2Vb7;IrII_aKVL6Py7g-%1d~>0^#1$H1+Tp* zqw_w{ehOa=SNh2Qt*?PwSR@0|5}F2l0}I9d>?}7?kw?6#d2Dh#DrUak)Onl**I_Ok zkBN!mPtB8_VU=2kZOERo(f1Q8Lpw4SkA3eh=7We)QBjqLN({J}QU?x=ui#IEAtL=9?K@MuFdh>&cId{x0_YO`yZrSJ0 z&(7RMCPW=ZRQF|qjqg^U{PB9czgoOs>Kh5XKtvQ84kbvt?qQ~5P)>6|txpFn_`T=e zsrJ-P8^n}Vw4iJq&4hGzexct2^tll{{wD+8OSg|6%6Y$n&LZ36mhQ8bjE063Ho5+N z%>EWZ3~VdY$G;LPUjNr|8|;S7tE7Xx`bIA$4rL<|{1?zEnHyn1W_Lh)LiAi=#EA2U zQmY_~H6bJp48f$Y86R_7LZh}EzrgiY=>OdFLy)@{aUAj^7Z!q%a)s@kEl|d=j!T(a zih;TA^89Uap>tYn>B>w^UT>Am>`oG`+5C8r!E{emsVrLz^KP4N_0O+d;}s8LSyO|? z=hNKjMrOCkMpLElCK5*lE+a-(AJQx{ZMLNX9hWRuqqkJn&QwKmEFDJ`1W@q^=X_4@ z1mAq=6Ows_MX?^W%rpfxRlO4xUk%$s{OI5GcBO97)i|LE|5CMRIOvdzvcye z+*kvrvnp6Ktxza@AxMbC+EljM*scKBjdqD=lNz8k_r z=)UOaM1~*LUOj^31w|QkiCQ?|CSBPrk=X`%IrUY<8Mip4xW(cbKaYyi|RR*tB;f~;G{3`L-AF#HLWNc%SvjZ z8yo`@PnyDX$(r&N3jonmmim^4?x;sM^7a}$GwpY-AQE`dHy_ixQ~b71`aIohkbj^K z&CTiIXNelz89uIe`zL)s0*UhAVLI70HPl}_1#6h;xH-%pSIu| z8Qa7(jYp-9ijS=U7TZ_kC-a1lO?KZGW$?fLfN*0H*w+LNq+u$T1w%Yg%a7}?yFvSc zw-FWRc#u-pJ)C`ciMH?)s_Q;lRFd@jp=CGRu`%g`+if~Z*~>!Z?ODOl!vtl?KYYCJ z4PL#{VzeK9-!HGYMGe_1>|2X+3B{hoqsAM@qh1Sd_F6-ljFTDeUV9anCny9vJrg9S z;wCIk8Ocu_U@zcJS@Rq;7IJ=Ul5K6ynlpbz%CTb-wAAsp5f!>dN~sYXbR&#HD)_LnPGrw4*b6ZfAYTu{_>IE^#2+7 z6Fb^i@mCXb?rj&^Z5uwd7GUadUw^?lDN8Yo9v+TO%Jk6RJU^-r0Ohmx>CatgWV=fn z9cLER*9?6Tu3HR&p!|8*xvyuOYGk(3wvZ`rIqU(ITzHRRo(l)Lw=poK^e(jGyxOc6rtbnNy>35pJ>ii;*EaNdChRcx{^3FT?DG7iUH@>lnc()~pgX(OugY)4 zXn&!ZfKN))Grd*XcOLWP%&yX=blQ%V?Q1=BVZpHBI26bELf7hq?`Xezq^ozuRAP7g zXQHV86&60=w;zUyzdF|E3H;F6apWYWZ{y^&qNW&~4sZ%dq{aPntg%TBzI!;dsp~3e z{;!XV`I|RNI5Eo;!bls_RA+v!G3M@Y?4Q)Q#Gk?~vujnW7(&Cg@0sBz+t&fcvX;?! zqkb4boRVCABpNW~O0N5iB5o|jV0C9dN$|>m zbFdGG_vkqu?b)cy8)SZ--8bP}p?5L!7ph&4QpP0hor zhPr2+@Z*W8`wvZel)^aF>b26r5^+H=90m$NCt=}}Bzv6T#bUD9P(g*cd?fnq2QcEZ z2vrm^JixV);li&`1SdAXikQwtuwffg=f*D;IJ|ymB8)vJJW*$p3t8+s-&L8Z`e0rg zSA3v18TVQK_=OLWs;00`u`~BiK9zoS-OsDR0R(^!ig!ytHQq!T0@{)4y1DDn5QRs8 zl2lFO)eQG8Nyv)dkU}X~-{;xpLeBx*nvA{1f%@@Pet2C#5}c2JA|Uy@xaL7_3>D)S zC2ZFHek5AmTmi+}NViB3#m<0vfk<3J8x4UMnJe460NXl$|JHW{YTU7oLK}y2jb=+* zf=sR3YPU>YQTSnP`d1LUJ`sbbDH#G z6L*#$+wSkj(%wg6vwVHAb2fp$5^m4A%M+Na-)Ei)VioLT zKj;^o=R$_*=9qNJ1D?=Zse(|e2Q39>-_@#*F=9nF22fgJAq8tb1Ghg@wVk9slF|*O z=E`_biUrsC~1{*+N=KqbZ#_mi5J!vn)7O~csTrfEI* z4*uQ5x!(fc%D?c`@`sTWIy3HFUqEIV0x&K#MUX6wZM27D?$%TeL_s89xfiHK;C^eo zO~Q`rzolB9WXVxOw|C{+nsKfx97&p*Wx8UVPEuFeryZ-i<{bicK32b+EI&9(2Ch00o?w)V-<&8Y6Gw2$MD&fa*V0^neG4 z0LNVi3U!K=2)sJ?i!8{15c!zc@e3XRntdWvU4J zkM7YAyGw{{4?J$k$3Fn)5+cCJe6i=X7zR*mD&NQe$DE*jOnkad^7b1CB^BlRAWL8T zvjOe)lL+m+qGq?AO?H7#|CgmmaNwSx*cxN8&TVNK>*;Lk8ms-Zso4J|5iVQFjAgUL6TMqxX1h=7r!FM$OY@$gXwHg|zw0Ps9`2S{G`2e0p&=C?!Md$e2gMCpfZBtkAEzWtSR1j|Ptj zC*Aeo4NpaF!A%L@dp<45ogbm#B8N`K0aojTy}989XZqqBncJSF`QW#KPBkbJk+HWX zaffwvp$;!z;EQgn+dfii+Dl(;%;8}ut{0L8W8&HnC&ybw%rJVzH+Gi-b7d(@2}*F^brGLUqm{ zAQYt}q5`ipC6BMhW(ozi{>0i9vIRfxvi+*&z+|s4+BiCbvElAPg#x-HR_Rw*VVD+p z9jn%*p4n-TxnhceS&Qh?VN4?fmKzlcLa4O~M9OiQ3F~u!8UdRiG#3Z&_u3Ov+!q#7 z^4QZHrl7Dy%lNUEc;lwEBf4ANFdUypFBxr_>WS{g0t8=o4{kic?@u_vZWxG}iHx4Y z?B~Mgn+=D1zw*mc*M1ugx*C#Od=O8l#p{ljdAIo^~D^8UZx*QU4;;D9uX5py({9e zzu9oKFE)HK)TZyo?d)#kSD~En=WHgctAkxh2Zy9d)Rf|PZA2Hgxwtm5dIJ_zVeRtr zpU6@j7h$%vxz2j|;Xr_WTB-?QG!z0@%?=JR9!nA`^@}p%QHKL%SZHoglri8C$SW?e zV*tEp1&f5j{B_S1!(fM7QOM?qRcA-gRW()azLHE9_q%F4^2~Z2`oX%E8SjB>e=$UH zQ`$t9a|i_1x0j)E-`E_3=)pYVq&gH>o9H}Cu8tl;fz{p`PmdB7yW`{K+okR@%7da> zTkA-C{hnCx--Nzkgdsv8IaQD)rc~(t7Yq%0Z+~+7sEeaFdnIdqPlkt|W@gA0>_G@~ z*pV}@qNujG-tI3d~3&JIgNdW9;cQns&we&$Y!THa!&r_-ce%1W<;Pqb=#J|@@J>}&Jzo1}Dy1TLT-NnKc zJkiTc2hq!YhZ)fpQ|0ra1Ka=+m*r8l{XezjGC}U-mX?p^wVe&gGwEJazPO8qD2>H81 zsms;L$^g0loKz=ZirJoGDwz}xYEA`dmP8Hr-Sk}moU$-jFyng>TUeO*K`*64(dh2! z9isWsX^-l2^8Z1W|JTVQ8_8TU_D^rBDz*X#*kH_KHY8WQ^C|X_H)BgfC^H8tb`o?A zCK&LZxuOGADy|YN2f@W=W>$d8T4*-+EW@OU+L1;^7#UMXN4gLQNnB%D7#ewRKaw;Y zb|w%4!w>|neGrgPzvZ&%{a_n9j$ub!&dRhxLIkEE+lRO8aCw7_$0i2aAm~u07)D-} zimYN2-j;5VUCFisD=iP~iN+B-dTFW23*ZP~XRhkg5j)E5q`S1*<)zcp|r(QU)U|i%K zFrPCF`U-L`=wU>6sV$YT<*X*~Bg4Y#_RDZhSbmuagLuiU_^2@@mHH{Ts$ceCu`<`h zGe95!lbiV!Yd#k(2554N%&XcuHx#)n0YVRqqZBrPa8}~G0x$x7VsW#0sL@j?K#M6~ zLxO?01?BO_y|ql>hEbMOgr%18ygH)$Umu}!$^_HAkJms7~3G-_A~d|$4d8!u8(8<^~%@klkxVEA6!BdpLh zr0cmH48*M2_k^5H2^k_G(mXDTs0^E@_tVcidgmfjU5hj@tgh6t}I z=}k#}ndPaYW%^4IlAe*hvJ$kcurLGhhQ$t#k^c9-K_=i<8Qypln0p8u=Lq3X{7NV! z{LQRLN0uxVHp}{VH`eUY^RsQXRrS|Nu4#6tBq7&|#{c0008$X!2$Xa5&$6IB?lqL# z%1)GpjJ1~nzf(4by-;>n$FI$P_{)>))~==DXk88v9{S;Z)*NKv-;4_<0JRhBp3+yJ*sU5dr;umQr!43YfNG58cI=~N5#qhfcA1?$>BIQRem zkDXM=NZ<+e%=J~9(CkpG8M4H%6pntqeOK}j_1O4AZRvexdUj`aE9N(=CFK3tX8JGu z_urNMj8&VCC4u-yQ$M+>^7h++`tp8pAso5+OOI)z*dl`(X*xkWOlZSX&IiG?pS9Xj zc-nWro+%z4l-CE5y^71yIdvb%TJA!Obn*ZfQu}%FPY;vh8^U@5_W)moZV7>w(|xf& zPjG|tzU&^50Wk)ss*J9`B+rZja0$$714k`$Deo(`z`OBR$B8*obsYB$_6U#4oAWw{ zzOwI7evSV%Zi?m;ovv&kZ@T-bCw z?6jQeHtg6?56r$alPyn<9uB3vhxQg{{xZ{D8^J63kK;}&oW}RQQNBz=0A;>U+Lg1S z@ut+0g*5kD$%LN7m;-$a`iOtT9dh|pAxHUtgVO&)fAuvAjfPDD*^ZBJ7)cHL{br^w z)qBej@3+%uvoxO?aCx}h@HnCq#QLdWKN?JgG~ef<9^)w7NfMGE0gKTV4cHl8j?JL) zo^kSy(*Nb;lNW_ge(1$3si9IOxFyI!NN9_pT-CmioKdlgA@^a>+as+?7lhG*)p63| zx-%x#@woCt69*!&BCTFx%iRDS$f|*OU=F!bDEzt@&(+YvPl_Nnv@!`fY2ZB&dW7P*a|~-F|G;!pcxA;FR_&-Ejrbps|jCM4HgS7N)!qITkBB>KkwNH4l)dc zFW8V98Sm+V0V1Z0oe_L?HXZ^JBf}J}8D%`0(K=?}sj1>MARKEudivoHbre0v{ELHX zUs6;3$~d?ReRrYz^-LW+F`;XvEwm!a-`^CbOm8kJqj345>2|COiRQe7v9d=<1{b>B zqP>SVna#P%2FZLeCBP_pzHNbSF7Tw+Qm&%UifNygYpvfRHMs=Z`KhJNJ!`aXlkwPH zxx1~;F?#m-UPz2(tziF(kav?l_|e{E_eO*J)mvMWLY(N|+v>+0PRt#?2wmqnd8!HF z80p1S_V38?c#^%BSgZx6q1YPxpFK&*>&xe42WQy>#!q|)GtQNG{qRS(q&z-CF}2Z2 zb`d$S#I5!e6d1UX22KR<*GwsTmIES8W^|Cl1lzVCgNMk5K`LyFmv9WW0%7Z*75i-M|}E{+OVJ5Js8UR^kP~!<1M*>zoBhlp{Y3AWF8D3vTX*loO!+| zS@6xXaSv=R*zQy5yEYlSuA*&qM^?#V&0Wk*q zdeoBufz?CQftQ#m;;qeFY)I`?Z2Q}Luc~t1&`;Ce-)~dSMpwWY-5q9vhw-SrMf)w! zUq-aeEN^^SLy`5m(Se6Rn3^*Dbi;`Fp$%@V<}iW$d<70t<}+w>1ws}Hf?cxi;ZQ-3 zr?UMt1jo>6E=n{Yr0^)@K-|_{AnWp7=q)+YpY~rS-0XOeVmD7&$T>`{>vBsAYRY9` z4VItVET&amT2Flk$B}C~8MatPFSsh31K8>WxVg=haf;eOGSg_p7X*0lAa4rP?ruo~ zHnIa{emR({y}f&4{K@}0gH63gQ6pI)&Upag(};iHOerp_M$LF7Y__)g(qR z?$?(vB2Ls0^>L-iH(wKVJwv;o7mYso@Y8c}_p<{L;d$Pcj9bsv-taLheH$vPe4pN2 zWEpomiMFweuHw9bqd5@lj_Uu|nG^)gsM}NI4kQ|uIeLzZf5zp-_twD|;0aO(RD)OU zWv&?8Zd)Xs z-!eM`C^HZ;En?#CUh^-g;>};EA}nCH(&&RZ;2*x!L6rmO(~|UMy%z2b|J>b(l>fmc zPaOxwk)WHc%azKsZ@fW%XHZ51)z>v4LrLbBL+oF}peW z{)n=CFQJC{KM48%+a>%jkXUP9QU>7gu_t!kuzTK-aA-0lUX(w_wPs*l z(5@~K9l6VBE3Q`$f9^1ts*8j40Ux4(U|s6a!2~6i9wdgMT1#%p^|84#aAbkX>q%HO z6-*f=-y66aBwdNRuJBzEqmj_5z1zjQ+hM{bdCMWq1S7>GE!8TmSH*KISuF4=6|{BD zzf@?6vZ0hhr{>j3S`9xF=+u^%s+li0G6*BK?Sc+NA;!}$QSS5)WZIL zEFgxK_Wa^=q;v7_ux?Wx{3O3dX+9jK!Dp##$ZOSn)3}zD^IaWolsO3yS7HiIi*L}* z@H-9=Say+l%d?v85v<8N=Yjd4tZLRtTV?LVE7!{D6kqjT+x2J zju67hI2+^VpZn!MxUdYiObRQOS!txiJ>NhtX2({xp|U&EA7&qkK<8qU4a( ztM*gZ$~1RO@+k^bSb8(r0E5a%goIUnX)Zwxuw{s^}r#D_^>3iAj^1`Kxq> z7t*9-@re_!{7?!X+ljZ+(^kuyqO2u-0#$g3a@uD`C#7*^cH|fO3vKN8gr%jp>f96CZ=>;@H_soJ|)4@JB6i~_W zlV7qRjc-ud2r{NZQ+b>nDIt4jhzAVV3B0k9VfvUFz%8~5LWYh35-`Al&dgk}n@@`2 zG7njsd|GEGE`A9bl2b0U%yf1os@Bk`W1-kTUTg)i|7lJEqSN-jiYM#>8xsb0qco{X zaTP>-nb&ALFFXnvM&<0ry|91!*0^j?rV7xB4x!ILrX_2&g+raQ#{6^yBAKh7QRO<* zJul(`P4u)hqHB}i)p!-uR#$G{7tSVCO+-@K@$`8cyK*~&q@>u+E}oYAjn%&sXo?WY z^Yf-K?Cv(OauqxLJ~S2_EzMOV58BynxhTcyc<7@uPmOnh7>>jOh9Gj@Ng%KXMQCuB zKT9_dUf*b+9QTSWe1hB{#>i|c3<)n=hv#w5^MJ2jHg(j1it5GKl&_`M{n+-G5F8)s z@$0t^PPJ=0JOYi_A$TPCj(@Bphi=96QiMd}h8*Mgv;Kdg z`G24a=Oz{?xAt+BMBDrPK9zP%&FWcPU%#eC;GC5U?Ptmu3SAtr z_W8}b!K60^s;VO<_Wncw;m=@P=0!Pd8n%@aM&7$i_)dCFeUqj9zVqD~G1?IqG*5ERkvZizhfFealbC2Ci zL70mt&FyYC+`(rC|GRJeMeMDuP&U*2h11V8w$-o|Ai>MB+=7yQSd3WDYk=*LsTVup z1^%Dp2O`FN@L70c6eCfp{77Q87U7KPv#-|-zV9Q26cmsQP^eT%SzuRgLV;aJW8WzI zwob04Fa-qFLvhH}Ti6HXWo*)4cPrzL`WW3vWQZ4%AOyfUe4x?<8ONN5t=tIPYz!v% z_*RbRrOAQ{Nx^4gLE}xb2pX|U44#^^P+gN&Lw4`cmzOkWqg6`Yl3~>1q8WPlhG(}` zDI0f&1-$fh5N_!Z1NYE9dvBVDA4c99k;}^T5h5NG4`-&Ie<62$9Qhl&CM(J)!2@D1 zSW*)z3^{Dl4Nrfk24>`>pb9@D3aj7oFe7U(e5)S{xfu+a7@=wr+@_PfYJ4h*_rzBg zpDrZ)nj5VV|EdvXTDIan>V^?bnfsippD~bu75x_c5v_ED>+ccoDIUqGr%kdNs{5QBG!TZrr1R8Q z`Xj$aPRSDe)LPoLz97(dV*75B7wsp-wOojmX0k3v6E8=z5I)|DL3CjYV)om=Oi?En z#N5|~XnKQnI)W+Qbu|*6_Iu~!FmsSpC9}Avb={;I)c#KJWv23Gih?Z*;u#Ue%XcU7 z%2Mn>X7n;m>S*#wO4X;%KkQ%;yYT^li0a_W+)EZk+tek_CxeAmau;HnYK5Odx!6@p zm1DUJbv-z2bnw3hp}f&;*ASUFwx2?U_Gk3ZA%UtxB9(HhG+K?*9eykbmohH8Q*Tx0 zx@D@uyGYuESp7Y?-3f9*v^C@NhzRLO(P zh6`wDq-JGrrvD1WBcc0KjR_>mcf+Oppy_F9tm>Fg1x?@~n0QA0i`8ws{Nu+XdbgX2 z&S46S=S#s6n`bN8kbP%b1!vh@s3F(=-c z6mXNS_-$l!<#Tg=12SmwB~G)C9_+@0orAQ>Gz z>|XCiL^<~R|Mev(kc>|M%I%%a3tlmg)6I2uw8xdk{-tICY-eJj*jagP)oS%dn)B4x z8uoshrxkQ0#fS4`Z3f!Yp*tz0j{2K)jdk~>msxG;(r)FZvIrp*WpglOmw+opLV9Q4 z(r5C#ZdagIuF}j)1eBGvy}i4-0$|NIk&$DHV7UIx?X>_^97s9QG#9)?l}^1hZf%@g z@#epnU>#{0;8wep4Wq4`Mw?%s{?Y6}QL5dZ`CpmmbYJ}_K>Hp+)L_y#>-`far?yl| zTq76)x*jlY$C0`18P*zV_S~fHLHXG8)I97ks^T7Nn%ShrpkxBQHQOJ!^Bp%%m-n9n zb!{;<107VNy>xZa3fRO3jVd!79JWN4i#Qjg7azv13+RmPjva&a;`kB(bGQ!~N} zuH?v|Q~dTY!RVN1vk7F~qkqZlJpLiG+bw&K`}RM(ML2@I#D~XZX@XUSGJ%*}f`d$2ZI&z{N+pfil-_?Ld|vT^}O3iJqZ2msJW)^0Z-Lu+v75$CP;SgzxhV z%5+F-N~H5{xN74a7^G#VCDY&M_?sqB@Nm6Bb_ILWG+wZM9^-m($n|*)aIxG>36t<|>= z)gfi+qNoxfGw{;;hPiR>J%C~N+_vD=?l3t^8O4vyaDyG`U}NuA3FS$weQ2Xj%)@#4o?w;B_EoaRJDblS+b z5In^tPqot=?n&qAOXubcq&Li5juw`lloCmP*5u^EP!vNhoo7iWJzB$TBI{hw+2F-W z{5Y%$8{vEGBnWeIT&}W~{YV(DiDKE-CnnV^8C6G^=#9`+*G*~?i#r*860dznw2bPh zU&niyU5bA9PHVv>BMfvbb?Ldj4xkt41t8D6WUsE|t>m*peN4pn+rx_c?zrO9@Qu01 zbK@%uMMQ9&S~_&?HhtJ2%>o?3@ON+z>|~V?Y%Q&up&kxh+f&&Kt(o*T#{|uV2&pCSZa}K?v1cDmDKYN-Y9f}+ zR2h~2OpBIk=R4X*Ek16@QP2p;;L}rm>?o(Iy7s0d6PwNf38R+c81r(r!&0DUeamK{ zKj4f6yKZSluMfa-;6UoR_KAIpaq|o*6PvOx#)^o&Cav~1ue+{@i8fiPnHI;QOnlZO zhWe&Mee3&@*ZRFw#1d02~t7yzT-VmuIS}kzR#HeX(Ogr2P$6Ryu75GA#!IBPJ<5WXPIU zAwhgDHvcng`HR>y-vd$ith1EAIa$wI<@MoRskjZwbX)t|ojaSnXvY6M1>c8}fYn)zjjz4$%i3nVVVmg@;NRKF1 zaBfD|w?YD^e;*SG6ddq{8d+!J5Zx%TGgozJ@Tlf}#(OYGCoy@Di$_W^;YMitzxzJ%kmi9T_DVs*{T_WxHuqCK9vgmp8{qx#S?G*;?N} ztvyu!reBlQ)n+q;awnZd+{Uy7CVChCW7RH&6o;4AKQhth=;|Y_~y?PaZh&r zqJZWNsu5VVsC<5hd;~DyAyM+?jtWH8HdlYflAtMu%==_}yzkVBJ90ohd?2o>o5*($ zT~XXu_<$s8?KiT z_i#_;sk~RYUFfacU*R%B{oCo!iZ1+ObpVHt*8bRmJ$I);2B{)~c0BNr>iFd580&5o zdD4kSHI^S!_E(!dsps-;CKLwcjZK25oE{AW6SQ0vz&u&VQp>58PrN>_P#UIiTLeJs zM`m+0;61MW*-QMqtgoNAM9QgV>zN&iEhP5oZO#ZohK1J!d=mBW-K2M1J_R;d=w8Em zunXBwTu9afX8N-dSa_FIj9Nf>?q5ebS5aYt!2^?*?I`wl$%8w5GdN^>tVpkOk6u7&k=}>w zX?%75Mi-MBbn-{d2YA2UsUZvEMDHuxu>zFN*m6xGr*PfvwC!@1b|!lU9jg6tdwYIV zEVxVSp_LhmFy7L$?Nq=hRjf9UcG9&b<>7*q*RRi4Uxqp5DD5|@f_#!R!MRO71s`Hu zVKT4bE*ldzIW0+(rX#O=nkSvhzWm^^HT}W;c97-mi1F0zudn%@+eRlI3uRmU<|+F- zQ7SaK`@A*pTyAUOz|HmasAop0J`74f&o}Z7Rna}y?@PJu;bl;?kT0sBGSke`yfj|R z-Sh=##hf5$$6IVd;GK1=AY1BDwzNmBh=4QqjszTld|H3Nyz?S;}yO&9d@oCBEJw z2ZJe3zsAjF8XS4NSGnkzqhX~!bagx@y<-8GBB_%pi0Jo9sQFDPc6uh-b3Ho)vqduV zB#rWQ0kVQ88cxZ1f?VzZSHAE@Q3!|PKM^8TuG6L@PiNz%>R*T?Ot5n)4>Csqs& z&3?lMn?gdn?+G86Ju%LFGPTZ*z$scjj-ARD`B8_*MTG8f@8fw9LIf*GhK^{uhC`*o z#@aB4W%T~71t<}M%5feKE7;`rrV<-$V05qD_-x{`N_Y-J;y@k@PmEO9YP)pICV6qxXd8=lRXm4=h_-H#YazpRcn2*U;G!s0In`bZ)IsGbQwNwk zt-nAm)&J@gDR5lRs$~!iIecm4<2)jYtRatXSXIpVHhD5sw)Q(N1I@F?fo7B+4&nkG z9o7&2hI`olq~>JpGpF;eDGBwRSHSuxZz527yM-`KCQGyC2FD|Klh}V<{;vDz;Tx)dZ7GLe{l#w)JF!9N z|FA0%*|Ar8&SKiC?oG!)N|{%x`H>drfNMSWTxiY+rW(OFO19?+*THj1W=6~AMkiX# zr`b75e;hG%I{oojG+T%iUsy~yW@D{R)`-X{#IPlTLse@S@$)gBB-Fyk#Q769Y$G}8 zs*?EG9A_Qu*aenJ2*{D0(dH0^bxwN99m(0J-gvU^xd{C|c3LbD}NxU4jLDM1tfdshhGO z40xWsu>ooJBeQye420w9$segbnMm|X=(R^26v^Yos85PqipQ2|>k?U@cv#r=3m2F- zZe&&*`0|-CX#?FOD=C|FPhdOBupNb>{Iu6bsO6*W*Ed40<%SlXl~%kIVyI$giVv?TCD@6 z(NPX%-TfU=2AuJrUOEngU*X@?B&DV%j^rHIpC+=n(2IGl%Lc{go|PD$HdYdQs~*4X zm@M-tNqdS!J40^G#KeY8mAxwpe0l^1s#6Va?Ysh8ln&JXq5J`wk8Qa(DIWbm;02ttlE0eVB#S5`!{{+*>eY86=d>($^2eQuPH1CP*5?J5E1I=N;l z`ZW<)Ml=)+KnJa^LA`eWMB%*@9W&d1CGPGgUpeQv{qfB0w^&XV7N^-fzuwb>v+<3L zFAm=(IVYLYv+7)Ad~l5JWv9y98uE%>6p5Km4dst1!It@_({Ota%0}=tG9AT`t|U&4 z@%XqDacY-~3ym#9s@EA2r*Z;Cn)m8+OYf6HLABhAq?)*YW=%G*d zX@gXZ0%vEB-j47xClM}y@UHTBrdOcu6=}uSPJ4GjForio; zXO`BXrcNokP-^SR@LZMcu6IPYKIq3zB8fmi@g5VMU3fCMYY&dexW_;PQ8A{DLo>#H zE(V_qrCHm!?W8m#z7kg7x^xxe?iNATsgA4@@)Vd_j7o7bM?uY=Fj z@_vSE?hb)Pn25Uhr2PP_oV%MDW3h9ip_>8f-44Q>AWPm4W_1Z+{xkfq6IoeBb2?tY zp&vwYYokWK6aJ0WsBYU-qVG9px*^+ZJICjbRSNG){no&fu<}x}WsOp>3kA6^8?tuz zmmAJ&jU8>3&JNhNNd1Qj0bZZlVNn{jc=6-wvb5hq>vWTE@0m(?*J|~ZqwG1t|K!u@ zc^^F*ZSTH}IT0?RO>GB7i~i>M`Cr{zj4%+BjbYd45B`kY_uadh%NH&oC@v=Z{|O-7mdCsd^}DTpY6|@y`I;BG*ZUS~bfJ^?BBbvsCvIR|$UzBkDueG*A6yi!ynVqV*a{Jp$>Qh%kgPX|u2 z5eb!K{9r0at*F23#QeQ007|vV<_x}Z^5SON?lA4)J5e#Z463* zPH-qTB{__SK`Gj{OUOJZKgsMPj0J%Yeq3(TCCq|gCq_#?OH!a)=!y>qeN=pcPhqzK z?1SuU+~G#s^3O1D`s<|lGq1-F z+z?(}1chLSS8qtab3*~V1|T`rzw(l(4o&t>O{J+?7FZ(rJ62>tjA2gvxf65GiDSK> z0h8j;*pb1LoY>2E>pkpEN_#g$Z8M_*#*ttut~%nzE%E?P-)*nNpnBnn(n3~g@uXDk z@=%M!H{LERE_cN)0aU_~4+q?{xe1tcz_vEY&Vyu=-+s2mMeau2R*C%SAdOw^O-t`TN;D*t-9*j=X zF;-xMgjE|bVEzNRnKfwFny=fh@Z&E;qBx)?kBL&50_Ew__}Vs#1N2RFNom2IMwU8= zY(I0^;$#fs>j8)vNr(u+CE}7{=)Vl0h>H7U1FAqxOiUM514Q5LQ#Mh>ScE?|RjrkO zybaw2e8Y&A>P=-vXvmBSIucLIYt8A0O%ZFgUJgks%`m&|i4H;^rqw2Id(ZWRL;XIL zzq_MLPoBNs6*?h z?}y(P-o7Te>gMqpz16@K3#;#FO;N3;n5d?3d9HZP9+8oRXHM+U|5$rXq*(AITM1C_ z=Dv6=gD~d+M7X75s+QF@?QQKly493_rDkZ_W1xRxtn)xBD5$Y$L@FpSnHO!O0KvGZ zQh2E@@yz~T;THcbUP4FGB{Dwz>w(aU*U=Pkhtf8)13tby6Q92z#{`;_uaQ5zJX=`o zi+pfzAFE$kIhNzS6wq+@mp;`-+l+swqlxBd-6(VUE86&MdQVTs8UO8BH!5_}P~pbz z=2|wRR4@_K<+pY7ao4{;ZaMDpn~`;|3F48yq69@=InRvT|3f-ao&jmJ=*?Wb%E95b z`0*Y!Wd0XlU;QKVvvTpWb@g#wuNf)QYt^X#Zwj28K-F2fA!G^NE|%?kIYpC`ZnCQ+ zwY;{7&P0r68UFozj5ck?MetzT`kLpsR`H|YOXiqAEA_f`hwykU;H0seqW>tJwwx4p@Oz zbH(V<$3IL_vuL!A>()`Q2Q%+NR=SO`4;q8_TJNwi`MtBEjxC&z@X;1vE6Es}x@nn? z1?I=6j3>mJgqfd=BjwPYPsb@!y5&Sf69Ph?_?3Z;n)Ds>ACuGv9REh~kXKS@?UEUk zpfN)KBg~!NgXwhbX*kX@b3vjVaQwMGZ7;X1eE0UkF&0jb2ZC(P06@UH!xmpdD5rox zXfqCjf<%o*WG?ne(T(=lIBt$G7XOiSLQTKTU9F`c(ER<#?|=@q7gK(B zGnP{UMUD=R;?92THpXNCTnO9Kh}ff98?fV3l3(=Vy*6GF;NG)YzeL9zk@eaH_h60> z@%>n22sI!L3(oi&Sfp86(s!?IlBzcux`ox0rb>K9z=1v;UJ zh-)$#nk|H}>P5s#?RVRX2?FzVG4OoYKY9wrbF zuNNrKH=99{h{h#nX0)xg(FQO}}5Uus~k&(mMnn3rKb!y*$mBVw%(4W~;W z-Xok6T$wa^3|8SU&Igo(mM$`sL~Jf4$e@t)!meNEmG2LNo;$S{#+kVo8`M6wc!O&u z6^r#j_xyTWZ}-)+N4{CN<2?0W)delfZ666JiWOWYKT@^Tn|)UdOA+`uy5*Srb(ghw zL3BQZvk4#9+Y-BU3T&ybLS0cVDbk|s>YrOU)YJj3qd6MbroaYLmLx-vKv;=;eyI_t zcHeaa34cJ|LG7K$oGfTAr9b+c4x4MT2KBIdJ|7iz?Z*XMx?|WFa7-S&=zlix-9axm zg(bYJit{^rCIkavK6;uE$lwz}G3~7Nf_OcuEJz6={?4S8W?S}`%tRlXkA%o|Bld5R%$Vlb22?%l!sTRv4Pxt0 z;XUVKJ<;{;w4taZE#sN*51K!BM=@zr1isEin|Lr9!+yw`MYGUpdt0NJDh{eGM%-IJLSmpvp%^R~+069wkd1o-xJ~Z80b#!F+`apOy zB1y|YCmI26P&pSsq*L z4{g*|oQH0_rD2r(`rFjgEEJ1tUbOUa3-S~Lq{m+D=x}&;9*k4};p%IR#$jOR19`$2 zMxRD^sUN3bZ@Q(Yl_)SlR{pGI=-48`fTd$yzB3E|_i1#mvGT&Xn1QeU>st*8aP&d= z{ZVx*Ce!Ut&S{p%19tpC0;CuJ7Or#H3PP~=>)rCN?YFby1L$7R%o(zr%bl!?YWe%E zAG}_%Z>9-hsV?;m=E+$=YFe8B)Vr@H+B`0mdkn%n$2 zk1lUM9KRv@KR&B}e1ILgHj?06UXXqvJr52xJTDOyNyni&(m&z_wKKp$u|!{yMQVq< zw+zu~(^ZQ2Bkt-%c2i@jl4qz?{tOxO{YWI5o(|)Y0#UaOmrpfw6pnx!nIW6nXeS7Q zlyXI@h!uF%lDpo0*~GB#M?t?wR^Ty@%VIy^wmxPE!{rf8c+sc{szRv=AYqDBV$Wdc zS;kivPOvF`yY@m7i^Go3wF62$(x~-o)M}h+$`5KE3z{~DS)IbI~hoc4&%Sp%x6!@c6 z#Rdx0;c;Sl$r8a*1VI3LX28i^PlVxS*iOk4FqzLkQq?t(68zLj*4W&l0qK|SmrP{f zTmQb2;gx=%?s^3im06lENq%v@R8@n+J~9w2H`{#e(&?&s7N zKc7J+7ul<1blD@fo9(9}jHvg@P(?uJoJexb`x-EmFlO@QM1`S)hl&rNYZ)MO2x4OR zO5vmvGoQEmJ(k}g_H#F?6T_iYS;@~OdY zV9-@FBJR3yD860^27*qa-;p2-A2VcN1O|;l|DFvO((vm1GoUPD?3 z>l0axa$BKwv_r}B5aODojnsyn-`&!n1#^l)XIvV$!r*W> zfj(H5o7{*v^SPglH(TES2eJNxUlquXLyF?VP3iqEyHY+g_cvHc4Z%!SHxcU|dd`PE z7G>d3y?l$(KUw#_(hd&mU+NwhnP2$K(03i@+(wpb{Wffp4;%c|6epO{tZ##^LNwSK zTP{9GNm`t>#1(k+Q>W?FLFN+h_-p-IYn8i|}HZOSSS5h+f=(f%|_xDm3Clp1^6mpnMhJxRg0&7FTwp zDee{}(smwelux=U$|&-Wp@GE$B3N&RSP)^r$O@j^&I;+}7 z^SAJsoy=nq8s1PT0CSNsK(h_pn#cTru(0-0%y1GJ&T@B|k1eB7{&DtGNK)v)QP0y|1fCN|VT(Lti*bk3C z0yNBHZl;-MMxqGJxa>zi03JI1`txwXL+s3smaw39+Po8peLHVEEuh*N4VqS@;4)>qMXKFTs2y%5y|)BK6JUmTX(q>R>%fT+b|bnahGkrh4i|zWZ~$| z70Dt6-=fc<4UB}`Y_QxHjqmVtm}9DrL6AwWIn5Bj6BExbAU-W@Aa%Kx!B%C5m|1%H z7g$++jS2oQJqE7F-s1mE^{U6Q>zcTpdH_Ly<=z~0$TBYJA ztEMPORMw8(CO4+^+x`40@>TEGrEsWhg>idO&xwlDvuQ~`Y_1&)ZPmi@pXaSOdNNGp zJ40N0E%f*&+bF-2KeN;JwO=xCAHAY3n*Qly$lujzM!$5O-a7MbhVZ5RJu}2s@rM{O zx7(J*=B9{pjps3jGl%oY3 z&U8I7yG?)ljyeJhJ9;o-S4chxvUEFtP1~f$*|(Wg5=^- zjAOQ|eOmT^kxOkD2~UG#Ve+n>U;Y9HN7`#O3v zCdg2BFV;NY@}NRtr%K#O17?JunVls)OIf!&TmRY?ae0Tia&?`;1QUNh9HsDj0Ova) zA(x;)UR^`@QP-pIuL&hyqG2+Lsc+-cQ>4$U8!A}3wnzauN4xu6rn&dq=J$1hbqx0h zwr0g#??!KvJ5-$~q>nXP33?zvEmMJDFxDe`jKWf5wgQ9+syCBXIic*~ zR$iOb*fJ|YK`Gmtwi;g0&p7NmO!NjGPOsc^O`h<)RWOr2B1C!-Qz*ar|GD`Gx&FEz z8U;g$7sD>nH|hXDi{~ien+w96uK;#_%Ws85Tx^DGYt#pxOcyu~>uX=@n2hu<>W!Jc zxsL?QxxDesQbt!GYfKwPoT785I{g;U8Gat=u85K!H}-#{Q;j<%GkB)@=Idj-J**pgw_kB{ zN^pR?Ch8moq~t_5=2> zjo$l8bC9F4^z?i2{smv?9<_h~gr)TS)H9eu{*FSvJ^-q&cF~W&7}F|C)^d1)ep-z;9T9G#=JO*r&u(7O-tCo+yEglN-QkOjcIE>e*71 z7-h9mA_ij1{P-I;OGiosf;*n#l7h;p1QnqgsLB>nM~=gLB9;s@C5=z+?k7uzT>>09(|A$6wU@NM@6`JY!N@L$ z4rbUSK~@fY-n1BC3Dky&v*f?<}tXXJE>0NW={Fu zp|K9B>3YKR+Iye$CYY%unCZrXEHsy?^tVC*7ay8)*j6eV?Sm=Ou>z9Pf!trRtkyZ# zg~ZIeCU+hct$laXNz`7NU%?tCM|#;YI&!X$GJ~zkds1N*_951tK;oLw<5r}J-MrK9 zc)E$L-wkGp3IIv#UC;VS8Sp{7gZ^`WRKWv9fY@QY`c#bq$B9XaDvpIyZzu-C*n#3O zGb(xG21`5v3;!sd9m0|{f@2;{!GW1$`T7=zZKx>Rf(QOF2Ys79=`|ME<@_1Q2*;!> zNbaRp#QX)xsewVK;~)qvT#kxqxJ&-C1v}yrQ8TWY0ca;-CScMWF!|wo%3>8};18Bv zbN~%GUNV$eD4v83pTr&#vuZ^m`EM8Rod8 zJEQzu$kTy>2r`$!7%nX5F%f(d8U#g?O_xb>uH>5!ksoSnes!BasikB=Frdq1zRpfz z09gfNp7$#p&ymYoWTX*e_11GYCH3w!@h(63Y6G!)qU4LuWzgzAOroXL z@2Hx4mU;Q~D$9z)V1{>F&bl(5!EKavF&5N zo|tv3@z^-c7nM)yxs>GloYnVdo<2H>zO#{n)5}Quh|@)Eg+RN+QtrJSB)4%AQ-G0f zBf8wF6CY3D-RPB1k?!YqLJl{L;?4+%J-WQ+%;LaU10VbC4xpA)X12_YZ*?vLLvNPP z)d>!mcw?TUWMPu0IU90~|IY69%gj<(qi9+gfwiw_Or9Gu`x6xhuE5YQ7?>JpFhj- zI@#zsRMf!YvY4tfG0f8mxe~d!G;Vh=be<6*!<-=a_$7O$y7f>Co%$=^kZr1NHON(C z2C=O->&dTb(ym*;!6jh~2FX3Yjv1Yps09?Nk^SFD+reJe5r^y&OU{;hU#EcVl!AOQ zHLfbmMG&zR9F*Zvjn_(<(Z|qZ#%|~>W;k9@z+V%MjyUIF)t3HTG4AMOGb3u!4~-@h zK2?*)mOZBpRyhQWsyqjbulFtmLrA5ug(eSadXbn8R1L*QXS}-NY5krUfX%~Ld0H0*Gg0PU5(Kxtdb2@Np22#I@nKMkc^7c!dIs`sO5XBP#Q%P&k0HWEB17CTW&jw18*>jKfUC92Lz(o;)h*9z9-< z2Il@`$M=tpHZKchzdso-4Jhl3!c#9@$A<#|dyaf+5EwL?G!QtKdI(AeD=iUuvZfi> zbVXrN2-75EARJI8q$PNg!t{EF;skmo?p$6ha7I_H)oRk38o(rhSwB@tJ-L%8g;QOJ zSC=yHsCFeoS&u=R>5F&rEva8@cO^Q;*HcYpBYpVjoipm^I83Dyao6jWOl=>$k`eEa zX&sMPiEW;4sincJ|LrR94cD})Y&e>sR&x-fKbmJ0iTnFV#+|fB;~J>0`caQ@Ic}FO z9B}&TlXoUT33&9|8)pWB%E6vb5913NKFAL2RJvqNxldj{*r(VF&Ar9*D*y91!2J=r z5Ck||KU(tGKgm_pj7qP+b_#-Li~$;#tEM8uhdGTuge(~bJ@;aQ17i4)HJmvL0=ukf zTC8b@DT4(cL&Rlx5%Ag}VYZ}K&eVPpRL{iKG4Y;e;P!=oHsk*&9YVJr6Z722yeUV< zkA$$cc1Qi?OSjC^CIo2{5^82ZoSw{QNd-}b2#1sir7mxSLuB;uMFxjR#Dgh%@df$g zXL05$yFwgJ^k0XNmcP{!0Tr90EyiBH$NJScaU6SQj-IfX6Pi|NxH3Mff$?eKF1VgC z!y{itlgZ6ny4h^_V>e#XYf{O@7Kl`gne=`;IHFU&w%V2aD{J)(l7XOgo$POoZ#kdeO>&=cZl8jY;4BA7 z?$lfei(e=^GY&(11b`KyNDb+Ksr%X)62VbHY)~TSUej)aw7xW4_~>=?_yiwFrQOd& z#N}jHQdKuh7M?P#H*9EA^pbFAZM zui6y1_U)A{cKhFW|2L4hWnn#coUa<*GB@_&HvK2?q8{lXe>U3+yH_M}y!VL#mW5BbdVs&8`e4iUL)7m4P6CbtPS~}(1v-gA6PX9 zkZzYHaaYEuDJzjDM5^D9SmUiox*_=7%N+m)0z;7$JBEHS+CLjCmeSbma#Sx)W0#$V z;y=57mVNy~ts77dAmm|#uqXm)KNj7@sT5YMiOs1uB{vr2=wc}N%9-nQ6A(KkcSMz9 z!SMl5C;CqOpe3Oia1xsW;e_M`4x1*~Ja}+e!%^%8rocm?=Kj<~aF1SSk(v$60>`Hw zG8Uk=;5-myLi|5V2!ltYfV6 zSe;axaO!0KPihiU?H~BKyKE9HO3yl&g#^fL2v`*6f+OnL#VQiIF02rjj6K91Q9KXc zR&R3q=tz;8N3LbR;4frSzz6L8)HCCO*|L3HK32D@RFs>-#U-L*FK@EGGh^w}qy2g6 z(l~GU`%pL1k@wiso59#k!@{yF*64lDcP$IG70Mh}@2$AQcgR7mFW*?Zi-2QKPZNI0 zuNWU_iG2ZRt0s4m_I-cnx&B7O)cba?iRrgN#J(gxp{Ju4w5RA3hGHvIX@>2Y{WDsa z>b73w8m%c7l{l@Y>iomcD-G^=GW|jI!+nsLQ<3QXH#wCNbR0w#>9;&Pz2#?rM(-4e zISrD#(4}M+EiXei(?cd_ol;DwGybv(-$;Bo9o~JB6&J?ZR#usn7m|u=EampCef!oe zGXXCWcQafm1{*8!Q1>H=*at5UNTe>8ErF^N65$#SY8ppS`2w_}~UoNYjY<`bP)1t4~hE2Y>ZP9h# z1qPB!T1RnRlk|_jhrZmO!$>{zZ#&{{)SA_P?2Ii=OmGAF@|AklF2!B>*WE4tXtU$v zxaRYk-Gx^Cj9^Aaic#5TvP{Pl6X~pv^LK7eh?J8UdVX$B&`A5J&rTH6!qP`bDKlR6 z)2>W7NAJ=$)#BbLK@eB{MPd99v1VetpSC6-O{W>1N{55zujDWe2|q-GTrgOewnYn) z*V;bZpZO8-t$@`q6wIt;lN1p402wEYD7Wh?aLr53K<<`yCM9=(O}ch*%6I$Z@#*uB zTWAF;`Q6uF>se>_QkrT5(El1|;ad8TyAJ1xg4BqAEpwz`Hlem;i!JA&^YIQGsT9e#%pZm__zrCV7lDz3Z&WR04+udW6lJmc2ka+IWkl&!8 zgg*6uSou}+Ey(x5lH|w@<_`VbC);PLz5jkbK)wR|*P9o3I1c}XYhR%7iQ*9yvF8=> z&9!lR8)c96$usiggUYb;ul_^CGu4fiSX}XG2g4&FjMc$Yf>sCj9_an4m`+Nf$i)Sx zJi@qr&4;0HvEy{ckKiFCg^D5rfd`fN+^kWL$t9RM#f9h%-b9fkg+J6)=fG+S@%4fR6P{nTi_l68U z#lxJlUu7hz#`#}syAC>#36OTxlH<7-FAw3&%z|@r`~$<9wW;XsHc<=@xgv);eltxSP{lK56a(Fj+hz zlwKqEk}4tNWyw2P^l6-3x13G4lH3|1rU?g%ZM^o^$JEkMq>hZE<-qU61*oTMK1)6T z@TDu1Ig*A}r70jv|MC)ofA?dnflKSJi8$CYH60bg6(75g>#%MXVvINrjw(iw3!7?7 zzsA*9Z`yyTTclDr2dVrGNno)cHgF}5j~}tKb0AyRCv@8keeva6=+l9mQ3!PUNhA=U zry7rc)rN%$j7?H_s{7nqv;dr9LqMZ&>eSB*%e7M>4Nr2wQ_~2=<`VT%oKp69AHC62 zXWotL-Yll_ZLRQ$&>x)3S+8OuOUJ@Y;Nx(pvAI5#X#|yUO|s}%Xj0v%?Up2*fs!cs z&(A7C)`bQcY>pLO)h4H(%y0mIU9wlvm0Vdq?Q4%#+9s#8MhsP_gD``f!bV|C|KTo@ z1<6gm49ss;6Z_RPyFTY7WbUA0)wl=fG+%6?_(6eBDl3vL9L-nbl zZVU2?p1Yp$a>$&@f~KWH;-x5-A`vDq3)@Asl8}Qo1GvWQ|f$D%O1YOB?L0^4V2ievJ#(bb;{Pa+AETI}QrIQ$ND)4Ge9s(vb` zVE}(gFaN&x$FRQozVM52gCo4lT{LCdtZLck}|? zkEf#~p1Iio#hGi}EAk;bmH)P}vEe`!G?wbKF{*HL!)py^3LAT!JWw!Gn1!Bf&3Z>d z?g)vv=T%8(o4o%BXP{*X`IIC__ZOUUvBvFZrLT2y1F}1Nq}& zXqZvoSPi$Q;upkb6A4dKdhPb85RRa;QiUke)Z~6)ae5C`;!ceCBWC*BpmwPPAf~FT z0z;2cG6d=5Wu6A<Kuf%hzDruaDs@dLMzA7 zI7f0M9E#0ZF(osJR`ry@;}i>j4S{=+!veEE2G5u?hT#x|{lGK4vqw|X{V?d$i4f@} z>MQi?XA$t0NL-UmmYC(_XZt+m^z&ygfb}e>6WqfQt)Ztce981{*)?4tOZ`9jlzZ2a zJABH0>jrjt+haZNWdCq*P*O*_ldIu@q|kZ?LJk^LRrFQDY0Foiloz4`ysKlAsSIck zB*Ka+*Z}?l-Q0F>I>V+vL{czl*-Hp+-GKtGoajjoGPqpYk#c2b(&t%8p09%R$-Eb7 zP%1wNOiJn6U&G$WJVDI^PS>O3>9^%c{ET-~(x_As>r(xvEd2o43|;2J;T6>}qLcri z2+EuuSWs`%3)M(_IKuw|^R<+_8<`LsG>J)5te-mc=H-h<=Hw056un<$4~_DDJsgv zdJJstHzE{{_Kc2|pkwcf%<}2zj7&Un!l77{;!|#qNujlehi%IA^w$Yrl51l-vAhhV5*LEk{y(I>WmKE{wmpnXaCa?G+@V0x0EHHJm*DPJ+=8@4O0nX> zrMSDh7bxxy#ohnuIeXu;?|AR|^p25F&mcgaBSCu9V6H3W` zeupAjcvAEpecBbq20v!70AYCw8G>y!isAaWvj@V&Jou9P=6-;Z?6*-Tts~)0v|+l* zTKMs#Wv#(>q5=n^iU6n^k?>$Q5qGr4N`?nn))LF_el0KBU3$VY?`+%r(#$$saj|Um)=|h2$(A99d zs1)(?^4;cGj6lS0lg28!dd;&x|L1t;M)C&|<-HD6ROMt2!u1EH+amluEaIR0y!n0o zA6vhZQklvfKStsulTv6nn1z(881+r$8=Tr0IVaG?Zt_+z-(O^J zcA!XdN>GfoTd#FUD;PdH2m9x^mqM-BJhZ!SqX(Y%^)da0Mk^#XQ9cY%cTv2ZD1n6H z|5}_4&oIFOo8XA}`K68h_$v1V5WjR{K(2L9rHK3bA4P)QE5t3Vv^o__S&hFS+X6nd zzE6Y%{U#GK48~l5MzMzP)!_}7S=}ZT{Xr8wSt@nX@CJ#JA6(3nL-}O2sn^Ye z*f0Ak<;PJZ{6rQFVc%N;O5Z({FqyH^qGKX86F5B4{j)dgO}1rQMzslYf1~%g=s#$9 zu~I8n@h^Zp%%ohVeySDlMA&kbxe{hm%WP5#3_2`pCTxFfn%$_|74ckd_kxZJw%=|I zd-@@YJq&3XRG4bPfJ>5{O61QgV*=pXZ{^xshNcgb>|Q}tm%}IiHxezE+hU&w2M4c8 zF8RkJpYtCG#4e_89oz4{w=Oj^&HxY4j--CMcl4(niXg5 zgOgs8GP|f=I#1@!G9ND~yrxnE$kf!-mMz>ndrnRcyW5|K#WpJIms~ohb(op|I$Zn+ zV#ht}9am*X|3=WTDr>YF)P%AP>UwXkPkQmwDxx)WEbOy&fZ5XP1h#q@CJD-Eq`C^; z?*f6rc>G_Dp)Gw3sT9+Cn6?uMD4tk-kEXLj6pr{F0F)g&tH%{xbtNvKDLGLPs(TM^ ztjOZc&k-=xF!f8!lkml%80@P?M^KbMn*C@s0F6%bCRHw^ z2Ja84+}7fF&ER+?fUdRr!c7ckoK3U!amJvP1{GzRLdiCtxstiLu5ofh>=WkzvoCq_{VL1KDrbhAlNuYzZtfy+n`U zo3iM>s8o83R4832qMLio43^g}tM~9?My_k)0>}hUQ{K z903DPJ$#l#c@CJq9y&B$HxHZ&oAfUMgQiN#ylGZz>dQy=inD?ZOi=9vvSETw1#1UA&vu z`H~?VS@{*`OU#$G#{rawlFy%wH<&oo*=k4?!f<|e6&ZsOrgIbw?NfZwJs;WCN5eR9 zw9-X_eSyZn*TOEmsL{+8G83OY-S%Ua0ko%*^*%xi<0kh!P3`sY0Bah@U~%wJQ9bre zVBe4pZmm#FN_WC!KWa5u7OGk!7}Jx`+{#1Ad=VDx5K#?Z-$|Y%*c*z;ML|wZZUGsc z@yZT|sdnU$7IZ5n@ax~=5R173cy`Bh-uPX!K5C-P%OmLojSb}8+>Bk7Y47#A>b;A0yVjQ*?eyM`qDAiT2imRSA&lnmpCKe)Q)>0Zi)?%$PV zqD;JczNcG8aV-d$s&8=W6)jGnNOQQ;kp?n}eDb(C2Z{oMa)a-ibV|zJbfa5lXzXL1 zK>#4jY0-VKn5Bj!5U3^??CAe2XVhK9lT%W5V)6RYk1D45&Rh67kt|lR{$<1X^%Z11 zY4sfIM7&h(x*m@2DkC-O*MW+2i)tNsj;*M(Mm`CFs2p3- z@lF3~3Y;SUz*Iw83bs-FKYHXfTJ^Qxjjp$gFArE=vp&y9!QfVVk9u+;H*Ck-8KXX| z)$2!hWW1C*D6ZZ%d|J7o>MAjOA|)4dcG(_SWQB^=-jqv<-d8HE@s&5|l9095)$1Uamv(^go7%+bkDC$@M#GhqnE`vg%b< z@%59(U#VxsnE~d7{!>#^P@elmuI0ku1onySq}T0e(UWB5;n;HxM@!$Ci%)KW_OEgd z{&Q66F}C5e{rkcnO-52397`}jOKxxvs2PU$i-g_EE?Wmym(L?IzslGcM8S6c=1?~9 zC!=g!FrH08d;d88H|2+=I_#QQYX&PE;b<5ybPDxoh!1It47B1uowH|IONKekd0 zb3INPYxzLnFYmkIql-K*6crC3@5+cT30hvU`yV7e!fNbN7kc(xTE0TB16UO1QXAdN zJdgAtffOF?`-2HF=O|&^dyNw*?m&D}=sAb6pCjo($~%w+>;^*4ifDolDjCigZ==K1 zT#jLLC!%t6c&-~QMjM=f%SE4vO(mE>fsg}Fk?=I(E>mGE_!y&Q4g7xd_QFOI7=Xq~ zzLCOPxZ}v6AS4kbSB5}t()M*6#5=?h@}%Lt9lS#$k9jpL`_FDm9+&Bps!0=EU&gmi z;Ov6|U|)1={e2hGYV~GvuPn9u4bk3Aard z!ZBf;@}+UsZn@;mi;=2Ym9&y4Pl$)2=kw#wZtVu6nNo+5iVqxSF2CVr>klHt&8kp5 zOoGJ|iUlI!pYwEMxYB^x7{wumBUX+U3(WJJS+QAN_(``WHJ;yE&x%_G8XS=^DTuo| zpWZrcKTB-6S|j0E{De-{-%_sPaw)<>LE1NGm6S{wYf#W~_H|5}K#;5D*xJ(m)KG?C z$oZpi$`w)yhPR+{qTpI2!mV~ZjIa=KoqDn;DU&ROtnZ8h281AG^;73iIo&`Y6^#vD zVsV7>q{UaDpJY3V947ceacPJ0xX{6{d#T}3cY^l3Hn{j}=~<^b+o`)f{F?45EiRG} zb14SC>b~RUbU14cQ!h`8ZAtaVNT+DUYx1lZ^6Ryt?N47cd^jWVa*kl8=EaTfPIE7u z`46snVFx&LiyxjF@v|oE>$XD|T|M52tm>pLYp>T85-Hu7^Ad6w^t(KO-g*p1l}>*s zt-1oB&H=d-;3Upk)B*3S+Wyr@^43}QW13az7aae2K}J@xb4-95*>1 zAsLB^0(sbdVH1SIw?L8K6Cgb~Cf~TrKHRY(h9eRh&x!34P^NiV2tuMtAxdEkjqTa; zW`$>|2?zta#uy4y*iwh)qIIGS;zn?;E`Ih^!qS5yyuQAwjDkbuX(X4V8vH7@KZ#6X z@zM5VM$xdZnW{GKpXBuaa$@`{4upM8A?N|H9FHpHYeu6L@oTGgwSsxLKJIKA_So!r zZY4sGpCHhN0jFcs=kzPBue{u{D>}X^OohJXhs|g-zbxPYQI!2k8J$EY_kDGtjwwQz zmpAKuWM!RKvxbrK46Qq5k84Mse_*`EZgoN+u>L1cwX4_dsk5-#7Q$FMm65v-duS+h z>==$I3OB2NC#&zjR)qmCqK~30OYt{T@{j*|P970O{r1|k<+B7B5AR#5&LyIXrjint zgU_?;*#?ylO~zyHYlqv1gOJq+(Tt17mzwQ`$9pn=g7TNVS%;4ziN$|xdnYfGFJ0|z zPw9(w(ar>Te`Ln9M^sM&h7b2f^M7Q<`%2HZSf^D<&s$-$rq0edFHZrFlq(NySax1I zsRrT!MpTARXksy1Z5vQQ$@ao0DoV;uyo~b@GR@MM)n}R|w@uTgvCLmZlC%1&?oAGO z{~}fV_ehjt6g;*DR35iiTM!X`e|boKb{JkbxaII(M>}nPd8+3WXfQ1)q22x`ll4!j za)*byvCbZ7#B(kcgAT=P+KzFKdYQ+!B3kNm*B){lnRs=Wrd)3x=QWRlL{JQV)ekV? z*A?_1v9Rym$~Rp|7}Ak=oGJU1f=NF_hM{n8#kB1@vIf2QVzAPE2=9-Tr27feiGHW^ zVez_#Su_Dc9Wk27#Ic6SiQeOcESUww`1lixK&}f`o~t=4(t-tD-%K2||A0<^$x^VU zq1auX_RjjZF!Pieqmlxo*uFGTDxCrrfS2V1U1>L4RF$j|H}-1ZlK5Tnrj`RB^tBYIrWv!1Xkkc#wCS}YqM&#ojNmewAJzGH2SiwG9-+ruF_DyFS|_9 z>rtvd4zZh+CnAk^I7+$%!qyNHM8dwx{c$PY;ngd_Ivyt>YbCv%x{@4%WBfxRWLw^k zVEhv^W>0h+m!N10*;W($q~|?2M1t<{St*gck!kM0E_H4Q4Oo#zWdQPV3kWdSiCUJy zDB?9n{_d_l$%HYXc@n@N)=gRkHE(<8IT_#!dSOM{Kg#x6=Xx9(%)Y;x)@-UhCL>ls+@)zu(98 zhlHa`^eLT`DxEhLhg2f4s>ATt4#tWBXy}&Fvts$h{2e5|f&xLQefGLYTYG_@N)^2- zQ*>NBoB;ZopOeE?4D{V|vf5(LY2eanfXG2Z&`>179|(*!yY}w!704#4ov~eS_b;we zemyeVVKS43T9R%I86W1q-zIXPtV}R2F80saK-o;{uPH6(GP&+_`ROqJ_s~^mw*=5+krK zVH&-=6v5ImxRYISTGp6rcU006D#O3~TkHH`GSRoq00!)UNJL2Jd@#bOm`>6;sP>B5 z_!R6v*$yEW@rBKY@{l^5d@;v6bSz`2XkGPz8 zRrnYc(+K;rZO+jf)4C?}b<|?^)o}EAp=ODI*HupaV|6Mt^=Gwz*y#Fa^g&C)*Wcs4Xe2p6RsgzX>?Zh8Z*TUxV>KPAKn?3)rBq zGzMxF+LpDWUR+$*{&Xnn$-LbQm+%4BYqc8oLzRD+3in|rNQSFzH>oUe572$o(PB^4 z?H&DMcSRUK2K&tYmTPC%0-h$R))G@47aD+cmj9HP{HGHW$8T^V_%rO}XX#=!W`C#F ziFC(99&E;_O*5e`4h+WTj!+g1K0T|yyK8<1xR^=2R|qEK=RJ+>2-_-_t+BDoW7|><=!|(WrDX8Z0xNMI?Kp7vSzF{O2i2oX zS9wsxj6#!GxPQdz7Z*_Zm%Z7FE8>;Jz%%@0@bk6IO`FSmb9m$MFtD^ZF&ScBvCV*S z>!eicig@FKu`Epx3aX*3N1J-*-5{d;SR$ujb3{=icfN&OGKZE*@w9UBuX)@x&V8l| zYMn3w23+)f^iI^&Pj5ib{SfK{f$uQZOxO9$l(7gz3{BQTkQOX?UDrZ2>rpC{!Ed+t z^eBDE2OuC6QseCJQ=ckvwj6g_X%LHjz3RfOyxC)4zV5{z#n z4vN;6pyb|Hc&9G~xknlXep{>4e{q_MS&7O@D{QP;~F-K;%E+J@yU4j5@gASNX}c)Ws?(alM`f94Y3O`24nEL2?rCz zWuBu3hJKKN1ClC((rPEVut${hwp(bMQheY;)Wh?xO@jmn8jw>IYi-1Y5rf&$7~Eh( z6s26rU1FqnJ_phyLSUatCjxxEocbV>9pZo_;T|@wq)oT|tvyr4ZFXZNifIa$Hu!C| zD@^fkXB?&!nPZx*+$7N65ehUa9B0|LP&#H8hwD6zVJwx>RgW*N4>Lu52)C`h&(hz0 zvU|HM*k9?}ZkKmv7RBO^;xKz%SCxwjd+LE@oX ztA$BN8lEk5|DrwqM`rgQQ+ANMR@S^7$n26_F^#luZ~lT<osK-2X>NW+n!(&D8{UdLy(AeYAA z6aN*cA828D(D-vw73$I~-A?kb(r z$WrHAk`F%UZdtd}pK^2u+!)`KNz6NH;VS&E!S3JY6GQ;Hm_OW!*NxBVddyOEW#t)L zVdpRWkKI?Zo8cLEZcEoKyBkf1Wy>iaU~Ws=@5FAa+iy$QSTg-Pc5OZVV0~_mm#c)_ z)HplaSFSQ{Hp-vx*#tbFec!x)BXS&a6M)uh;;6MPx~VhXz5Yt3=|lC(*MKLC_ORKP zpSHi=E@F(H_^bw?jQg)(Ia-mhm@5XndAJ+*2WU|W)u>Lp`x!DPoL+mjp#?m6I`(dD zZ8hz*PJaJtG46XmbvnfnK!WmRejf|+GQ#4InfcH~G~4QpP}q8tY9wt`*-+fFdYix6 z;{$CtH1dwl^T$M^>9g&}d|vA@&k?@S zEz57^4*sc#`G>9DE)tIGGDKT@{XihF{UWswmU|5tIL zPt_(hRB5Q2o!7i0f*(iRV5;e^;^2w6QyW{VgZv@ z;TcG!`Z1W0aGrE6W60J#O!`!EZ8|RbmSSsifA3R>!K5b-3a@tAFJ+LPrH_jnV3%RX z*}-LHq3A9SiI_Q-rZG3kOaQ9D#>nLaFrwcYeu^^{2P4TAhD9*!?OoSN+%Ie(tcm8h z%DRqzL4|s4s2C9V-HZbt=P2+TeEX@Gb%W60;WC=AG%=S8ApT#byA_W5KL46JvKqZd zx$=`I;X>%Z6h)3Isb**5m0Db^{H^_kWVq5UwxO=qj9koU!)8LXyl`pzER>mwIp-CU zqW)qcZcYxmDcRD#`Uz(STwn3kEJ-B-S%REfsOeD!p2Wl#Np)xF*wL*z_dpnKgHRR-D@ z^Y8oK%cz9m{RBdm3xmQ*0->V2WFfJz^9{J63p7Tyk!pKBQh-|lk@0=MEz;V4U6Hg- z2r8S|xScvu(=2SE@-AddUBaJTeBAE4b2&exf>CGDj)99)bV_>i$g9`1O3lc18UO?S z#3N7kp>*Dinr2>CtrPW7DyJ4|DHZ1V7`h<0CK2|NYCI{{PeN`Lqy$)xM}Yf8>M2Q z-a(CzNI{vkYMQ?sEMOE?wly2+X}bkW%DapwD(49&kxgGx?Tt^MP3=U$yg8$x2gyB3 zO}!__!-MC^yS8#HaGPjdZ>I!wIziHa_j*pu^_sQV)%7>?!p{?=Ton1_4>a2kzYDm= zY!l!SYdARBzbI1`vT7^G@S(9xNAXy*O-%IUIB&rKP^E~2@!n;mULw%S$I3B~Fv~(? zH7YKqtaAscK%gZZB5;^$hiY9$D_*wSrFHIzJ)r`a2xYi6) zopap()(S*v82g$4cE@6PChRYl1p4}3Pm7`3pG(91XfxsX)G9JW0KYi92oHxpL=SK) z{)4gST{i`WO?}e(Z%2OX7YsH>%WU2_zcGq=z3lBeYfT@-SP=*J2ygsq*XiIAWh_SF zw1FXT-P^F{`FOq+?~lP8il(0!w_Fduw{C4SicfS!4l>CrjGK5{5JLSe$tmA^fB$~W zKahH1n9%y&DIP%Ofqn_voxp@PJvXJtpIcIOKQhVxJ&XO%2?eXt!3^srTM(jf+&*PgB2v>^va+4m)k z?>Zmx&92q2JRUY&oy9DEp`EQozfU`K&3u7dxjVjDLo<4G`MtVbW*cN+aebcDiXZS8 z-o9$iytY-Oc3VmGf-n|i|BSUekxb1wck(j6D$Vfixan9gea+zH?#-XJqLqH8;dfQ5 z*G1||1eH%`jKljob*o0z&T!F3oR%9us-D)H<$Ck0z1%ex_IC1@J9-X_de89;#kkOo zFBu}ASG_JA%UN9rGLL^Zwq<}?DMPR4H{?mg0fP)tG#3>vEC~L?S1L^fl*cyXB*g23&3b%xW%wc z$#%}BSqYIy)OOdnbnw+N71D(|}SR|yUuz=cf zJ3=q=NFO3^fFcq`%gS|N-5juYx;B?FG4Wkd%=ZWBGh&>azF;tbn_NnQqR2?&fp2T_ zU{9RtyH3s~Sw#d!j-Aybb3+<5?>;a92PJc7;uL|;jzSH2k&4u?u(6Ws(k*Pn#Je}*5@ku4=I^2pwZ^+Z-XM^8 zRuHaGATT^s&K5@k#d=v6Q+%kwa$kyK>^g_*nkcHq{tIVEqtFSvFa zcTLy^qH((Mej>*TekG0Y&I+?OpZuFN@}j3*Ov7F1wK9hC<`pqORNJwrSEQntN?#Uq zJ3%36NK6cIMZtmr!xnPp*4nqj5+EUaxy#N>$nIiWMEhLJ+h7Im&{bZ3Wjk)|n&HP( zZP+?1{{}x?bwMYfk7z$>^==VA@3Sa(_~W4?UzJ4RtPpl1tf@K z;qp?x9U+BCG8nr`E{`>qQ;(7Q8#JAIm?nL=l3pBCrYzo8pJV_{Vd{PM-}3PmNIM?P z95b61Isjt5^-?*h8>eskk2L2$O1(eeP~QtMAr?PAHp*i4bA)wghfujlU4>ce_OmKM zoyK-~;kfhiXL0-QZYk|3mPfeH`uyE%62UZisz>d*?(0Rb`BugN(}(Wz@}tHU7GuCp zi=Ca1P9Y zW*YU}ZsCW#LA8@Pyk2V2EiZYL`!Q3;m(ph~nj{U0?O%)bN5{adSmdh->95z z=rJi8F$y-&X01#&(%yQmIaF(nE;cOLc&>z*)nXM2 zNUN>2Px|?Pj1{mEe<=m+ebGjp*%_+uwQDAnplZZ3YCB{pJZL97;ZEg~E@+3e+~t#CZx|S$d*wj5yNSkr5M_{&vCW$OaeD$)5-hy zH2)a}|1I(V*IT>+_<_Q#j|5X^_>(I>_F1%{h_w@mj37$`K4qaYv+IC)N!KJFx}AQ| zN}whM_K^bt4&94FzT6}OrgJ6E3g>b(h^UELa{G-j5Q{C0j5!cgk}$CpM7Nt@>;&;H z6Axq7mpH^f6c6fP)c>lc?a$nf{FNM9|^9i>SdCB+@0NsGwwO@=!ioHPb?*;kmOfXcKa02qN2;%L<(vKD9nec5qt zL9hL^+T!~r3W{K)<@UTKR!#^>++`~o9NsUo(O7!w^${RR^##GmND^1Ga^JMRAPhdc z=Dbna42hGrE$_VMp?iD@f{-0|VTGoeKUC3_($lHz_x{GWF{={=Wda7R=;eDq9xQq> zEAK-OB58`RTXOJsk}SvvywTxoQ}on+reRJCI~^ney#3W}ad1dw?gXWXKpc)8(lqsEC;Q%?od0}gz z_E|tg&Miu4K-U7;s(pHiYeiB}ubbBOqnX05LAf}K_jRyYP7`o|@+GlQ`97JH7e&!Q zC*XZqjDB{94L-K`x(zg@n-_iEh1zs0lai|cvIhYwP@fay6OF#;?oOr=14l)frUaTK zaO*1geX%N%24jl);wv)vjiQtMtp$J=fRxpP@elmsLEz}j=;?zm1X!=!Xnc_1tfo?H zaBMrm?upF_+_1`~clHeHxBL(s8uXKDD55ExNRJpWbYoE?@(ti?=Aa7Ptk{Q$Sug44 zDB#xgSCzxvU_^|L2?$&Ne#uw_=1+D6KYf}doMCT@@ed1iN9|nM>>n+vYoo(;n>VGR zV2L`cB)hPIu8D5EC?;j&biYv)3m+EQRMPzx9-yNQw})Xwnc`E$p*rW==@XBNG0AeW zFc107D(Kd2Z;xZ(347Js$!6Er_Z*AiE1eRT=onQLbInBE{n4P?J(ca60FW>Fs0&Gy z3(4pQFk8FJR8aTD+CInryx^KRAc5}M%VN9ANn)3zpNG!Np6$1Cff-EpciRQWZh0Lf zs2r`>2w*JifvbZIgtpr*U=tNsWInIhN0DCIsyktBd`-rR%)n3tZh5>BWxab7li;DO zN?F_D>+*5N_<7upa^ut^v*jY-~Zj6TQoz&AgJ%_&1m;m8V zy({iR230tJN^oVRv+wbRQOEMl%IAlZ7fk3Hm(#HFd3bnuug6Ww&#!UmuHP%IT_r!) zX_p=4!IUQ5_#Nss+_X8aXZW0$z7Y{=rAZKW+oN{yTiW!}7rrV|>daVfev?Z+sQ&jI z(0&hnp`P^Mt-oI9zxk1*3bK76x= zxg0^pWwJ-LkeI{#MyPc^c-!%)Vth>8-1Epaq5#d5 zE&@3>efnt^^)%>)h3ii^s7`rWEqg1N3y}7j4-Uq#xEM0p!|HM&RZV8%9N_#;n+(E? zbR!hi5sNZo#^6Yh_*vjld%;|H(N2bwH38S0qJTv3^Jxi)_<5U@a9D#i@zAbd=R>m5 z598>y(9Z-TNyX5qsdBMu3+a#<3m&ISD=K}#IeY4`nG%;ZW3x`ryA(yl2@q&J(1=DUuhO8E?IY8B^z z;9;P)JVRXyV8!|zZDaCAh`@`h2}uY>`fa;Ef=`NEil3cWM{eVJ6j?W4nt zP!y3(bZX|u32W^y?2rW+-iTojYkgyZi|7|?Pr)P2z!_GxzPP8l&c&Sdi#hj_UTxwq z+&EKtc{o`gR15}m=xWfLIE>8+gQwu5(Lhv+ohU!zFhb_Jm>onxCkcfH71!lsX^A$F zG6=gLjHha1xJqgI&{H$#rN-`6w<|a75-)_Gv z9(LLbM8ZF&GEoKz9lhFqz5W1D+`}7HW>+yL_>&MxkZmu8i}u=$+USpGG4p%p(#V%u zOQnpixjmFVnRi_N9`W|(&MH(xqab}YVf5G2$k0H}Su{o}bE}|FA=n-$47}DKhdqBb z$RO?xlf*^b28G;9-nC*tU+hI3~3 zr3)TEq6Sy(vx{Q{W36FwMTV{IO->Ys)&k8jN0Z8&OVgE2^vbmla4GjK~~OPj<@V>DP0G%k0hWk*E$f)PWKY9CHr76Tza^u(Q7 zJJ>82mH5g%3KEgcSW7x7JR~6nj;~Pze#ZwGzlh?a>O!mLr)Ce6{^utF*DaLh z_3rS5ms1d{q5^C!sqW=r)1}-*Eh)U>H&R7eTYv;0ClKgU&SF{XycR-Jm;*VRdgP;q zF0D}zP60ej#|9^Q7YApPY@A3`RcNJru!A)&v${N`}-vF z@`|B-EKl}ZYtay|&wq<=7vtp#PhCUe3=6_8!UUk72hw+a-ea(}qCsM7z z>Hd-=O~{Ybatz(n+XAV&x;i;E-8A5}mS|>wLOA9IPD}$FGSwBM2l}Vh`(nj7xitGi z7+5ycgAXtpY6at*6;2iwggnq^K~9cFMnM)H(fuEa)Pay#86^lZI0McbfQX$IceCGW zleyCFNafJ5?hV}%Zr}auL&9Ij2)p#ZZc*iI-snH!Cp3<*%DAhcChP%19fCBG3qygF zBDE$CN6+U*tb@UY4vR#Rl%JuZzd_46qI@@5%sfFOiFpVJ91s_OEf0@{I+q(5PP!6` zVip^LGKepUhW8#0*JjW74{F4qn_9rl?a!y@ zey4oN6XPn6aB)TGxP|~!F>r3uhBw0#K5j;gR9rGZw390F_w5l96R#83P9?DgLI}Jy zaSbuQb_t(y=^JHwJzL`xSg^)O67w&`5q4%}njkbef)Vz3uKL12a2^0EX)&_ogaqO7 zC-3GZ3ng0TtOq~l@BlpE!*EhglN(@P@kG?C6HltJmiGjse?P{9kwuqMPI80kirj4R07x=}Hl@lnt zATY6yH(Ec54@^J|ClM%vr{g^pCwTv)5V*5eXl9eiOV07lvbD^(2%VNryBLU;T<)`A?fRP$)dr7Y;Pdb-NPMXuRB z_Ce{C@tcQ4i11xq6Z!%)c#V1slNKG%Flp~PfS<~#!!7W1^X;8=zlUTm8j^9$ZG?D) zcB@k%L~hShdVM6N#hegzNFW(-IK>Ue{A=%G6+- zYb*5jJ{dzaZSJzsPr{|Y%~dq&DLi9sMrgpTQRuCGt{ADQIL)Y^{RKSyz|FVA@beqf z)AQlUddnDh$rxV*MUhKv#cw{hAag2jl!SR5x>N;^jJhSq_ueE*#doCv>r>(yk`=&Z zI4wCM!@V~7vxoNx##R|6L?5IbcLZhar$F~!dDM{zN_2r)&PDjEM94@Ji>u{n*I36Z zqw9WeJpzrvX0Bj3)qUQA*M|jD!_V0@axy3-u>(C~ z{5Q_we;9~m7N{=Uqx^gB{}kP9fO?5W{E#^k*-SCtL-O^!x)4}iC`z5VmpxtabKqZf zMK24cbSB{gf!XkO6{5GQ2Fl9(td8L+89=S9 z0KzLS8Y7Y-P|;xmf>3HpIJh6NFc4wsV5lWv=lSKtb8?>m#&agnJ-m^0-6#Z1;76$j zT5K9vB-FKCmbt7XWE9op5x6aA^ylE0U=jw@XBov#w0*-MfQELqXbv^FkB>-&>Vcnh zN@g-m(B^2#3@%U5*g5fs%l-y-2ujoinzWh6Z;kcVxAAP4=dK)jftg1jxw1u;H7W$R zM#XuS<;2>Q+mdx2PH1dN?;}upz85g2I0AyY3%|M2n_CibiD>n)2BDK!$ewmFA4I_b zeo+T}+xOLXhi5jk22E0&+5!XK-*<+C>h zONb@V4-}b38uL|TeK+{pokiV?*tqI zU+hF^__^~C&HkY~93DU97xl)@o_nMOcmYRv1_m1%s@w3Iu^j2e#tJ%jk8JJ^?6JTj z5sSo!%4_f6BN48+T$%rI4r);loA_)8(IDZ*)xC!XFGJ&&?<|1Jimdq(?i2&fuMCyyAKhys!mg7`%|W{y>yhM@|>n?|L#w z$ocL*hTHM^Z5dW^{7BSv^rPl?pT0-h91`~I3@rxMeSq!))t*$`$@fu?h-{zM87!4x zjD}TBftQxgSm!ZmVB4u^Rd0k;mhx;gAlytT1FMiUlMmTw7qz6yWXodgSKEdsnq7&! zJ&&YL#?pxeoM2wb#2_8dab0We?ufUTmd^mIW>gc0cw>Q^1yvNpmgHHJfv7Wf%C0@X z7SCidn`43gJ7exKh0m0@W~gl+j63DSpn1`pvNs}6PkT&+D%7)!pEKG-p$KQC51|8Yhf zmKSX?{@-NSe}1^b;$P+d@}8ANZ${ayk1^rdaCWJ@j6vSu287Q zp=z4*F>@2Vw9-|>v{g*$13@kYNQ9 zsyAXVu1lT5!i}yKABu9}9I)}rxN_IXi{*((!?wT}?`XNN0h$a;99!*lilM8A<=FEJ z6;HKD3WIbk=WP6YHP@AKsdpCAGi-q{DANU21WV@-aCv~*Z?y;|&L>xp6c`q8_BZA+F>OjN)Ep{5y zJk%LK!t`F*IC=PaBW8a2Ya1)^Z&bKV0bXpZzC)5kh4N8pUt~n^G8apE_L+a`9q7lm zq9QL&JZzIq&i9acM?E4OU8}_pxRn%muaBv6t}#E{?W{!AzID2b@EOk@pLwgSTb-9P z5E34~KF^+ne0{sk4LoEUY()-VIu=e#isaf+;w8UKrOF@L*sVf3#SgkzQC$!JnxV|G zBd*!trZ~R(;o0aJy*J!n@xYxTPVB90&Hhip`MRo>hS=WiJXVf!;gBn ztPf$BpiP>cN2-0ZvQz~dcSA~$bWqqV6vdK<0B_^t?r@&r=V~4d8Y@Ypu9z?Q55YXI0L))Uf~c z;pK>Md$W8KmWc5U1~vA-Jvw~oJK9g$v|8-a{{H=e2%-jXuOk7gOWUXK#MRlYOz0Ev zZBPVh(ijs^=t5ESWYJh~&fMa^mK|em*HD}+cOT<4qq}l|^G_dY5HyHb{xdJNgeqz0}0uLqiW0=u?ZojuM{f z8ZMV^JG3U~CEz%0IT#EcF4itBziLZ(AUNl*THvi(n64_)EseRY3#+K$at1A@Fv>p} zF|ey!Lz~XuJTfrWOw25@D(LtG!=x^sgk^1^JT~A%jNQ;H|Hw#V>5g+UL z=&zUrE&2&TjYT+}*Y`E=<3? z7U#ZGQApqYhP8%6yrv5|V}0#sz2n1vqp^!`ku@D9_pCM|tDsN!zTbYpp)NTq*3EgA zyFfak{)2B-zE>Hx(z?g)sIGi&Hhk1tAL=RBy8OtBuMl-5^b`dhKH1ZZ<#p=9dkfr$~%B4%i~ zP)7Q;q$Ua%B+Ti&L2J)M@LR84_UycGaa`BS^^N1UD#C03hH-%gGDI-Jm31nS+(zosGl)S;z3{zNE$bS>N#a{Ig;E^KDN4 za*G#DdBBrK`H^?dXEeg}*klk5pmGi2oFge_U6#T!Iu_riOb)|6XmG=y_q~1ZFMRug z2di1K@%k>SS3+aatgS~k+Wz=8(lho`@M+Lg-?l0zrJh|M83y9tJt5j7_ER4~N&^=A zb@uZNF53P#6@(lV8F3wxs|i8#slv-2Pj4%Yoqiwo%*wQ3-My=RX%kw{iee@ENdBdo zh@afxESXne>nMTbkyw$}2YL2)5M~00@I~hvwR_l%XvVwddXkD4rj}~EmON4q%>5lt zLk3K&I|&nifA$7K9k1u#XgZ?)td>fYwq9r3TIa)J&otvNXJR5!k5oT@%yL6(Y-snQ zcK3QrFrDm3ZpfJ_Go~Tey99A*A?Q*f-vnBHz3+qOa zeBZb4;Hmi)JLSPe%N@}qFr1Sc+_i8F6*1bI#CO62P}k>Fv-#ViOmM^m!WUk=6GuE??9KEGaW9 zN2^4fjekXt>T}FSVqG1Yr_cM!`e7Ve99eFMW%nBDtyn6lCtoFGa1CAk;FshwkU&bV zHFqWAp6H@YdaF5Y9$%lJF(8QE&wV=SCQ0cgV3k9ra4KAfLWC4h(TYrXp@O3O87aSF?0<0|$s(DuwKP`ttWS~LVu z(2fx1dqW>!%udDo#iAy1T>La174X&*#Eyr~5zm5VfSXJ%_8nt3H;A26Ju<5tn}%=% z`eCEDThL6gcwJh?gN+|ngT2o{&6|`|TY6NIJaK{pbSgA43T5E#dD@=WA0txJ8OwJG za}rH>xxaB#|8QGRl`**5=2Kh+t?p;;YUR=F=5G7KrwZoRuyFYJ{yl2&s=$c;3R&u& zr{%+0my7efr8aN+`f?-N+o_kw%=3-Rmw2Oq`+~>wDW&s6v4FVI<<=U7SN8vpwzmL^ zvu(0KlK{bC@Zb#Y5FkkKf#B}JA$V|i0?Z%*g1fuBdl(#o2e;rZ!JRw#@7?{s{kQh3 z-MzI{P&G5WFjY)ZoNRbI+h_Vc5AF^bm{uE z*A6{=)QmsAiR_)mH`^xnVt(ZYP(zVW)^zNd|L<}-YjQaN;>&pRYH(bY;Deda6mxwEVb zG$$d6_+d+eh~7v#hL4)elIS@i2#&M&^$t<+&88l7b-(6hd-g3Clc7c77`!|rz_M8h9F}F zM=8ygHa!FzH=>=|Ut-xslMwyac;NqMsrViYyZ^hK7LiDeKlN?Q$8=qyH)oHDbkm&HL4$R#38hwYi?%Xg8}3q>mrA|>6%4#j!CW?9bHd)`z9`q7>$Jq z_!&Gr+#!py7^t!nQ?9FN4AhlIWmVRvGr?6=y0$oP**ag}&b09XWa7Zm+DjFEOEu3@ zVJgrX_xnOuVu~}_9R^y&!5sb@-yz`G;_$v$=i+>(`%R4aF=%Yd zG$p2ESBvH5wkyrSh=v9Lw}Pie-)^h-NW)gM$*NgTosrqwr!o3ooyP4z=2*={Ymn$j zG@yvGN22=%oHm{ZrXA(9G?Z2g(WW94lS3jj;C0fKvlzlDooxnz`eyCeE{K7JwS76h zErcPch?7wJG7Ey_3$|F21t*NnfWU{%NJ3tN_t%Qt8M^DS^`FP41}z;mWrP}RKfaCD zCR){ZHmumYY|yi8+6I*cd2s22Wu_T^kH zDIde{F1LYiC4Oc}a8J(;e~}}?F}cxfUj-V43z90bWMx6~&%SlOyF_eXw84ITg+FoV zMPQq2!A5`=L`pB-u$(bfE4P=id08vSVAAx}v~j8)RRyHe={m{Huh$uq1=x!SL)Mdc zqXNRi-RIu>JX!G$G4c-lL-4iT*ySy#7fcYAoW^EO^z8RM0uS+fd@4pkdA?h&rKZVC zI~~9^pOJ>Arx!q;>Q}Y!@nbufmfAJD$&0r@;)w3Q?}JARmKOknr)mxquyvR(x{cn;LF$q zfGjl_%6K>}_mk_U)w&B(hBo&yO}sCe^UyMS$wo&`5c1Gqw~>@f_`b>T=TPsM{B&Mn`?X9;t(6#$=39;w*IQ^<~wL> z#1IP4qJ+kxcK0Rzy38|mamLsVV6BTMH-XKE7~hj94YwI3o`H*BcaiV%Qj#p^|VxT*s^6 zUg0yi@!h}Lo$S&bccVX!a#YS{@xGcz)ed(|FG-tJ%iJbJY@0aY_ zsm~8=R_MGZzDlM5UXgJq+?l1tlDod!%v^C2egBcDfSjp?DyWl+|HS>Ezc2A!$}Azu ziw(k4O%{alefjHnu>t1c|V_G|L?8x5rJx;K}oTe9(;_@|Prg zj^v^=0PsznuN2CjAsMZ7A=A99X;cvR1^cEA^jD>$1bBkr%w9Ns$hcXJZ*BcSS4=kw zQ(xC$xOZ9|gzTT6<$U)G^H?kfD!hPsiPNFa40=T^@_+Ru zkws7KjWi03?G?Ku8_V8lD_PUgz6k_aBWk`rmXE@>6x#{nbCuKORhiHC zIb3eeW0$t$n8;4##51MyA&zLz;KqJtPd4JXc3(_bM!tiRo4{51)2nUyM!Cwd7} zgHhjL2M+!0r(a|G+B8llBl=J5z~iu*oHHU_M>ZFYqBSbl+~fKL;e(<|w7Rk%xtq%B zqwe}h$nTsPfa^(D09=Wr2cM$k=~XW*K~W=Ti;Z2vbZ!>-LA3J10?TV^h)YDB#%%mRB=XKEHUU_~+10!QusZ7ez439Da_O~8W z2rHvAY8sgiNI!&wiXQ#GrmG3_t&v;Xp09P!6LxT_5wTV9C`CW8IT8Q15C!!*QfT@6 zBcTFTY<@KX_^a1h*C(8OI=mmBx2;+qUk@#IBn~fnBN{`0daa#K`3XZ1BP+(`(VZyJ+Ipr!iHbd+!{Obm>zsx)80A-H`vqbulh4sWV}C%b}J)& zA)}Ee;q-lL830915Y6=JV9?qO1dXB_HRI4>HhG^pjOzeCZ)Rp=HF0pI9X@wLcMw%@ zcXh}nn8X6fg$VTI3M_ni06dDgzsc#%H5kbby%&$(?5|?8NBh6aU+PnREew3IrJ%DZwA+8}!!9-_*{bTy=C#4fE? z&g8XGa1ao9*sKt9$^jNMn?qjxCKQkQT8yvgvfDB@CbPq)Fcr1=7kgM?(d=;ATV*#z zwYfoO66Z0r&AtpdGYhz}HbFfB!oV8eqvUl`*RiS0*=2W@1i|a^`|6#S$OYX(Ee^?N zc~z`i%Os~&SD7x)e4e#Vm8i0BJgtIy0~X(A%D>EZAtl6=_VnZ~6k31cK|?3AV)o)+ zv8#Af)8*_kH6DXn6^j6p;3XB4c#}O~!i7z5OTi4zQgY#0gOj7|!k%~4%?PNhcEN0-=oyiR%-uQ_iW^< z_l6NVHm}H+0H~scl_8e({(HUpemnh+xJAv%&Fw9wX0e<7{I;9h=A{DX&Ca;iRO0!H zS(Wlxq6!$-%!O2(PV5&OGCsz_NcCeAd~BUi9d>%xPq@gsE&~@&>0wQ?yG6$4#=*W@4K(|^-HkwS z-}}SLpBO`PguSNzt$K<-2x2;xO(>gsx|dBD--#EdM*Lii=yNE}0yv5dJlea9-Im!z z^!v~<^#BY#S7Y(a5-x9k!x0)uA&JSoaqI$M1%)eZfm!LrA0EzB@Q3qZ;|Pb2#lVHB zi(B38;e2G|eyvssqIHi8fW+RfJp-Kt>VGG`{Z~b@oR8mc2|Np$P+CAK>c{5Kg))F8Bw6wo2=D+WBQ6lWz@Rv@@eNkHBT zYXAwzi5rp;^{pyCy`Z@kxI_=+`RUrou`oT^;4~i^ij1&k%b42>2{)4^WEq9|P)5~c z=sB!Y`2pD6@>KGu*%a&LOLkWC8s*meebAEV>6RFIb=Xo* zop(f??W;p5j-U|K#&{HzlbLvHiXIv8xWl^H9mS=bc#iPL>h&PMkz#Fc5hH||;aYOg}B)E>X1q`9np^lWE{_<2m<0CD?w z=++&6?qdT;dw%R?WJfkFgPP~yWA)BQz6GO2I%MpylbOWvwS%u1F$9I@7?3P`dIq(z zh+$-`g{v21^4bN%uX^hu#YHQ3K6`o2M4@UoG%mj*d&(HqP7Oo9cLZ(DHF9wteMc>D zgm&Zsa;B*1U=%(wVz3wCl0i@t4t`LU{j7240y5MtLvOHFa_8*Ab8jvA;W60!tdNa- z(9J-9@p3x1J=DcQP>?+}S?*OR32p(0>NBUOh`=q@ksA*^Kuxn0AUsb>bZ z4Bbi1>tM6D|I9~s*;fw)X)D+yrGLj$e?O6frRZb2!HZV9e^2rM{J_7F`j2cy!>d8{ zmml6dB9Y+XBm`|0p#D^?^p{4sj73KD!Un}-1;vf`2Bo}Si(eK)s-L>UvNNqKHQCAO zj+VvLNe&G+tBEbv=SUg0cu$c0!-Ok6G`x`Ln*`4M5e1)rBEkxsrWRgC;!0rmR3%&3 z!4Lu`Qb$bF=f+sqMpb{qBS&^H02xHDH68Va(prt#-K#U&YXI3nRIRCabKAB&KumsB zjcBF3!LjqLKhOjs6}$^L`q&D<2N9X6Vtx8Vb4I*P4S9nm&a1+YfaiQ;qXH0T2lDVgyN8pe#P*SF%9OZKGSU!rG62w65&;_ zx4i{jxQ9~Sfh2)xW~ZK;W%5k@!lZd@v|Jx0j2$kk!ogYKpD3;*qH-d15y~pd-lfIC z)ow0|njM*xlZQo2>-&V2N;`yVP4}W+V8;df9e50p1LP6_`v=5o%;xms`lSjI!^8a)$YArSKzlW2OG> zrHa0gpKX{5D?P4qb7CkmOs`O-2|{&u3r~9lqrc>WJJUbX4TYHL@6%1; zPr5A|yhQxl-qh~HpM#Uhx=j2p9qefgF_ta>-)ioA89RWufpVjH zU``y&AYf|!Vf6!DVD5Pq+S_KnUpdT8G78gGMQm`6Z1G{qoEzJ|xsEVSa;38I?BF2& zE2_b&&E}PFsj2=-g}O=zFI0yB-?ky*D~#3A7K@KM(v=7j(#{-f=B=V2I1EKrx3H*z zHi<|KyQeJptNCNAh?&pgkYP+>uLKnLFM?8i2GcH;r@I$1&0Ln&`rfR6 zJ!vrf#nf@?LoPS_RJGbAaG)+YP2wDKc!2d5g;xAbd|Mso1Dg-11Grf z!nP+D0f?@a>1pHCkSmtoPh~YLV&MxQ@er4nF;F>~q;)N-s-2#u+G<+S>@e(!gcgXJ zClYX9;pyz?QzAgWBo6lZpLBXs8wj?m8D$44vQ822RTjQ=Q#fZxuNkTSLH>`V7~m)S z+HfY{2w|wNc&y>w3}o}W{h%-4$6D#@!OE8TOxgMLpxEs7sBLS|5wkd3$yIJ+HF2k& z4`gMQG5QF(^qM`k2D*u^X~{2l`~v?P=c$PT1dTMep0vhLwexfL^9@9d&3EO{-3{N# zZ|?|{^n9G2%3M<(z)?6Zu&C;q%JjQlq=eODBb<6Ti%C!v<~nBv?1qH|xv{_9l_ z-{4X7!)lnB$T!4hA{xCjqHs!>QDUGo@DRpes}B-nAO+r(rYw7_-#Eg~WNAo@Oji|2 z$xLu|Q{Br7$1^(f)>a>FN~npv(FxaDCfn}gAppD;JH}W-$|bdw#)|iRYYkQ=I^8=) z#vDikT;Mm#q~`cgoSdAV<$-leUG6RD#>?JhAumyjq8!j=WO!^5I~ekH6cXq@V{(j) zY!tKTq9R&4mH6_iBjuw$FZd7-ompgJv*{ecWrI;*tP0uAPSJ|@&EgaD*KeQ15vteh zjplVED7?Ha()aH6BD1S#1?Ogjx++HUT4kjnYpxz2T$Jf9#kvAoProPr9>05UqFa%1 z?evw=f}3#A`7j0)85}pBp7ts>qV%;5-?s|A*K@?uXUHDKT+v5fs{|+*utuV`rorMy z2#_s{w%nHM2Bekq5s|V`0eP>gDa7u{!w-3 z>`5Z;Rc!v8z9pLEwytM?cVOD=#0a&}%2l9ohx0e)@T!Y$8}<&>g;~bH290$_l9ht(-)9yo^@3}YefqAqDTUHFhk{!jSl+Z`D?2@Q@!!=T)%GKhFemmX}Yc z3gkznYv2EASo%wP{hNh}{^gTTA`bYUmZSfCwUGR)hx_Bg+ZA4`e}c%H!++2u$2d15 zXkv0j#`H<+zvx1gQyG|n<|*0Fxcyz1 zp?W@3t|u zn%Jd}#C%PVBTO|Oi;bZt+T1Xqm26RkgVAC@e^sdKJBI_@#_k@y!EppV>bDlLZ{`Ep z2b_p0CQC!fxM%o;q*ZAY-G;AN8B5V!BkCRmc&iFzS4iRFW{rmWOxad)+E1 zvU{?D1jtuC;41QK+S+!n+TzqKz$I)UXHKVc)<9ArocWC3HI-Q*B?t*gU2Yc$4q&7b z;ODIV@B{vA23oHm(YpG@RNZqtV!; zf3`N~=xTZEpA`(i0cju&Qa}Nilm=6u2hf1@;5e9=1f5&0GBo5X=E@_hvO)`lN$! z=RY@wjT^=&e`m+Fpn#yqmYDpdMIwfs!xDh`t#I|pDBB2|z%$^Q5(!4^tP#=MD%F_c-( zw}hJhLc)tIJ?a(4dpXmy2d%N#ZT68h1&KG4V&o=uoKZNg3F6-5y+^hd^?q@r8o7k)3-T@dwNI)t4E9@GeR_fY%el)2j8_u<_=+-V5iNPrd_F~ z8z${rmxqpq6B%jST0K}`UCD5%fnWThW)xmW0`Z909TSXC7%*#3u&RwNhq)kvj5H$2 zU={4-4Zij@S!$L&^(TW{tUhHLevYL4cj7@$x{PGQ)Gpez_#5=GiKGSb$FeowO=OS1 zBsA=a_05Xu+&u*?C^B4CH}2dPxJWtjMj>`gT=1cdo5SWogmsw3K zqyg}aE|!`%4oer9=CEF`6Bjq z>o~DE`~62B(>aFxxuw_s%?qF+D-CB8|eUecph&K@3<-}Sgd4UNdJC(TPj9RocU4V zb^IriiC-&jpG|DzsPBi%{bn>yKI<2)vW@%H$1+#*IJ^#%c=GPGlfXFnu31RWc;N|` zEPvw48yCf6is@{Ryp%{u`lL_p-Y2G?0#03tpn&wzNKt9`^L~aD`@%BL zlQZa}*OLOTh5F%&eY`1H&meh@%vq@B+?KgAQB9 z9b!9}HkIt#$6Ay1T8zPBPxf;8Et2ziTc2whjNUele5RKijbCnio@A_*-eCifX%1nZmJa*1@mqWU&uC zNNq7|U%ezp?%%hNNum4+o9p&bZB_vT#zwj{7Gh_|(6zOeX0L;z_g>Q`Bexd4iinKMGeOd3_q~pkxSK$TQ1&rfdw*+sKoeMMlspArr_fJ;}GpuA_=hLfc zre=>@4n0_hzkvF2wbI1Ljjr^bAN}A+g9g&%s`WyU)z$`2OG`(GS6zOW);^wyHZ;4d zq0SaaCIhG15r&?t&{lHD9LrrO#c64L6*@wNA(@eH`r5MFSH+LcHo;5QzIx?Jf#*%N z*n+{hBu&?9HpK6A>9y~;S$2EiV#x3w_PnZTAWiM>P!~*T4D$D=f^nHPm+uu}p2`+i z?p?>T4apzNaR)V>ZILSX?R5bI?7Y|C-gitCwmb=~imN0ojBE!q?3@tfe4j9(qyqEi z$Xz^GAW>LBP4@NW>V_eX1Ck*PGx5tht+b3~DX@>o zrIz!f5!@hQoY1!9eAhV~R!YHqU8L7#WD^^@W}D{_%I{Lyh1QL*R8vs zhnqg@h$ON1j9q1J+1MJVVB5uED~gZzZOTv8BKS;bV?H(CkN3P6usxztwfq;R%%PZ0 zhrOQq$mf<(nLNRJFM(^$lpLg9yn8E}c6YaE(l{P-c69fH3C{{|EP89b(0UL8y;!q# zg^01C`uDy#897lneThHrCr?USo7TOGVZa9W_`$08XI65alC~4Ynw8GI>!E8+n!G7D zX{xjR`-FLC%IC{YZzjLT<6^y4;rLFU=MO_-ALF`uF_GK;#C-=-Or{8bD$Iv>P_I{7 zFQVe^=R|ew)=0Fnyfn0br2*@Mf3{68w_8(iwRKKaE!bXK34rUWia%SHXhR?&Cngs$F z^ULH(#8eOX9w%>ZCbVEau+vNC{IrLAbr4sGa&hr_b`LH9H`zYZ!cpjJ#K}IRHPsE#S;oYF6m9ism#>vMoOdy5qBnr#}hi$2BL837jj6%@f2?#41Lqi)QJ65jx zU7uD~8Y0{{PUeyRPA&W^we!Du{QX4s7f>1~;U?1Ia`v6G^0go$o$9?I!fIjgE7&{$ zTxpB40|hh+gEAuz@;L+r2oz5lMCDP>-O5Fy4pw%9Q-+OYu!{T?N|B)84$K2gf~3Y^ z@B}Kcfd@9vn5i@7=*H&|V=8orCzK&*`401XvyjmIHu4mmzy2h^9g&iZdYvn%oHY-5 zue_8|#2!m-)oj8grzcMLsXX;E+0T+QI!hMZ(p*nR8(s!ygFX|OonddVpV;%E{Z?1k z^}WIB(~|K1OHa7m@vQVyp6qTP%+ajnU|sPKMxU-qP;kuVc5Hv8s4RH}fMRhmXo6K<7bgFf0Bv&Gcq-rgsG5&_)R zdH*bc<-|ANZr5^0OCasflcH%z(doW7a3`!dEpz0Z01Rt9KOM}%@OtI|46if$-A<|A zo@ZtZSaT#48{mYHGtfU6dX4UkW5FbZZk;Q0wXR=gOzQRVo;uBC_B7JE?sl)bVZ^9O zc>t(&=K7kEUA)NjaiKzkmSxGBtBgkn56?LJvxDbE@1#vRf@rqPWKFGC3=Y0^Ps&cJ zj-Be>D4T2E;Lt@LSlM>`^$@`@-u4H&m&X#PSyfe4$=8j)vt9TOn$&oN<4tbqNZDk9 z166%>@w7-{pGhBONUOSbTre6Q6I{q+5U)mwySoBEl3-(mAn`9oY)ggTh? zmoa{uaU7H0tv{kK@O@B0!U76;-nj7r^8$guZ~(Sw2$Fdd%zMs;&qygwVmTm5vfh=Q z1-Pascc~XRrW#pPzlFhXpU<7KgBe*$kbF#1GvVC&)X2ZkHTK$&XhUxw)!Tn2}zxnis0ezl3NmP{lkND^G35n!xRVcyNyx5`){jK35 zGW>xAg1-YyZ|tI=4v50eA)^i?3YHoluFx|qngk`{mXuX}eLwShGstDxf_O$F_pIBQ z{75XT2wRL8wa+SB8dc2E!r?7nw#@VoI}Rf9sJX3mVLXIp;D<0Op@dNictuodF{9n# z1-WnJyx{fp?K2V558U6Plgh>?yN!2pM`D6(-1$Eez#*)Ns97P{ux_S6MO$x^rs95> zhSB|)(yeOJd5)jBaYgM+#luog7Z0pV#IJ<+24rG3~?(}95_P&(r zc)p8%I34+PyLQmeNY^v#ma`@$<}39}4-4iI(~Ew9UnoA%MhHL$kx-V%q?B+Q0Wk>4 zR#sOA9*@dpP_RHM?l3e_W^1Re<{s$`=HaQ)vs2S*(yP2IX=G&pOB2GzJZ7~y>&c^$YuEGK|O z2ulK(|FQjNy+%M3uf8?e9{=+xyQ|ktgZ);{O8N$%XyJ z9HDP+Pa8Fm`WN&{b2!{V6fb^WkiwfJ=yxB%5q_lQ>}i)7ZX-$il4?^vi+|QdG})y+ z<4_4J?B_G7GBgm4K9itQ^nFo%B)ceSwAn?O{yDtmfU;+x8 zBRadl`B=hBoUiBfVhzvoym1~sX*XfJ^r-c6w|6p9eLE$SPTn5G9?l8~_34HbWytu^ z4f4ms4k9{d>1_1uO4Y;mK!x$C2<}0Y>oN#jXjZ^)k483D=L5sp&Q@!mI59cj1ri)Pr2K(+pyyTlDfwoyd4`W!7^wrB8yMu&$h4J`K6)NVFCi`P~= z{Am5|&ia=a5R}9p( zKASzh0^D1v@(q$>D4-s*`@oxUk z-X1L4&f9=?073~li5YsS_Xg?Zns;^7ym2%%tdt24JHtS~;B^1@r*`4amPvpqYei*j z8sH3O00Po@FOF4{+Z0yl*e&uL{553Ty#As83aU0p{l~)l9~(^afEUjl_dxnu%zu*X z|5C$YPu;KoM6%mh981h!2ZTfh*Tur_-2h>WzR>uqf6+i$o zY`Pv4WNbb$|7ix3hRZ}1kbv6w5`m-xWnrV_aW{RMXhIa7N?j!42ycxB&mF%%PPTW) zP{6!T9*UD9cT!&m6SRJw<0ha{AIUf7;OyKS?9Svq;-ANz{XA|>^%RVUNEb^5XSIDI#>v6ZDO>Ak|8j5fXuCg4 z-pgfKbwl4YpA`;0+fvod7&g=hWF*PX2tzKXLJ|k^zI&TJB)6#X^l*f8d$*VW6$NYk zF42x`Sd&aLb|tfcZ9aiR$jKi z9J@M^xy-Bs$g|f>IJmZrFtdZ+V)>srxy6BsUdj*v_v{RQkF`Uc%PfJ}uD(w-s!=u> ziLPTVvM*nO$6zK;`JQXyunZYRn(w z(AqtRJa=WXo*2dstoE-+Y=*-oUz67|+(%dUP64X8s2G?Uh}@mo@KwAsa`u+@etz&C z#LB|)P6>Nb02j`_W^k4B;Y3RblPgY*Th5~y2Z%W3dXuhnS98ZVt%1Gsk<94MmSUL( z>~ROP3Jp4!V0Zm?W^BqF*`cLHQ(p6~zy=Mx29oM}hH$kD3n$hhv9VIa2c!NpVD+mN zyp76>Ld;OK!(0pbi%R<(fV1=0IQ&nKy;J_gtKxaP!_R-Iy#L`T%tGXd@voTudny+1 zX48O<$7)9yY?cC0wEn#%{<481I%^04h+}P1Utyy9juE(2(pDuaMq(@$f)X9++Tg;X zQ>Xb(o0|Y<#)V0T0FV1f;oR!-ISCVC2&acav%CGy@KBgxWS#b%+@7`>ZpFLL!=#Mc z^2#)+$a8ANpG?aMHeIqE$Om}|sGzUnO!x!c+qiHrEXh44mfmm?MNAz%kyQDm}g?k?49XkWav5f>iHp^dMr}WSQYbq(Mtw9VQ;a7gY#9I z09Rrg(N{@k0TL7xXm5=MP<{&r##OoSW&66g-eG1I(lV*h=0=D2C*4!V{+5Ep`={IO zJ(wS{^N(}K*R1A`*t)rQ*(~7W%sNJv-Z{VCKS_NW1RMME7BkEg`+a0awWnSA4uc_E z8cAL%I$OMzoO}+ zfeu9`xCDtmhalSML?ENqNnYK`Qu*eS=m}6FWQ@fD;4;c!Y=<^9F7L0=nnr4st5p zeh+%IosfS%F>bRC{3jlfGR%be5$Hxy{;oRTaQ%VencH3)MSn?>zxVysFjMh(Zsl0st#U3KCGT#RiZ*OCjmZ7li}1fN#SE29Vay zEY+<;+>uZ|6Hr)@_UI*;ZRwUYEJ{yNvJx5Vp1!DWRcM<@!k0>UeFj2JZFpO}hT-yq z5FQhibyt(ixyy)7fW#Ck#zX+>0pP4hlqe}t?UDNmv!8%}R57KAI~PZ&@F&|zeDEGz zjFknHKQD2cIML0%GE?_qOxRK4SRhTR>fYHWI0(fdPdf7=%gBfwfItv?rSAzP?EWV1#LV;eZQv`5na2_yN_-xkLd^)$2j{Qg+f_&@IDmD z$pJ_W@x%;WTgVH738eF7vnnBdN7wIG(!$+#i0x3ZK4U9^G;ZxO-HSHn0|>~jZn1E;uy(3#i4!P!ylox<*4rXyx} zZv2%hxu#cojT+4%$2PSN`us&QT+*w+k4D@lIZLO8xeW(YtJ%7gb2dkhC~%q%ZW#mI z_w+bR*ZU?M&;d>yH%HRNH7(3}UnSc`pTR6y#PjP^6EGj0-xwn`N#rHUTGkK}OsPs6 z?+uKdm)inI!Bc{D0UV$uML|-Pt~l=0ViTn;Sl*2Hf;|L(&R!{vE`}>Xf?36XI-t+Q zWaRy(GC<`M_`8t)*D(EG5svOUuk08F)Eo%Gru$5yO|dPOy2dkGq7+4xVtDu|KLM7& zcWBW@aiQGm?nu$u2|QRvu&9pUQEB9t4|BCan<38&!U|R!nUqkO&C#3+XIashJhZZ0 z&`#0&1l$aQ-CbuQ+hE`Ptz|X}#`Ki2einTuDnhI&-JXr3>My0ML_T|tOeGc6V+O~e z7%?2olrQ$XAL^Rla*>)!&u{t%=P#Lt-&fTZ;!u(?Y?L2ZaN5c5j0}SPk#$DtU+c&m zWYnIx6LFl7&E1XMEro{nCui9UsC-;=R>8+T<_r2dna%o^0J%VUa}r91!(+JK4vkce z0%#KhISz+(lod4*qqja-M0_Ob(6p>*b$8xbJ<@#j_6JY9qUgYW*qE0A8Wg2LwTNC*owoI&n%>`QFem#7Xv*U4(ScO z?3%^%$h;DR_cOTOokwtob=KchxhD~laotf7%OIUEt?}hJSA@u~e0%ZO5rpXVqh5ti zklKAZ=2WS@$MZXnXg1kHYC$Zj@wX<6aSVp^xpDo|095g?JIrte}+(8+7yQ~s|a^nVcM{+P1Al9JfT2gh4qe*746o3*DTn)&rXJ3^93988ZW zZ5Z?PPUCe6Zv2BO=(`2M-Xe--Ix-6JyjsK%y1Z^kRdmVO_=h0CA2+28ifVI7!iX#L zv@5mx7Qy{rIy zDmLarfgl7;#feo;0GZhl=BlPV7^ZO=$3TMLhA9gLMJs2S~B? zj9+K_q}J=lE6E9$ll8QYM008!0$h+BuR;JcT0}LlZE$W~OkQ48CsxpE04_T2GHDq3 zUFkUjM1(ybY-q2=x}W#5DSUkDvNdB9e4hiu+?TDpFh`5;dh6E{Pul%Mu5alRyL*?( zGANa7hoA?$Rbf?zwakvT1Uo_9(L#XMebS1lb2_&bbXwZU0) zEk#7D9Vj_&;z?75hj_(61DpXl^=o}(mK&1=DG@o&Z|L{n6=O&{26dU+DiJ>f+f6>% zvFOb0g}v&U49z;VE}Rk6sBjBGmNuN}9If{ssEX5w!2_-HwVjTtU+qu|_iS(q7zP12 zp~!jQCSCCm_Ca_(YF<6&&BJxdK}3R`9Y$bVQ`^tFTG;$r;?9nSZ8Mkunq%=bBYoP~ zhu$EvzP4wU%I9oy1zfx*{Fbu+OY!j!q(1e+p}&2H5jqXZKg&)hPPG|8CXUCoWongs zAHo#^2b)|j>D2K z`nB|$k_nQs(KVm(B!l!5isgrucC$y?%Ek6FuDDG$q6iKeee-ujC64x;tJg*JEK5w9 z-iMDpF^niqj;rlXDP`3^O2+L9rGMy8(_3brJ;lNXk)U!?NtK_@7l4`~T(zI6u3+=617p8M&pXag8sP74vctU|G^ingUXXSCJy2lz)84wPU~A zo{tysa%KhKQTANI5OcO8^rXX^dez4=2RiBXJbo~A(tcI*j42Bn_re^$&)u_eAMi+p z6cm3BExo$Ad(xjNjkiA5tois4{9AC3fCYpPO1+eUjdBj#U{z>H%ONQPD;g@6*Ovwq zB1Wp9+Hb;~mLyYHyEDjJHL*#y3l=7eStltHhfRX`GGkag?D$6($s#;;KC=p{Nt^um zs!9|6(JQ}uf3a=&6V7@09d8BYF$U+YnJ$rdpZMyY2*sQ0Zu8@G9>WKr@v+nKFRq?v z-<#aF2c|mgPqHzrPLGu^YC5qt!Mwoiw$%+EDl*F?OnOfLALGOFVsbFczxi-J1u3Wt zfC>^R$`KtvBtUJapcW4ikk?@~-P^LqoPZ%60EHF*3c>n`)iFs7Zb#{ra0(<24)}ju zCU2OLNzOjU89tDWq7NVdUzY7J^n78ZCK-a0*VSrms){cuG2P(kCNdUFB(|OTRNU~X zm{AHvQbqmKJU0^_?o4a>&m)cfW!g~8g92p+potAotPJQNYnc&wpN@NMHMk?>vsfnKb_)3BZkcN^bbgmy!j#a({95mSDtSx*l#P zUQq*GO(Mi&@mPGPT;4?aJZ{tg)qJX2j_#8wN3zyKs(B(SUEBESUar&aTB+pO^px!F z*>6Zt)7jWbb%A#R>ZAG7I7|rd!=d0#YSgn{D8Pd4klCMZU?c=<0iaz+uh+DvxJ&DY zkdAy=7B97jZMg9@=uwTaESJePu4*? zKllfYLSB6m+a>9x&0`vb$SwtKU5x*7VkW>LbKnvdpyG5`?}qsGW7Bk>+VdiuJI1^) zGqq7Y!9d;<+m4hDIKQ;g?%8($jsgXJ+K3u+2(L*XkPzGi@BjkS`UT;G!XRJKCluob z`-y)GPULd>rM{!wdE&nG>rWo8_}g~sKQ~za^H+XRzruuV+KYGFkk=zqUoIk1f_$-| zqZcQ6RWGG@_I&{y)I>pJt!okDs^+W}kzYYUi(8CUDalA@?HbQzLvvXXqhU4SyO=)X zUnhUyS>$8Y%3u7pAnJ{$hX7TZ$QyDHDboX2a#hu`(Zf2y(APtIa+h`?eX@PAOk*2& z!bGwhOm9%YTq04>K5bqwEsGqeCu4VfQeI&f^dXZkou$y4SjOr9q3x~Xs@%4BafOAX zu;>(6v^0oxgLH#|(v8yHuxJpJ?iL9}q`N^$X{Eax>E<^%d+&SP`|PuS_kKV3{yrQF`n^^XN)=KyZCzD3O1a{^th?X)pZkok)8RDJY^+aW%_aks> zf^Cdo4nnfeg9Ulrzg9CBVSQ5O-0+yCTp#Gb2{Vv3e$4p%8EHEDgq$FQBto8|8A)$iSj}##oB1dVSrFiD)A3aLY+nX8%>t4$onAuXg9^ zWzIbp?|qiq3DVl(tUC7AB(`;0c;@GedO}+by#(3Qc|DxinUOnoUAG;tRX8>H2{Cyp z|O;F$4f)c~2j2(=s4SsgjZiuU1qoA^LDNd}IuAnBI?ZbE{rO&un z>t&u@>jjj`01Qb)$W^~<`wiGKmwyCOppVKIcm$q_ns_X++w)LrOU{8B#s`wZZ9&1( zrxBE2g}z1!p?9@koT`X;kn4Cp^ZmsDXVqy`&b0UXiTBk#l-?x%^3LySz`uWUWp<;M ziHwsj?f+E_`$bvvV7>XPz9q$dzQ4GIFg?Q3wYCY7Iof)4k2G!yCA~&xdJv2j<5ah+ z8+4X(X;kY?$PFdXs08@M$_#~Vet;d3%1=ER+S-Bvl!$<>Ad~se=&eq-U z)syVFq{~aHqg^kA9xsM5m&HlT`sNCgJ|;d}$uKgDu+@;_x-cPQDCj)jI{F$N8bcG3 z_QXv1TgUEseg+ueB-_u!4TI5mQr~4^PEsO z#QU;n&9FYKpGE{utgT8a`6n7E#ydvb_qr`OpAe{5!M{@9v`v?O(O`|U=MzabKRGOA ziUo58P7cl#jQxS(F3nr8#+%yT{me>`K7`X@dMR_mMI-Bi-#QYt)8Hf#2I^xeLW2iBNeDkhC5CuIl=x42&YCvGEwzWX{<)OoU{mPN&saXlT@T1U`;1>Pe=KZhk zX<9+thxJwuu=N+mrnkB03R)zh#AaI6!wn4?jv`AbnpG^F^ze^BZV2=DmX%x;7r{q& zBU2M;1FX}|%NAz3vO)s-ZhAVVP}$p|$l_GI>B*8d+|fvFflw$Ixo`|rFSBYIDt|K@ z;gJSVkFm|uun|khSQBTH+X8z>5^6Uwb&?~- zx4vcK7WmQT>optWDH0CHYJbkJRgg`1|K9h#U56kg@37nXwrDhVU^cXY^sU7CyC`u& zyyboV5!|Jy=0Ys*7Qxl0>meBGq+nog^+w@4ym7=;OB|>ZR_wHK%s@c|vD4OE;_M49 z)b~OQ0D4ayva-a{>Guu>618-hLFqXY*5;=xQXfPA)D-+OAQa6u^;<4yy0S4x>%+(| z9%t|;FeeFwv65l|lML$oz9doWmw1bIuuXST)kLc@R)~hH-h>lXi-KBJ4H)0y2FjXq zFKJ~!&&n}L;ggbv#*wqC^{prS(5JTzI-uG*dFEhNnOOp;>pw#=*Z0`7tYa`|>WRqP z*PHUSC~~l1$hn7&@3ks>o(u`FzE6L^BG`*5O~H2$ZBNZjX06S?LoHI5^~nA-BNTut zaWxtHHth8E$>Bn~ouem9{K$yi;c8@{nfyxPYj*4p382&M)GmL{0yuB!gT%g-lBRNi z%f90A#8i@Ft4uTjj7lEu`+6=u{1EOl&yh>-)#~o6Ut9I3v2M1$>;y5r_^0+b__5;6 zPRbeoG2y?O-mlnOM{%?H<$SK|$zQNmW>x}+;eLi(4TX!2TutO+t!q1LRHgncRQ=#f zW{byoW(MMfn1LDn#(|LypGfnQHb0P#{U{Gbr@r@dD<}5DxU9D3Gs_oj$ixQGXCGf~ z)Z$XdwP$%HJn6w*P;1FSRecwN22&}sBX{G&7o^L7_nPv%zNwp_R-VX(QBo80M?Dh$ zW<#^PDbI=)1sRn-TpooMCPto}9Yl*P6ZwkEoNH;Ih{0-#yO9D|ZODF1MdR}K)!XGS zVCr|KzcW8e@6FEJk!}}?xJ%Hb**GPzIlfQGoQ3dp`XO=msU2PSDVH{sz-xa~E={N* zB6noPO$a1ex?(CWHz8jEG%>?OXu#GxB2|?Wj=I3N&KS8TOfynyId8Ini7A6PhV#C~~`_`(sHRQ2UP{643L@~Hsd0j8py zATJ6TJ1fO2w!J;WejBAc3}{$gqd@~^u5KZHbI*ECg%($Ew9ItQJ@L&FL!a{SqxotW zbl6=Bkxze8SsRBpP3bXjUX*Bdb&85HJUT>6OS=<{o=(x#>Hz)2Uk9Xud9e)|0xY0g zfdx>t7QXG=B7#0+?&MC7VrW^oS9Ai@HlA+%Wcpo(jSE9bzTJH0&jsyXUd~@MRXCQU z&$aImGQu~jU(NKduV?T5YmXv_3in?cbpDL26u~!jVz_82=`XkVS2E|)2kCl4mj(NhFq)YSbF1ncv|GMTDQ{@IyHxtt~6e6%teWY5i+|fY~5SJ+uKZT@Bbf zIkZ|E9+EZtKsLiE z=DtbYhR*eL&-Cz`;y`L%f(;jWr;AZmOC&nFBOWV5*!>g}TNFh1Psiy`(;r?-mpBD_ z6d4lkiEYpi3driO@RwNZ=?Ickbl4%xX0as_b} zA`n)qs+rlv!Mr1Sj5W%^$8y13C@3(_9$?C(*>}Z*=QPxU5g{~zP@I}F_Ae4DdC2if zPxAJ}I?%MU61IcZSh;$-*M*mB{e8ifp|tewv9Ux-e;XTDr#MIeY`%?bWG@1{*MvkG z1(u11P9Kejb$h;}J>SqLf}LVtwCm)^x>9!^@4h+N!Sv&Fi=cnAP?_1ck8TW>V#9OK z{I6E}3uhJn7c{Qy7oKMS0~7FOz5&p`z1D0(UeUXu5Y)({3e1dSv57YSoVEw;5mo+B zatd6W3K_pq>q_}dG>EpOS(F$qD)*=EyCA7eictCD`*2*0^hxE`cfTU`Xv~*d8d{^` zf_V!?;5b%PWEKqDEHnZ~j5tC=G``FnbN2|`vj8uRES^DVjljW2t(NHAH-fKlJrj~U z2IqAqNx2j%xl7#gztw$Gk|jEn@J|?ddrYz25J8r6P|%dg$$0*=IQJAXHsj(itsPfO>tb9)YwNTv z5=Lw5^lGOD&WgE)s2p=fzE!Ab@%#Bbrs|qCH+oq2et=dQ%i?c@G!`d~?MC?Dx49B- zNV15AOefro2@DIvpZ0I!7}JzF&%&VbwX~>WMbjwO(_$s@2jkh0wFOZ)VC#We?Ee1s z?AiX0jZ4IbJF|mfvSbzSlD%13!raN|*2fnE6yNES5xJ>Jh=fT#XB8U0D*$$ub)ba6 z&c3@4g$fm3L?4dcZDdGxlA4LRe3Z(VB5wOnb%LO98}U%54emghjtZBX(J&NP@$5L-;D&nQC+Tu|S}iO+@Z7qAaY< z2ZoLz3z}$gvn(h32+=`!=X`xbM>Uj)IJ>8m`mKe33=6jfj6K9-m`{wPnDjl|ZEvoP z35gp&OYjP->*Q}M`3GD3zw_4aeH@o~;mC_q@8oDYvIpmq=X-+D%K<0K3orx>dgZ9& zL=@$8D?E?uxGGBpg;JiF2X4Yq7*F4A@uD)T=u4IxRB8`Hvz$Y$DlqI3)?3!8q zTH&0qr9=M|N{6}PJADiZ`5|S8^5$Y%*SoNL)88935v(a|OFk!{J&zLi9Bi;2vSv~B zcl3;#Vv7eqNqnPV&szk zFb2shBf+RsyempvTqbXeI1MUr1lw+vzLNgz-l zg7z-3>wXuRv^78Itv=rrrS>4gOKmcL{4fNFtQ+~O%7J|8$KSWUN*-OJHy+|QjkzsB zPGlJ*2@-ZzQnFBF`?ZXUxxuD7t6;Qp3oRY)^_8sVF^f2`&w$NAtn^-Z$_pHpnqiLe zIdQ4_K1g_Vp6V&Vv-C61iDc47N427VB!|Be#sB&1Ci+LSirTc7LEU$G{K)!3kF?(| z*wrcZ5~kJe7WPeAith!IWgl+1H~MkX@Z1vLp2oOk!4Nt{~R zXspA2E_1fRM~gHFwgOhc?o&E{FwG-&t|1$HT^P)palZ?L5z+R#Fr69HtI#oO5YIJf z7krhXAopTV38^arsG!Qy`$F4>5Efl!{9x@GvG(V@@iL8P#Bp8WWnj^3R3)p4RwPjE` z^~$Hv2AP7ssS05xEYb}7btmPHU~{0iHVx1!0ae$ zzJO8nR%KIB+wri(e5d0B^EBds;^r1ii(CYmV-1x&(k;pTC@%IvhoQ8Dw*GGa9yUpT z*I?dkpK6QU2nCy@*w-#-+QNCqn%5kd9e0k;h=Q?HcaG2M){Z}2;|qGsUe~7uUH++G z?-w=ae{MJbaS_mINUJO>i>|Th%8))J&Kf|fu`21Xb45J;^fe=DPqrtRZy{(?DG%FI ze9k~n!(NonWS%TXf{!=;ee)|T-DXQoF*l5Um}x3GTjl(~p#v*uLH5#6L9?1DcNr@n zh+ij~E9YqN!QnYdg)Dnw2~2(iRtQn4+bl3t9)vvqv!J5efAlO|8mtlY1=>oA5Thf zT@-EApw*1p-(Mz3rih-it-c!zMloEYnMm^hV=Ut}${{oo6(>4{eK7#B+8~V9)N;@S zY%Ex~Ob!~uBKBdQEuFwfMZQeX@Kq5WC;(<~btp*M1)3GK;t^o2{AfuC>%;E!drv}NmzteTile~q3d7487|5r{1GH> zZZ8FJsij0@Syvqogux!J4oec}FbV;E!9JBI2{~V^yrapL64l2Z)kjZ9%A=~%ccOX* z{WudYq}d+|9|wb4sX%2T7$fqn=^L9USw#ED%$$uoBC5rD9e3Z=vA&uS)TO4(v;&gQQ& z!!LyUAGrAc(OYkzykFGqs@!zNk6GLNtskTn_S)LcOW@uXCfLVKWTK<-pj)vINk_kO zYZ11+tv8X7pwjwdp7V*AzH_*?9Kcw5Os+LO)<;~}nVq*DX-^Ww9(PNz?!P1Hu`gF1Uqg_+76;Qf5L5_e_L%+SQO2q7Qd|0RF(HP)TE-j<_F9VN@4|Q_iSfzaM6E*A zTA{>QnDgzz4v0brmc~~>bif{h=&l;a1PF^h<)yM_>eRV7)Y2JQpHV1T6avXF8 zR5Wq_-O3qEbX@+Wd-`J5nu|dg#UHopf`rJgT)oqB$vsXQ)e!>nk&E{AdU4r$+;x^O z6Wyq4_U@hiEP|%VGxwwOcfB5+L$>DI3tt=f2!zCTJl;l4YUce-~YZG z!<+e5G?SV&e|ZloH-!#gO9#em@+V;yE>4r-{yE%@{C=B$k@;U`hF|}b!3nZD!{Vgg zd;1@bwpv8FedcU$M8${k*Gn_V*y@W2YmCEGf4V?oZX$T{l&q6)8XUrvIZC zKuUGmn_7$)ihqw_Re)yLS*C!U)(mA%^gVV=F8+bQl`bmYymE|1+F2zIwIB_7p8stu zRLbScN@_Zns=JS8U;~nsReEX9X6I%!1m3J>NE>k|Z!lmj&0Q^rkdt3sx4Q9SJ`93C zaB_BK8xz!O%yn>4_{Qt9$Tef(+}H|=!bfz(R(BuTYK^g>V)DYJ%{e& zLvEwt5kQP9^d%`}g8MH?uO==rXT9`JxEE!n_*>v_W@j?Jb4Bn{gD&-9&2>R8)7=un z@aDSQtxROkX>S26E9rz@S5(`x?c-|~9KOc;m)f6+GM}1{9$wFlH%SfYrFT*rZh3Vq zo$pAv?vwYrVp$ztjG&U`Xar;BV+$Ayx~dy%Hww(d^PL16*C5zaRDQkP?<&bF8T3gQ zMzcSLb6py@f87gPvEh)g%5NC$!;t?|ND|G2&g$AQ;%!>Q{Gu8B2TU2@W_a^(i$D3Z zdi9qKEkNAoYD&DhSL#1ej~Nw$ikoxo^z2c4L72SbO27A=keTHZh&?5*IDq0R# z0HxLH@D7gJa4=)d`O3kFP|EO5+8O3up=*nruO81h>CJ?hzronu&zK=2DL8Zv-xqA;~7?tM?1ljzM*&w z3WHJ4o@ycBF@(uSEa6gT;4auhrs@y^dRxDnYgHD%4A{WgU}0kHg-jwuzgaa}Uy`V& zTkO5R{Ps`rL*<%j70!A+78+>kEhMyerG}mUs45xk`WXeRh7bmo z1dg(TUk8m)BBSiG@h&yd*rEKzFn;~ekAFiEoQx8DA4~2RmO|+FO48Qop?>rW1v?U?7?tYRZETsVVSqGXVxZ9f_|2 z_kaJc|Ia#iI*ftA_AHGpjs73eiT^u)+!O&U=B^kA=|6H~(E+O6#_RJ&yCFrM_l{?o zLF7?zL}Y?b@S;jhWxb`Y*ugwi>akMOx9hKhFz*>%ocy$#v~4FoygZ)qj@NGud_T7A zkBXc9qS8ug37v81`rP|kdA!n^?Q_G~%jeP7jh7X}`ReqBQzzgiBIZ*y?+?Gii`D(O=TXd?$g*ziU?w|C$8J`wv;V9zC+^gn%g8=^`RT9|beSPfR?T00t z@FP85$4z$2P~kt<{eSkGE8s#h8~--?zcYjmS%fi0l`7{Q9a<%)!|zYK6HU|1zmXd_ zy&5Tub=>&T$x=CKpq+*kMeB1)B;Zsq>Aq8+A8Ppp$$4v>R=dU_5LRtSgPk93MbjxJ`5%QM1Y`ztroj;ch^2e(aEby8CidxK z{7)$V9~ej|(v6e8HeaUvr^{uWV0UoC#ie6Dd0$_Zcwc+{zD8eO8aQo^!rn>0jiXQZ zP}{EE5hmuf$$0E)qLkLOJyrW2JPbJ%XuiRhP)_eFk9^g4fwqRPeiTH04JYlcu$<6H zjAMDx)fR&LULle5t0SJ|R~iUfHz0RAuOR9dB>9iX_`mx&GM+&*MD>dkOfdiMa%h1~6j!@J9(OOV&UcW8cwhX{ z(T4@Mz+t#p|M+9DFYR&AfVeh&$)+gLA-Q=JwG?Llj7xk(&w<_F#J<-Y~5MY2=!3$qt~;U%C3akC^4-ncyGZ1z z<)6v?L{Ue%>2Dcv3&nryRoP0blUfWL==n7zye-UD`bu~-d6G`$+0K`0#n^Y$@GLRF zFtRw5H);PXuHb>WVGPW2rC%EUMxzmp!v$=oYY)}n_-5TQ60kc6;4RvM z@Wgo-bt4kzQSjanafqKC@1+0GM#EFfD5Bhox5O7gz;I#lvhT(I?cuf2gUIF)SOAA8 zpc;J?oon_(UJB=#j_^D`k_C>a5ELC}-Tvktr*RkF+Gz2+7?u~@YWJ99$l#i#CbF*y zSk&;x&kAj@$3}|{m!{o!6u-me8EPCi;Ebn z4y}eb5&ePj?c33w;YS`WS-`51q>`z%JC0UpSzoulH)48H5CN>D8~Dkv06hAGMn2W! zk56L9%U_{G(%GtJ5$Qwrce)HNBH2S05JQ`l=<$r+0B5F~%xPVTN;@|DPg@W#r=8$Evf4vu>2q}4#!5$)7 zVL>d<{mq*D!1(&=5*!k%i=VxfU2VVe9BG%jDIYBTUa!DT9wTf0ff|0B_j-P=Nh@^d z3@V+$t~9Huis{qyq#5ZDJPIp3~TzJp0-#wC>|vd>OC zhs=Y}t3U&d_UUYpau>#^W;kClOhS82uR!@CJ>LCz`|(brve03S#b{Bp%kaq?=ap1v zxs~2j^u<&{gisgdW@lM?S{9Yy{afp8?lWmZ?&6wc&Xcx-1)2}mrfMreq)>Da4(OMo zG8%30ti|d6%A-lIn!$e0X!3X-WvGP;ggng~w$Gf1;`HmjxF9%=t==Xh!*Mp!q+B6$`_KCQ7A^;amD>DgQyl$HIHwCIj~A~kreN%Q%=iqu6(uk zp&TDTv{obm;NA7shXjS3+`fkcz9~o zCVjt$9!he6UJE}B&2frg5sE7X>EJAGI)wyEi6G3_Q~~D?`lD!gg)b_VLaJGw6^X_+ z9*w`cuVQ8hCGJZ0-+6g?b~spKEI(Fe9=&ed8hF=uZ?RLY!Q&L;kl(FbHQ*WL$pg7Yvh|@lrHQUlTjuB7AndIbIg|@YRp(^$gL>5PTzHWvv-}x79=W zqm2<|n`uVXEXieLy)khO7=acq0;v-{X)^`zmk!`Bl__>6^l%x7!qLO=ef_dWVYiCOUOJ6|g9RXJdTI6jL#*J>He9Bi3KqTj{$rq zbUYr?|2oeFZXgEO))#{$KAFGqlm7*W3|;`zhS`3Dw4bF}v)FI%2|RH--cIe}GU*|z zUP*HgXbh$8JIYcsu%W1nTOwKHdB>0oW> z#+IMkiU;5Qk*_XYShLQUuTx(`<~XdnxAD2L3j`hG&M4ZwvyIQMRuGnKP&FqiEFaNh z;u|Btlz82bEDl))nUsRhEFiItTNJ!DqEc_kKTRJy;IR<+4cV?sM>qu z?zj^3A-c!ez*jyr>@9UCKT$Q>`|{f|RZ|T{FfA}}q6gkn^cPL>q>scb!uiwPQ7CT^ ztZJusjEjI|N*_V?q_Dzjig9bAG9FwxKeX@nL`SrME?!M*=GElG7$)ZEUB4AH^f%P* zs0rJEaBZea92KnMbi}RDHgw+j?~~6usaPg}gBW*2z|^Ykj9JW3?pRINX)b}Frq&>M z!wlkey)-{O{z%}S<#oD5=(?O}YSiR&+xM7XJCsSK%Hz~-4Pch=&sKG1p~r!k_ZUAt zFOO1tFSqpSY_{2NZ!U=9LxWh^KytseR)1WK%0lIg7nA4Nfvjir_hLYj`SH>4zUufE z{5hEX%@WN*Z!`eBzj^1Ef3=GeoopJ+&Y;2Wh+{F74V7gbUbx%v`9)=+UK-;KTNT`? zyMYfVyIPhc>H>eL+*e+>Dd3P7nmMDAbA7kn^^_@l8w_Vi{;Zt&-21nSjD8chT2X3o zF1K5fGY8lphlgw+ywLvkDWT({TIj!=!!E!W$7@$hzuDG^?e-8LVk!0Z9clR52xGf- zf;O{07$jUWfmjr2cW`#N4cp&5Ht>`tcQs-#3PyfI{6Ol4RkB%s)~PoFSAVYG*dK~z z3@Bg_2D#v~G>^T`CMsJ9+D+0oRpS`FHk6xNep_rkH_c7%8e%w>Rk7;&4+UGGbio)ggEUMWdOU(9@zaIT^`?7 zRuaFZ48SFIgXD6kK#UU-}h(}Fnz!BOT9>)FoZUP8n4!xo0+bN2M#mNDa zz4u0(k@$CC9BxkuAfbs1?!uRz|FH_D|8*5E7NeE3fpwSIE_~&RIB9U+sXrzO!R1Ff z-k!QK&rW(Ommiv@ongcevY(f~{1NTAJ1gdTc{sAvaK0V=R``se%!3RrUN%&qSsDX< zNaClmu-F+r)ZiWHh`N;-4 z66pZsgz@@fMdUFQ^;s34mg`ciUF%(*4&AFgLTXI9%SSey=R1x6;%?Vcdi@}=&`^am zYuPj-+3j`G%L6O@E@GNuE45hR2q!Y5mum`D(&|qXh_-k+B5lIb^YS4-3v>Gn+B&rPB7K53;;`<^r!P>bbN@yT$tUBE&CTv)w%r=84R zf$`NKLI5%*Sp-|diTJn6APTQMgaN&M0q1Rna@Q7A`aHh;=j9&&Oi6=OWzXZH<*VP*D({{c>K@gHit>!c7rE9hXck=PAbzSnU+vb+V-)D;&hgB$qcS^Wpk;P7se= zfteV6|M1v+7tp2Y+K%+xM z#*D$HmddVqwNdK}RRFQ<`xqf=L(QYt1ouT`3u)CwN@l7S3Ny9lWW_4A5<4gF1$_e< zNw2oRYzNv~QEovtK(Ni%rt95F{67}f$kp%6x@TLC*SVJBth72~J14R0`_8(@oBO7p z0fpi*VWwgdS7%uQ&Q7a9y5-(E_dvD1DKU>#3UHh8D!D0ldbH!!0g2sD3#;$mau=c# z5Ab+YQUJPr=46qS<+1bdQ!T^tJ5hbrl!r(ExH$(PT1hkr>818MqfCDas=px%LlndT zf#l?M*&lkJ3K66QLEd?DG!udP261CD)Kx@am`AgISY6+r>fU2e(HMrHVXt!7FDu}; z%?CfAYlh{$@xDHv2|X|E6HcZ>BQ&9h^3;Ol_dUS(C(RsrJhJeXPcJGNVX`QGFtMhW zK+louALObBDTf@xH`xj?5tsJojb8PF7rU*Tj_bqyCfJhGfP@;if>iR!>FZ=s*pKDc zYz+*3g8N~SpWHLW7mJ;DrsWEHG_si$vgd%0Mgc3l0W9%jSnwvyW*ej#ak$EY91gwF zJy34U2~S9iJ+SWhNv8?RAFsv)ST~mdkmXU%_OWmhb9t4$t+1{?d_o;p^V3jKEVx*w z-YrsfWBu-gGA6lTo+NX781cLDN?BEl(HyU#y3s~x6{@}z#B01KIef|=sSc2X_?MT7 zcRaXX{ZJrxnY~T>jEsidybFH%dqANi1?h8gcxj995+l6G{)yNdfz2tt0=6-(xS|Gv z_?gD*EBCejOg|h0XG~J~)?63y+c{RGm8)4Z| z6PK0Ljvk;l?mN-Ua^liksEaoVjJ*jXAM|f*>Nm^kAi2@ylk)YX|0b$ttlkJzjA!;8 z*f)SL%8I><&KywH50>(SWP<|av`zs~0k)G|hqb}yT90Hipj4*7MKuiI6>D)XtRexA zlnp?`4|`43Z_=B>H~3k%QD!qWkhS5&fL7*7t&^o;WF8F?+8-GkY8PzQ9mwhk&79E? zOg-cyCEu#&l~z*DUQFIcl^ zI#H8BAu_Fx2=Oa6Z2ut2M8D>b*>bjSwbBGfloPcB6tZ};H@{T+ExFtzwl7@&zD)KU za0hkY*C+?9kS>)Xcbn?hk`T75Xgd;tE1Oy=@0g|?ifMv;mMAx(-+c76 zKL<4mM`%XD8*E9sG!P1JV)r~17i%L3^40sj09b+u=)Ev}+&< zcY?%B!`Owbe%2R#5ipYIjlx=`8#$Km>SQ5YrM+HbcINu3QuJivi48^S5Ngn>hs(6b z?Cy^j)}52p_Dg`>DcJhFMZCgDVS#lZ)5)T)&XFAfjjFrExO=!!lUmDcAY&PJDys`3JX@gWri|xJ6GV#CsNyIhGshh%Y^Q39|j~ zeY_+3+ct%@bAhCbG3rXP8|m?~2{UMpNod9XsIw<`2bIm*C2czOi}jijH0xZ7pNqWF zMe!8?O#QK!QW^ZZvOcE8VKWEUjFZkpPpoEiyN}J^P&=3J<9fEqlf6>fyV~Hwh|;=beM^<-ZG)3|rN^ z9S-wEZW!e1XqTC`7-6?>Ob`2!jpgqmn=xsaNp$7g_Aa>B6 zaQhtd)6bnlS&v$3Y@>R?AruaJKF1P*ro}uiTRSc2Y-L``T*T3a$1Z7e6F%-(Hb-`K z=>{UsjpB@eYn3e#*Y>m&6~6GHcqKp##Pd9Z}8hTdHxwhp*XfkV#S1&eD_47_cd3f;6-rLcTk~OMAtjK150uIp~*;ueprL+TLvX_{DS{9 zPZaN9Vd1UFlNMi;guxKL#8535Zy|^hXNsfFqd2h)g#+8%^<3hnniIQ1We@}f`J zH$IiR>m%8;3(BJVpYG+VKRZSleH1}12}$vPSZnf)#Mm8$v3v?~^3>5Y=$l?DHPJ*W zm>{!gXc@<#D&BB1k8XU9qBSxGW|T`<_s8eo8($m>moSyc!@aG6(jp~Fiy%C3Oc}>u z50ay|A&W0qsszWUkuQXqDg4`FUP z@Aihip~Ll?Sx?AMaRnN)>zd7yZnZvm(ZgFm1Ao`6az3e7?obzDWl>E(3~171zt~T| zE?94QfZjaib%by(J$vzpP}|54?)nGJZrsq*L-jVyWhk5-E}oKaJMBXhp6=Zhj<}Nt z9SFQ;P*7+D2bi`r-ucOtqD$$88)uWVJ@Pqyk0&98!||}04aN2ZIQx%vU)(a!^R3E{ zrG}$qE)$Af)&Xvg4NND8HcniMywVb3&=o40lt!DJuhl~|b7bh(BLIdAE<*KDO<$ng z5jvUmyHVm|h2np!Tsz8kWXndksN~JSHjkPRu^5U4)KxnfsJO&ZL#U|m=~nOD7C7Oi zS4e=?R5)dWJQBx;}K4risC5eO_Pc!n)?DA zjcX|aQ1Prri^PEVu3uJBKmUCDYC>>D537p(jv+sgx)nLHmrAEbS~kayP9f>E4Y+fA zAM*@t?m(^?E?TPEIt~!X%CKgr#+{Ee)gx3d_j3*>mRl!91$RT})7Z&H#+zz!rlYOu z4}bLYHC2}0Sh?f3Bh5V0_-&k&-c*6^D%*v@A1@PRh<;$51(yduB#w(JX{_1;XyqUN zZQ1!G@26MzPTTAe=mJ5X*4(ZCu}H<;Sm7}9?q@i{%;oMUR))17@iXTZgMDtKPGYX! z=UY67skblf={F}_wkbRh2BZ|%c-N;xEE*n@Jk%0R1kDAR)6@#nekrVDn=hvILGj39 z${o!tbQI(N0iW+j;&f>b@|n9S=%FsSB0^dbdK|c(d+A*-U3=(nfkw zW&ROm4QmE5f&=t1hP*72o4a4Y`j1Z^{aeZOzZ7}RI`4S+GMyo-)?`5R*&x_%0p(Dw zzzky%Yy?Pu!|8JJOH5zi(`Y<~gE!Qm1Uh_62GSx?hb3;f4bc~eY>V}9$Q0aiYINN< z!GHE1oswq^(ZTb$1kL~(-$m!gLNf@eqW7Jo0X4giKJ+k|2al<8fgQ%_{YD z0$40WFn2s31sue=8r;nj@v|R7x}U%40KqspXkkI~cgh86<_%vf@MpVNZ%M-poUXsM zX;rgErwM%E`2@;t>-gtq#aTNC3z~sVPX#xG&sN`+dE`tkj6aE}VoVc38MX$!K09?{ zZPtaGT{p)MMo0X3g_RX>wU@DT5Yl z({dmjF545xSp*kV{f!ZzOL<;9lb7}*F? z{7heu>7yo+cu&#(o<)P(L&ZVg()Zo*baf&q$Qot@wyPvIUSO`{UZm~%0a5sS*RcV= zQnbhQ*+?TqIG4e_MEx2x4rQ^flrENzzf%y%MRrXC?Q<3*mRWB z<7Fzpqukiu?*hH5mtwO2B~;vCl*uA`tAW6%4@%TFx$5y)|FT8rXjol2-_+l-#U9Pe z%i}c%_SX0BZy(-}1PQ?MOzU#8&Q>hjO{2U)5|7|*G5qu0-BGMG4(NlUbJivNGKVvh zGa)%10ugK;?Nl);eJG#b+i|DM3}xF*EQ18q8RY@iY3kYB!0UYIP%RDIAG8fS zvlPz0GsN!c+b=={(%n4+Lb~ZL3zS2vXO5{S4(GkDL=&Jp%2mUiwMws(L}3kYMOR6= zaSp@ap6Dx7b?M1yPBgFJd-7k?cTi0QwE?1Di-3RZ3d-<7 zg&-w~2cw8}u{Op#tKQq7zL54*bM?w3;^za~MRqCK1{yjbRzWUefq*2%9Z^`ta z+eh)cpHD#~wX_^4orSMYH+nasQA+AXMK_g#t`hi?eh|tX>04O>V?7k>qTbjj@8tF2 z)3&}|S&)YUo?$q*)(AI|-6fzO6>l*hY+&<3R3+HXfkcmVr!5fmd2uI`&_euye>`CBY{LG`6z%I7MCbkARBDBDD^ZvH~ z>|$=Z*Yg|I`5i1v@aXWS(`Ko0{liBMy%o^gQ1Hq0Thhb9DqBOiURrd^kMP1QPzBfd zwDRROVXoJmS|Bx=2hi7@W1>yDZG3u3abLTpY#@QhVl-p?AQaC)xQNY&la|B!86y7# zDoz{*j<&6@0ro5r9ns#;b_yn#|M!nibM-^1RFY+T3zC0XvqN zO&oZs>wnMkUcSahg13Mo8meTl&2(52rJk>UcB^)Y4Q&#w-Dsm{9(1hr?|H5-NfuN4*At@zngJzTxKY=TvVfcSUX`#r}~OxVUJ zLpz~AS}~Q*^BubhnSoA$s!dnSsuw*wR$=+#lXd^jxp>Ns zYR!(3%hTrbc2Hf43v5-U!8niHCQZHDU9{s`Q!`5#Br>%@QEqt*K*3P>+Ci0&p{)`@ zE3gu%SzKf}J4(simTK{wb0sXJ68*xd2c^>{R~LKRlhx|=pGCmaGuLcsC|_M~Z8!t^ z`-dH(8uWk^PTPjZbQ~th{JT~d&E^-lJo+;&n%Fb;3TZ2Un+zD z*54?Jfm160W#*5zyT5n;4o(Cwc#b|?x<;Q8iroyeQe|9p2mJay=zW7z_nE-OC-lrQ z4!YSIW0I|E?(1e;)E`fOL>LELKlW`q==@nK>1LHb-v`ZP`M0KUXyu4r^n#8}dY)+) z!*RhB=V{lyE~d{NW2WhrTFS#=C~^|JK`-)pxm{jv{P@I3ga<#8p=;$5t-DZ~^uR zE}7BKK#b!AVdx#D3RftlMzDU%ohj6;*C>;m_$#jsu4UgKHlIRA{PcVU;O#f+j34A<~c z#^1{m8kPZpr-tj*4`!AO((AX5h3JJpKBd#j$H{X`Oi~f z9*H{lw$y%5szRDqgN}g8W=;*|?Rgd6x8iy2Y)Gf(H~M08ZA~;~#ytMFrqgQI{blp- z5-1BN3vUTer2B(FTkMCK>%-69`46!D2U0aY>j=?aEC?;k3XQvW`fYoXHqdLW&Jwiv zVxeq_&aQ*zwrGC(g%n}aUNTy4EI6Jur_ckCG~>BGno^}$uUbxewfIM}N9T2U_*4_f z`ANtrKOKCvxm%cJQSs>JYFnOBibHZ_#C*@JrW3GIHZCP;^=C%3om2U{TUc$rdR<>0 z$GS8=-Ib{%Xt{W@`Z^!ltt@g$11fd$j^03nl=JURr9@aJFmJO4#U1||8l-C{dhJ?X zrk3=@^6>TVA3wf+a0T?1kBoZmySQhlR*7h`iEpMH(BI5=51nG_i$L-HMLJT83MogC zm89=E#x$9tQ3!fy)s%;o@SYngSzL7HEPP)=$CYR$BH^}RxT!Mj?da=1t9AOs3~Ef% zpipHw1TA)(51}8{`5?bLGb@f)ASQz8wbddGzm$o$Xb4$_pxF-KvU=D}JXmkDN3{>W z#&qXyK1QOzyGVwf*wYuFZ?*?$See=i<@_A+g% zv%AFzgIoOdsP5dzKCa-SbC+!$&%^KQngI_>UX8HA^T<4kwpU7PXL7FA7_LrvUnxI5 zx=}2=Ja+;fbf7m&YuyU)PPhF^{DC(uXqBh!jjB{-+U(PI9^pp$4v;_SA-e4NY)#M! zzAB2F?A$?MPQBE>J_pvm|0$heCq&;pvi7Zu(v-*kw}yHDqIy!RDzV+PI};Da`lb#i zybMd1($+3&TpxNmmu$TN$;ZUe)Tu3Ill>m(YnSufaqz;b(O^(YRvP1-VT?ALDSN{{ zXZ8P4_7+f4r+wcz;-CYF42>dE5(0vv0@5X-v?!o72uOo;!_Y_y0@5u?H`3iD-9tz> zNDuJ8ey-lnKKHx#{eRzc_Uz7K$35)Kb$#Pgzbv&%T;bk{(TY5mt$@0d5L5+Cd7)!<+r5@d<`d>`lKj5`O3y>>gH((U=zrIt51_9dL+E8{3&Vq<$ssSWD#Bqtf zrz8HF0A?oomYqqrP>2Regm`d+v~ij;fo+6Hyn)YH$C$E4P9Tz^QfJS_u%=aqL22{#Et-NeX; z!32-#1+{AK4!P_(?{;yuUjl)R7*3Ha2tJ7T%hyzbK>-N9axx3(&j8Y$*Y8rSAx zN54myvO>m#S}Iunm%JZ~4VLXQYX=aaxL%6daNNMeg||z`@jTS9M6|U$BF5A%hvhee zb&tt{-xh+TkMDPSD1~&#v1gbl5M-yqaKg6K>=z47C(8}lC@sWmjt1iLL&;`Y@cFjf z2r&k_E_jwsFV@}=%IjtSboe|Oo}b7chbLm*;`r241BG7bF=^lq7PY#nuatX!iIW@f znDjdr&!#+GG_x7)cr>PczQK6j}WwWUy&<&M|3)IeDD- zYE3ho9Y3e7y33GuGMkA2R;zh=Yk6tRt850$uaM4Y zY!SKag{S4nG4!DNw$;kOQ@^HiqGJp#dZ+vDn#o-5*BUR!!9pJdEEo05bd<80zaoZN zodc-rN9N^#yc&_a1GgTJ4oj_FLosXs0VO@pXQH?(1^RsB)0;kJD#|A)?o;J&$abgZ(hV z8bxnDdS#!NZyenyE^jbW*wn0u!;_m}uGgz8W^o(^#+wrMJe`1RD2eUvY@#_YlbJ`T zDo5K@v!3)*zuoMcZe=hg>*6lPlV1i=`F^2sfCpE!l#lu}{1+2O8bH;?i6GRitT%=ih`A}rIRN zBs}sBW$rHOGncHGShoRX`Gj zx2{=#&8kOR!2(xJ>8?J4Y!up_T=*AbEyI=9G{DmA|=JpzRCc2E1sqDu{J#_OxcjaAr`5< zIM;B*E)`Bi%d=%GG}0MYFUDuJ_~1~2x)Qk?{S>)%)$_1Q-&-nSxXM|w-u9u{6rTYw zC44nJ%!Y_fFg^WzJY$=L$t|!qpzyrHW?e2IsJo?ihI;dC+8d<6hXP+?4_L5B=9< zGhr^El^1E7CyDDt(MY_2JA<~byfhV%uGx=B^DK+y71ks;gQ-@}$sl$`PI0D(|X3?i<{ef zBi>TkOgQrH_Hm(%o@(z#b;3gjA$d{+}<+V=2TO)C3HUWzrzJm!%Lk*kH-gp#W z%~Uue^_JOC*k2ht&!(xG)PFEaE&n8=t+iuEYV^lQt@X&>AX=ZB#6YGAW1rk^T%%6* zJGi69{PpZ*9@fRUD2w#waBy4Stp81uj@uRFY_Qau)CbsJA&bpke-VzxBgJc_CjC1u z$OgO1wYo0=kDVj`QklyxQn}1r>$OXm*&0|$RQ7Muad(RS*9!?)4>?V6b(J+UMiKwL zs|yqMV)c1pQDE)KDp4UT30_1IEewPg0^u)22+O6RL0EoIevEVr zPfR7!yPsc`$YY%x`akf&Df=3R#80}X?jjWTcqeZ-G+hd5;ba=NX*+_Tj3n8zQ;FaIwCU8^*vFrb?6A$yvC zs+|M%n}Wy`Lh~KtfJb3FfW9f=_qsn(lITB6M7R+7ve&u3S#caKHi{(F{pmj;Opd3Y z3AmI6oqQnT{07Ja?F1DoGO53BWop#kH->G~co}1S*Op+h)NDty@7WhB5~<;h0KgeU z+ha}2F@PJ$C*Nc8iI6FvUTBys3rn?J0T}N9PSCQUq!sQ=BQbC(IWn&&OopfJ?VZ~5 zE2Ic_%g=f5=>wSY+fplHF(2pk)VFArbERBC&jRU*d}Kyhf9I$BAKk)ukFec^F>oV4 zj;KDrFvGfbzSUtz)QC${wosaTj7^L@g`|l<@skvFiq@AA9w9r{<6wx(qgc7OCn-KT z{Gmu+5XAwb+s+m`Fs>&*Wy|y{C`}abCDsmZ;wvXw!$mowt4T;oT-ApK_AmL?cw;}R zXjv{TiDcJcQ&P3?8p^RYc{1u_bv8-u`Yz;j6sP#uFTs11s(liuhk!ey=mDqvzNN3g z+<3Io0}_&$N_|gL9<104M*U_|CA&KPd#MR~3?@E)UwN&CSWkfz=_)zTCjTET07=&a zgZr$qv1T8&tQof}Q1lj&s_*>x&e6Ngm`gGm0_SMUWx!7hB#nVO@?y)RZPWf{lX`*H z=2Pvf%9sD^C4rPQ|E&`?MZ0aV3(T@Fa{Q(jg|rahRy7eYv_Etgfx+qI7z{;vc`-vL z+Sn5f0I0*f^qu0+y8ymW4oK_p)@#UG<`)^%khE^VR5vSDh8oYk4)TSW_OlEq1J0M^ ztqM>pp?JW?tWV;04EFN$d0{sJBz6h|tcaSMRNGbt2lrjP@ZSD-V$w(n1zUoJqbKghw2)?|>(a@GRT#QapuT&-Mb#>j!V|lP+ZyVEK~mVn;sh5( zU9Cjig(Jn5y1o8dGMEE=ZDx3p67cRkGBvBv@{kmOoIF!z|B7F$N4eA;Te7$SA%k&q zkgPG9^BdxVehrY*wyup9_Lm#c2`#XdX*c1_7mfi)>a{b25#7qSJ0O^&3?oT4LEx9V z`^(Q=ch9&qFwExa$nRqbqG+5XmTD3(qBN+`V#W1r4tKrR!{qhxA#s6DhGKT~%RLtn zXZ7<&P#foicsD|T9+W2AWo-^9$zBLpj{j)cj5f*%1yv4v;c+AyhGX(=m916S5%Ct8 zHw-{9y$82y-b>b_3uNZy_aBB!kHuS~6buJ4lGau_@AnK8)C%~TajOg76$=2S2$SMc zZaipAUg5aC_D}}tEII<3SkK^o!%(ErgM89YRwDIUXDVuY%E4t@SZ6M9xW@^YPn3>2 zG|p(Z@>f12^hR}Iv6e5s8QFc zo=p38R8zMvNv9i)eyzKr*Nk$lcO+!H`YxC5!L_|tT4R&YbzRSSUHlBYf2EOra(+7p zucEea4M0>62ooO~Xhbr0m$qk^jD`1OuOjhb$n78s`em_zhF{1V#eO`KlQMV)EOi{f z)QkR$ZNfbj+FuQlv;OYb{{z$c--Dd{+I&Yhc%Uo(hQ>VuiF6V5d!4Ysz8eD@C_f4H zqaFp=mdeV&7b5!wE95KT3)1D&*lKg|32{URkj^kdom-{OYAaZ zWfm!#G85R^uFAOp=;&9>#%0DMtT1Q(j+O3(6`Eu$hw-`81Af+U)BhfI?|zg{ke>&m z;;T3WGq=`x{aO4nF+B!*hV0Yf$mQ)qm*4QDFJ!@E8UUYiG6ZE85mZ`~UXkaDy=>gg zDKo{bZ!-{z4OnZ+WcYNVpo@qGIb)+mrDL3o*y`8Joad3av0#4x&QOMUX79D0e3(bt<{MISjRQ^D(2-o6a=WS!mNJ$~s)%v%Gu8YYx<9gt_ z`rWa&yJEPw)?KQd$|X7L_mu?Q>+^6qD+5pX7p0tLH_EA*xy+}9uHDlXdrgGT|1;!x zH;-6XLp081{|dn{V9zq&M;iBm^_|)EWE}MdSnP4D>2ae;BDiJZ%HyrI|Cy*J$ncyz zHR$3Q-`bI$HXYW-%d?(l)kog=rHDc#8PHW#&Wq3npZqk47tDBwpbzS<{lx78l zq`tAv`=bof%8StMR-O;XvNCGZ5a;iyItY6k!*;2ooutPQ!#8q8*X|M48Q4o;3k2zU_Y`;r=Hg(GSGSo za}q;0p~7G*OQV9kC4@$FKyZV&bSlwerCE!}b2)q5Muwo(c~m!&zBpEN6`N4S8fO*R zi6G_&R@vL~$(|*Fe-!fjF$qH6rI>42a8)eaeou^t@b8=kePVvqXFyJ*#Jn|G6vTa$ z46AN7=uuHD-E1V-2_Wo^{9&g|_Im;#hCv)Il4G3Ooe$HcUb+NR?yBs#WrX9++1Sz3 zWZ!3olYD-dwEFGV@qUW?LTe@XPH~iKObd>-seZ zaGkvE)!qV!qn0mFAF|CRWuxwObFukkM`EAxEj^Fp-Xwp@Cj@>w$l|5s;%sZ!o9IrM z)5w)7gS65nhn-toKSUq^}+ZQHlOnfo{lH1-vC~dCl32-UmBw)u`!+O znx8x^mn@W%4dwWQAMLc#&2i4F2z0BH7c2CC zmW>45-7~<}VUcytj9(tQRh>OkaobojGUNaxQ-reQl+haYSI-+C^f&S%Q$y$r*xy?w$F2vN=ro6 zCu&xNFG@}M#kMatZ__&-Jucp4I*IPL=|jep@S)?SEe@0dWETrPG%Nws`VL?b3-?jr z?3Wk`M`|40X^6w`F+gAB<)05%AUrqqw1(YN=i8iU`$5POSC-^=_*QsCqxWo$@$U7X zr|iZ#r~4^+W~^F!q>Y=0%|CZK*gN8Er*4Dt-#Pq7*q12zdxoP{Iyoh9l1@48N?*IH z=~j7uc5)P5XFCCGPwHR65crl1oM~t-C5D7H8{WYx&Q3o0ll?`g%3QW&cw=g$yASPtj&Dp9p==iLHdb6v``%RnOtE5{Z$W;o%8IMRpUAt zy)f}E*gPTuM%w}Q^EbfjZZPPH)gzxMCp&2)V|`*4e)QlDQ3SmMhb z1Dqi94362q&_AM8T_nw30MB0{WJsgAItQ zD4=Kn2^US8JKYGI2Ix-^ic zvhe`SLiF{jz@Ums8X1!$*H^jlDz3M%&931%XkLpSbW|yRtXTUM)@uc zU0v~svQkjTHg4XiDAmlp7(eUOJXr9%V41~=_fC_- zItP9$Go%o=Z|tMnthKB%n$G~+^jSmx8nyD`LX24ifQNmwWKOSIxI?KWV27XsKZ(5G z%`FNBquVa-^;&h7#7qMzrE&en#7vE?)WjpnkKY#w@2?C>VoiLzNSKcTvVE!SN4E6> zV3Z`&IkD1*?7X$j`K~emk;O)iq)W1W6N?7{79;K!F6++|du_y{{))W#Yv@blhDx{; zYz9sKt9mzr4LM#4P7J=T@fG8n508%SMzCw-z}{D4FP@#9o^+%H3m6XGa=MIQH<~ER zhfJ02K62!3s}+VrS)U->Q!&|Goq&GwXo$B2Sin`Wr&F96M-MNbDlen&YPqlskUaLq zn*Xq0l`NxxN(d4Tw-CEc^d=MR!;|IUq{h^;Uw;w>CkqBV%^ zE5{db$0|&w?#+VlBDdw>if<#{O+M}yQX~8}a9q(^+@2=jVpDz=$!79id>&O{n@jlG z5pZyQ?U#>it;r_bcELw3g0lEPd?@B)GMr+Hf{67uzk7qYwyy{jVDpOws6?rMUiFY} zc&a*3V!0?Y-|tfv%caFeBjc;z41{_H{l%^JDH~p)6h%zFn^kt4qCdyu^31wF-G2f; zI|F$Y^C3XaPCh(wJ}6wy7f)qvi%2RZ=VnR>4s z5C(Pqy-6IH6Lui@5!aBzp#MQI*Ig36%U8%XS^dlOdu~D|9I1G|R}T%YdwN$|cu}7= zlhgkpTR(5g8+Wmjw|^mW_X8$YBG_OY*j%0`iD1!l+cyIIC{#C0C`m?@FwSKu$!7<9 z`qP-5a&?(D(bTcY;tR5}C^0v!k+KFC6!0`#t&PY>u&G72<9x6AZ7YvBzTfYpwSIK! z0{qcO;J1C@wg?=^AE#N6jMC4+1cv1}@su&{&4)?mU?u8{USW1;Q8u*Q)hR}F&x8^T zG(PSrU}PoW8Bu_Vl>gZ$!k|oI2$oZWscTD_kq}V}6y8NQ+}{qA=1GWONCVA29lD(#q(_ zuko%_lRjW)I0>PmRzV#D-wg!5hQ>c>4*SvemM&tcg%+SsOU!J>?#{EcObV#aj=qO% z9%h)OlQuEagzPFaFDAzTh2RlDC8+MayUjp}t8iiF)-e9Dt_rHipg$ZVpO=0nczFE@ z6auH)L2BJL0-&zlt~*ml@piM=O|6?T&j+mEo)~xku%#KlH+=$&ns)R(7&Y9Lewd{; zemam_4eH3skj#kPEc)h8RsWr}qDhwe<9I7)t@Ul&f3L`YKf2Is_fE(HrdL+*pVg4R z-X_9;;K~~qKh1Ctd5WGtDbv~h%N4<)(|qL%=+Hl2^TMmQaqx<^Y6GV(b8@B+0}tuZIsoo{o7iVuk|w zBFoHhVxro}L)e24GM@;QAFk`iIzJf}4|fyjujcr>utR7YxKtNexwb)=hegZmo}ukUu_~rKrGG=y!r7^@PujQw)os8 zEQZT00t9=t1ErBd6sW`*Yys}MV=?iL8;|zzn&Y5wB?Ld7B$k$|@TN-nqP7JAQ)2}+ zdZo;24Ro@0u9s!#6@Z?RY~>M{;Kf*mp;Feo81@)Gwm80uQ7{V$(GM>%IZ&wKhERZ|A0@R`I#D8A)Aw0{(l}a^6IbcQS;j^Ip6%QI9{db2L^=p zXCM{v7NmY=Nw5m>Q#b+?Czh~2l&Qsc`m_HC*^NZj(&BH))!k7kVR9FO-J(%*SWbg- z+a3W{HZU`u%Pjr@NUZvZ5>M=w4d{Ll(v=%FWkI76g&_n^E4TLLlDZ9$3K14W$-6*g zU3qrAiZvngXr6fPnO z!G0gyip0X*DZVz$aC&K`)O1$B6?nPQkwPp=Iax@*?ai9Az1)=qx*%@a!8q=dAXBN) zn;5UDn;XUd1yz9;gujmOfzWIAhHM7Towu7lK`&ZvARhk1Em_c%PxLY zQYV1-j5EZZPW!k;$unRXf7nu+`49qGeY;E27sbYRt8R;`!tee@|Gr89FW|M5`0?4} z(77BM_DH5V-{%Z(1(E~&z$qY&k7wU-AsI5XAYlq9&wa#)D?)wMb=k$?s;Vn^4N!c~K;!Gz-7t;N7`>oluJjB1+_hMs6! z=;I6%XgX3{&Pl-ZIx-*wg968Sr|3UG7=PCd+>+aZ$lk5aQQF@N&_63Wgf1YUg>YRv z2b-8SL>ENvgkq*zBUPqJKFbh=37H@MP6|6hc1d0AlpeEKv|leChMLkBLEr$1A-vAJ z5Tz6FwGS)13YapVX?8!LA+K;fKQkCLIhirRy$}S&c+HWME>Zrq5ccbjYudu|wYn=W z_yIp_IM@VZv}HRE04~;!I@{+0`4pmK2|itECMap1&oLED^`96_u)2g}-NaPbepl#r zKuY$NXXBm~T_f8k&eDf@D`vKP6~ zEncnnIIJ@d44@#{CioX9F*uYVFPi5Q52A;jaxw~@qYH7i_DZ+_oLDC)597J3FY0|o z{=BR|>YmOE>O9`2QCll&e-Vtg$waOHE9;5l8FfxZLaAlRV%9BG++5J(Max|xV~)6R zZh-{gtP2A-YGAqNVk41kr;_x<-t>#8)K+=olc4fk-Q@F({{3&MyH8@) zJko8n!g>}cMI(pPvomx&QI=p}DX`nt-pf)4+D!Sv6770~h%u0ej+I_UpM$g=Mo?0u ziaj3L*G%*g9V3Dl}w9Mx1qWyUwj><((tP^ss= z(Rn|ffHN}k)4O|JWV-ARvU$HamFr6kSg#K=LW2ghu&l&?D=CQ@Pz466`YFc`*Lhd} zyPO&f4-!zcXxMz)*x!rE@7IaSM7`Kj)V^MRzvbIF9zxIEtz=M^(us&VF(3^706wkP zvcWRuxbCWj`aKa)D;~UjuK|jDLC75d`F{tz5p^O6pD@s*5-~NU`#~Q|1?e0GR?E*{{>UoqeokuyWlm&^SP7e1U^-aMiRjm_ z1OT3vQEr?8gK#bi#0Y~)jUf=MI&qA-l{@|r3D7(-c@20P=z;U2Rf)561C7a%;!l6v zrIvm%ZO&iXu5PsZb~iCN-(eueHT@-tK9%U>slDYFrbMj%9B?5ow`5bRKtX|zyye&3Z&y8#1bWe=_=p&l-q5;`Y{yU?}}46WbJi4mwsU2+q7+6WMP7)Gi(a*d<;^*>Xw%5?2*`#~CY_ z5~Rj;*#*h3<#IP}GY_0O4vSf_+Y0Fcq0)}`kNoHbe)ftUp~XV4ZxQ#6)`O)!mo3_y z6Vo04j~3v4F#Y!k1s|%-nFb%p8kbXTE-y0-s2OK6zLl~V_UM=UK>MU*pHg3=L+o7s zbG8}30%o54mG+@XinPGgj_F6pO?k7*ZEr$WD~+3TCLPU6*mbjjDb6s{lG$;guw-kh zYr3=XW>=cJZEsHBRk-KnVRF8!8Ng*8!on8KNBFuy!f7=4ZX@ojjS?#A6V}XCT|L_e zPEJJ?N|y$D?WomysS-ymt)Iof{i%lxmQlX1Kqlq|HeYBtB;sT^lfk^DDeiWrc3-CR z@qaG=nlb(pe<7T-L9ENF73k=d*SiTcaJa~)QejG0 zSf9z|B=|-LwtlLpaY?qRKdQ)QG>&Q&O!3&B zcGQUKlgVCCOt`%86ZmCox|br$9V_Z9UtQV83vU{%QvAdzkeV z_hfiT&3eiBj&30~>0_<9w=BkbjlKjRJWPMwDt|;Cp;tmKIGEtCxsPx zoIg}dws++54)Lv4z+c}z4010T=L0m$U=jpIE;U1P0|$$|KPmXc91!JWk2&I$Pm53* z=)q-af7J1XZll6-B7doye~W(-l)g|CfW4Ev11M7Z#{*toG1DJ%V5Gxu2iD-*&{W5> zTG7MOO4yX}W{fd6L?gTeqzER9T|(I}QVy=AMXEC^q)UZ@l!k{z6n|*YxZKG$#arLU zLrP^2`G3oPEKXZ3hC^)8&~6!kf<4)*S#k2=+)rH%*3eLQ+J0<(TM8savJ%@~ftuD% znkZ|w;o#SIV+NnUxHhEAg8A17iGQOt$VahD1)e?%%+Dy{4_!0U<{HE=5ERzF~8XH%T0{9BF9Q3Nj`Ex)G zX+K(v!52iES4+AXpX3lVNgq>A*a#L=ipHW{W(z=!@dqC3mBue)<;KL0`CudE`S@oe z1*9DyMhX9+9sC*b|KEtw4>+lo-VyIHz5a-an5p|7&|mvY=b(7M40#Sdum=Bh zmxD{HG}KT6qN28;i-;kd_{rTIu4#b`OTeeSypRv5pf}aVS{F=#<8JoX4f|7GI0Z%| z!Z8v-f)Cu28XT+4rt749i;Qx#!UXxTFNJar$568N!%rTcpuxJ zQHPLU_z5SsVYJ1_JL#EQ<1M#%jJN>QA34oIi-6>d z1eFlyC7AgK#0ice(OC@m*q;IU)UF>f*eE;tfbFzVddyKTV79{H&!Ad8`VP7E3S>3+ zH|weRkOdsn?bRU%~fIb2il5CRfF`x?Gc{*pmAhU%(SMdx45leOP zXjFs3)dnougSu2?s^;#5U0EQYcuYTEuj;lxJJ-8K4pm@`Q|yi2vUz$C*uJjd@<$Nu ztR!MDc%Ftb$NjZuxL`KQvv<{VpQ0PJdLpEvVdyU42K&7KjycN@lDnD9hpk&aP;f4p z#63Np;i@UIS;Nt*@`C46-U=KM1VKQmf|GaYis?;r0e{@mKLBq0Ds8^ zV1uWAkj%9$_Ckk&8W$UCQ&;X7<`roqdP>Ws!AO?pfd3qj8Xjk0Sv_ zI{n@GU82i)58>~xSTr$IN{@DbQMkGK8O%0E3l~e?Tf5boglP)H#z2a&0x6qnRB%1? zzyUxtlUnT*RDlNgF$DXJk=SoK z9^nT3x_R%rH@$3~;pVZ98{dZXFx(wuSM_@0;}jKbr#wR1frE<%#NT{z0v#AiV{Mmz zLYdaR%1QQu8}G=^UH6$&%c^DJo*KlRKu;LZy(k0a^bz`SNtf@MM+M)`FjY$kL8W#x ziLhqy=1@J_xW2Ok0H#}yA6Z-a3wV#_106&VN_bHzh_=zdu*W5Gy^+AA58D*Trj$l0 zVY4AtNPULRhUR&$NMV7Mvemj}1u)QY8qxYWXEViJaRri9hFFmG zNvz_e0z&(BqDSr>U%U01;+HzruOUGfT#Ox1ASEUL9bx?&fglkLZ9)v~$N$j!63Kt1 z!ZnBWBtcIR5F#a%U=HcN_WFf;J!sLmjWGSrs8I1Q5QYj2SC4?jMRXactNxl`@r9+~ z*iLJj0^V}|xdf;_p`ETq1P4}BByD0yB`hcC#$D@8DZOIOu(9#F?~0{n~a zo`f3RTPj*F^?W{GNdd67fI@GSeRva78&L+W=&$lL-ObJ$F#CY4XbGA6{U)*!5k+>3 zS)T&q2*jSWnv_m50GgnKOVJly7o=J0vJ66*o`qmsI2Nvy6JRyJCy|Jl{|;n#4jDq+ zlj_qyv1t9^H})AQzCWJ4>3u9UB&(W_ZB}ElIB+}I?ZrsG%o`%s^Rt5*7Mf>k!R4Mi z&}IP5%?Z8CJ9M?HAeJNq#8OPz#qnM{6=Rwp5tbu%9D&4}FKZI+>WqxpUU#RYp*{sE zuN_<)dDg)^=%F(xssXv&@sWj$`=+@M`Guhbt|VueDD7cBfHIC;TF&->Z?NN+vs@MS zX?sniHdlt5lLAJY#Ss1JPuIkSx+YdwEgLZf=IFa_%&ouBUt9I2QT#=&{`a&u=G=WB zp)pO*{Sp$xayI2XJ!4Bw8@r^sr%IX=JU81NBbr7QdlJm72)z3>1na^xlC4~-iNkh{ zh{2N}=>EEx-Ok|_OU=_Hb@%h{sgAf?9{{iGV#iIr7MHV&aQ-A?phlD~4{4uURFHYS z)H;4Ujw#abbotWaV#kiZ-?3lw%)86JnE^QYu!c;W!S-*)hg_qBUt%QdOt%Ya1X z8>3l%xAq?f(6pVM4EhM-6EgiIvhr}Hs5a_xB~L-s-@_Jha3JK=UpLPqjJj31M+R=}x{=Gi z&!#(2K5>;ZwRTausE*`tdGV`!$fGl(bcvjy*2q-M4sZPd5(Q%Y(sc$63dy`5{sbG! zQy?zh(S7egLpnst_OqVXllNN_Z^QAMV@Ggt(@h%N78$SJ_;{x}bXpC=@jVyTx)*S1 z0QoBS+N%iA(1HQsT?G5jT*-fxul~Pl|b4FV{R zu|;%wl4?N1lK`?rtP3?$F91Xno_9^`4Dmw&EF(q6T9{rk3m_9hHk!+f4RYZUmX8Db z0?2sdL?vZH?SSc*1#j9UjBZK%z$FpMS_6p$VI6E0QXnb& zR?XRQCLx1ZZxWTD?UVYyuCEwpTnT_kJ`#F*DAP0^27xS=7sJUgp-ZC_YiJ;7`T#Ux zBHi3`SLnB}OA?&-KWS#ulx+d!;IkMynEW6>@jy0k#Qq|pqe0LG^L=?WkeAORYumw! znZ8o5?&dZJ8F;>l-W>-23>Qj9P0-i@ub9Oj#RDlgntewbfvnJ(7j(0`Tk8%T!M0{@)Z`25`3T#PzqM$OEg-n0sH zuePdK*{CxvXjB~xzTVCKWc}0dpq@~o>L9{<`d#deO>2vU_tUNIbkzxg_Qhj{ne$9v zc0WIO$DTD@{yNu7ueo&SL=C-}%}4xIk?QqPPC>jR?-_q}u)m{;Q>nVkgWXaKRgKkM z>8jcpy#+~v4gPiVi@FGEAvcT*m@xRizgQ2du?G#23ud=s3f~PIgVDa!V|7C@HeN$1>uEA0TV53Zl2H zmeV5jE`+3|OnDb6a-O~zPt?e+0!%?Crw_=1(K-_3D!jnK+2g!!q|t#%qrzXm3Q~f) zkQa4QT#nFz!+QWVjhs5$FICD;e?6{axpx9wy3sLJyG5nn&Fh&8l`wHq*!M(mcF7|W zh+;OhQY zw5)e~u3KaaC4n2}3LGN^#R-7NC`fbAQF}1tJf}tWadk_@^qVf}gGUy#jnTyG$LeWu zG*1F6OUA7=jPy?~R*V+!DVt3g_bSh7R@mo9qmL{Se67!- zV$$et-XdPz-&R+3!8a{hd%a8FbE27j^#N~!QCCe_WTTOZ2OejJ5ouDA52ejW;?`21 z*WQ%Miem3HcJVPWeu%%%QC9KLYrKe&Z~YYC$QBGT_JK(Lz@_oVQk-=-u<{nfVpt!kF9m1a$=+{SZBcEvZH6xD6e&Sbd>;PD~W zav%5VWn(_EcTKU3Id6VhTt#93`^EfyRo%OB;7n^-rDOlc&HeR;L{ZH3F$pC@Qt4udYynQAZHIw$APh16#y_zPYL4r3LXOVTyxyCVm-oW zSEcPPr<<3K=k;dqGQ}WKeEtXH=wgr;v&ftt>Q=uM4-~C#d95Q+#B*T>hfvn8v5t*# zrym{X=SjP{K!dqJu5J~vu3hc0jaT|{A5Fruxu}?N{Q`x6$kpXKm#OL)sKUIYa>_=# z8oDQ6O$v-`F21P>T(`eO%Xy_mykVqB#U#bnn6?~9uMABGz7FlfX#?@(l@a_16%Fi@ChKwz-@9zd| zf^c+?vu~8{#NT^H?m7YMcj>@TnOQv8whh3f&_+`9J;$y7trhv>$$a!^2*me3p>I)tN@e0_EPrA-a$%=@MFp3}#a(#(sP_9iE8hA8IO6Zka}U{v zsJ(f-!SA$6J8?^(^f6qaSS}Af=dW3OSV@`lu?2)q$M9z)DZ(YP&8wIghq@q+_~+C? zwQZ{aABY}$rJ-}0v(rjc+230JGF-!Coms%>vE%N|?QcBY!4_2!WeI-U2^rM37eS4O%U==oD@|$@lLX3~AAyFeCNq2&vV3UVjd+@^{ zWjYnx5l{?Qb6iPhcJ(W-(sHv8oiYb2cLd(^9q#pK$>E+(po`iPJew0N=vkhxvjYx% z!;<~y0`Yqb@2cGDGs>K@vkT(xkwKKG71-;QobNo=eD1mZ;}ub+O>p4xj)13L#vT+% z#H*6vhcRS$@KO2l>9VYAGyn$pm*QWrXD#Qq-0Z*%oUMLs->4ce+@=>}qG&A>f!4lL z__|dqD@Dv)%X9LfgKW;8W?E9afqtv})UXZjrqQ~wEB8Z+43fF)yJv|WSHHGXCTW5s z=*U9zD#P7->T@;ddG^A+rFIMylR3z^Uj}7W*a6fDHB0UowFI-3uGIF&qF%N`j{Fh+ z?=Md^9^6J950zdTM9h4#I5&O}djLi3B^(cYe$JEs#u&Ooc9WGqE)%i#&@#`55XLE6qrRp~&X;SW^iB;D+B83_7oA~A?DDKSVb3rdJN zP>(9r%2%Xv#Z|;*3p^sQv;~(g^N3QU^VH5w$w@=rBwKLv;)k7*QY&>(Da2O|=Fx{L z)Ki6-SY|CJ789i)RHK&I)l89h_83eq`lD4}(888!mPTHbk&%O)PPf~uK9e{O6aHhf z28VW?40LlB1^Rz}gU|&SbeeB~S;VSj)G;Hl1nQ}nXh2immAK1E*T@h$(s};{xl(Z# zpQyl||PJxX&>b6~A)5##(HJxJn zNI;=ZJ8+M)xq0Ma;g`HYX~F!_+nl$Wywj49ZOo#aF$P9oP~di21V{@(fH&Jop1-{f zP!pyC>!H<=H|p)KmJek_*Z2+{=jdZ){o)iwAuVHVQ>!b$JUtbzRn*}uy7&p@G2Oq@)w z!jG4ka?|d~{<*la7VJjVx``RZU73%oO!MdD?(EyW-hHU8?W4+f&S~mGT3;%e zj6k}4s{j!Y?g`)uxdLaEg45N^y-$KeBDAV$>Jr+Zh&-R9IvHsx=$RuJdd|$U5?mVs z$A_{bzIIPm?r{~El8_YZtbgTDE|?M}U#a3=<&ULpHUq_-Gjxx7$sx6F>UE^|KVtbVAz4P|qWT&$m%oA*56gmj}tXHn5 zdxZ-S;L)?j;=%t}>eGj_d5trA$G1=HnPjREUkq0--^-n~bUiY9Ff=v$a1WdTzQ=aj zH@{4hN-nYQ{CE`=coGG)JpSP9S{>pFQz@eGmcv9GEyYsHk%13CpGU z9S}U8cJV4VHI&)(GB@s^zjFSZ*4nk;7>F6dSlCiTMp>9&9llFy(A|D z=QcaQnE&j(!{(j9F+A(D9uOqWnrfXP*Jd-wHE>YXH57WFJ|b~+PyAl++-c?*!5qcR z;Px3sZZ^nqqr>H7J3Un~G7+rScz@Ys@Pwi=v?QY2WZq>=%DGFOs4inW&UoI|KW*ok zD}IxH0KW5*=qm}s_+iG%>`HOY2jY(aV(Q?JcNa=IFUh~hITJx;&k1X4{tnI~+c=tlqLZ16 zIsRSy?NPmk9l9QdQK)1uGYTF(Ezx4;%xcoB=GLZj@VwA`X%_yI+2om73!)zzIv3k2ruK3stXtAc z;Fv6w@~+0Sxy45hZ0krE#g#{)r{5Z<%m67GM@?V)heu`_f3yI(BbwwCFSS#QJ?SIH z9};=?21yI1X%^VwiM75CaGk%D-i~LmK7w&A=0^iV>`jNIq@Q5LxRWq9zstH{e|sr$ zEJ_tX3a{*RkG4PcI5DUJx%^8F3Q;WLh<2vpJDh7!yydHtSzntb@wt(agDxj2`eDN~DjWD}x>=tm+0__E?a2n3c>P7y|Hj;P4OGbt7=&V$! zbZo&xkW%qDM-so!8pc!Qrh%-MRPY?HTjOsic6m2mr8(NFlE+*IOU{A*f&0i0(t{n~ zSm2!Swz*6k!8Pqgrrx@M2BC8OtXYZZc*J_J^cg`s;$TL+g|){~gO0q*c*!W$Y4Kl8 z<7cGM{e%u5Lc{q&ODFN5=^CIp#eW1ZMk>-~+(LHFnk_gyDMmyaCMeOxqFuqGtc|>9 z1~M7X_>oQ3+ks}O@be7YM*UsO?njKPqEm=H2VG7=hXF9*42%aAXM!AAI+gp(lz};x zimR?wh!h9Cw4MT>sIe;t>aokPhWS@qCi|8uFp{QYnXHa0)&qv3@&c|yxPF~;8aS*f zAe&7=w^rj%#i1Qxs^{q#X5A@Dvyw@f@w8AYcGO0126pc{(138v?-D|qSx1f6ohL5G zJY+Fb8larX%U6ukH_2B+WftB4!L(^q5qD5*QzF=$GFZIF2mZ{WPApmOo-0V2mj>R% z+>^M+EUr2a`qGoSyNISn->TV*R(ms-jvZj7?dsqi12e4glJLDWBi?)GJC(T+V?-#? zn~rPwqoM=R{My~?0PtQ0M~6~Q3@rG1tC^J>xvr;1Lq3O&xkOJ&VZ`2#opKTQ5B+D#YB3YkOC=HYO zPlEuAhn6}qkFJxM=FeLC*E>&XH{( zxxbIk@A3G3|G2Na(|Nw%@8f+OuRWeG)ek+5YHp6AP*X%eBbE)r5uOfZsNdAwC;=U5 z)uIJI%DjtN+qY27`yNd=>t~Yt6w8QH_FpJ&2QRjau&IaS#49<%M>Tsx#=an@iMPlT zfTB-z(aVV^6f)P+XH4bSUGt9?NODk#75?;1dIQbAYpS2*^$&L#zv|@~5Co)8^Tcem z%brEmdE%_+|GL1IRz1sY0gh4G#U2i!qg+m&)xliA=YpyU);v-IL6TFMQi@IP+M?~M9XUWrgS4VIKW>= z`1F8;+s|RurH%GKaJWlLbH2n@Xn6cI?VyzNWY1@$@KM!CuD$`3z`5IaGtH5HQtrxLM+gVsq1fCADQOoD~!_tzc5v>`MuJ7~Q$ zvDh1B)bh;P9BLc0r@*A;Hzn{xD5?%+OcwFCJf_>(VrXDKTy`mA&kHhgSMY~wpylN5 z&XatvcFD3B^{Hza-{W;U1MuEQC^qgosizdm9%XV-Q*pzKlT!nZ1;KtYKPR@l&eQfk z2Gpn1eQ+e^3)>bEXW3DnnF!xAMymY#pJ+c;`e7lhr?Z<2;s53gpN+U7qWG=y0oka< zRs@k%ammf&>B^UdUSb=jFke}pm>Su?u zenOwkvjSf2H11rk1Ig#|I9v1`THVKaPYBA^K9SlR*W!9OPyGAOsRe&v5AqUATon#? z+UsBp?Z(PPTaSSEgAoU}*mh<{mGC;Q!l|MGro~lXUPq^WzSPzx6SV8(`#w|OtaBkw zpRbvNZ)Ndg_&|E{#QEVzEw10+QFN|nAG$zT=UBEDp8?az2QsnwQ3>aAndr{@iB#EL zaw10=g-E>^%*MAj$U0__GH+yFgYxx49@7&-&fmYJJzvXwIyhUT$-3BEB;sz(i|u2O z`*88BL$Jh_)AoxlzsP0pyCR`O(+DPUdB&;9TzMEj#@ViA}MXuk>=ufX968?4Maj50*~GLg52HH znEEza{+t=S5S9oa9U~A>=cTwDM;RN>&{sW>UhgmxF%tAMX?8McE7)Ay*;(eMw^UsAeLp4)Tt=} z=~}zvYMt3!ojbB1u;{Eu*Pox1o;uSeycw%atI0fgeD}tOKx)8N<Fev(Md=P4TpN@w(&02LxNpN+4ukmj8Xf$Ki7L$=SdeQoz zZ3tl0SXEsoKlsHAbIo0()GaGF2Iwdzg*nX|8w9Zv4y6{!X0b8eb(ZTE_Lk+00UU zPHkRxpRlCSP~1tAB~(OYQWjKeW>e1fn{{vp(Zkn)fV~y!C-(J$9V8CWAMpITY2hJItt<-e_H=(5bX`jD zggmiCmjlb+YVlm}Q@}wFyd8oBSR?=vZ3*$1>?RU_TB9rx1wF!B6M%}d#TnZ!Lw}%- z_!obqbNX% z5YB_}HPLl|T_{7vsx?rPafNat3Ix}nId&mBTCV_qta=>$;xwqB%FJz8sSt?CD0jd^ zbGZ%?(+_~*W)A(ar4k^3+_oYCZVp9-R#~?E&xT~hhYE>mpbM4sq{O+FX8=eZ?_Fw3 zFt>b0YOE9c3F4meP$^gEZx-<>@{?6JJ?>_FIOWs!_*mfL`tkmwD+}x?zdLbIoq|u1 zk=d!k*_h^CiO4{zf&~gpyP?)AUV9ozU!_K5Jfc`nsx1hSf+#>hi~?@tKTv3BEMWLB zwOVoK9A)%F*cQ!|F0QgOUUdEz&i@R`I}E)2hJqcCOA5{Sgl*C>fb0We=ec=>@3 z$0ZHgAu`Kktt)vF+1E;7hAYc;hy~8AnlhjerK0j?o8j`(xDTMopAK3>Lzd{tTAqMa zUTps9EGR2^)aoAotmGPOIU@OIKdj$poql`U4zSH)0CGJ&Y69B8#E>g)o|HGH2v7r7 zhXcu1ctFHtLdZ3uP52x#wTxq!GJ#`^XIgacIP^0sT=8lWdssntrS#A8ZDQ{j(J9 zR~gPP0 zqlDrvlUFWGTOTs=Hhfi&-xKaJCv^RcVc@6mU7L+xArLt#dClA;$7w-$)u#7 ziLa2);yYFOnoOY3B*gOae4Y!t4+2rH7RR2!ET3*HDeEDyW*l`O9OO77gej%45xf`(06p;3=^??@5yP(eQi8R~Q zq(R=vq;tCe+=atXKduPrdj0F3#U%zsdrhP6sVhQxw?ZTI_DLCV&RXxigNU|~eLaEK zx8%ow*pX*t{>{T4Qee^G$-I}UU(gWPHu~gziHA8Q+kb1zg`)<`kdPc%hC$0YfYJwC zB`S(I*|{CWl)|rcw)37Qpe4=&5(kW+i^$TChDb~d8gzncK+g?AV_ppugw}xZ7Ce&) zb3USX8@-}`D#NryRTREKszvKUI$f6rQY5>-r^wqca*P~gYtQGvOvh?H*ZzC*H(8tS z@7W+bw^%0HtJX!JB;Mz3WhEB|pF0iE%;x?c0Erq7 z)*u^b4TuCFhpZ@NODM?g2IxX1DC0X^+Y+qJ)AVwV{@G(N=>lwEKt7dM0iSp-wZ-cc1M>7UKWQUhqrd7$CL&J6gj53fdw`4Rys)%rFmps+e&xWY0KC_)S2c545Y-EofF<1!QB1~B zo~tW2c5d-38Xy8TJ$dAMHU&+dJYK|Hiz*jEE7-QKPe`VcvaW33lv zN5W~`xo@ya<@8QQJ^%Gqm_Z=M{^pcgK|F88xs4rX9r>m9Fupxs!r;q~>fin`IXWuL zrSKj9@cM;aUgdIwNMd4ozq`*|{#FG3Y2N*tf5Bkk_6%kMLSA?eca=r`+nYn?dlz)l zt=k&>|MQK;w^7{waC<^3@95<;i?!Ow2xE3}yLfdn;y>m_&ES2HE@y(?Tq&6s;)n3O z59TH!sVZzOA3l=e29hHFrlz#~LKh`)2wW`pk6yvEnOF~6U=)7NgfM)I83_VmqQ`u6 z<`1(1T9AxO`(;=3Guc)Oenl%p$_F}L;DpP-vQhP5Zw<9j>10(iRtHaRIgRH-V2JRN4* z{uOVoi^3GZbMJL5SW;vCJwNvn-Cq0xBU9`46LF&C+QO;)i1 z@onXbhvPZi#jCK&$i9ut$XT|f_;zgZlKXc-^ zBAPt^O3nPhFH^5oY4Dv~mkRL9jM#w73XVs=HP{PhLLHO1!aB!{bfA+i@&K?)fo~cB^)59><~F|TA+SriR@}Aa%N%)zM9=hk0JO(*M=2iI%7dip znRvJIZ=^1+9UY)@qU`dUR^}3Ve0ra26laQOKgdQhP|$=`KX1V>TS}uiM-qr84SeX? z^yfmcxBgnMy7$=kp2Zr=Ki~g<@)r`gy(aE?CH?ZZ`D2g&;WGq|A+?~WmxpgtmhQnn zlRh{MQUgMaK`Yb%zBBzNZy>LT!L%KE8}~0<_J8_v^oKx_Vb{tXU%p;UCWSAw+o^7Z zLjJn`=4ubTX9MIt+8oMu3I-(oxp}yQh@`p-dl>MLRI}9EiG^AKT6!R_ixW>)xNCXa zfm8ED9!<*qd2dfl0rCL?Zq=#aTNoG^lU7ML9{%EIYOh$bi##$){)wbP z1_o@yCPkJNsu`V$DkD73YZE!uitn2F;q97byj@l-W9}F*7m!g^VN-8`@9n_An6w(d z8P1C5?YM(L4n4YYb!_=}*j)_Zo9tqhR9X^2Uffn(5<02Tp_IpjVqI~8p#Mv1TpWcl z?=<%O5!?OeJF@hJNx*s5ti)FF;v{T-{!w?>$w{;?m%i`Taq3gBEh%u%L(RRu1S?0ZcoBQskA~3{1zm+Zogi@UF>Zabu4z5@SI%Rr!P}PRs zvU1D61JMa@w~FKcyXJ94W_xbQf9sE3-wv|JjPsGy@;Ar%kbujzisQe&%K}cvU20k! zUlj!y6(Hn4Kd-S{F01Eu^MA)}UF5g_;XnRgUSv5@F6Zl2@**xL4WQ2Hl1mm;_^}SA z58yR>a8qE~I?OWm{3_sDaZWQkfL~-@>n1Jd__@bZ#(+K2_Fhe7xrpo%M2_w%j{o19 z=ImfpfT%cIXpblAiXnwmu)`Xy4J+71A4Mouas1bJ2_yaZPlA~tbYLoqSqLxRGi)gG z68=f(6-3Y9NL{;=AbMWERa=qjbWWk8)54{8%(G21t;@eNg^pdx!H`77BGgX&7dheG1&9E|iQ z3~MlWq$)9)-HA{21wZXk$3Lnf38>F~yFEA(YF?$4f`notEW2NodEJl9UlWA*^xd|WsP@#M|^;gm&61oQF&W0 zCqFLPUT)js-ca6zU$xn&@hq+f>p|D`)iaO7SMA?h3h4NH>JV={9uRwFClX6;gk93V z?p`?z!BQQzQ?MD#JZMkn7|_58+#2eeamRj(%8_7By-|my2n`D^jvykEO%bxo|5LdeYx;w6OjtgEa=Yql^$WpPeYDE2xe07P=(PfdQeQKCz5yv}rOIR@G8>%ik zcO(se=@`B}SR}YK6xZp!yZph+5AOft?6-Wei!Zk9ADEA@LmA3DmNVP(pV*Mh5!jRF zJ}z7`ncyL25F)CQASqrl)A6lvtp9dP=WlC?G_QCRkQUXwRiYv(Zlg4;99~GYic7u>*dab%S^plxGyODX{ zhZL&J0J%pt>J!+Nm zlEECFeHcnjc#7;Q@pg)l*&X?W+H}X7_qheE@6Ck11?3bE{e0?zDtYE87RRRDb1iiU z+u^vSg@{4Yc`ETbJ9y?CGD*&*Bh3|hKtpcOvTjk8Vl#`Vf#e{2xG#gp)AoUbTnRNM2ko^vCHJCiZ6DPJ)erB+w?ONg zh4{~j_SDKED;G1RRF8X?Mqo@@G{VH2`WZ#Pzu^r=B=x=?KW+#*MCHW0Bkw#Nq*J76 zcv2hv;FA7C(Z;7@AKo}_>ge!#K3*_8WA~h7wv38cJtr0?>dryKCAGrg1z=O%s zeMOl|F)FYtri^#`tw)wf56U~BiwrNS@h-gU^+G7$twG(;cF>bh-~2+2LO`U`y;rAI zanQAO>ii~}gP1lnZ!#Yc`=SAcT1tbtL?vjqk$lcj5k(DfO8rner~SS-I2vbukrR5( z9rySg4T16JYOfJwOuAUAI;Jf~dNdY*M1ER~Of+>$2Yf9L30Bv4A8iVFWzq<^(3})$ z@tIhg$Fjk(5{{f93kH3cENDgDy=Fm27B#a08J27`7dljG04gY$)qSKYI-X#`+Mes{q*@PPSap?h zR}j#H-9+0JQK|6=+OoQ4RnibxCRQ3HX-O}|`z+aakkPo~$Vk{>KDtZ|ENBy49@t7B zdt-&>j}60zRhOONDfKN=3(#{hpX@p)qrLH2Gl3VN2Wd6V!zrH39MI-Eo85808)m61 z!pz&VIljtz9~3cdsBhK|TJY1qs5R7s=`Nu=k31Axs+!P`x&>?IdKryHT>H{$l)E4K z>{6_{?{e@m9V@g4@zrYRz224EcRPPLWH1s60jJUVvfkf z2GxQyM-Fbb**}&X2>9-`YG*;xX=4oI^#Nn6wL+FbN9?nq6s@{Ozpcv74(iwGWs?VV zKD$12%Bro;A4|^=;gcngXmV-S--#On*uz8mKT0%E^I83gTn@AE4{gg85XO-$i4uZp zY{)LF(`b3~SVHeGG+a1pybFu=)CI#3YsdiAIqwRuudsqEj{M~?|pvUiG{Z-#AF3ueS#N;N4{L|p`^qYZ$2<*!=+u4leoDPLx!hMu!= zrMguD@Zn4&bLWFV0Khg&Cr=sE3uBsbP*`6d8wt`mdKppc-2IhTi&R=e!#*QH7Z)4E$ z$s5W4wN&+BdPY*pD<2XZceUS(cUC%{oWMlA7a^OFsi8HUFI3i z^=+4FzcN_l)aKK1C&XBRbnTX7n^etU(APd3B6Go`%)Uuow&zs;Bs2=Cd}EPMh&WH> zGS#1{l2Yde4SiHSuc09&RruRFy6vZ1FF}VhT{rX$D}o+N(4Iyu%BX`l?b|EnjUF6A zfcs<7g<6oryKtADjP33-Vv%BHO@}6yT{1C3W8b8X5l^W}oGORrSD8cKD#OD4&RE{G zfI;NJs5g}$Kwih8#kmuDESQaLha3y0bVa<=^GmOv|BTgfg$6x;%Zb6+pMxVmDlSN) z*0oFJJKe7^%x0ceo!r#{xB(@Ju_9M;%zx5*T(n>(AaqBSGW=I`V}83Vh^fJTp1{@& zW%1lw;OgHr27f7rRwKtOuX_t_KrZI&UlM?2R09%7;OZ%8oKQk&ZH)kVRtt^GT927*mBS)!4DJN?4D2fK-Wiiy-p4ZjoY z1`<<&?yG*>*vnVR0@n<39uVEltNc+v`*XU-aZMg$fRRsb!MrbhIZJYHMx&rYGX)bzHy$CWp|_ue}g+&XO{ z4n1C}H?G$DLEDwc48U9rttECdDfs!boa1en>P)b zL6+pYPN)4&*kTmeSt;phTw*D?eA7Q6Q?T1#jym>7@&nDoGYu6U_dh@1_3cw&Njqxo zL2wRF#(sp`S)~%VI@jTDiuXM3+oD`scj<8Nrxd+u_zl&WsdZcr{S(sbwJ+@|p*&M} zuIkYNEvHuKULm#HRx| z+wlEvXzYJ7%$-kh)#gc^w^N}S@wP;02v(b-b>BNXJzN7Iabj=S^Vxg`AMq4i;*r^% zf6!-;Cx0~IoPGcFjuOc_YLGB@p&e0(?e9Yd_zd_$fpza2Pi&}l2XF>O)SZ`-o!mm( z(d6*avSICu5-OLJHUSZ@+Qx^%CqWrk@m_3y;?;O>yc4w?HadO{G^OtOx-H=3Z7)%V z8ME!Uq1c#EMSz}pje|b!uN$$K5EyTBKLX9udZXX5_NAEyF$;Bitli_X@RaAfU$GNz zzrw@G#58j)1zGB(X%ZI+ljd1eqrU?BT5CXM?D^D64XAW>(9rxoL?X&3)b0D*vqo0N zhuRuU!$M9O#Vx^pSv0Q*2%C9Td-w6*h;Ngh4S+62KN!|9(md#q8E)USH@m?zFT4)N z`C#B8#jZoM6E|ly9jPW!n_n1ACLv9@^!idLiV&ghJO-Dm!QWh=$?oZ(N>TFkRr_%S zLklCm`5eRA4dsriUuL?`hD34>H1i&R7o|N%;KAcl@n*#c2iBeR*rqhG_l{aHO#Hma z7{Gb7e?PhiMhdW!t9!V8;bc=lP*&O?KWy){Z$+wOLI&J76QU*W zwcG?)CJvT<#kd^{<2|>d7Ta!^g}|y>3_>T!^6rz30~#JjFVmy;WOKk8V@^)lDWdi= zI^n}7jebTy&mx!btM~eypg}yTINJgPoNl}9e3R^u?~jw4=$%j_9a;QI&|GUb zs3rVFmS2BzF|~7?((dtyFqm}4YOQou)3?m;+=Z!+wgDaZLBQx0aCMWWf)-g-Nn|^G z)7!r%XHK*lxeK!fq5eSieh)zc*Q2Tl!d)&jnXza0?>*_zVBC$mgojkV`NwD;4;8mR zbIaRORVV1159u-Y$(k@_+Hy^glQ8B|%RoPmltNA9QQf6GNF5_5uWp2y2bWwmm^$Yo zA+z%3_}Y$x@2)UgWo@xce!e!l?=ui3!1mP3KYgFBb=Wz~0C%a zDpczQ7z;7FuuX;O=)f}!!9;U2E!zX9D20%e0cqv9@QGw~!*r3WbwPCLU-blo2{r;Y zo#hjx^=m-8+^>Vi_Yvr5=T{1q%>L@#7>z@=)1PFEr6!*S+d?ty$2-$%is@ccZej&NyQNB+{FaCpXh z7c;d4F&h|&7?Y6Ecm~5#CW+%_9kYXoLp0cdqNt0q8_-8CG+Gx^)sTV@Pmc{lGIzb% z5r{h(>6PQD;lw9vmu^Nj@fk~E3zOq|&R!`;d+EX^_FrHBta|r*?{q*+V})_14c^7_ zq6gGJnu$W!x7}#1Mhw_AU-q!X-eIER_GNzy0SQSd05#|iGz~>qF8f^&ynrJZ*T}pP zt-W!EdF1_5szu43wJqTj$i&FoWrWu+a?2poYWBL3%S&n zvWWwpUkYZY3SyvN*tC^v8o-ARBiv!}j=RU1eo~t}wn^&L*ojMS7)@Kl*o6ghI3te1;I&NDM~ywc$(uWSNW6Fmi@)<3MQRO zZ4L!t0UK1b`I^knZ#Ns{pOH{7@bVj>erwcA8U#bUaOXd=sA}?E-KAn0MD?Nc_?UHA zjTxrRIxR*E;yp4wk0Yu#nLhkB`yqE@7f*@pY&%T=$1Nh>{UuQuvE&@1YdF;W+1UfG zazwJ1Y$LYVhs7BjD*epCzM14Y)l~+{s|Uz3*C_70C2{d2F-6CAQtiH5y!1cGysGbV z?C_s;iEg$T>lAr3 zai0{aj5kXs-npe?%c-YBer+Fyof${F8$9K z@jC|RQA^RyEB^lb<1IcYg*sh9lPCA<9k5*+G*eA(L`FtVP~u=vBN_=BtC1Aii`Clj zfKM|SGdVsuSZ@_c9~rnIOA1B+dmn`H8@jG{UwIjCP<86A-u7d9xWag5a`fq`m*hv~ z28;c|v|2%qoxXfBM+&AJaRW=FRdlG6#F!ko8cIP&M;E0MCjF?rlCT(tKN6G+M1N5hz>69?^OZ+z_4l67BHGwCQnBz3iB-Z^gGwE#0vxZKW)BppmJjS=SZ7}U+*JPCII`ErY*57-+>|Yo=^5lHxkYV{G+FumJ{+$G{QMYi) z`wn%R0bIdp2%8>DKU3?!7DsX!;59N-n9=xBaMgdLGnac70p6UVl~tn9*$9lghS1f%ZOfJ|UBV9+4uL-^n0K#aw-713DH%tbar@-ovI zw%Lg*2$SIs*lfqpv>@gwQrEG*TQFI1lH}K74P9JvQWEvE&l_vp46ki@4=69&I5~@x z%G{^MuH};{$k1V_n=e&Xc(3KoFt3q^UUtro(g4TWgS%uA$&m44Fk4i}R&oW|WhGA; zYjWmoREwmx>`2xSM)3gU#h$knoPWKmoCK*HIkz|GtEFh}B_dDnviSD-<*_6^sn>E_ zaH^3wTp>3@Q-)=*8_Xm=59fv3av6(j2H3~H_H=ibertc-0Y>|6&VzpR_WY{3`flI6 z5H`R@Dv>l-GyhY^j@`EFty2O#$=yG|^FMSeTvxJufgiK}GH@47j1GRz@q70lcsArX zD^TyQq&Qo&N%cWU2p^28IWK(IiH4h(m-j9hc}!DeFxf<)uC4#_`n5$4bgE{f$9Qw^ zJZZ1=JTmiLI=(T_V5D^MZ(a*^Pr^<-{Vp1bGS7;C)6&I ztyg`+`KNF(r29nkut;7(ZpG#;e8j{8W$Qd?YNDKHiO>5#fjN5b-Mb@!QmziMH#B`_ z5T&nMw@!MT(i9UOf{~0Pcx{Vm%eL+O(x2ISAO2raPfzbd=sJnvqt6})ZYJ=uB3H9- z+O%oY(=)X^8twHQ#W2Nflm4}9*G`SK?oMR-%CSI@>?I_ctv9}}i=0|m&l*A-zGSd} z6_k}7=-)8#d;oU85l~Do(-c2-hPjQJp3>1Z+@&F}B_S+k26*JnSpP?3z#K*s1;o@Iemv&^2#{slomLmUaln|7_*sD~q{iM$Y}-Pe0E^4Mw@R z2ktSxzFF-23#uz-Si`}VyaO}6?_=AaQ2pW-Bz{gQK%7}KNa@w@<6eJ`FxR2~HjG~j z=t+ho_oe|=LUNT5@ofOCb({BOR;5~}RdxphjD_?`3BXwliHV6x_wPT936H6PT#nG# z8ui4J-Qv$uL129cu*K2}k1@d=`uWd5fgvXStPAmQrwfFPGJmk?a$WZO7?=GE^MQnn zdxT4PXL%}J^2V{ZHdVM_PgyFicO@lgMs-8J(Wz69AenUH_U+qW!1-LyhpQ=)r3%@- z^F(^P#ziW0=Vy|VW%^$R6R95oDE?@-@MBJOY;`2@*Tir zf5qj+8hDtvXAa+vHehi`&HUW_6|6a97!mp^^c4u{lU^H?L&Vkg2nt5Q4BF>8r4@5( z;`%zolh~!Ye%Y@4{TG-AB;*tn#sK^ADqx(}@8Yf)T`JRkL5Tl;zC4ZzFQ0|vg7MI& z=g}m#YH{kq>(ZQZiv`FR)49k`*Pw&LnkQx%x{vH$3W=Cy1sSvGR8 z+-+zGDrD(MZDNM@U2BWCf zIVT9ex5)-Y?uawU`bbgxa$wYI8j!Yjg0#C`8>bQ6BCjv>9@q{B=S84VD92)yS?LNuKf9dm_9!}=RV8Y#ZmhWHS*Hzebl~=(OW_W7R>Vp0{ZEUOnbJ8p`>3XiU z@gCyD4dphFo!IaA{fh#c9BTF$Hy-X~4I*vN*$)D-TB*?yv9)UoV3_#iNh4F~>oQmD zd+UTRt`TOe>Tk>7cNlO4;q#*>F6lH3Lp|W-jU}Y$EDPo$T1%N+Bhkjpk)NweMBu zOtuEXzaMa>upP262gVQXo!h}a3IMffy)HO!?fl2Po6MICCMjoR`BYa^cIuZeU*5n# zurs+Ksw!J?0w?wj6P98KyZ}7bk8<my%f}H#`47T_VzW!SXRdzWl!lxUjO2I(AGMX>s zy$W~TO)CTtJq^yiR=~c}ndA)I8h_24XG-qumdhd{DQ?zN&;nz+0rO55|x5l$X?*^g7u1HMA?R)#$qu~EQ4lI3-s%^;^W<9za)8ou;1$I8x z$xNJvbaen^XbVRTcIiFiMoc%{S#cP|DTHUGkU-1^Bx&CZ3^HWgc1pT-;adA5)i7<~ z-R%qv4BX>7b~cRJaj->KC@UG-xBMg`U)n>eODO*tO(ubtcqo7YD`ubX*gXES$2mya zJ?Hf4(|fHd21o+<_3z)}JUE#xa<1hhNWkr*z4e(N={r}m)o8ci_|4D+W^k^HV`O0K z@0iy_zlG|9FF9ez$cIqHPGqZP1Ncz~1TO+$8XQUsMZxfFkA88g55q$12QbgAGdr0o z+q{%#MqWz3ef#!ySlFO#3|yiD$cJr11GCaIfPVHJK)&C?>f91(7@WG(1|7sRE$VkU z!w?7eSM^WSc4|F6eJv$Z?bwyI?9wwMHMau-I&FP`l}aHoaJVNU%?GypMI@L6yTuYH z$ydk@>{D8SsR;WSB4_GV)XW;}8wM~3pLSkpncoh~2)UW3fwp!~ynaE8>3Xo$??4da z5KQhpNo587a*qrh9enEGfL`|5-ns*d(f_@(K1|zIVHWS4?AT7P`f;;>foHsSdP$5D z9837+ZD2l}fatG+7)qOd z{fY13HkLwUtk>2Vmu{H3#r~H;W;NY(vi-eEeL7gC{kCyXQc(K6qqGA8hYmF)RjMVB zc_|vy7A5d%y!tWuMQ?o)Gyloz>=Ega4jraff&TugBmE%d-)iW)Z_63S>4Ph@zL_pC z&g2U3LM?!pZFHRdg*d6*aRJKN7v~H}c4fp&cdnT{SjkLxz1Lj$bb_g*iD<>yEqo*^ z0MDpRH0r>@31Ud%$&=HPQMVE#*XdrXqO58Mp!NHMW}H0v@zDVatsJ}9CP32lu>4E4 z>p{aHAs2zyg_&OcZNkE8B4L)c4uKiS7<9z@x8eDVXfRq7;658m5jC+b?C~!@c~Ns% za}`b|N{A-TxqRrmKC-@#nHAiG-~$hf>0W9}dmQJ`-{=suyL<;PuaGPmDGh6%#)-!- z)tNSHgv*i?jFEAtDV=qGbjPy@k$54N$QTs1bicXbC*Mti$l5u2CCzgXqJX#ZT*oJM zJ4JA+%+;!;5YhEnYtkq-@QnThRPSsDfGTF*)CJDQ5^{6v>v`} zS6-i>!v)mhCmzYavAWX~isYl~vw@6WMJtE!fUM5Av}YDTAm1h%7W>+}4z`2CBa%OU ziEX;Db87M_e=265d&8}Ph}tJ=rMF&!qKt%rzE7QpO~QIdUK-{UJfE{e4fG)jHKqRW z+ET4D_mcaFCy2OMX%{$R@!)=)BfB_q)XJSB>gRW4Jqw{Wee`oIV9<{BT7zgi5-g$w zu05TdK&W-r7CG+;FP61Cc|hHBpR1&MNKCK2p{5pwL#L4?Ls($nV5e9cNa{T>nY|&) zCZbyy;u{bax_<~1mvOL(`}o87eSuIJ@O>v4Z;K|bv*O8P)sI^vw!0fJBEw{$M0Gdkkcjp7>=v} z&`E<(di+?v+Yg){AjJ#F_Oy6RSr*t5<#ioWaR5%r-uKHeP)!pE`SiY`k3^>N9t3ym zoEnaTb8vR_2+^+5C=Ap2z_74$E@cq?xM6P>R~$bWYAY;c1E_=5nde$@6$bDUJqHfD zgz@QQTwVJY!{99BGk77|kT&y~D-I{$e5Z1wXEO+xj3d8H?@z6S#Pr{{{$VU)KEO%E zBp{8|1>8(sOCRy(#p(@bS-|&s* zwV3jZUB7OpPW^-r8`GXx*Mm&dX7)~B-N3~{;e)lCFAngVW1?zlxpAsVRUVNsF^5e| zqTd5UB?TH(=qFbmNQZidji&*F*u%0{&u44~2$4D~={y7>+oKGi%UxW^v9Y0!Ho;M0AJj_R~QrK!K01Qlr$)-VWYA@Y2`@p zH;}p1{t=COJUunmFaIG#?NA8AW%K81K$W~#hWE}l)_d`n)mtjt?0jM zvAmpW!R;ez-&A8-I%QV%$jh#~`ha%E*&PV4cK_>S^P25HU;yz}e*Ox(&D!rporVk| z^wnR32YBPrhxmBjn&S|Fxc_MCOy#2(%n)$N`Jqqyv_SZo*_{3+$C8?wdV~E9#_(o% zg*4x4`?HPT`p0+UcH=#h#`bnymHTerxf2DqcqN{wH+)5AdLMEZ!2}V`J2KA3z^lm) z<9(_2k|CM<>X*z_^!WPIomA8V>1jRddtg1*E9uS-f`H6**aIVXY!P=K@4HGcD*cDK#ouk#t=F+uA?847yn?Vaa=Bse==qwMp z;qDDKPAOQRzMlKttNa_nD|#W3t5cPC4f7fdGT#7}!2W|>_BmIoQj887xdH5=K+q&K zY;3zi!*)MJ!jWlQ)b@yi!YwFcI@I5oez^DOCuyJv%sUA3F$x}ki&?@FEA;H&e&o<% z*E|$nlW*~<4VBbZT};K05_l;a&r~=vsXpd2!y249^Ta$Ww1#!&^Tq;ysEt#A=_{>o zz(8@|Rgc@pr4iV+ zeWjv5Gz?KG=HBLT)=5GfWNu%QQNLfaZ!kF@OGH`%u386_zKZ1C&}!vUe#i~Jh^XGN z(+tz5F$E6A>(Ud7ie%rGmE8-MyP}<+R$4BVS5c)}42A(JJbtKG@=MEe$YQqKBv?hJ zF51Sck!I9Z>z?;JIL)j;I3x}_LG>D=rP)zUSy|ai2QqF-Mfwq9WdE8y4!^6dy4Pdc z$r;kBU(oz)04#oUm6p?OQUXSNzkTy2dGjSLOgO_27$Z!&%%bJ|22~D3vtmcXF0iC}%cw)5qr2nb%~gcS{v5G%LuZjOOBzs@=H)MxiKoA@7?I9S^`tdnJY@s1dF$jr~tw(8EDS+ zkp~N7&V@2Fh-xsb8&|lq{q2VoBV(|gUr}7OyV3eKoL|oc617nfWXj;L@h<|o@&*d@ z7^_p0MEhFua#LbX37dQj`Me*w#C{Ny^KE}?+OTch_URZ3 z0uNwdfl;Ovq4EYH41o<&U^nhGb`SmNDQkIDZU-0SJa%d%-kqLy(+M$e%1WW!wC4p` z8%&nht`ZIwvAq4|%jqzYv^N6~`$M+zgAB_Js*m?w(w^AsIB*i`Z#6D5Y&;`?^FDRP z&;X24P5LAIjM?-uoexu9riSa-@m*)|K$y>j&79{XEPh4v|ARfY`1f` z|9~7Ni};E2=g+$}Is+!PuW3 zKAP%(temnaVDp$T)H{E=SK;$kIEX@Runa?2^=Vh(;6-s$g2DLYps*hZ$U7_&4sRfG z@TD?b?!Z19rZ;tI=Er|Ptpg7T;pr?qQdPLB2>tZ`r2 zS5Wb+^4DK~$%Y-#UVNn$LiW)i91e$}W|cJS+lEp|uf(4u#=21JzOEfT(Ja?h8Gfl_ z@_h0Zl+*kb3Kbc!o@q)58=nz=tlH@S$<|k_MO6_8ed@}jToF{xp1MAw{!QJ7Z>*)> z+$AW~tbOHi>l?tPlpmX}lvu?|1ISB3B1}EqNG-S{aF)oeAid! z{`Ix!v7;kZ&MU9{bvRdz2$Cmxu}|LHfvGBD;S-4)c>M6u$z|Lu=hGT2xFSuSs@-w}~N zb^+hc{KtP&4Fc!uezeH=V!UF7H280QWS2$yuku>B&Y$P`@2&d9ox*!NHMVkc%6)wo zc>HUz2TXQ4jWXZhKJOW%U|CI`!5d&NQ1pR$shw%XLc19R;qCYD-(T&b2>EphdlT$| zx6a+MfBde6DZuxBZ?pa+sD)bsN5F|LX3p=1!v)4yD-xDC>~o8`DvSk5_Jb-mIa#32 z`>oT$^(!b0`vB6hqF zxqE|T@+{gL>gMgY;uJ7hB;bBq`&6?oy?6-~s){4`+fUGO-{NbN@eVz9{@rcK4LvcE>-t&?^ucGRqm@%uQ3<$v_wK)O zOduS87Vhxl3Jd=o+Ff?r6Uf7^tvT4aa<}Kt-w(xnRu#^70in=q8}Z@Pm4pkz@xmwi zo2lgwR=F<&G2GWn>on!cD}SwS{Y}_`SE~wVMRhot+oMtTD@8X5M^Gkl-6qMa+*c0W zxAOEJ`juDyqNb}mkuq6TI7e{+A;k*V(&4}QZ`f=Fk^ZZX96IoVZ$jBzuB;%v|MtgK z^~Jwh;VRs>>Sd4+0WM#X5czMv$>RM~d63*D7Zm-?eE+?JS^C=SPm$d2`w{NAlGyg& z!$8JtdQwMIlTpnG_Xm5-vmiziMU?+Bm+Zd}+;>ZuZDj3=UB^CV0A2scWwJ{Wzkqs? z0=nKt@(1Ng>ty~$kF-J8KMs`^$LmO9_Jgixyj3F`vvfC*{JN@)#Ep384L$tgW(|^S zluHHB;;DgmU9|&>x$MfB^L~rzB?rfT8}0U&hVXNL>~ zQ7<`}!cc&x3V$f&s*ZZi8?tRTt(Y>@xJ@q(-bkD!UKO{q7)W?f<1<;>J!=7n^xJ<)sA?63q0=?Lb;If zXix2JsKtZAJ8R0Qa4#sy@J~w+7qjb9ty*_e^7~a@ zy=R(G&$~{CeqGl?h%P0aaE;#=!L*f$|4QID+`dbvK{em@_g~LX=}Y(LzFn0dsLSGX zEYWKBYsy|%C#b8zvisEK3Udg6--i{IHaoVm^nJ(4h+4GTLi?1@R$*abGv?>YAJWY4 zY~|vbdZ?a$MolZzO7~q-NU9!dU-zd@IAzQi#E99paut7bI;)T-T!GD6pXJaW6t9^g z3v+q7wYM_%gdfoD?(V)F7`OwCW4eWDpA-a=`6Dwp zXHM0Xa=LqWu>lbn@S)04(tkz{n80;r-|8W7)d2-1l&)++B9=VRQgUAsng$aa$LQ-} z7^6HnIr&ymQ4J=Mvt3UT%nGi4E*mQU1eF5DMVHq-wQiHuN;A6^86E9CzLr3n6PNrY zc1ISkv(W$^O5A^ajYZR~J#^Tq=S+@Hov}joS;ODIN1kiVf9g7J6}In4sH7Im{9>st zd>2YFxVD&<<1zmZCJ6Mr3l^=2NopgPpxb4JD5Wtm5Hf*q`C{dc?I?2?i_o3d25K5(S zgV4_7x_GhlL-29=Um-EfR%CR(O_gh%CtLP95+IJyP1|t-lD29L7ELZHRKvl7rhXOd z)z#IfAp`<-)oMIl^n44o0QHwp&J>E@iJy5uDFq64)Q=~VYx4Hz5!4freUq&#l_UOg z9_SE932K8^&dut^QdNVPCw?8|t5ZZGd`TCnbQ!OFJ^)J4DbW1YFNYU%dfmxf2c@S^ zpPoi}S(X}u=TL_BrkF}4YLICUk69bGT4?L`UNYYZC_bu!DzoNGAvQzKyD+%HWwR( zseB*IIi6G)=1D$@xmnKXiFpY1V2qtKYl?pt`Tx=Z><^I|+$(Ipn(NOk1xEGZiXe%3 z1zPAx2+68Dp;E4sUgQu8RD+f*emQT-Tfpugw&y~I7plb=51az>8OpV)#O;&673laT zT&;act%a%`Ie`~3eWM2>(Zk1HzLRdQY`0*2gB6Z@zt-hak?$(zQ*>R>(}z%8ie^D5 z;m(36+Qsac>9`G7necGX-Z=eL-mh}w`_t3YkEpvnZs!-4^q1NwLvdFh2?Q(?9xLU& zZb&ulK+(+Bn!I?(11W$O(cRM1@*V~56RFabG+1^-_-OL;b2?d}(9Gq1puPpztBL=R zP18EyqboT7ft@yV_(t5|RyY>y?w_Q#ynQrq13-3vB?+}L-> z@=ac5Ee{etEJS%!|7wTW61v%&U-ml~(Qca{-b0c3?x$<{Hzkf~LXg~U7rT((ydDF= zX4b`1S=<7$U}VjSC^D@Uc2QJ={W;e0)nxv7*-u?29qXw*OwA(xrG2-3&xyD1-ra?i ziT9T@m(eo{hYt_$;Hg=Ag^GDQ)RNsneb@$5#PpNHs?Ro6b86YyXl*#>G9HT9#u%s0 zy-awwt^>QVW>J*i&4-PNI>*|>qSa2VHq=@lP|M`FZyy%W!W5xEzD>}7WW??*)H9dd z+bO|{%Md>G;_9PbNC)f$C>(5;Px7;ZB(C|gOqSMS9!)5Ab6-3by!I_rK*028e_BrYi6+}m zh1fl|Uyo$N#M0vG0I1PaIDh`BzRt(Ya{(fjjl|C&1Nu{v{+xG53KXkI`qH+NlLXMp z9I#ve=X;YWfKlo{QS#*!Fh{Z8eF86(umxFGa*dAb`r6}Qqy6}Fi6#;xgx^}TF-c!m zsYcCW87Kw?BcTMUg|9cBtxpW;$~gz6|C^f+>PHGgnmo*6Efv$&Nr|}{CY>smJ-r=O z;n080$XQc|Vch?CZ`^EFClB0NtQm9-f{L`Ltwl&^=x`Dg-3z-~8ly-wL7nll1 zFAe!`DBpbx=@gd1DSEbO$^|FA|;~|vPV{A?>$1x!- z=llGA&+$Kw|8sO4_g%($o#*HLeBR^re!X9BA)uN&C^8h;%6F5YKA#y=;7efBST`=59C z%LZoIjgxWvIQs?woUf3PyAD2excjGVZX^4_^))694x&MSepVxf&i>26=!kdlGd96z z1R9fy;J!a@QS2-n2k(FPGVaeuD31&f0=@ud{!tT{KFt23BME7e0y44F{LAnwEAt~> z;jb>v>4K32E`JkC19qWRb_62sD)d6rF<~MXYe*yNOr zlfc+~#(47N$r@oypN>!lmB8E0B(OE9L%|z`%R<~HJ#EYAHef3auK~0C1CY+XJR}j} zT#b56?rZqTAjh=-*`O+P>#!LL(%=QGzCL>Hj>lQuS#eS7)oy1f`=MvX4L|Kz-~A-% z!fmQ&oMe$vH9hqtO~es;E}@_0v*m+U3M~+A(Lmx^C<9)aCNmp`ri`dTL1@Wn=69%1 zQqFj`PAK?z=ImIQn3I!J^LW1hyAn}gvg^e?iL!*j%8W<=4$3#R5EG67aqg1iQHK}F z@{z3}OQxkt{5pSc#NX?OGl1fapRk#d>d7%%^1QqrUP>JqYZE7)37T3~m zeA}zjcxm%>K)|g`*|9vuaOOojXdm$^?z0_4XJaZK^bdZw?0?ycHPVW6QpBC!4hEIIGZYlQ?Q~knB5Qi1Hzd|-$4d*d9tqAY&-b)>j2A@8mHZ)F#U_A z{L4;8%phQ-i~WCNq$oB5K*$GKlA4=1W|@SA)uG?=tH7PQcQ*_7kcA1^D?mtl9LoL+ zr#v$5waS%3v!4`%pH z&l=$T_pEdhA4suZT!Yqm4yg5?A!y_>QW9lFnjZQi zz8|bI@V8f>{BM%-aW9Y%ipL1w11_TTXc$k>iq6_OO2UT@nOTn~*gro?_Yl-SD)xO{ zAg<4Bji2!x4-1?rLzs3ACt^p(HX1>%;8c%KNJvN&g#w;Y)5qeFo2tO9dfBPzte&2c zLEFzuGfd1Q;^YnaBpB*{8@6>2qe4v^6@3@<0iLr?n)#qg@BbD42->W1M(7cX&`K|0PTa&hnRLXc~^@8&~@n0 zYjf36)7_^E&rQa=H?4o=Ejf$ZGexe02TSp(5}}6hnD&izo#5wJcEa`!BB&T!3hW-r zM3HbRg}03NWeC3r*|z9ie8`t&*EVzh_Dys9g)#YI%a;KG6y^*_V+SyWu84^}u+RX~ zgyPU6fn?ymQC^4y?&GL*P{tPi$>5LV^)cOd*tRnucHATj!QceB-2}?R8!rb5AAxY; zTsFk@H1V>-U}Iubb4;`8>OPmbuHFs=Ug1>*1%>9t{O~#GU#bCLbiZeT*!_T21~_va zj*FdMMI;5Clia}1=9<|=2scy#-B*8bK5LdB`03HORC#kf4I0;9gEC+#g*+Zrfw$`D49E>;(cYk}djXaO z1`v~7u9a?<{oS%ep^zHUgR4=V{}M>LJb{ErhteQs6NE2b1knO7AIEJN`T|pOmW1X-r*ho0H`XQ`c(8IOSV9=ofy&%>kEnOqo)ebn%TpAu^-b|3C*qMSWqD|$` zSjRyV1MNrWkz&5EN~XSc;rn=qqO*fjdn{A?T|Pd^*G$tY!!>{pn$|^VMjVCT9vmbC z&=TVe%@l7yvFQRvYJsw8kZASDM5N<|e6u$tyQl7Zixts#GLfD__Ct+y(;peNCJtBa2e}~ti$8rA&bTebtnE};{W;t2x;RcodO90n zm{4}8fb|O?o_#3USmfY0|KxHXL=e*MQn8#>{j&h`7RlmUjP?;Z_ta(4_Mwxz(Boj2@ol_hqE^`_lUN#@yTgA#0;6_XH$=T_y=cECWC;MOR)2F zUFx#1wR1Ey8;NkBC!p;H&{f&Dw^B^IFA*`fWvwC%(PYO-I(PRBM4zx~!$Z$Z5At*o ztjYkdJO%p64Pe7Rm=Szm?vN)iAy6bjQAyGm)&>D23!pHv&2%sIG$k>Qk~EBu=!>#6 zZJfU zG^#qiIY#$x=2p-&>%=l>n3Ho(UEib?hBh&fvx_z8v?@`?gmLT70fC>Zp;=45pQMf9 zQexWV#%*2QlZIQ!w}u0Ard= z{mjfT`k9AY2+nh8j57UFzh8JO*L7V!sO8l=pjJS{a>olhSe#^m717fzbIsSxyz}u; z^I{+Bv2t!?JJmYiY!@pCxjpJF$BL`+ttT&5^yAWk(t#gz3-pYVsXy*O2cS5HdUDLd z^?f6i`GSg3dQi5glCpf7a&yv4$dPhY>_n0CoVW>4<+Y|B?h&}HU9<*4Pt}IfT)?q$ z&lIc1NYnm&|2_E}F++Cwdce464F5hgwfFTji5>4DV;`Bu_KnHn!0xa%;8q$HlCd?_ z7I00;g}?gDQP+|k?l{9g%)i#`<3#q2ziD(65t*84G zp5QU=2u`Jv{Cg83@8^-3RuN!mPL?L z8M+#P{bzryebYR_?SViJ2yLe=hB%mhIv(L`F2*ZM(YXUY_M8den{RpMhks-Z$;bxN zSv_|gy3~9*XLaCuEwR_AYxgR2J_iZmn>w;JmR$NxC=iG+$g7SRdIZWZO9qwzL0>kH zY?qgl)0%px?-7ED)`=?b}Gej~-!_;t$mY*NAQ*O?L;yZmm_y z=g%Y?&09~jrAZ8e~$#d25iCi2$=BB&QDWY`WqSSo_GNKzq7llkndD zmo5ApbPaJ>@-p0cL@x)#(BDAQMV(%l#IvK?dp1rSKfY(Pay|U~f}1YYl2YM2Ib2@u zPuE3NZ8X+m8Z&|nw4yNwKa`ywYyF5`)Sx1JTVm9atUhUJhEDKnC?j$Dw!7Cm!~>Oy zR8`-dmniucI>8^A=r6E~>(PTvpI;#T$VkGeqEAsX2iOLs+c{BecjFi6v?@-Fy$xbC zo_D!!)E6w*UF+%re8cNg5hPn!Wqd-Gw%elYrL}c+3wer!smQr}bXb5tv*{K#s`3FK z{3(~*c>Aqh8d$o5Pmj1NzL!-oNk5TQ(|J8h-ggPSDmElMEbNT3va$kjP+X=-<;H4t zj%dAp@!~dFoWZB~m*RwS;ZCat(fW1RvB8p(LI6b--l=f6eoZ1c^H5!{owoqTO{ED_ zcfRohtUEfWWtS{F1JMoX$@gxSJFN(ivAAbSi%oHn+@isc+jMhO3PZ3BvtZfJ)D$o|7UtOv0v`4{^x6nOB5KnKns-?g-)Jh_22) za#H2KkA^-{5Fv(~f~Ka7@ikREvi$Do30t~ccEf`;ulhDAIha=yRl5 z8Dtn*vbzVMKYz+nl%2e#yo}(;X}*caVa6R4?6*~*8w?Q$h@FNCh~e26AIO)g*Hb~*=!i^wrTun!-FvncZ>LAU%UVj6lULH} zBG!?MhBC{NbMnG#2c&JkeCW1Fzg&s_P% zAemt)QXKRWW}OuekH*=J&*$X5@PON>!=qTK2D^`Z#$eHrRS6Y)b8n7u_c4IsF)MY! zc+N-#k9@va;*&2B^y%nrC6ERcVs-~EJs zar3Nxs*700gA=@wE(7Sa(`` z{pq$D?m@+NDU=YRDDYIPX;stWdA*(6T!77PKx#7Q?!AT-^vHTDw6sPUWk3dVe*JEQ ztM%$_)>)>m;*UVt@uDEV2I4ZK0z_<(qXdtm>pC7UR;p21=~)N5y&ccXm*|L=An7dW zs}t+*D{Nv8>ZpD9)fh_h=O8soP)dt3k`<%~s(0r6j+$1Pj!|+mng|!tAtIzkRJV z%!PbVAEzEzI$o*e)4e&p1>Z#V44xyKXNlsCp;B=2u>D>sdl?9oF)Mmry_=XakTfw$!hyE? zf-yvm=M{;_a_Isdr!I#mNQk^j!w(4zJo=5oyL-ctiEpPEilA2zkO z1Ql9hHy3pPQduyrBbGrkug8zpc`GS#@b*fy6qN&FTKr{pLo2XXo(SXjO5 z7IIIdM`#I|CcPbTqOLtW!4zmGm=f{BAxB$`e^kVATb(Ka?e8cZj7ah3`YfUqvc5XC zT=heX4S7@xgbb=CBlvJz34?_~%S-i{_3gwTu7TdXBe!1kn=Yf(&z^-FC4}>Taj9ma z!n^x<&wif;;h}>MY!Ic5zDdx}e&O$b5~_0?tHtekWlO|UOB_up;_s#r-Ho{s^d3V& z@{ZcY$}3<&UO`=Gc}|}pX6DF5u?%!kl+%6F%NFI-F5lCfqJ@2_XlcL`2Eqfi>33`2 zfU@0*d9#cCqgaqe@zS#gNsg%S-Lp(h#ew)b6Qf#?Hi&fUJ3E3<#Ig1E%k?6otLuOV zNkTnGEI*~Y&^_IUOhGUAsH*}Qup7zJ@{atz57N#cy-KSG&WrqM9Fg z*5u>zy5n+l&u<>|CD(#J(FSYLg>ulQ>|u#Ts#NlVuH~b3Pxp%NFK3G2d^66P-<1@Y z2=U!zc|GW15X*nkO=Iv-(00ZT>boV`^#o_mpF4L4^dJ-f@2p0jr4AOcMEa_s{t=WN z03j+u#{kL?Uokz!*5*dtN0?!Q-y6ra@g5$q{2QipNFea!fKlJqaLbIQY@~xO8KVej z*J#vMF~1QrRV;TE6XH%xYnY_&NSqkJKr3=z31ZIi3}@|jW?5A#rMHvKRJmhlgl4zU zBS!*00)-V!OVx1NQt$u)Fual009xy@rm}r(NM-UkBosv_oA&DtD3-mpF}ssJ8q1;E z`l$%ij(SY0W`+7QnnqQ7JBxVxOZWh$o4YCGo4Q=-8Wstes+a?`A+Ay`(hQB6rMjx% zT?fcg6+k_*sd*PsQJbm2F%fT!HfH25V}GFMLFh-pCHSKs1zDD~0-Hbus*BAPF3!HXs zzyO3AC_~OWTabRE-><*Z>1GSo5$hUO?m{hoB=2_>(yz6)L2?pn`H1YnG|i`Q38s&OJ1d zTeY2``W3RL-lMQ9Sz_wWgo-&+{`@Nl#H6Z^C58zYAJD{?zcviKM zhmfxhLNYDTLcwG2X z{24q##sh8U5yD~_5e;%0G`dDE$EwsQoI9p9CchT`!z7rV8Kv42B?ct0_`s6_zc@ARG5zRUMjo^e_Q<7+8rk-LK#Y7(;_jy)tRFR}?#M{@e)K?!)}^5WpzIhBXJqsW_uM{_#Yk-_Wkol0 z8-b+<1QyEO>u(;XpQQCP>I*xoUgFfhk2d7oUCCxn2te6t12_UeF{)t9Q5VMrh(P`z zij=q5p=z?O(EKSwf*#$b*T@9TYlzAXRGZKBa8AlXvFq8VGDxA72C5B(RUbA&`QdJ& zv#ZQGLKDJFW>Tri5nz``ea}SnMZKZD-0z3LDAiZ}(Gjs2N;!Q!=W)QU=RrrT7XE)p zxZHYA50lkuom--;E>7f-VQ*4sdY3Be$GIjRTwQ>S=GFf2u>a>WD+-4|*wqi}n&KMw z=Ps29>H-rMU|BZDLvZwD9(GU3JciUJ<1Ze{+z?_ zkBl*Ddtn7b<#0)uhE50#d^gS>fJ2NNQ`KgJf3lT|d67Uq4JjLR%=!ZXMGCYZjFCdl z8t5=R87Kivt_oA9!4QK91;LdYkh;%{C{A`OI?`neOj*BZP0dwOKog0g2=IWI^j9=I2cdIv?qnP7io~CuLzpETd!?_TNglJEf6-f6d}#M5U(6o;Pk> z2vr4PkVai{g`8FaVEI&|kiWahmkb2|u?36txb~6-9Vs1WI5jYJSI9DqNp9#y>fWu^*Uyr2L`fG zzVVTjHK2G2HWq~ti7|(`mfVg6evyA zJzQcOM6PMt+g2FTNa%8s0WqPFUxQf+>^il-f(pUrbaW^@? z0Qb-zs=x;6o=-G%}nR$Ki>4Hl!8wA`v`;n*!i%xf24<8vr0Csj;ryi`t&=q)11 z$g-X#Eki(qWE@BO{LOYq!CXjOx{oeAkkb?z$xG-SP5DRqq&fH*(0WkXy9cr(^3+7H z`_k`vs^yxOb?m)4s)YFjWmOZ0;%>`y%TXDo<;H34BXas3rqHUBR>BD=65>V*Vw}!o za^zRX4F%cuTL;`oRIKM<>%fXZroE+W|Frl@gJte|AOG3&jveCm+OJqbuUZx}& zxOu2c!n$}bPf%W_)K?=jTb;NliHcMf-GZkSAZyO?d211>jM9x5P2nOqV{HsNJujiJ zWcis1r1~w;yb>-ZER2lEIYMNCP{FQ{t3BI+KT9eC#qoYTvh*t2p7S55a|*hm1bB)0ln*t&f@0;$Z9>a z=_k@40<%XbV?p~f$?1J2NB&Z_pWWD;>faxze)BcQOv=|f#{J@fdaw1K%cD?K7e5{A zscDK3vy>-2QXn59w;AQ}?$f0r1_nMgQh8(j)C>4HcfFt0A7^-k!rgyI=5)f`W|I1; zD<`-^-U^JiQ4XvNE#7$119Ni*vSclmmy}D;nh11g0ooE6YNbHKbfOxJJ|GAg8C)K?7e)5(8IBv*Pz7U%p1L3dnCBBsdOQJ9=Ul1 zMN(nDC5v*;Y^<9W&Qj< zN)K80tJ-#HOGrq(!E&DBOm?Im(^h5;cV@w)-y3(q$9|oZ>}a^QmXq8IXB?9#+W^Ql&`HC&R$cDHSzqWcq9R zB(IwRvCX83w0NF`T?G;Edt`!ES8GX;bH1}x^CgPPlff_~Z7NlK2@U0|#^RRTRf5ZF z$gH+506g9AYwSG~uM0z$+J&%HGCRDzKi}uyUvWJ~U}a-dh0GgOA?<`YHPnbaT6*Q} zmA;_h;EYSU26MwTy~vmrKA3s3Ds9BnMroLX&@1KL#w<%hlEc+rbGW!bO~OkGttjpGKS60!SJ zI`p;V9?6A7wyr9gC3?i7Yu~Q8Izy>ZWtxieBVItldC&}0$>#h9ddrqR#W6+#MW#93 zy1|Sk8Z_IJHUFMp^G@?P&BK2e-OnPGMz12nH-m&xm}Pr)I%RiE&t|QMK)bvZ%$G zYYX{}K&p7%9%crom`-$dR^+wAir@{P_dkKMte_K&bz1rcQAvL~Hb~Vtt?x!hFNzg3p=%`29FD@l~WX_syG8`GLn=mf!U`GDfoH{HL{F4_Cy4Jr1l*F`t9I{@zCaoLnQRibCgPj!Mk_ znC^y(*}p(;6;KsOUj2rltPFp7ar8WR?PWM7G!V_sruBwzEX3J?j_crPWCan6w5t&^ zKeH}~dvey@VeRxj@(`vbCk{OG2vYXEWMx?epG_ix~=zdY-z=f-fL*SFTUaf8<+*H z+Q!Vv2zH#Lzf&WRJir@~nu98Ad*b@wpdgt8X=-$*E(i|jE?7oj# z8c;-O%YIeZ&mvuv53|@l!$imN=CVvqcJ_Q2;J14(8vUkHZ=6aL23I-K1d~6Bt#sT= zYbBRr;$Qv%i72XX70I_&snfH2)?b_NKQ+wKMYfWpc0=M>tCG3r2 z`i6>3FiNo##N~#kV z4-fJ9qoRJZ<$5!x!{cT0tl^tbu&&2F4Ab}OLmFB;XJ17QbY5jv&o$TP*&y4OGtbk6 zPQRBDfUzNYQ}S4ii0i_sF-~<^#B=r*5c5QE(k{cuG-hNBv<7`tn&mcDav|Z{H$WN5cP?zqoIOp*cWXXM9MuACT-Zqug)XhGY&)go~&aA zH>Y)M!A&fK@?&5>s|vr8Y5b6WKT#Z376?TSJ%{yl~RZIGq2N?0K+7~oh`n4?u_rFj~!4UPEL?o`L> z0lG}T8SOVlT7Z5eW_9)o2WGZbQJc|}z#MD`wYc5Zu_=R*XyJXvWe@PWE4-b6JGH3q z5aIX~y%=3GI0RMrR!`3Nt0K+o~H7POCAPO+11Y*QuFwsWc{*^0

$yYUaN^Ddv8n$y`TOU3mP&$Y zIkR``7R2jet&-;9y%@T3#$}mJ@PJrJ1Shdi7vK!A{%6#H3_e2s@QA2QyiD zTEsdBvR%m!FWwDV{nno1#BJmlQE6;2*Mvu*Mmo4$#p^I#f%5cexnRJkr1I?*`M0FP z7&jDhWQGHEyeXTG(em2P^wlk-*Pc;*$5F(NQn8OyAYqYCaa-UHOs&G~E_O>Nu;ohAP8l7X z0FMypmMjyDGJYM5SW~{A%PhE3XUObXYBP*%m*ePnzq?Q zpodrKfh}8xUaq_zi*b=_1gB_(9X^>iEqse7s|uKT6@#jLm4jaDW>&bO<4m%j72{y< z@U7!751keV`>~PH?bg@)#UnDEnjdsDaoom39*&C>bVv^?EsAJ6lW-ZDnfX{XP8AHU zoBA*!(~`Rj>Fqwb<`E0y=gT5f3aVlBYyYfp2pLV#%t7deO{}(WUGGtw-CS5?$l9P; zB{(=>5Eq*MJ+^rCp6r=*jjNbYWbki$UrW(D7!S^`Co6b}jY8YrHjl!wQU==2%uJ(3bSp5xzx+TybWMPD@nALdJ{<)DANT zLD!mwImBG74`SHFMJBgUG-$|9Sszp(aCC(8n$A#Rl$;manD+IMT$ko9b;ITB;j1^+ zdP~+-jq}H2i_YLrjE#9n(AghXz0#?wGz{{>#aD(bfzjy{zRm{w^d<#_mvMOVNRs$k zx_oJy3s5*JJp0k$LYoW4;4VQw1(f#01QL)8;*BpBOk~5L^}C(g4(y9r-_Ei36e3O; zvVo=El-I9%uM#e|OlFt31;=E5I*0c#)-4vvMQ?q-;wjlW8>>d%K!g#n5ZW@KB47eW z&fjv_8g_oe--Rj%{@8XJ<#%z<3^=`flRq&E^@gO5flhy1!t3!}F!$;4Vl7L*CI3`v zg(BhRq$!uZ8f56tCwd?DBTfU4HC8M0W{y<~o7)8>_$(4xPQVVxBuX)>&+l19)FZTGVXL) z6C>6D37^&tFmcTvzy`lqj!FsOvG4c!c9ei+WoHrm~4`_q8_y669L)I0pe zFRc{pDmmhaN*LW%j%uh(eTV7|r#Iv(ArFdFR8-O{&z+JsZD)5o9((CL5fM?hk3!cc zc?sIA9;bD;wsOr#@LFK-W7 zZ0L1I=1?nz6whvjUL-Q3aTts27Ry^&TCxK~C*u;Y!O+c#>&~iYV5OI#m-;=D%1$Mw zurCh7MC~UqL-s_*wQ3=-{>tBw2E(pN?L2oGe+ASK1msHbyiz?q(S|2b*L+8C?6yn+Acw*Y*4+hChO% z(ocA8x$U_HDOB7upWAb>4l%Lj<$#yGYoxgMc6VGp)|`IVc^DPJx3N4t43=Kx_2a&nJT&N*n^Inkm`b5E&I+6fN37rtDt9 z7#Z(*djUcU?z;4|hyG6gf4ajRcJx0HvWSa#Eyn^fStjB{*9|T>1eWh{h6dU@g!l0? z#-^UKCVO0$;lEodf}T_ztXUoG?a<`=_3$o=o0+$Dq%+ z-Do&r3F(Y*?qZ&qWxSOzH-yg^yZQ~m&iE#iGTf~-;~XPSd9VwkPPYf|C19SK*#x!p zMLL=FenRSfnK_P!@&<+_O-QmdHXw?8j|2yFm@NE>NtCE;NuxrShO>8(7jOLt5H{Sc zIk7CFjwjAzdYxIH#!VfkM@RWOM?MUCz4q5 zL9>eEf_5sS14NqLVyR}J@?N1rwg@z6PSsC2aD+y={1pgTr14L2%}QymD!_><3xbfs z#5k*6k>AULQ}C4`N}Ebtr49`c+a1q7MWU~@*3Y19>7A)`4(}NcH}{B@60${P;^Z0^ z@=K=DYZa+0E>Woa6@~3RoF8=fQRtt0#Qk_gRgpk2mF-}_3o4AShVE`UF0eane$!xe zR7?gJND~oUP=WJ~NcO)_rr3!PV0FT0>(gQ64gy$nlNCJj6b)EQRx4OpL4$ z_+G=%Isqb~+t~^F?nUa<<6)JfBAC1>4ONF-&05)!ec!K4LkP6qT~Ukg=$u|)HokF# z;H}WtK%I0)b_8`K56YYOmu^8nQ_Hq$WSR!XlC$#_jB|_g^_KM-D`)lq->MlAZeHGM z$mtG2NRgA_C@0?EQW32{4U^G_#2C9*lys3P0>b+Tj zy3NPcaPmgLI5^eW4dxne-co39OtlANcl$JKNeYt40$-(QWird*CkAXAP7i@^8|)!b5e7Y3KACC29dlO zr5Z3a2TpZ;N5CSmFpd`W+?`(plBO2JG%(@I@0oA+TX)v43}ISa|29prn$@fJNWt@pP`AtDtLSoLDVz9LOM!#+3kdRAuUouRv@u9&pHD|vj4 zDCSmzd+1E)8|)QUvlU`7>5}U$!f$VI(AVuQ9)Lryt8F@-#Rs#(E)b=)OpKgDEx|cz zD4)ZpUJ5M7L2xNPuyyx}XPa)JvK+y<>>C7Z)=${O zHa&mRMD*KY{O@;?YLhk?`tRv~UlS$b5$GCNE?ze5ob$$Z!JkRq`Im6YM|&>@$q) z{NR0+FhpUq^|L=>)s2is@OV}b*R5s9k+%WNv!`SaFz}M^628nAhBC>Z@pJk4DUI9? zReEnNS{D}>&}0%H+&odp9&78e(H3#8g)0W-0_w=xo-SLT^$O26_!;&Y1>N4@=!W^oGB^sk@*vI!SBBC6J9Jp7PI zKg~&VL2*-NREES?5~9%_=+hvL3%Msro}NALKg;lbCj0sa4FYj#%=MOA`LoTv>wde5 z`51_ym#@pbg6J_#tYX6A{0%ebVK3g#k&o$WX1q?6+{vGRnAswnz@FW8tU%O%rh6Ll zfQQ6(QydYgjv}qI@2yHWh2Na&r=Kjwyq@ul!FrE}NeFDhO9>5-;^{ZagLj$X$bO0; z6}xfAP$=|#zgagJwG79H=zh;&ZX?AIyU$N7z+IK}$60BfEy7nMI#U^I}pP7X+X^RK;e~e#EhHJgl`t)r@vrW4vrHy--r8!WrTvM!5;V-=xs) z3qHRdPrv7=YuD-xi>|q;QvZH#e{NO3Y?oD2gGQf$Ki3!Hp$HC~MfFbnUw@T_$W;wK zEjrHOg3B&fSMajL#&<}5I|vLCIP~T<2Ww1sw5}{?aTfaz1(WtO?&OtG-jL7ni*^52 zbRbiLV9wQ*Th;|7k6_TRdO!Z^uuyjq4Ek454ga+N9jASuOx!Hygzx-_SZYzx`uBw zEWWp#4b!iyfCt4GB`vM`!whma;6pEs*g&`VKQAEHjVto$M)!9kt6#pnb(I^*aMh89 zch7fRg>GaOgi9{}MB=xvI>H20*ZW^3W%rXFg=HQpy}a~)7%}g#sK2cgLsKG1j8VXD zdUYH=?S*cu()P#i^cepP$Nz(i|9tqTr{T)+eKMC_P{_t4KdSWo|Jt>;Z}t@{e8#=e zjt~28M8ozl46)WZ`Rfb(pMT^BPq=a+r{SYru7^PONa@(&Nb3LjReyV>Bh2t1YhR^( z_LGjO!qncxKlXO;5A$JSZDaHG9hzvT{RDuvHk3XHhu_}(b&qbX2$>2TfTr@EEfjKl z4fBAUP*?v^W6wUn$H);2WG*`X>l)r={IpxSQ}E35zTkm-!Ih zqF>&MYt3O;M|(@di+kQGBUxc%aoXWu7Q|HuF&|+UxPLtwTc6>2Y=8VL`Tib*?ML|K zvwij8Gld=+djG!X;h|ii4 z1MuwMFDEeWwBU*mm{^_KzRvc&+8+i8y-?oJV~5+r!M$`uZjk+S&dVL&FM}BvjDNdP z);)X3^2xS8<(C!t^=w;(D zf}W96G&}C%4<+DkH?C#<) zVY$D^qtLuQfkDT1IA9E5;>N^&U+4dCP0ddC{JS~&Pkx3mqUq8OkGPXF*nW$@?x6qT zL%~E6{TJWBNy-H-{CBhGWa@!-(L$heE#R&LuEl}C25JZbon=1LxuS}sDnT<^7NR#vE!qEKoSPJv)q?Vp|*#rv8A9h9{_0#TEW zqfckmoi$r}UI%D&bX$AyeJRMh@HRm#{lKzqAyV~wCG*oo<>Fa(Sj#&u#``~;z9U&8% z=(qm&28*BlM*t@&1^YPjtGL-;->(rb9ks3130rva_oi_zC$zG<%qB_6%$hF!l{=@p z{8joj#d-mIBZFS}qHu;xeuk`n&Zr*xj*fbbP=Z(N>JfhUS=sz^vWB;f#cO!S8wAE{ z1h1}~WE~B=v%(xb`0>*CU4Ffx=b^61$Gp895qn({)h?awdg$mgR1Jx}j)iKWPzv{e zN{|>{!2`SkvAqQY&*DVm!ee+x`xzgN&)Pef2?x%2cNLF2@5&!&vUNLZQ}TM&>1FtX z0~x4_Bb$dg?P29;HR&h4Q+%3<%84Y5KZk6Q%mAO!LVy5H6{2m6_ErWJDv)#PR$Rl6 zX6R9gX502; z-CnJtGbxs)&ELM!01{A~M19N;o+o{~=!3wmxzQy(or@dR1)u zD=3#z6z=#12{U#RALUcu@5rkb79N}Y5|j6CZhpsC((f%zaU(r}+mO^;cNRs;p%pC9$SsYE;OTb3!Ae z_ticTBW=~f;#_vehhY!)k8&i2wawlOn@l6(PP^*xUBv#9{?JoVGoHM&Ji&dW!&FAK z_I9g(c}6)`WPiB6G`zB9!(AEo#a}1Ed3TXSKJHBOlV(t5{BdMZIEWK>d3$yH-;@Nf zIzw+3o9{06*SwZu+6L+l9FHg#^z{wj-f-~Sa%`^N4IZ#TDn-9$UnJ+_OF2=?4=3eg zF^8!q&vWL=pcjnc%$E))^D^R*^SqCJSs+H3@vZzL(erb%9pQ5HS@VRCrzTPG$$k$3 z3%D0}0)4#6v$Un7!A^H+-fqHcWUek`q?`JCz}KuhWsl6xyxOC|PEjAEGm*|a*YE14 zn$k|zo7_s)`>vlXn!501ivMIO-?r(9LAJv`-ychoi-5H@x;Dnzdg9*eQ|sFi{{c35 zu+-2G00j@o#!vKfV0!s*u1@f3Wp$Bj_GdNV)`JvqMh+u%~}WB>=)+&rLd8Z zJFbtu=i}~Ibt6%%yws#bk=Fb^x$q^KN^YfJ72D+TyJ5zKm&I=$J$f8A-f4vM7SotI z_o}EgJ-cCw$lmto(DjUf>Q*zh#(_Xv|Mjs05puYvg3MoDB}(fTxRy5EqweZ9er;`O zlB+V_q0G(gUgw#u9sKtW-Q_AtCKs3dUYUI@uq}bmZ0f)cJ{%vOg!amZM#saz!c^bc zE2DBspW&G(lMc0J1n3Q3|N8N|8JlM%`ypAi6KKL`MoHr}0?Nc;n z7V6b^9mY@H^P_Ake?xVD+HXLNttSoz`w~oh3s}3!Bx(PdIz5B9aK>Z)R{h6z+eF>B zM1Fr;{=XK;^)y*vQLZo{{aScuKvAgU{FljE9eF8?BsCMKz8j2n?tgni{>VwMq^M>K*Sl~{y9pR0+E6QL!ksdC#`_G_*x}|!gqRa&3Lvbg)QZ%32&dbbv zT#oITc+Y8w95i1zXorFhs3)ko@{;FN?@nR!+6&K9k-}5`@O+Stg_Pb1ao2~_VhksI zW{b<>yDTftc4N@Il!vcZU7=0!_{hC)%TWBi#;$JUIUE~-6$t@`#~ zN;$#AKHfj}?H~|;X%E^kpV%ojEH%V6p*`r+s6y_Hwl@$um%-}yEsvj_aqfMkLJcnW z!L)W2FIeBpdSz~o#(V-c%Cv%rxmvQ*R%hD<%uJ>WA1BJ7B&0}3A>+;P?0Rug~+eiPOXNBbm>^p_m``1|> zf!$!dk@Y7W2?OrHMVvdlD!&h2kyyOpZzSlglO>F&%ck$SS3|kymHoMBet1`G{yCmZ zR-t|+rSYplY{SRhj>Pu86y~KmOf8edvFS>Q1M4P<6g~FKdMCenjB>Da+GLd)E*`J% z#z^R$@$B%PA1lx}bTkq* zlF5k3@pWe3Tds@DRzvud6=uH0dy{Gs05+g_5$EK+);+^h&ef))SuF)0V)h zL+2G`jyz#T`?;+r{H+M$=MGP3Q1?*74=J6DH+Y3@9o86jZ~{Hwqiz4*67wfgb7Poh zHmX~4hbvDgGYm1E501V>r(JB9bsY!S%lcqJGOxf=x1AMDYIm32pstRNadc@pL7<8_ z14`==;HBQAg{{y`5prVM0!t|MIh%sK+F3M5NyTnOIyB7R1GO5_gv~ihJv(?$ZLQT` zN2ew*|Iqkr)4%L9nHYkM{grP6#?+ROxK6;iF+>2a{Z`Z5&wE8>Aw2V1X=>)JXO@P| zdD~F%)(PTiQhhn%KcY4P!aF~19?FjN=J!mtA9w^!xIwzVeG58|)wpsb04q%xrtK;AFFBWUp zOSOWZzmAeu&u;2zlS}4mZY74efBkXBjM6q0W(Ea?RQnFl$Q<{BXIGmc-r2(2HUzFaWbE@ zNNc7dI>IL@zKzt~2mn;$X6Jos_MeXleQ4GbYs!XCO0{5{?v1{yx%KodNS_hf-D|6u z%L2#FH1bZCR0Mzx>bLf7Ez&1i5*FR-m7q#W&iBxd*f zd6x1RaqHSMj~i`XtG;+0eJ#&QT@(T&IWpwLY1_O|AX92-p|mZ`J#Ok`Bfr*}@xR!6 z>!>K#=xtaK1u+m2P)S9l1Vp3-1`9~$V8)tEJbXq^ zAN6k6KW~(&qb={%sksTSe)&a=3@RjRxxd5xng(3V4qT!5>z)W^@Fw!)a0-%#V1rr5 z{xjaPVsv%Vi>hk4Jc7d1=$-BC^iyp7klP znQL#yLS#>^&M|xa9xjBd1I2#>w|Gk;XN8pusc=z!O9x%#T}u707E!^29sa3SC1Dz> z2LvV3g-jfDZdNMR3Ur)=K#anWJf@~0yORvLHw1F;=b$qTjGqbsFqfEyD(=sBvXnf> z7kNMNX?qxS{PtgAIv`>lxy!7hno7I=>fRHzENYvp-E;~No^Lj+cl^%$arkka;f4qi zzU9AoAwav!CU+fE(cy<6SQpD$@59^JR>a0#JfzaopLnjao_9Rn&Ox$ca`v5`$q30M z7x+QG4~c~=>4%w0$ItTab8K$W`xMKbOgV@kPB(6VM1$7er|x2uJlnd{WllXe3{^Zt zQLV@QGj#X}5wR<^ZOzX2p9RO6+Re^G2`ka^b!;;w{kEHMHds7Z;Q?ZnW8rAAW25NJ z%5Cc=wm{g$_oxte)OUm(LytfHWp`Uf6oU?b&(~eEapmpf-90uk+`waq##9%$?7AIO zaOAx~Prw*!QIhBl_XT4C0fYP0cZP4%(Gn5kJ6If-nRBn^_f2^A{6jiW494d@YXc4a zSDe1sC}+)Bv#DsYlf`!-Sn*>s6vpq-9p3Uf!bSLXaN&x3?<`-ULg8wLB>M25f=2Cv zfb3sze2^Fp;sT{e*$%%AzqQ59i`&l%DxVmeEu}1(4Y|%}^}kEwIGlR-K$JS9zJ}81 zi8lu$$MY_OG&OSfUq-v2E+Hi2;82|Rmn!uRMv0B~)l&fo(iuKvN&WncGC&`t{<6zx^j z?(ZpQ+g#y&4%zw&y7_AKL{5dJh*c0i0)M*+Y=czPnf4nYS(PV^9%sj*#R{o@%;L3! zQiqyyrZ9?<5Y{T;Wrb0p`Di?D=%E5$s*w?HJ%J>v!c#}K!Wl}K{KI_Gbvza#Cu1DhFDlvV% zEZx*kFv&OeGTwms)Li)Vwx}viX2^d>pftmWP>l2e*+}lH*4ywuyJ&y)XgP(gydH(5 z_3~ha_k=9-kQU0*D$Waz>1PKblpjXqsc`Wb2jiq1eg?@a7V2Ieo1i`1at96fgga+s zl@C*wgrIsryK)vo+~?2;(nB{6%Qe5UIg`dYaokbHx#kS|^YxdrxhGe!AA&Rce zDE9y%(;B9sRLilHNA`LqyP1hPtkd3nb;g_Oof#SX?4`kXa+~hQaSI?7hq>}zf@Bo0 zLJC`a?J8nr(m&jgDNJp_V>Jxe3E~AJNnZ+(xdWR4VO)akKD_L%@m@B8yR&h3ge~*S&(!U+fl;bR8(rC z#!}s3Zw?uog%OtR7l)*skgVb1MU`vqJ>4t)B{GZww5Hs~3GrWOAEdC$KA0)e2C%U;FCt<9swYR*o^z)mgR zxt1dYbd_!Fi4~@8?UOs2=;df5CxqF>lNWkVjbU*d7a}=XMFgOKN@yY&_6sN(xu53( zA)`uaARqFO`R(euYKTaFs4^i-ZzW!Qd}QH-JbcJ`uCp*>Uqj6DIO_b;S|-3gu~foW z97F>V{2)#F*XV~Y31AvCn(MX|GuK>tuZE|c)cX2tZ_-k)TZ@CV!k}ik&``DL)mGLK zFH`rOl$3S{oFm`(-9KZ030p?z*`6#>quM}IKadLWiGAUCU)Ys%hs7N|Dpw-`y&kr| z;r%t8QE+uHHG2|%CnT-0qXy%Bg8Do0vi} z=u+KPO(kT<=fN%_bCY9@(N9f|?RWJv$ZMoU;k3&6O`_Cyu%7l%Ntt8+$n0PxuL0M?Sl$A-dSZ zfD8-1fUc3yf#GW5@Ph3LXG<5x?Kn+rAHKNQN0gdneI>e#-S)xjxy!}zBVOfs6KU&F z0cS4L+j>8~pW6QElJV4F<^8E|mG@g0W?x~8+IP}iNqF@>je$v{!&G_{3V94gA}Jvq z|AIFtd88_GYHN%pHN8_U1=o)A4be|c2Cn>-pSb}cWwOn6NIBX}>hw80ULLR2m2tEI zh_lHNZpI75lDUbmDU0;zQdD{F9=GFD*XU5^?qr;DLhHqNg)}*`P8{zGHOjV@YuKel zHDAtJp-QT|zOuI*GB7-r7F-vwWB+*C`XF_eRB^^-({to*>O1$= zXf#p!I z&4Jp_Y74~^o+8a6BtOL)~$a!-~v~ih6CaRlH-V_opUft6H0Z)ZEUbcppZr ze-17VuQWZUFcFI{zig86=bnj(I{}`qY3v?uQ0KfrEgM;V105nF$ZG!=o%axERQayC za<=CHR!!oOOwj7NnQ4DB$Y^*9%VpJ--a?@P|5j)jG~F8Ocb_u^oJMoG1*jnrZ0>rL zZF8U(OA`|-HxtH>X?8TYk9Vw*+Kx4W%Tr2MK+1EqhVi9Pe9}qr)Y9HX2oy!oQz_Sd zH0QSs69X=$NL{a*<>~;{pu>!}Fajo%KHWHTH!!;FyHIP20?~StGElvx$!SHDj)ce}m~?#nb+%eNe?V z4k(3WiNvqHppOu->RKLdV6nR|BKU_h%9?hoT>0cpYL6=4-HegIy^oA5!I(s&xXcz3r-FhFHece zJm0-fMYIWOnmtNSe~}BDAl~mY6lTq%QF5=R<(0)889x%Ox?4g{_S!z~L}Hi_ zI1{j9<~%^SzSuz(>b#&LzoMgA#cO3Ym|ap#ns(&1W7yfYAPXM+0^R7@8!V1 z;(}vaui*c*gw#_tszX~cE%-)$ZmwinXsx8VXZ*Flw~^H4!O@|g^AHb2DnzepWXB0| zJXG2zbUxQYnOKc@=;syo#L0eL+ES)46?&iQm}=(lz@Rd`UQMvlE{(pyjU4WH9ssb3 z@_j-cm4smA3Gs(ju~Um96|p9hNViGKgpb?1L&w3NaeVK?Mm6I5Vn61+-4XhoE==iQ zvqUPJdSJ71Q_o?e0l~12{Sp#7xhx4Lii8%<9nK8lEu6V?9AE>T4w3+~I ztoQtW*~mMQ^ZyWx%7X=<552A~p%LeHGCVIfPc((I^d<|ClyF(s96p01E-(hbuE+Vm z5kPPH7UnJa2j#vU3ps}eu;Q%BqHb-{c8r1$KlZhnt2*dqPnorVx}h!KC%iv5UKwc# z=mK3dk~Z+VXnMXnCMhcRnUG^bnHlz|VR1%DTg>5f46uD72-~O2&5_2+{${Z-KaW~t zy?#_rKcVIB{2@i@yDJGe-5-|EZos0;3LyZUi!f*|2YTb}4~0n)7i4kh<))6}BU zcss6j0Sl#9&xN$clB~=s=c&5C8JCnw2KjjHj3|qFvm(u;XJM$@F<srSSN=FnWQO7W?3ynHb&<;;zWnMmY+3OJzvp?UfrJ@=q_aI znFOER1AN5EqQLW&9AoAJq3R?$+(s3T$P*m3?sm*f*LesAo{bT3nyVQp{i)&;yO?x@ znGiTMqoAXE_O@^-Vfjyx($Mdd^)5d*h&c#{O#mscj)J`$p3%e4NQeIeshd+@!0SoD zFbE``oM0NLb@=xg-RKirrczFMg+g-KCqIW8u@xY+?o<~nA1cf08R>a1igu?k78IZF zw7uT&VgEro3^|S7gnPzHM1fUSw9l z%wDX&#uP}4Wctd4Nx!L@F+O2#Axj1s@^5q9iA;FW$jT$VZuxrSM0}k2fOIz=KD6{k zNdmsvT^Mr{zsGP7*mA7i=e@6R$=NRh()BYL-*HMd7q}vl zf`^BP;f_j#(}Qw><*&QwN=!z4IOgJqVb#>kFpNrkGO59B(kC;{tRpo+ewJ>|t~(HbI9}uIqnlxO;>ab9C1^F?&1Jx%3@Vu~Gx2 zBcAO~8!ksP`I&p?t;iUb=I7c-N88A60FtwSxgT25J@8 zoCSg!_J_6Wn$zdc6a}37$jxJH@ECPscO@`f`L_3*R>PopjosbOVG>0ZXpnqwYP%ql zoS8Ns;W=HuVXaI6|F1_XhXkQ|ijt!(k&pco-(k+fj%m)z2a%L3K;m&2Kodxp-y{fG z;4un3e|3gGq2xvlH{-K<+SOoo-&0QxI8ApN7Uj|TJPQnb6jry342D>wbc;ejIh-G> ze7eLlPuF#E8m|CX-JM=)vj08Z>iMXYOnyekCj-S9d!Sy-lX=AZNslvfS$kK8{&Yf750C)W9Tot0hfJSFuN3IwkXfn z9oRKc8)>9H0?ZtW#N+5>cSqCW7Y(dOm`o5;MLQ>F^n>iw zpzeMj(?fI#-(~km55TZeIds47v_7WQ%BW};>G?3CQ<5sfed^*2OIts*%Bj1A^x}k! zV$E3EC|Fr#*@;6!C^wd7-w7?u3s3dZy_%C)VCuYkeswsk*~cwQ`VChPA)xj=wekH=<>hRU@`p)7Gb78#&DDtR!`5 z?>WFmdCk4RtX3tR-@{>GzE`J+M2E}3a{@c3vpV{=oSZ{d`?m4w&&PXvT&hZ*2gFgq z*{wH_0)TGw6o^4OPGRv5C&ry4GW7;dl{|wI?hq|w9-2NcRkKjbR#a+k*9QtRwT*^% zi-k;G?z3kfNw?0o-@D#nS?9)^7oB#??cQI*=a_$JA@nb?*GUqeK-BzC{X4lo)%*(7 z3=*f~#+*ZDId|RS`{NEI1ahE$xJA+yOT|0!D|501C8nM1PKKh3hbi%+W+8dT<-Kpu z?zkoUGkx5akK0jC-O^u&mb5drB+I>vFz*01BU`hTYZ2msgX6c5?hy2F9pAhQ< z;6-tRH8=4qc1VYBW(){(wFR}11fKTyvu)+QX`01+ifYe#Q`=mvLU_KvQ9fD@u{F<- zW(Ile%l8W;`tW4-AcdopA|FI-;KHxTG4=)TwTvmtEbKhzt zmF9o+*~KMo)Tnza(4@S&zhOTzi>34O4r9nQF-k|v6+bUSkwIsUh3|E7zPbcw-GX$B zK%gR%O<2}dm~4A{z)gE|W(Om=Ytf}XJY)1aKCC|ozH{FK%`<_^@VT|B-?3+dJ)LwK@I4SQg02jL9bt9tv*<2B3_VFr$zgSL3a>o z0(DrIu5?4=oyx>Lk5NiwDJeE33*%0l)hjbWNjbU6rg38Qdm64DeK**AEFTrTJoARFbBodW=2;+U z5=PaPlWbnN%Li-iu)6pyItfdXNn)3YI(uHzop|V|Vc2qwk{R%aZY}5a8RorQn>;Ki zl#^3LA_TYEd7od5Jy@2l&3m0kUq6rzrN^}8JT%T|UpwpNUOD;%%vSn>W`ALt&`{PJ zvlY+2jgO8<{!G}gr#kv1!c2dNphel^2t#@xr5*?4FdQ^6Q`220Xi0nt0eYMNPDFeH z=}4N(y~7V@hj)XPIZdSZx}(aF$ll>MNH|yqpEuWeOj2|&!AM*R+;;~m`b-^M@_s!ZcE+6H0X&_* z9IX6qtIXZ0orn`#=Poi$bp&x0i|S*lZ>vz5Ej?}Wk{M0AjHwP+f<__dr1N+XU0Cjr z*G~by?o!iK9qn_|Z>qGeU#N0lxJ0_?=EeH~?rX7$;z_(Hb%@}@A@(e+rw;%F!}4|< z-^Fk#xB}fX?+_W3+r4w>+wx-*_laA!n^(Iegmh3{c)60 zi~v{~`I^5n4)JbGG$QT)uO_-5=nlziP&G5-f{*S$^bsiB(?kqtmx6FGY-nF2Ve~hW5e`=-Akl0OF^LB5dKQ z8QFb2Z?pr44Z45U3wkkp6nJ$#%o5I;P9tYc#Vz>XiLD{Y+<9f9m8I>eLvT(KcXyhM z3H^$($VsLueODm6$yTR;2k7O|y_nfd?lg(9>i*=&N-J5({_hQdBSYv#tKmX#xg_*e z=yk3#{ef73EQGFAU+Z^4;#bH%|NCTf{}g={;Q$$-%AadfXR&S^kM#(Wi!wjDg?j z?bW8uE&c3#ZGm3eyYcz11I%o^5|J;t6t1-cxbM64&SQo*tMlD%z4!rc`m#m)>vw8V znVnnBj7>Gde1?b>GN0jsiU&*0ly3dw6#jnZS~(=@0uglu(3bb?9wCWMcO(Ql_$Z8C@w1haDL7;zl4#LASqm%Z6@!&xGnkEMzDDlj24e2ef3d)4ik)?=6UBsdb?RZBCQsV+cRw^P%vZzAo9nDev2!m z8Y{}GYm0>r0>a0P8FI%KGu9vPz1-UY^8+X+5)o0BeM?K65NoeZ*Z&|(HSa)Eb#FLY zu2G6)*Z+IF3BB3T`cCm!PRhnEWG=cM_GQ# zw5c`Px}z>-`jx&X>2*HJefL8zqIJTfUr|PdD{{M!7A97ouFMl3n6r123{`Tj$W?8& z${(2P<|kQjRBK*X%N;xCSk14oYyUSH6gotsCO7~UO>91VOEi}I57!UpCR#F4``iRs zUY2NSJX|aEFDMIkl~-Uhfjz{!*6NkSK4GWHYjLW3B;WdaaEhhLN)#IMaxL-3!}=`S zWex0^*%kI2A$y(cd#|lMyU_pP0X>|^WZq7+ot#hamWotPxBr~k@{(YBs^k5YaY6f2 z@y;_W-HFep%9~OkmyD5hq?lWr>)$R|R1)`cj6VFG+QyiIf3ci*sK$2#|4obeaN@Lp zKw1UnqjKo!(BxDU7%#rc0n!5=Rx`KGR)1OWgKaVII;Np;;=*ICB zMFjs$92iA{mx!hh@w6J#R%ZwGj95l|qJPmxrCC>_%~WEnVDak!!#|D;pIxnk#scMV#47EmSQ-UsRb4q zz6Y~mwTqLC>$qQ&UE*b%+qzde65mYpEa_;FiHp%Fjn*hb|mmkz%|PE0a?iaB!L zir@kfo3QQ}lXfYiFKM9i>+c2GE89#cH39cG*z*kP;ggyftXrX~CE(0;VCYY&V@f;x! z@H0fd`7REE8;mh7V8PR!zbqJ)H$u1N^K3VM^h#v2=Vdxvn7^Of6G`D=Bfj!ubVL^7 zo&=3;{lK^f#rlfJr>kh?GlKDUJ-h^Uv4Fbxs$0jV`Ixf#^K8GijaKK*V4SDSsHUP{ zGrhoRTQjR*M%>9z)!GreAv!!%TIrLJWAdhH)3-gS9(;+;@aMp@hbbqSBTc-pB~Ye- zHI8pDV}r}46`^VC(=Lp zLG3|SA+I+!yqBkiE4mMtRyW@P0glQSxSVJD z#!YNbx|J=SDMPotbI>eQQ_(k4M*mx%;#RNkCrSpP3LSd zePNi~SfVQ}>Dd?Z@;(!N;5Lld?$y$`k)E=F&S0_cW}dpm6wV`*t<7)$25*g^mY7R% zQZA^it6%vZK>&WonBg$V%VB2OcnE$S*qG=4{yRh#W@k)|la1AC;S-7Sd|!9lDQ(v7 zdR?ZQcx%flu-F)G%2<7Bn5?_h%fs!Z5S-$SGZ@S=M2Al`0u`?mj9X|(SFu(~p^i3B z^QJdMMbM(=>b!Go0Qkm;H5Pxi*;Z?GDwC8>ciG*e7vNRLee|Zu5!7=Vye9tq_ahL) zx!_8rWf^ZqTkC~~_DpxuXZKf-awMzv270D?b(~psSN%BX^k< zJ9CrC{#)>3Lf5%8cjbcs^(-;>ooyXEvJ9@xpORua&1tecCUsBaDMa-snF5!>Wus)( zr4WrAR_t7aee$`L@qKG5GGeE(8_7=(%K4lJQ{|pqt~ur7}%%n28=Ph5ht$S)Z}y_^nLCU-}0rO|H?Qy5Z{#b_WO)nF*tnZ1|-?{9x3L=$mh zZPPV%@u!`oS9PCX>e!g?(blSdu4Qlw>LSX8xb`EYxdWudve)w#c#9j}fw*I6PlqQy ztpB8NYD}wk*VF1}rWrspxQ6M%*Fl?c|>N5ug`bC?egV`e2%! zVA}7=zeP(?&x#WfTkZZ9JwlTPSBOw+{Xy7Vb}P7ygfWNc)i-LcPZo(oOjzNCp`n1HY++7^5 z%P1<5XIcGubIrD^0C5z$lHUIRx#rQizAmTxO6U3pmm*TGFp!9FO)i1xY8<=M-tF1L zb}A;s37Eo_v4-R zSm6%VFytrs&L02uC6aqlMi z&Vw|K=wgC->^!(kc+?DroTRsbnpyeLHjFY{r6wv9&Zu3vQoy8nBkHg>o|roW7NXN8 zRC=**$MXxjhy8AmS<3wAbGEQo zT^%F!;OuZI>{gCpCVmBpT9I9M-SgE$F!MK5`$AxDW|)`Pxk+)|>lP8ld)KG7L@xBw z3>`S^{Tl}+Qop+tdOKE@|9L`d9{d!``T|HBJxLV0lQ@g(&su&I?7TI#60^(lJSwmK znE>b3SnDs@@5kICJviSsBgi9mu6nub$rDTI!z5WJ9;`RtDam}@p>*#%NU-(JCCaGl z5Gu>rDiou7JtcGZi49Qh?%v2-{uUrs?^}2#Pf^}dQnRza%(h9&^cknAipIT6!W;jH z_$*}Lq6IS?MBwn>q7Cn+vi%VNWy8T&3qEo@L-m94RMHJD{*m9nM?`*^P-%xHW z$V(MlFlL5ZILe>K1n=Np8XvYTyCmTe_fl9PX&{+SR#8Vo{!%yxWUbhLPTdQsT)jsRJW&zCs+Gt;pqZW{_qEyXL$Ax`hmNK7%b#@jujS%hZYW1^cN2}4@Ct8 z@M(9kg+fDz8bdvjR+21kea*pespQVQse#;f@}PgxDE<(xucu3}$ZNDPD`t8}Z$saL z;*&pwi%JEd8;HeShEW~3`Z<>K_c1$E_zg@QSdEcxmHT4QYX(Z5hocvR8s<-;G?|EL z9Sw1T9UcjKU+%E1qP4TUl;&*^zZCVU(Y1KhJ;TtaaMda8${TeTGAj!%%!;BXUfQi{ z)xK=I)YSpQ5FNqJyem;)ZQUxLQ24B}Wv`kjBH=j~O-4Y7G(^HyT%TzEbPIA?-w7J5 zW*_CZi>SPytMBRm;|ySd2}u@YOoe&Hi>pm?6wkYytB>*;ew4v%!}yU17`=JEF(qSD z`ZQkgMO~7Cs3*~r)bGjxC`w|m=yEhWtqqo-u;=~{R72xSU zL5(4j1N(jDSZhh72u`C6kSFc3sWwguvd_UW0`71;M)`wgPPO+FdWon@@X~{s>%yj# zYO_!ZI}eV#IE@~)=zf>H&xh)DrG6)t2=eY?oAF4`XnwAkQ-+p>uFxJfjDB(Z<}ASI z^;l|YO*YWgQ!UPghB9UcIUx6Bl`S!U4=VBWz;r-p~C0q4zM%x;g0;f12qajw3to=2ji1$)MZqjir0I2 zTt+~>2FIE1XfW|d%He0G0DyYib*DhtJU{yN;RC;)&uAVt5%udhj(z*aR?}|DLUw9n_BLnl=t$w zA&IBgSD!pBmfWqQ(;_By(l@eTx={tkTtsxKywoLP359Q>B+4b6;y=#%ml-o| zqrM?7!OG~6PN|WCRsb7>dU_>_P<&`w0#`KXb_cIXHL%KZo9y*4qB%hx=B`&00vnC3 z_(#=zU}x^Sk<5eMIx%!Q14T=Ea9mdT3@@Ye@}sZaCwzhq(O1J$2lsGIJ~O;i9JDbN zpJCeB^tSoi(xvU>7UQAGR8blTlJ!b%dpiOdy-N9<>1Bt>rKiQ5GHnvESm9Ifpox>L zEbGnkoITE~^_@u%>(e^CHCpZVvVD49cOD-EP{)Y5g-+CwmEzZY7c!cyv&u?>fn6>OnQKtlsR{9tJ@1>of6QtxY!@ zzpQkla$hSUOesYGaOumA zaPAp4)IjBNb{TJQS`y<8;3Lp3Re3_xn=!WF2N;-%V3362DeUBj;wk?~#zUlB0m4Eb z1fhs{k25Zc^}alzjhI(SW+V3oBKEM2uCi`(DNu(U&SI`pJ>b=Ars$q}TI1DaS0Xv} zt`xnf3@08X!)=ZZnr_TsY$sk%@CQuZ^=E+P#RPn&+$aB$``o#wq2Zg+#-ls#df8+u1(O0_<$qI#}2u4kM$tcsCIt^pY z5+$F!ayF$I^)FzcFnYGI(e5~vAAdGr@@EMAM3~Bkuq+ow61GBr`_tqAL=OvBTqQOk zBXL^Yx_g~h{QV?_q#X&z!Iq5sXT+DP5H|`uuR70V27kDJmV-oCJe<#?kJaCgsCh}eXSdoq5_O}*^x4_>&FI)vQG@jRG zq2pZ~D}$9-U#X|?(59^!ZjySdOw2;=urTg5weFnD!@b%R)AHH4sF>WL)1{C&DCRgZ zH3Hm@>g>9fc_?Lqn=z}a(st?DN-5%CXk`(bE*{%QD5)LsS;mg452|7^7Te^L%w5Ck z7G@7Gx`r{VQMiBpQ(IzCtt_mW!T*eVcM*$0K6CEE1ZPRG%KS;EtkC#QjJIFO%Qj_^ zfz8ct?EPl5l0JQW)AD|mEsV$pb7lBSj)j_4uG;opB`vy!4sc)7srmLk34JyEE@nm0 z$Wz8~1+&;IIN_6k{har+bEd5to^iU|mqHRq19?$@s%XK2S1)m=*u1asRF)ityF!>b zivDyn#klK`UDid6eUpiokA|bO!|cdH>D+=bM^aI_p*e4q`K{fXoZn`+egi>-)G$2w z;b|V3*F3;J=aiZcf2qp(!z9T@)lqq(Ew7JuF;rI6_kqumP9!p0hi-ONAjQjYd`P3H<1};?a*0 zWCz*cjhGt@5yxp=-Rgq`;r(J}cY|jZFHPN($e?&S7-zI2?Z)NRiW?){?=>_Ihpi`& zuKJ2=va0PlvcIzDPm7y^r2SL*R3u|{mCAht)sDOmet1^Gbh9Sd6oCXNZ{Gs{H=Cyx zGj|R{uKvEv*2Pd&)BeW?f)a;zfy=4NICcBBPL0T@({GGDUeo@bbNDM)Hy806@{U{` z!_^5xh2p0Ps)w7q(%I`d+CTi{brnRa6x|@rAC&Su|vNoMbXAmLZjJ)$osEPjsNkK{90~D=$BoQ*EBLR zdj0+e8vXI1>HvWnIB?Kc&Ifx?cn;Fq6%7EuYCgRUjY`V+-(l+IX6~xWb*;wp18tD- zys_JHDG=_>Q-OQ4{~zuJK_y;*^z}XO$s27-83~>BhMbqQV%Ep@1s!5CmNccha{EWq zieRa3Ub4vgx@c@qmx(&rs+%==PI$ z{CKqi5LA629Zgq_uLGxH>k93H!d9uFcMJi?sQKgvXE7?o;t2(Mz$n7jRc~+(j#35{ z8>}4difgJj7z4UzWa{-qQ;z}usl4!@X$Qg5cwxRx*DV-F*unAa!5f0YbMBc_GZMU% z&=a_LZoF2wk;~)+F&CMtMX6iHETp%(iMVH`++DSWyUIK2Tnf*u#BdPHgUKkqx_5L0 zR|C~nxNsz@I` zX)>!p(sayyG0}v-JA1CR+-4*_6@QHM+YP|tDy6|&j~3OG0c&kqFrL*34mMkLGAnt{ z+Wn@q+DEu2m(tCN@`{4y*vGQP0lFrWFuUnB{;Bt*X&>xcp3CToNt`otzE3-4~b6pIc z2996=Jr%&Js-(Ty_`8=(aCdjb{rBCFehaJtT?Z{4==bs|mSIX~cIt;Rx~!tVREFyf zU9yfqa=IGX=vB=g|FVqb`MqYvu=3r_30?^uDFOn4uW3Q6*l#QuK1&!n1jGYuv>mPjQ1}1 zu9*FhX~>JeGzUN&_mOZIZ%8a;rRU`debAdDWWF)=g?4%;hKK~XA7L0xTh92r&)SY%Xu!`un-5IVNNGSQ8bdt~`qiMj@l;=k%KgwPf4Y%tUYi z?^o#_ZU>ey({JB)6CHx)krsLuEYitc9$i1?^~a-{0cQ7R4_RK%3&P#zAPBeF{CyiD z>pjp2{rSMeDkt@x*16CJMIE-?H)kT_fxzFXR+--}FeE57TE0tW}y1qhu+%n;(qbC+gI0?!SHx3)i}p6?Gi)hV9wU?bCwroXZ5r;PnXTijGt zU#=1>-N*jWS)I_1#KO~sKQ9G2*Si$4ekBeV&gSuB1jDiCXt&fQcmXyS~ zQQqOfc6e~_$=?`^8XR`V@JiuNx z^?m#0iLj;Vg6`MC)3< z&Rn8f)Zkl)O)Ttr=*)$kTKN>cVK;7TDxRrfyz5hI3YUp$lCVjJsa!{*mR!e!uKsAI zYI|pA$PwB>!jFwpKQ=k4?TOhMlK2I(-5@IN2@)uH(buqDGj%d5IBUl&Nt)m9%+01- zJR$pLvs=HHvD|m!W2eTFN!wcVh~;!=*1b5wGo60Jx6q{^dSYBAm^{&K$JhOWwCb5Q zt#O#y8UB@fQ}g*6XLzxdM@K)aAPpTRvBbW+Gw6rOXn|5MPJv>p1tX8fptKj9w*g0; zr;9yBOpV|I%6se;fD5EqpT_pMWb$>_u9YyY8um9#T%++W{qCtFWqN)o$y2xV?MfBB z$<)65#uZ72rC~0!`tmUehprrRi;m2P*E&*lRy1r9I*-`IVGqO#EMsy!!=8Ccs*Pp^ z;sH-9v9@;hKJl>8HQCXvaS9o*lQ7vQ;wK~HBa_UBAF5tcl1Hmavl1Q?bQ!^iCVD$? zMo?=7Ni{ux+meac8iJLS%VS`UK_nVK-f%k0#lgn%0_T>bJ{*OZf5cI*=U}qVMf%V6 zIWHr2>lW8N>5<#M*GDPGal9;eN^N6Ri*BGwzs4$vwdW&s&_|unS*MA;$ASZG&hRhX zGwjHq< zp0>YyDuAD*f59nCjD@DUbzNcN>AEXz<#Sis=cPwis-AzHr{n2=x{7tq9uzZ7FX=Q) zyT0aB<0_$-fX(1)G6{X28kAO?bt5;wize4ufIr|taevDo>s0)z)V&`fP-FyD?#9Ol zR5!xJV3|wtLxzW;x`5H33MoIiifEN}63=#Zw3_NQYWxt48&Y4~7`TM@ryr8Q#mL+R z&r@3(& Sa5@b{l%{$pzHNE}!t-x^7~;+YkcL&(7*pD?dW9#bddz0uDJ5-mj9H-j zYueWBClX+Ir8|5Hf{#R~OMA!QE z`FUsT%1X$_K(uqZzRIi{RUn^YGi_a`mD-+RlL>h|XD3Y!qbCj3G5F)ZXIWwNq&mw` zv@ANi$!u<(6FQv=C9!XYHt;Uv=M{8mtm{BSzEi940<+uQb?CiEn3! z?SUly5ubrKqX8P9!BXQl97=OuKBYL)F{L_TlTUUVDQ+9zHL=Jd!QlR!MX!PCo+EE+ z5#=7bQcxU@$v8)7=ig%nN^9p0b9_t6Hv^dcshz@%M8w6wn0OjSKf)~$=FX-&-wx$m z!57cx*qFQK*uj;;kpY`zEa4Y#*ugC1#=Vobh=)DU*Zj$>911DBU=UIi&R0)Bd{#8K zc^Xw!9DcMm2jqdFB!T4-)euhep#DMZww2~7zG75@g(Mri{t71)T_Ah9oaDem#oNc3 zyW+^|&fo?A6&8pizsXWy2*dbE=SSSXGhc-T{$p(R>tMi9Fd=%}^cqlN5a%c-bELz! z!{V&N%Uu1S?A=-~_fD_P*s_(tQ80faY=z9|kyWn&? z=zl9%ZRr{=kV^G@7croNKx$CDl4&;OH%K~#9U^yT>>ynnZkdMVw_GhKW)AxkMxivb zT!PzZk>@Yy08>}>u>H3uE+cru?-Wd-WM&(%pqMYg?mg7ZPU5|KliEiR!%ajCmuj*R zi%^AcM%a|_Yls-4+Z`K*wPcyDFhT`~dIF`Lyt_X8AD<9T24bKzz72~z4Eo_S@PGe@ z7>b~reJ1#A3Wh+@Acn0#^P3n3bHF-7Hb}NXZ#@w%_qw)wqjX>mI!%dLJ|kMPorO&> zC0SqziP+)q#}^C{n;U2#(g~#Yl@lB>hQ~$z_TkL3pP|#yJ09b;4Ra9I!fsvs=J!A2 zReBlx?!iH^30S=514#d*f-^fY+>rHJB1{%;#(GLRGTS|doJ~y*84#g=w(BiZxh6{SMVV({R)YxAKTx&%M0HZq@bn-qXJy^Ary&qHY4Iz!Dz; z88wlPNI12IFrv|N6#SKo@J<+Su)&WvknDuc(7ygYJpcYnUU<+7aD6*@cB5(I0hmh# z?cn++A5KAJDeu((X~exV)y3o*F-!&kRE}&~zK%NqT>=;g0arACo3*M+5mMXAPeXtdgPN!o0ha7{s4vx=p9{5P&1ym_r zUmEhXFGwSs=O!t3eY0^S9L_0-f-rJ(M=sD$m@gz97Z`y@#P8%DD6gzje)DI+a2&98 zY*!47FgwB`+L2b(6(w*azwG+LR@FTQM4kR5WR}VhY|-f&Q}_?L0wjTlQS3Y1mQe2j z0A9{CMh4IUcWx&L=K?YwOL%S;%ttub;N~Mxnx}~td`V}f@y}FbQv#PVw`trQULQo_ zeCKew!LI#!NDpV;{s#%kEudjf_l>7K5TJEcMx~#CjyKH%-l+O91^iE_vfV1!5qYdV z1|J)1qae86Gzo&>jl?DbPM!3HoK=eV6|(0X%v}ufwJWgFL)Qz$dcWkH!;dIR19=Tpga29qj9xtkao~oWFweoq5A#>t#NpJf zl>)k&?ku9~wIv}t2orK#@e$;k!%qZ91NEzeE~=f0w*kqc2$ybOjHQ_QU=4hRik+pf z2K;On1awcyJUDIk@8|+?PdJqaap5X3+@QI%P2(eo>z5IrJYX#cv%9bV@d@zO_rP%p zd4$>^{*?hn;u>Vt9i5_rh@>(>aMaFq;+vgY1pWo5^! z`}gC8gJJ}koLlbogH+4H9LXK|ngh>u{uWtb6A*Hd5c7WxL}KQG=vFs8bnHm%<}AQd z!m&4?wj1!&_BSPH-&-sMhv59^HYY$hAh>aI4fg~i=C)R=f|)_+W*f_OVR8QspSxtD zy!PP8rhj05LL{NbRtLeK4_yYgy4#R*AzTzmqLIyx@_=15t}}u!qV6Nu1u4kzpF;`j zJD~qq8)p=l=dh;3f;Hda>;??vgxqh3SzsgvGyr^zd(!w0za}|Y6FOBTiG=JpDD+17 zE^mB{IY0?%eXGfhECh-DFLrUkpD`E&Te(+!B@tnTI5?o3x9~`9&$J}o7-(Gsw>()k zAF|~fT{}J(`f-CX<$fIo+{O=i(}2MYdC(iU4>IH_W-p3QXB(KB5I`-M5f&F}aXL2Uh}s-SVZt2Ns~dKcyp2kNGWjZo|xi zaE2DX?E(yY4IsrG3HhzoJN~{l=-j78o0<}nWI-)3rq$f|*LX0S3P|MhN-WTzbySdv zazlkEp&^{W$t?&xrz1>`KcJy?J)rl91$4}g;Fd;{;(w!PB4S6V+E+GX6SR;1g5tHm zrU+{@U=e2Kbbo#Z7&QXhstWuOG>ryFSw0R%5d`AM^U+6wE;C|gpFkdeR}=e@CS8b= zPq6Pc%xB1i_vhsJf0qGl@dh|(&@xPJ{pP~|(j~kTT=rCUehk>Q7zm_(WghFumb~ZJh))G02oIbi1^77@&kSMhm_-{q=gIyTV$013}3Cwg& z+7ACKjeal^!0YKr{l?p`Hc)E%a&$lo@ zg%35QG=4q&un5DQX$bPNJ^-aZC;)Le3MnWJmM_S4XBG zr)NaR%f=zH;SaNrQ$v=jE#bfu5|)a9@u45lKUh55#8p~kKhH|Yet>g<- zoVOvS;0R1a>$P_w@QZXfMBoFc2N*H6q_P`)nj_$Z_1Fap$lJMlk}{(mC< z|NBTErGcB%xN(w|b)>6hAL23)J9xn~aYrgh&cNv!-8!~Xd?4Ek4oQbf!#8i)AO)+~ zy1s%VQRaY@dX>9TxVMM%LrO5571%mu6NQvN9+F(}pmmHR`0yarQIw`Bl8MAW?#ejS z>xz73GNdB@EglEVnl^YCZ`R<_Ftw%j@M$A_lL4%(L8SW}{+q<$o9ySU@!u2yi`xw6 z&{n<{8H^v?ZGhYmx3xF${3@jN|2$a@_PWKz*#k%*NJ`-CnmWC$44WZC(sop~Pp=~`G2(qEMA^1?t z4m!ejM9cxd;({mc?Q=-7+>|t;2|ieZK#vjfqe!FBVy{gHYxX$|#qduFGMu1cx zl93PVW`kjBK1EOqB&h!ZasOw4xL+CXx0AY2vf#~s(W$6X;QSaiw)x4ppnAYiO3I80 z4ToR(kXbev41j;TN+=kHC{oSYTYv3iyAZ+W+TJ4bD_>3t43>NkicTx}b(u2j`=dJ&3+wmTzHK zA1|&3`1iRku+aa--g}30-M|0CC8bD`P!u90L?I(HBa*$cwaCuiBuNMD>bkDa_5I$T|Xxe$%~kYELC22Nef8Va6DzIBQmMl{K|L&YI6MAuFHy6-=ofY6?J zwdlQHn7oNh_M>Vd@22=Oa0wa>^(?4{G0Hpdhe&mZHb=?dg7RTSDU}y+w&H$pga3E~ zNq`Qc1LUWB13spp;X0KZ9m>!dl*M#T;5By`1ZmvP$1ncLvGGDR%qe;ixsrGASXkww z&2Jqq+k<_Y$<0eNELexNo-=*lACGyboq|G%F8|0_=q zb9}4<;;*CWhcO@9f)Z_1~GEl4Ays$6}|0tdW8DFcV!aqv0=c^Dh#STjb zK3fG4QXt$L(Hc9uC0T>j93vK=RQYDjY#7EN75Nu-__biZ*prF%kEM zhma9cKnj4{NQDe(rZa}s6s5_gwpb?l|B9@S4DNr5hajb`<82a41qbq3LP!DRO{>7b z_vs#DKB&H}>;3F8xO-^l_BRz%Qyaqj#LAYzrDE8_CM<}L-{ybTz7xhHri8h~(~yz1KXIrb=UMJMmd z2mvPxkx?X%7@L=y3=TXM)|{1RnxvG;n_y|L4x+5~zj0MwDQ=J-ogz6+}Gt$V|?DS@k;Xs1eO!b^k|A><*N2)!XPR$+=0a;96E_ zrT_f)p6bBI98rG=*LU0zArrXuAmEI)rTN!Gx&0_c03&#P&j9}V8UH_)VfM5DQ4)Rt zOs+2@phi?B2!Af4u=;{Pie7NM&|0}iUo8B5ay3G=pi4UMx^ui8m%PWB|^fk5p$qysfar|_La!K z4E%>PQ<(vP44BYSw06ba$MqXvCMzN%Jckc&Uk3N_=V0qT2To-zb_)&L33l+mKbV81bHW)3+v@$)7EXE4Eupq2!!* zHu&|#sXQ(wn83qsYbeH6HRGkk8B{IgK=7@@EzXCnDu3&Vn?rkifN9_)b1+lh9)tV> z^xgcS;v#{$6v@3;cVv+C@8NbGURwBov?Gw)%?rbYrW`Jy)@~Cgtm0+6zXR=C{VUxbSW%v9u5r z`dXgAuIJpG%9;9F9i24anqtc&%C)@|*1o)lioFmOiSURA6(I%{;Vd+i+>X(BI}oI&i{FsQYcM!{T@>8+F06NVXSFhdc>A|~Tgp@JC;D@P_j>2u zbNb(443=WheMqn8vdCGWrfUcU8p6DRDfF8!yp}f@-bo+)&7VFP zdm?LBtvBE-=M)_X(_4GAP%bFLZYvuHucEWI>*;i~ZkqZ~*5iDOg>QYtJM~0cnP285!0hB*vAaf(^Up$aRYQZZ%0Bf9H~Y(>WVv0HSl|3R;ow3wU0+1w8Op%(Ddy$W zgJZTcV}m(E?yeS#qth!LG6}Nf%*E@qYfCX)SMgP?=ZVnpf=xR$=jT1_*ohYXDwkS) zB~D!|nzfmA%`RF_YF>e@K6Iv7fU!uRcg(~x>627U`{!uU>oIx{^LlKCTc$U<_RIE1 zh|LQsMQpV-VtT-#1EVWGKZbeJ9}#i3 zKi}-r4>9}ttW9WkR!+YgPtlD%u23vO4>~+z-}F+7XMy{Dv1-;o0ZpZTi3|AZ?)>bV zFd?N0E9GKn0*H~xrqK`dZ(r%7F@~lttjOf4;o=M2)eZU(Agkj}+?!36oNp$zH5NoT z7~j=aiL8RLbx+Aq=B1P64{_@4c0CC7NRMo{NxSm=MtdJ5!x3-v&|oppn`Z)mg4CZOuGwyXI= z)B1Ls860PCbW`RcYji()1H#xg{SntS%X5=5mbBPQDyFHHBP7CwGe$M)AAP6CQA8kV z2oUexBm#=n>f_Hd@m1Ty`EkZlMFF;yP`y2L<%d5UtTVY{8}tIL#$}8{>l+MA#t<=Z zS?(Gs7Xxw@&hj@&xTIuO-D2uV^RudH(-)wQxLg+~bLe}@k9o^`$3WdO%tYlc|H?7I(tKf(1e)G>+ zveF*(*<{AD5v6md5Z4HKaPLd@5%@L4d7N*myS1XfyV7#(TacZ|qeo?k?_6tD-EQ?` z*6(eIg5lB%TsbA|;uY;;yml3<>06W5a}LBGRCkwEJ<`(B!d8E^yTUZHa8)sIIIv0x zM$s&9z$hALYtUp3-AK#0abX>2j8xR;BdtF8;Xs_*h&ZRDdUET!#b6+-eR9f(O@?hh z9XoO+@WWnHl}=0-hPqohGB2xQvv7RA=GoW-H#&d)b zWH{8h)@`DVY$|XQQQYM49*f6|O{kX%X|{wGn7mfZ!5G@LgZcS|rrcUR zB5YvjML8SZiKtu}-=4Fb&%`QIg=y;UG|Ou4v8B0}C?YEs8J{f=UhN4+{ldPtzr^)c z-VK%YpK>#XH7}}t%F#II>{%9T7^n%am@l`=iD6O|OvEQdPn&gW#*~-it6r(RV)RH? z38pLsw4ZBp9&Xisl@=;{#&-jl%i39m%byc79!`0s$cNmP~%C)2M<7k^2#eTy5WN7$= z?yr{qJY*8X2&QfAY-;1zVu%x+bw8iD+Wegiow0Qc+hg1WUUi3bb&;=0XG4G8m%62B zr{a|s{=GE{52mpGg-SveT}xe0BE zrptPdVuqkZf8J!WYkD0Ub#mcKr)Fho(IQ18Zj9ZOv#L8%@X{+c(Yz z4`7Lt@oTN*L-*gV1aTXB9P+mxx~&9Sn=uwA7xW0sQ1R7k!v0W0>z zQXXTQC3Ub(H5M|zMo!;vP6UmuF8~85>Tzpz^^;tZb_#fORquD%Bqz~h98u_u6sabYZEF!+ z8xiJ{ihX(2!G(4!$!3Mr6_p9Z?z<%?u*wMg)+!Ka6g_P<`bDFnl5-{PF+g?NQ=o&T zTF5(Ju9L&U!tARs4P+y%SU8R7U=4n&X-Gg^6VmI1m?9U-&N1yj@>rn&0)2H88XhJU zJ12C<;4L5OMX_0%-H*IY4@9;BrKpvNVT4KDGk^OlfP@mWg)3?Nac6fsc!$b80%FY~ zIOSkPg;=Z)k+#vR9%Qo^vPp$_tGW<|ooZ>%7(eT}yV;HTMCL*jf{_b0<<`d`kqTT2 zJ1M4mmu_d!QFyS7+-Rcfd5JgXjVtF92P&m<=?!~00tRb$&E>3T@|Z9&jGyI8Z**ho z0{OEaE`MIk8IKMad_iX=uVm6H(~NFC$`EonWNVmmXzn{!O43|4T`{}L!YALdiPi+- z&SyV9ksJIV_btN-o_njX!W5c{C+iBIMM4Ep-DVp0BWfs4{EQp+1ql|XN^dCXd&`1r zM8d)bHY7Zo5(@~y4RT0j2DP&30|Vyll9UrA2Lo=~bc|{mvrNc1nDlM%0Ir*uy#B~} z4wFD==TP?d;~=wL{eo7mA6&#T`oW_${m1zP0&x~p1&5SK`W!u&oLnrF8-!^hCE{py}Qa3?9bkF6hyQD1_ukr5w%#a$iOv-uzWL7-&@25#{G$yA(0s*ukhG!7H{YRBxztg> zH0ZEvt6H!aGV5#-u-&4Auro|Q_G2BUfZhC;AGJKuEDk0VesuF ze%U8-VJ(g-7&R!^-JbOb&@ZykA{oF5Su1;7U~Vuq6W;Y5%dpR8wY)1LB3LQlO36@ zl1M;qwR5Ce$=$e_bWr>IHyLXoGR_QER-`RQWV|is_cSCSrOD-gm9gpg2<5F0$Qmj? z22R=OQrsk11i=51iT3kge;zW;U;-Y#vj>LZd?-!u8f&T(`b?Z*Y<8v7$k1kK(NnPO}uk zn}=~^nDQ0G*h&nQxi^oCtk*NXufE&Zo|)a5;Y2%`C6k6sZFV7ykOfi|octV^$5x%3 z>}6;Rivwm79_7}z%4q(xq!M}tEY##DC>>3Hp5)Km5bNx`lshAwa&l4L`;KhilK0I! z?>ZcXsb|KGZ0j?_Ik!F*8v`bcwhR}jr+$;o(D~^w$!Sm4j;wf3k8;yhiY}sNePdZ`PQ+r;nfC6)V^U#g0xm76_++(!_iasGq!%= z)Xds5W_-zqyTQI^+vT>g2B|r%{auj zYZW!LY$}O(%N~WpBb@g86Bt{BSw3^%T#D*fMirBVod`PFMOg6Ic9wl`Ar32fa|fKU z2A8dNxApEQHudz%WZY_QSC0h8;nayx5O8vhT?P{aMnkS+G_H+1867Y)6?;s=yJZ1=Tcc}pPF(D|5gP16 zmkk>E@ynZ{geN&lPA3qGv+R?=P+5dcDIz{n9(pewr%`eduiaSmOKF2$!TS$DIXIs` zbR4=T)AU^hav55wZBD=+CW=W1A?-*Lpt=C0ltPGxJHL{7+rWHNh!RWZeau2#?kBtr zyn3I%_vd*}W|zCt<(2IaXAD)~-* zKV#($&RwB+()L~q2HEbCL*_h2NI~t#(rcV9fg9|67Ai+~5i6l4#=BF;Iu^Lr;KX@x zajZVYV71YQ=sEX*Ka=*;p$a!F-8FkGYm(m0-c`|iB@Z|kJk1+jRT!s3+&nxgOC2$u z=_Ic9ZI0{>2@k{66;YBR2xY5)LzyH7&;Z9)dw^7kQ%Y07KMuZ!NE^LI@*~zQ6pOmb z^`Yofo}BRIk239xXfJ_`SYCYt?=eeZ*W7Ky)11?Vsf9A4rwK}@+I4^3VP%>f{yN!y zP9jRqa6DZUo8+%8h~Kl-=L;^+C#iD5%Ovfr*Z2u+VZ{F3H?;0s*#J1Xw$@8A^xSH@ zPSIoxd5pD~qa7oYoZzG8y}R7FN}a zne}bYm{oT5?W}0-t{o6a_s0FN?sdU#06V>^pSk!MwhB!bnsvKt#n%GoVS*VNlw3VyDa41YF$}zhdJCoa=lp zeE%u>i{B(n6@Kfgt5VONovWW^?JeFc>Z>;~nO3P?-MOmL*A=wRSvsqQ#$DK^Q zp1iWB(V{`|!5mhdX;pV*c^vL1G=CpF&wcE8`^i|T2fpx5kj7jCnROJEL{-Tc_pRiW zr@>@>jhkD;6Op94A z8jDj6$Np~|L)pa%EvoK0{M%`ge*EJE7X^HOq5Zr0q|-7H1FZu;qIze7QqvDx;a~Xbi$r1jkoVUyA<@F7#$FqQ8-sadvGni~zRM zH!4pJ$}rwA#_m(oO0gwLZ7-CX|&=7xi)$*0XFn+%ENlbKQ zQEtES!e2Qv>ALG|%Z+qPQk<$kwJgmnZr4X!k)1n^`V>Eu=gHK&A_98{9?uvC4v`JZ zhTHKn9aeVoF+5L(*F{HhRkpd+RCqi^{pTD5i*pvy=|BWdeV4Hho*W+E8PAW^C_2w< zR^_RGl(26+&}q)WoYC`|;+JrU@yXzS6yb-8UbS$ElX{?6fvb+9g2>=*wZ4Iu+~Spv zTh0OpC&M|;S)vurcNWnNkctypv`%6$`S8+SRlE1ra_MvF4u2=i-75h%$FuA zwdwqCX-*zj#b^j^Xdid8Ho9`wrF*IZk7?;~eoYl!Mn5{iMTL)V4So7s9eC<|ID7LH zDaE$#pL8@O>`G;$8|yg5)wXwi$RDWkB-ohf)mm3BxfxlwU4X;8-DpS7@eLa}eDcxZ zllKmvhBGq49x(e!@Iaa9vHMRXQamg>Fb!@CFaok-E>MGgktJ_R^f6W6URE}uB*jLo zFfF;hN$vg-Cxse&;|VbL&{_S*Byr`Hr-pK>e`)gKNRQWJl!9!J%Le}W*6XW7M5`K1seCOWMU z-{tDLg>NJp!VdV>3Agq2vI#rhv|4b~fsuLRfMsRXPMQsS~=E#MLfLFS7?sf4cF%9X%g8`Y6Bga}<@7y`yKhFY06ohwpg^ z(0M+1Wwi5!+lTjl3`J${@bVKTh9)8tk14;p(zrzPgz^8l@q$W4PbpisUF9mPX_DzQ z)z`hpXRXJZOG8DqrUO&$i(&bSX-I$LPD*kmakxhE8t-#_`HLbB_gz0p{FE%PRU39H zxZhf=pJdyu{E|7NI$_Z=Sb6lB<h>QAiz<61e&mx!jn4CL^?W?hPjC+mi?<&*+ zmEuTIT_63Fu?`(yzH5iqe0XN=JBXKveq-?@&aF*1B}~aAj~{1#eOqcSBS=1U|;{#Sw9vd}M-bagSQ?PQzs<`=MW4R^e_ zAN}C)i&3bL;>XT2&9(;)r6s+hI#)oaQy;=hmY$D;!F<7Q^gOc`W-AoLW?i@aacnOC zI?9p9JN%$e*TDSmjBvM^xw=G(%nGv-3$jv2)>21pg$O$db3Kl?dShG`5_zgN$}&cf zipujE8cQb@B9Z}pHLhXSty|7}mh_K5PJe;})~4G?2A#F}H72--LGvM!C(Kc3z2{Rw zZ;qavttWxIazb~=YA5FO!bCx^hVQx`(eXaSi>y+)>o{CFduR2b*ykcb+r)Fpzk3{R zG2URT&nEOlq_P-H`oeuj>E0qn56Mzlplww;WvKl11BXY_&uj0&wevWL&7=vGX|=0| zW3@Ztn*-W|%x4hf$}9NyuHFDIR8;re!=TP?m#W{WTjHQ3S2|76@@$O;&g589X%OuWYAL;D}Ev-Xn#@YnShOv-GK;Y>!XJ6 znJ+|tbFFun?-d)os4b2zT0e;=&=lHG8o8w>WXno#SeelGvb*W3>9hPCw&LLq{`=8~ z5djrc#bQw%m@pAxADWK({^$U`rW}JIFHcX;ivd8(#=$?4k1FID$0=lL$xIOZ)O!24 zm#O^33k?nJwYh;!w|mbjK72e$w`Zz$r^RFL){~iN8Bvo);LHhce}Z?WuCEFQN90%M zqW_0>4N}3Y%aj=CmwSTx9xgfwPxjrZyzR`M=Vts(Fm7UiqS5}tyf6su)^ZQ`&T;kT ze+VA_%KiG}OYxV%TO-VOrClw(Yv@wxKS3~}7%>xG;2p}l$~7y~W7V#;+Dn?BrmPf1 zyf8&dEJfCD1(0Tgv)ohnqXW;V3JzUuOKnUmeQ`;tAjBg-uRhuzbZ8E3v%ew)uoBY= zyUJVF$?I=jxWjaK_2(bk2i-H9fO2x%(s=VK1IzB#BJXapY?6XdS{Tn0Nxv_sNc`|R zW}>xdEERJ%oAeIb@!}S`I4R!{yW(Niy!^m~|G0mWV2DVyOg5^0v^PJ=)_un7p&XgL zekcI&=LcrM^qTjMFOs>9$&|@%qk7sjFQ{NE z6(7=ccc+|fAMgkk9D`Ry6x?Rm zcX0h?$04>|UNNyTs}#^2m1zWkc`SCmQDsJ^F>3Tl=3u zj}6}N55xq7f)9Z4rBBpUaZ6i6R%Xo`oGY2zV}*Io72JC}mW1DEJY9Q^K~q$>W#h{x zLmewLz4V-}@nun=qhykV_L2>eh{eMb5j~R5qsY$7BRDb|Yd9NtmQ%=|< z(!~qxkG0tCi4(22_U@Oz)Qf@A${=ad6UW5ZU+*-LdQ(%DcKv&;1)Ig-sf4!Y)lXS2 zJr|~UjCN?v{UY|XidnH5^}z}`uLf)he>a3VP>A?P5-@39w|>TW;}Oek97H1aI1mv%-2P|v;seI)t-p3}Kj4+E@ zPuabnnv%b4@}whF3Lcy*GjA~~{GvXQ-&;eNu5Y#V&nK?P}g9DHHldMm9YL0fJwN5;7=z~rd za7##d3V0ADJ^B7_T0;UNhDZpf@^ojWa1LO19MKw7#A%nIq!cW;_}|ryC-Y#xGpMbb z1NJVD7b_LOiap{EPSdPABB)i^Wa8y&mC1n=)F>c<1I<0O{;wxvXZ?Gw7A4N^Yy~l9 zx(+i^886CgpB|6bh;qQ$ePOGo$KYus)h80Ew^g~KWn;F!FcSYbEnJjyeRwVR0mJZN zFF*u6>Hg&%#|x1TU~8 z*2#CeVLHY7bIIjPovtW7Vu{f)w-O>gpi{Nc*wqAdF`0kMZcD6;c7)rD)=9-fox%y_ z3A14}FyRLXGc?K<0MjVrwZh5Hx z_0A4LomEhbYdhl(0|OZ`;~Q$o-;U#jAs6upHL$PGgLTxe!T!RSnPm#oO@PCu5fH?U zNPlu1pJ$9xx@s!)S<9wEGSU=mYXR~Um;2tS4P6wFiO?u8=4ZR_h-p_*0s2y%0 z|5`<`o!_ZIgIMGm^ei+Jh?IAfk!zsCcrGi{>}z&6YY<_@PP35bDU6Um58 zY>Q}Q=Zfm)q3!WMN7s$m9!!$M9azjM+n5d5G;K=N-VI5Nl}h^9qZlGh9jLQlxH0)yPn z%dD5-C{*;DE?lwI^G=V`Ruh>GnVt^ZrEYuLOsd0vDFb@h8nQnZfl+S8#!JVA2L@h| zNm1#c;A}&n!+zwN3n+o|BX4btY_d{ri1XsKI-q{@-x^Ui_w?A00ds)GUxB#jLAq4U zW{RsOEfSsiX8JGZT3T8f?63l{b{Mrn3zZC^;82Q6hj7}gOqZ?)<}}APtXHnrc)HQSP1Qe)LZ{kbt=>N6IGHt; zFrTwLfd7b3?WB8BP;#q{N7mC_etyaFu!KzJpV=9SzxdwZ@i>&Ce!D}1!if#uO6
tP`;RUg>t>OJ7irR?1lWiCi-%5RW|94j*j_W&Y?f4c9~FUY^)%IMxC?=5y!(1kJHsf zMEvM^^dqWoO<}xfZddQMbqYAbea<}Ji{iO-0ExD*yj8Rp zF`0O!Ju>!3{||mr%LYi9zO@!#bZ<;uvuBsUJsmT4JoWy-Hkz_yqJP(*$-xF1s$uk- zThj4Zqx!MVjb;_?wrAD%0dwtP98++14Rr-MSvSv}`qJpJA*_q3DeQ2cfi}GRV!ztQ zfDLt{u2=NGw^#eHZ0?OyrxZv|geOSHk;cq_SWLQ9@Fz=|!u^%0?K@MjD9W+&>lr!T zxOKu8qns{HLFIhYX+P$Hni0kVLjvMt`Sj?P`A;38ugczI8}0}R2{~Kn?{P0{LTb&? zB5V_}5gBIsKbXaXqBG$_CBTQ8xS@le>p?Ci@cmy5Z2}Cf>R$|v5J{94h66VAW-Q0! zgvoTS_>pE#&}Qa^ZB@KQlhiYRU>O$Twd!!$@WxI4iS2mlbRN|9^BY!RpDTS>S*>P$ z=0lj6m+$aFHyj-<9Rq_DA+w&er6sGI(6okz<9{f@>(?=;6rzE#oHiR?d81mb@#8C9 z`dBu-RqIopn0q#M-%(n#{svf^FKMYR__w^E)Ih52X?N6y9Np8 zeSLzuFAOm)CXAX*d?(P(NmsgGHL1Gh#FxYC{K0#y69w8p3I)ebp#ivg*)&HR>jxrg5sPZNOli@OvwKE|A9?G|g9yRfR!|HG>u zJ5GFNcx)_z$Kh0Go{9FC{F{2xzq0jJS$F$t0Rw!WjENSsde9t7UvLpy4`GO=&-&Gh zfr3+ppFbTBS)7Ca`kfU>Ytso!eV7;W>vj~TEd0m*V3-~zMp3)8`$a&WiT)dto3mZP2N9pbOe~(5^~rt*uOVwI(St(>_jB zD-Q8(Z%JjDi}F>G1S5KQbv2_|w5#2`QB(FeW0&cUXf@)-PJbFVW02YegT;UJu+?ncHU{6zZ!QBt){dV?ET5s#{edi94 z^KsNi2y_$8 zP(?+T-__?%i7wK%8|<+SrcuffcR<5xLnF36k4MeGz_9ef52DkPXJ-!LAz;vbV*SvT zxIdy&Jn{=w)GuV3@(CJ!o84m?0C;XpVb8URNZlxT_wK27*2v_q`N>+mN75v~>-=`h z=$d1ne|Ir~kHimBm$T}<)!j^J4mEnGv-_&(R9-xSpR*o%Ri1=|&JN}CI=jVKklB5= zGgvw(Qo&8iW<61j5f8ese*8tNR&ajh8pTw6xJ)*N$v3v^WF?cNAVV2!9)43M{vtXp z4NVL1g1l(o$t;$qIvAGB>fT`z`dG=+V4`!a4}TV4{N9=%=XsIJ|Pu0`-Ky7B|fm@5Y2W7*EVuOXCm zzL8j0*%-qs-nu_kS6BZne5bj&dHTVNgWv*$+eY#OoXW3<{?7h7I{QKuSy;4#XF;HV zV|K4)!VL7!M?yx%6DI#o=jDwob;Tn0tHr%K-w@Ar%CT24AG01uUoh<<>KiI3F{q6e z)+ig%S53VWTp?V4k#sW}u11mk=Mc)3vtPOFovnju6tier{9X-|I!f@c^y#o^wZz6I zkC6VslUAsv)16VdMq}^%VP9tEO+AbRh0J(1KjB`K1rzvAST?v71`6dPNW z_{T{|Ae+C2g zuHNQa$v2YCX217iKf3gblVBE1(G`!@g&_2y_syR_en^D7@=4Hr#5mN3S zZz&u6^rG4rfwd@C(2jm;Xp@^t1`+Oycv2Dql!3i?9uP+z1; zCmyOZ%o6hlu@PjdDf2==vHnLu`Cq&h<*iSqI`mz0FFXWA>TbI-xhbTJTh7R)|Mtg> z>P_)4zmORMczRR+Js+2tip{bNCfLXX*od0Cdh}hr?%1Uz<4qp<10^5=E1Vn{PBT8# zP!eYp5B7!kdim49))^Dvu8cQ7IC1K%;4h5^z*;^;gaj@KMI*=K4)BWktN!=JGD6A3 z3;#^`FKi1Gb=-_Z+?DA4z?i-0u`vkIV=^h5(QsH0nF|_qyVqyPX1Vm+uky*U!|y+K z{NBkxeU8ngX0gnt<>)qR15O*zK$Zzs(_lY0=)0Ko(v6V04g0~BFexz(8M}?oRBJwxy;$mmrv4|`L{Ns-JLjX8${f|n z)TKXf6g|TeZm|U;nl8R}VVNC<66F|mex>>m;NVRn=~i~Pq3gC^;`zh4@F%T3{rdHy z1?kx0eZ_1&H_dFBcdq3d@S{sT4~#hz{}p)usS=@vNG20Gv>T4`7fs{1xJBNxine7 z?^z00cdz4q(aq`GY~nH4AuZ_1k3BOZR}4w1uAT>BH@PM6)9gZAi2NE*n-DN;56L z8pJ<dfE^MQ}%v*>U|nj3OxO{8mlk)qiP>J;G3BjYSn2k<2HW_eMvdO zCUyo1wZ`QO?D7TXX)}3~w`f(1*pmrODz0!?8Y@*kr$3b35s*#g4F#gxpVP*&8*hm5 zrcPf`A!Hi3*?a2Ogd+@&`BeH1Bs&Hxf!4q%x z)woQkcxM;=hxU?y4YNfyZw5m-Aekm%IwV0b(0=r|Amj`V#aqtMy1lIa=qURSQ$l3F zwPnrpvVj}X(5`TZp3HsL=}4m53dR?00Is1zgO~RuLpbl8X67Jxmj6R z4K{tyHyKL)f-U#e^|a3(T#ppO!NtR?Fc|{K4*r3Pnp(KlpUG8I2SjV#*y3Fy;7;QL zrFa#d578jzw*OYlXLOM&g6>);e&ly|#KU$L@mMa9rvHxr(nPE8S5CneA1k=Aa8*3rCKxV*YK4%d9=6zP05E3@5?>1VGb)WxXj)*_QRE~C>FT-^1|C;>A6Qd z(+}k6GC^Rxe^fbuc!-hJG9M!l z_&)VN!1wo$`#{X(*3w9H#1eapg^B?93uG2ZVnJ_w`UW<@*C<4tp;p*w%W?o;4`Vc9 zU=kQhzZ-dh>v_Mi@d}^K4Lv zAB|Vi&VL#C$ks&F6D4K!Fivy+^5ScW*jb9VOvw%cQc%IY*@Qt?aDL5b zYB&^+8fde-Kc&uJzVx4dmK%JVs_i=e@?^W5o}S*4gO^cMbL<>ajt}K=AcdoWpYZx` z{X{L{x;ohdymB-yvPJZ#jA#y1^?5%QhhbdPx0z+m0gF@c_q#vjDM#2MJ3%(TKIzzJmKcz_e`7LzE608XI!2Trg@QsMw( z0=a)rJ@2jJX-*TW6LLbmf4#5fsYt{QE1$x0f&9|0GO4mBnN(`u5EFSO}Jlyd^0i4qE_lr7ab1gx$7I3iz^DIvwKgk?2&b8K_=T9DK8H@$0IV^IojHG|*z zN49Tpn7U_d#=P<@-r1rv6N)irc6HN`<|$g5t&B1Y_Cd!OWHtPeCP^(woAOz-m?!>x z@w-=0iwwvz|DdothwULi6bVsL z_jtJ!JuU+3{RHAKF~i>PBtZKZDDcLnrqYk5JwnS_8+7GWb#F+NPdB84 ztU`xveu%>>>eLa73wl9YNc$8LaxTz8B@#!!=Ip)`SyP5oPW~N&AdJtgSC2F$ssIKH zC&>7iyp?ZZZQ+UWH4;lBz{8|gi7GwL!kV3Qby%ogg>EGAedWv1XD#>SClOzAYT+^4 zp#k@Ym#obXwWg>RM<$hMN}GSjWPS-r^9$hjK&9Crl@tJ1qI8ng1(L|BqW>X@oGS;) zEa}3C!SQpaIzmeNxWq>5Ls9_p{N~zS7i+@r1r7@s789Wm-!uDZOR7YF4MTl~fqdc_ zL{cS{D9}+fKR@%!zZNCpfkzv3%YQB^LyD1r*4V})KR*l#07~THe%ZGON?fZ(=k-nk z+AU4`9CA?q;~qS@c1(NF?aM(oZZVvesXCQ*+0T#QA|CETkHBl&1>bd=MO)-gMB=sk zRr=!Pu3&2F5exCF4@sv`_T-e%+*Oe{nIF~BPF~FVWPW2Zh*WvrOL`#G&y3H8S-tcv zmU!o4ndT!FvzhJ&-zduT+fU}zHf_ z@S7ravt{^7uXu8V*H{nEu!i*haEj1$?el|>V?nB&Y9rOB%r2_H`2$2Nt#xwzt5Z}3 zIbqBt%&&UROWnFny&M7qVWG0j4>edYF+VTe?53{G71|h_zAo~(g7Lw&{KS@@mp@)J zTkLE_nXi|t-7dSqME>GvZS#9HUxc#J6*+JJ07yI8Vb2KCYv)1s3WU{gS8$SNr4 z5UZSHru!F)e0Pr`j{}OFOUGx&G*~*LU1umP_F|ljeq1Z2q(WYYiPd7%3y<9mvFk`3 zu;Qd-W}c@KuHqCmZ=^?vhwcY+WgBa>@$kmmZUBubiXxS!*u?|_m4)lMcCCL`H)%fd zyJ-5aa(tiu8qfou#+|IJJ20Si2h6uu2NFHl@&2`N|gGEM(%@Fc2O# z3TzO{qo-b&3@;pv$ZgshXjBREo4l2y$d+c*@=U7F&Ht7rK3n}~VeQf16A3A8&`AI3 zE*;LFVD*>O?YzFd!#OrVn~kN$6S!98RxefE52Uxy<}w)#(vz!ui>VIMdlK*rnlIkV zgA8utY-k??>5VkYA<}!~^jMxQ`8=uk+7G%Qm?lvZ1>eYM}(qQUD zf`R0i=!zLH!@&1i9Q$yfq94ue%U$s)Z7-)Zq!T~@Dcm5iVJ^8%0ri}f>P(?;DZb@4}7RFATU&a@d9ZZZi#a%`*|*0 z^eP#NFD24&*c2NP{L;LO*Q8-n3~3%lnl9pofP554*Ql2T5~XGF)dBbS7sXjqeQi{w z&bso##%yJ|>D>$UW6thcza%Hvs2bC^^tV)PKT>3Qqc4yKr1w%at&>G6JP1(EW>!^L z$;p^H12CYSMFRIuq#~ZMcl;OCMaApOJ@0>fvgMJl$BB^`2xK+7Z_)<~fUcV;Z*MF& zLzV3l8|CMJa|wKu9|HmsfC{FfqbuD|H*R)JHYC7NF{)*P=A>V?kg_@fz`C6LUxL{p z_Dj#ROv2eI0K{TluYtDZI%Xd>w2#nrIDDMZXTM_e*OWI6yNwWPC$}kwvVNAb6|s}xrs&R@WlN$_lW_Rh;dFkJlx>&(KBra?5)fLSl1 zrVcYmeLa=O_)=_mEoV&QQcJcv(@zP~Cg1khrTw&_SN2?}n526#GBs6vcde2O$ki&S zXw+0yi331~I=Z(p7R3R>Uj?wkA<$+8Ddp_>3qHCADD!eGHSjiKo&V;IOD%hriQ>wg z=kF)lQ&dNxdy0`a^S`7XyCEBnC?neMTABAq!zw#ngbOGOO;TIP;r@eoXt}b41rO}6 z|4q4N?R{t!wV-=2?Hta^2BZ#?y;ieKNFn5s$wX>m*s;GmjBm3cl%huWV}!z*6H_zf z6cqfwe*Ma5KBwlra@_Zo(fnbUOI3t6y3QbCS*N`NLzgTRB3}H+UhYxuiqce$nk~NL z@ZL;%nJh9mJ@Thhh1KvvrPaby%}xAKqhL#K<2vrewI)(uu}^p&48%hX5s}3^&Fnkb zf)n}JpUJmTn#Y`xE+7>Df$vV*px9?rHFkHlmrG7y-<^5177q))_F%0Yl02B%c3eFK zv>#8~cXum6kyFgV!ovBIZJ!?$#uJkB9zw@9bv8g_qz3X|Cv4G3!iHx9oMuEe#!v4n zXBW7mX|=?E7hE2{atazqlicsku(0;2{rpd2Z;LY3eEw;WNt^tc9=~S4SHo4Fi0YFC zJAtlW{=2UCmyG@BEwtiAJ6`$WazuD|xFlUMtnFZg5Q$KL-E&8|8~b(0-8j5xd|dXW z9)*D23qz9|$<2|k>O`7+WYjOsyTms?n%H{05P&oD>jsB`{rW9P=JG^+BqeK7sHhjd zs5DiaF8UC^Y8|KU@O~8f@UeZVzj<!AB(si+r<_7}dcKpESqh$RUBK)0_yfO3gp;Fpt!5p&L!TTd?;%F!_e z1qC_!KQtfxf;_bWpPblY*c00WX(GAvUuGWRHe`J|#*0u9^jAE|^a(IYRY4RCD}KH= z_?~QqiJBdXxh*ig2Hgg&Cw|djA3nm7;32C_M0WbjoEL589Xs&|(4bz;GZ-BqgO1!$ zKCexbmbjjJo*Z1@20Ah{rG68B=(Ap|m2?sk6KjX&trVoQqMPxLqLUzs{_*-j9CG+=k}0* zrpTq5?>J&jWg9UUF9uoo|0lov`ofwmb~V=SE@ODm`2ha?41 z>k386D+(1Ibcf#Md;m9qeMZ}@wO^gE}?pYIG?0R}H{01F6PTnJ zlL6Y|!Ygd0;{n1_2L2BJt2^ms{HHtN;`Bp06JYrOt1E|FK?bAynN1 z+k48uf)vYU*xiRFJL6Y`{1SY?%@G1U$#>#^hgR%;H3|Ihok@36R6laAZdHRQ($M@! z$GOjObzbCJ_PQE%yZiL;A9hn(2@ZhLLow|vMRglq`}eT9~K@K-3pgo7(^;eX83 zF*wL)oc2_-&LnRp{;e&+_Hbf5S57HAmRoq3CF8mEvF;zO2|Z16c-BLH%8PYxUek)% z4yet}+K!D!zVIY`Z{{>`X`_Gz>ZD~65%n@sCoSeWKQ}fu{z#OMcr<+XZzQLO)j#?d z?)Pu5^w>K6Cv7`qb#~sfS?uS3mMB6C9UwXAW&VG&dGGT)CEGcJ<~0!HXP)(RiF0Y5CYo`1gZGXNWofwIx5RQBZ>9A`Gf|J2*3~v!< zwM5Itk}ycv9RHrM>ED9f&7-p=N_f6(bC}(x7iOlXKWkq?8hlvzbo&n~^v}q)rG(&{ z%8Z}u!~7{U@BL_D*e#MA>m^Po+t$Y}+#gX+m_uT?!kJ6-S+VLf*c6<;p`TrG{mzL0 zi>CLZ*F+UzVK<)jZlYHUetmzkMWs6mrLb)pyV&jH8vLi^8zKX zH>~ky0APDfR6&&-_8MPz{@)th-$K%^+DuK4k1cG->7s5X>*v{p)A=#Xls_ za6PHlOZM`&1S)^N3X2ZU#qT;eCR9)9{aE|DNI5m z2G0~IYUYt$tupQ2pTvDzrt$3|7-|9u=6jg0$7Mk`m__S2!=MSnQ;JfY6!i`LKfCIG zE8-d1FMs0tMUp7M#e9!yPv;x=aQ-xoF9R+92kQR_?0&*5i0X%Bj_W_*-?ySZf?6zG zz&sk9T*%C@|2tcwJD&%g-K94ksmnVM(KYD_F?L}W%8|AX4dJhsE8ZU1W~e@!RQr)` z{^9EZ*>x9z^PCT#$EPpL6st`886!JlATYeq^(UxJK6MZU{(C$h@gQez{7X}P)<691 z+mG-avco@dNX`I?DfhRtbq|kFnq0W5#q*Ew5z?#B;Tx-aRu^w(bcIA=h!F*}K7d+B zcSA<9G80hD-^Tj!gXHkpj~2(M$aipM8YBBi))!4XKdy^2TVVQZ6e9wB{k>_d^|0K& z`A?SGZfir!^JQ3>uXYPpDJ@_p3-n)iN`3UG|0I&Xpn`>@4rnrv1+QzoG4?-SLJD6T zCwnvAKa$Bj& zBNJ50xDV=xPQtO09cfH1lNRn|#88olS;pm!-^#7Ei=?Nq{-T=pw6o4G!61XjFT*D9 z*?!Z%q!pF9lJ-lzPw#Dk7KdF}SRJ^3e%kYljMBi&L(>|v%8z~wRJuz%hQEPv?L6h5 zdwlvM68P%?t5cc}Z42usDMTTvAAZyR&aGk{8iEL;kZ5h~Wdo;!+uon!x(!T$C) ze{9Mq-FiY-c%rOeA@Nq9Zl0n@pFQnMYdx$>**m4gzLCvdA$$LZ>&2zs{f_qa7c}8E*2e5s z_xjH(-@Ti!-we%JXG1@K&WTXRnzzUMI&Lnd>jjEl zNzs>3kbY6_vOD*ZIl9-}$UqcOKflLMdGkpM`RQ^TN-&0)X6RP;QxA8z6LkW zWuwm^PI|sobTjgb+3N-M+(?-ipo7vgis#sXDHNZbH;_8Sx$1TiOlHbQFry591BLiB zWqc%W*VX`NygQH!YL=G4u3j&hM;AJ^_?;5jUhMOyVI$TDMCboXm%ix1Qi;O!R*09H z-t73H1)W?+slytgU$?mR^AC19`W6(oHrUZmk4NKwuYG=W!6D+NAzPj)vI6Ct_g{6K z`(0i3W2EK1>)@j+hvy1zraMOblaI&mkNDfJ0$kzv>l-uZQ7ZN~2CRI)H8h-dnKg!# z^f4-6{v;w|sezszq&)_hkcMLt`!}p75sGc;6v?)Xu)B-Zh| zi$l_G%znjT`eN}~{;&DxV|LPBF&Ia?IQ6QzUxl^yWyV96vh+p2T=F`mLFjdo01#gM z?q{6(Q%A{u$Mc7BuwA(>^)zIHPyOc~2rmKG8N{HJ0%nnj zOBC!F)YaaDlCQ*zH7!rqVV=Mi4Qd9ScC5KaNoQj_oKv>=0f++U26he(j;92G>>y?s zVDldXK}Nb(s_?EgPTF@4VU0i%PQ7N2=Ppr7iFo15w~DO2Mz9Hp*HeD(?5IZ&m#%8& z;cmrY$I4huSCO?r4~POX-w4@kse23A*fXAA0AC(cMb1XGn-gIYOkgm>*Cd) z-Ju0W)xske?8$sKiz^8k!)Jm#2NzrKnrv0|IDiclz816p?hdRO+9_jRt9*!*>-$1_ zBY2>8q8je~=tFB9dJb8eWG`>&VBP!5T-7*CchE>o(Egp%ElZz~&J2E=SRlC}oV2JS zNY|kI-iE_Bx5TT>*iq7A3dH2o@(qw}4WW7i(RLR*7)FqE)m%{V6&=dsEE&8eJ#M8} z#62Ki`i3hES=Q6^XETP{7>&g_1Lgu*?@F>O-#PDB*(d&6}X^B@|h4k5uD7b{7Gn@dg5#zQcWRyz1%x5Q&ji z4f^>KS2Lxs!`$B%?4Nb)wkCYfD}Q1?DBJi84mPk4eEDhz!zd3htB#j+Uutn;c{Y+~gh!_w z4!Z`=J8*Zkl4r%pDPXViwWyXDDD{G#Xs@Tsx z0zFWgXB#iW(Z)J072SX}z59}q9v2m(sds$*GAj-oE7lPW9pHi1PyA1YF?WAd1?g%K z1kPsH)8dwtLMt^_ORRV=LGHa&w$sK!d*gfdnk)PObLuDG)D7j-d>xJn_rrwkbWq+u zHZs@_SDU$GTsBleJjTQ-hAh{Ol)J10(Bd1_y3IS9mig$bwi}k+(kiIbSxoLq8*nL# zZGS>6Z?mk=xJ#&_W`j@0(%tEbvxo4?h;&Vi-LL~6%ftg~c|?P;8@>J2&mz1~$R9hi z@Fz}ugd}$^VM2R9&-+IUpy7`A&M0H-4aTFpsl04=(E+a zmlY0p`pNur)~1IJnUYKll4SO~nfRT>V^!T}Oomir^$FeLG?s>31mh&*_zReEB`f71 zqSQW+ZIXShip^Q%W&1R1gar0|!4LMxUXF6)fSO3jG{8lzmw~r|tcYIVp zDE*PXgOyFG}B6~b}vhVKdbCr8PIwYWsg^gthM)6Cy9Gh{cyvF2(=X<#?k$@&}901BU6 zEog3y=DXA5>dXsZt@b{?JhXWYU5(>Xd0(>-{oKD1kW(5+{fB)8VIv8)bizMgl&;6v8Fvy z9atob8@X%$Ux1uDh{u+dM>y_RF5}}=XtB!AQ})q~zBW?c#Y$j2+|&waKSeeCMr($7 za$kp}oNFefPkCsZu|$UGgq%D-U$WZ!JwvlZO8bIxi(@Y?KK#3g^)#ev|uiZ~{j*?g)gbJrOq8(LUz9{E`I02D>Vm+K z&!8nzIdpVy(+YNqgvAG##-%JfUhp6$$Y)=%c1v?=!KR1VsSZDraXYjQC!M)u$^ci5*fY%_#ai~ z*tz3j^UrjA{L#mf{0m|c$91-)u@Pr?n~#bfN}D5@&|!9pzOA4XDTkoG;I`+o&7!rs zN#PR}&q-8K#gxR)QS)jAjqlZMQ^&tkr;lXU7w2Dal7#a1IUtyb zVtNX5ApTN|eecN@R?MOcijyQCxERaVyu>Z6{M0m;+{0>XL&Bx-tzO;Ec;&e{zgx57 z8`{uc@(-dsCGU%cr=Xx=s^l_Hqs1z$QA|^DgQ%1vX|)N~ZxSHqdQnn=lS4yze$JWW zs{NK#NlEF<&T?GG=yYXh$F&vEAw5LK8MD1y+eNh@t0oS3K;_{6qdfpx%DtG)pV=iUY>QXztx_W*7Q=hl5r>$bPrCKx!^wJ0)9 zo4NnT|LC8=3VdS|-L19EVLv9W)i1`Xk=2az!pEYgN`>MNo8>-(3+z~NcaH1Xo|b`+ z-`L9oSqjjx?$YPVg?|~edHp`3bwvFW*6?r!_Tg|`y7={NvDfc~{Y+qYjyvODlaRDdS7tZ9} zmtB1OR=Yy44u|KPmN#a~GF{t!Xq+PH8|h3BS&KyBt#V>F12GApy_6zxNu3+!tS;)j9tXq z)m#etE&|aJK*2(Vea|Hy$jqkqUsdrGD%R<%+Gi|b3bAjwa2g+Hw;C)7#;4asFZs|_a$!QuxDv$!c7t_(jpLx8!z-Z|&^QJO}NmT}@IO-zbfw#C7@gtDeACmT4WOr0?divzMEE`>o|2P+Wr|Z%Ij-Df7w| zSs!BSqke5meH3;$yI_|hGgQm*X@$Q~b8Dpe?PMpdPX)600m->?^}{*SM=~_DhKZ*5i|~c7xM#+`hW`;(=J*Xvfe3$Ww%@R*hNc>#Nt5Mc{H^Ytw4-P=hn$>JrfVI1PYXQ z&m4ZVGGHZ0>QIbS*_}_!`kGf?VkquE{^fr7}qehMprjJ%nguRnh&0p;eR;&1s^1VVk(# zK}K9GKGIXtQ|;k>7)*Yqkq%g#J9iFg45UYHtL?QS+xhWbGUcRfwafS(Ih6Q9?^=Oygr_1@Kfi)WeWv;SrzgupB@aRI(g7v1#Jmo$ zxMTpBvDH0zlh&qdp0Zhu&Vy$m-u?%+MG1{OT(;CV$sL_*-sDoCP zRD+Ak-1V)^*CpV0wQ@~HJ6sHKHjx)bIgO538G9(WeQO4#^#Rq-=nF-ZzcNiXxPnR5 zE?AhwH>$OzmftsirO)H)EJI3%Cj{z;-*8cG)DW%nAFYm3KchTDc4%(ND`ghgAibD9wdJ@FV%)*72RK8&SkJPQh^Kd{exkc z-m5LoE9#m6xdHQ_-{4a3eWe>Akbwq5-teowvIEDIY(3qg26L6G^)uKMRp@A?%slR7tkDQskp8iP}yL@zJS#W0b{4CGRJYWT~ zdF}$~g6*_VvdYb3t5^35R0pR(Mk~-*NH_s$bSXkt;sj)PaT7Evx1BYPF)^gp=)7^K zi*Tc9n4iS-cEGrv^1e)_WP?QYSx*)T*Xg}oA0MPGCl66uqTD~aUDM{buDDA`!A}*Y zq_vFSQbk{4{oo!Gjeu)e_r%mdnbHG;gi7WDx`aeun#Ys;7Azj( zRjcreg80F&A_&O@7@vvto?Dvadn7a+XOVUuH?SEnq zS=8WPa1{Y76?n+y3HFDAJfDx?{`NjsJE_Rv{ANTDK2P#A!n_m(XY1U;Ku#>EzX>@p z^&PBw#wJ)`HTXDqa4Pjk{HXH4Uxb50UR70r|@n()SZx zSPZD~`lIA~=1L@uq2I>@)8nE7SqE{Z&BTV}i#FjbUBZG(YcS~xKh?l|R#HwCD$jlT zWF)J-4riu8aSpM7JqZC+6!9yELP1^dtr?{6-FYasn~!0Kb897BB2?ek(6&e1-n8#R z_k6tpDCI=m)N^3Thz(jCuV0Sl7O;L3l}j!*^qGLSJjdxxT8o+oRd`R^NWZVStid8C z)As7Q`(Q^0kAii4y7`W%`Vi!avz>Pp{q0SXbeoYX1&%Ym;f(FyFFcasJo<)KJP_9p zOngcbBa@BYhd@mK24rr2;@!AgY>7SXbVWsG`A*6KKri#q?>z*B2pXThku&cAFa%4x zqn&rq&g_djZKCSm?K(u+6V}HY7rWSV`E-%D(u-H;r9bu9aGS+7q|2UX_@+5a>+RKZ zfpPX1+glQ%mt*hwu1lMpA$22U3<6A=-uz#5>o_`_;u zn183+LcjZ#7Mu+QDTy8wMOk_Iu6|h#7`bZD2t{H)kg_V*jtf}{OJ;EN?1Ex?1&r+; z0Vx>nOHJf%2eV)tAb%(&<(Zyu_(dMozL+0^@_`Lp6TV7eJ&ia>Pejn5(e|{a-%TPwZpV6zjjU+S(!}~Sten>d z&Q0!{nG}w@@o^OPKeZ35(TM;#8 zTN>XmeBjG=cj-&gwdmv8G3bp`V2@rVB9{h3O0F`%Nuq_=aME3B>8@|*;>>21RZRc# zC)ZgeZ^EobCx>ks3&5Hif)yL*sX<^>2SNxXcy6iUbwresJ1R-+DGZjNyY6&4lPSnA zB~WmbDj%XOE$^^V^sdPdjNpHDm-^6H5rDc(cP&+kH4OTN9Ab?Hv#U9h4&}F0biAV3)L3y(CR^+m4t3{sN2^-Hx2xQ z>QPb-t{`T-t5>GTg4sySX0v-&`Qag<5UsbDqES)E;h~|*m+3YrVicb?oV#2W>22vM zKH9!XQ&9DIAyVl^m+6&qp%iyYNms|#*}u_|TUP9uAQ)%3bKf#ljFa*fnG+TiJO|2y zy2+-{{52*M+2@a$oL^ua|D5!}=x`{B7}GadG&kab(Fo$!evj(Be@@AMtQvzOZvg=H zS`eq=D;A-ni9zqLrlJcEF5$&YbH=W~Xt4!6G`G#%J?V8>m9H>jfJK*L?6sFfKJ#tH zEM(>t`&U56Mf&N}CyKdS86&v{iiZ1=dIDbQ1W+pPlYa=q1`U;CdhDOo~vtHo-? z1^|vCu|({k{b8R1)L&#*f12v(#Ln3)J?xJ3B2oZ5R@_xyM_Jj)cZy=4{mZ~XPe!q$ zzX*5ZoztBq4-kJAEj~nT{JITkr1>l-iynj#DHA#$`{R!LzBvydX?*hkHdFSv$MVHl zG@Kz$(JQg=2Ejrh*2HVQqwv`^{p|br#$eI|>;C&Nu0mIwKf07gZ)T9)+pChMQA9-4 z((L)qiJnA{1j;&kVMXe2D<4|{VEvzpUSdaD5^*y}2(d>SsFP+1j zXr>D@J~et^R%z%mr;@O*8G~8NoL}E&i!`k7VZkIx$;wed!{{W{k%%j{){}eU%-uj@D^0HHG-@ugLOYU1 zRsD!D6xFUyMt5Ff4NbW;>b!8d%o9-xCKL%E-Znz&CLMn1%EwCzsYc1N=mPz|Ivyx4 z^gDuCk@hu>Fd1xrOV}6Yznk4BXeK!NZY#f_0;?(w+ARhY^S(g1j8EOT=3EAnRVfZm zv%n&AEo3qt$KQqvz1cPMae1Z#WWecOgZhZK{zhG5?DO5EJd3nh!ZT9!`?g)*s^=2w3$n`t>Q}9$j zk@eGxBjUX3v|;jlX^86V*|Tczz=l!>U`N^!Mfq^PDo9F*1#rPd$UbcW8{ww^o!D!X`TUjok7r=7`XLpaoZa7fdrY-i7&rn-wnuY@e_CABrG8%yY_sZm1O_k^cv7=jUfl)i%WzyMj=9s8zKC1M#2&4C0=F z`E`9AmsHv;@DEJ3;6EIT^QA2wo&?U82S_>DS(%RbwmA{y+@cFB zgLlrs1;cf~JH&<*iITQi=r9Fc!^&9oXrL!1rFIs zaQ!JxB4fLE8Xg+E0pyv;V))`o;tC-O<9kX36zsB+HTb0ERc1osWalT-M#Z@hWDW|- zpw-00#JK>3!%}Nd1!2b<0dp;lLJJg_`zsA%Tzr=pJh@Q zW-QyDiXfPln^A}N%-Ulm(KvJzVg7dDy<*xw@rx2BqiT5f+~@rDSA|i$c4S1(3}gUQ z(SbV5V`ar6Qq+k|*lx)S9c2k-;!R5EJE{RIdQPhxMmoo1GuJt9!=ajU%_);Sxu#3a zq&w{#Q)z|?B$nd5o_)E|Z?8z%xj}RQdsZ?9F~AQ?p;j&zjuV*DW@?sTVpE20woqL$ zX%oHC?T7AWJKx=Gs+6Rar45iQkM-1T-(BUM;B~I5v0rW_C0H;41_HKz9oN>fJZ8ex ztoA~Ae=)J#7UG6k0mQjn4-HBJq%qUUl~^**UB*(ah@cU%SK@6v zP@~U0mqQR)L-XVSm6T*|y}6Q@HTH!&&8B?z3$gP1pK2n++mI?ajXj7`L|jZpl=uhZaWS@^U{@SnG6O{!6q?I~Of%*F}lR zea#Aj;HW$Q{%1}aC9l`+G&L2G%&kT!-sBnjAKTS^S4Q4Fl+vfMiuEA1Pwc}F8_c<; z!$lN33{eKJ1)t&|v%Q59ahf}}?IQ7PrtV4=z1z*5%$Q6<&1-(!tc4*?m>&)~8=|G8 zMgyqU#^+H;gGL^G{)x0U2RcdsV6MPuOrhloR@A=eUK6&6ms61KFg3Gcw3pYm?C7i1 zc)R6cwT7D>s2HV1=&fQ(T0!@(Z8JG*ir)&itGGkv*9W-K2{>B6{m zQDHkVnJVjIoQC+`3L2QLy#Q913G1a-aT(YKDLDIaJz)#u}w|C{gQG|^QKYj(-ER_Kg69bL}0bDcMx4%OhPUPYfX!C$~rQ1nwdn2}KT zyyIuylz@n*XBcbo^`fE`dL~Z{7rE1v;7;EmX>N)lh7r9 z!STMv?zJRraF}?2%Q7LBpPk?IAR5~urk^)c;>&}5v^20QmVpJ&Y?obIO-yW;5FPVv z7r&FP^4~&b3dh2&N5icwl|8${_??bejJ^ObaoB^7BuNZ3!#>^~Z!tXD^&wwO+$6c&w==eWsTzP0}Re#n{mJa>s`ZXNknD?|14AdD27 zOYKm>@L2K&FF&p#0T)#?9M8t|gRfgUL2A}{)3v07qMHJd71htA^7ZRzyYkXB&ctlI zyne048UG0ubW_O-gqXk%*D~-i6}$|xAlg>a2No|VENmuc7HS^7F4HhA(X(HT?3qZj zPzb$;nE)icYRDBzU=(7`RkL<-$>eZ8B_=*v8LfiHU9+WKQZVHmsFdt~&)ngtG=pqL zFdi&X4v?9#t|7D^X4A5ziMKyI2jF$maJPXm(9nexDCGh=I}~rL1IWpmm}vSo;UOW3 z-pt|$TjPQmj8&%ZF3L;U+ZQ%v?}0fN=nAnWMDK0H!<@+*UPbdq3lPR@KmbIG5sSvk z_Yb^kL6Kh94aAu~S%SVLS+nGex}qCD*ordKIHXW6tLyw6Q! z@pRgfLbV2u<(X4z777O5aUhO9z(E2r?n#r377hgBt*hcO`Y_*Vl{wzW^>xCy{A1?XU{DOjY=il8Cd0K+x3(rI>-gkLV5zEFU4eG0BnfIf$XiJ zp^fnJ``B11Z)e2-MR3P*b{1hWkzQ^9_myb30|$hz@sV=E!+-045|bBk3vrs~M=C1F zM%828)u>{I(McMyZfS#^p$JTG1pP!)^d8Pc&qCBG!q-TOaQNRHFx@MH!KyenTwOvp zQ>!fR)GMgA6l!NPs;8Lf6{;`B$H&|3p2fw!;|vl;8dt4yXNOYIuBly(WA^nTkqi4^ z9hUc-OVm)qdvw@ch$?4*{Or=6?s zPxga#IVzWq=nL!G6Q)?+c@gYyfWndIyUR^WSL05u*K}=ZV(b=`xaDXwi;B`f^WIr~ zb)8^)Svlej4=BC~VX*P>qSY6#O$GH3=V!=xm?VzYzN^$Y_RKohovbn4R=1R@02ck{arSc!9t9h#d8u9#hgWH4tk#*;1 zBLAy91b~19)(oVi()a$r+w*9cR_Z3An#*S34>rpZkdI`pMN9&xc*8o#&R)h;s^ zt|s-L1Qpq3*FF1!Fqp|JxhnRaudKLDEsXin)q!BfI+8ig<6)+j%|J{$COMZ@E%e+B zRcsjnAQ}4z1DH(7W8vdV=KcdULu&0c`n?Z4+~%k_fpp>o*r)cu1eaRQb>hv9ysYx) z7`Toi!2k^z>6-s4r{8T)Qlyh@$kB?)NNWma^#tpgGhkY}k_;s&4}6vNkj$h}lw+oy zaS5Od4_GPHa9f_d$$->C@T~TNYB-++S`r%ehZ&txiD)h}=`R738UsF@a3(<$gG4TN z9Y#P@M^i=NP^D(T$0zK?IXpsu-;jpHB)UkHA$1wCT)=qSRNO7Bfw2puC|(YMzmHmm zc7>JzULJ_ZRKA#;gPy?9@HSB|OWX|6!iRm8oX6Mz)@f|$LWXkK?@>R5ig_k9EQ~^W z!{izY&Z(n8;b9jVPLX1>Fi$V)U=qQX!twRA4U}$p^ZVIC?^)Q%>+<${^{N_dPO-N| z!KL8jVn$;_PsGdhCGiA;qECXLYSt!|TS7ug_JBy1`sX2raw1uqf%oC=dhCs*Wk+{X z_rwPPP@O_4`E>Hf{;l?sWPLa)nn|+j?$t<(75b!Nd}3twin=Csz$?_V4(T%N4o<B~h}QC>`h!RFq}m^)?Jv! z+bi7Md=rAyxntOkv4xz+{RNZ?Ep!7zx`1}c(~-bVZxDi2I8TsA#Xr?C;%^%+u(7q;MhU1|NlMbr_!W?AL}xk5_RFpw^nG>b`K0NP9xu9;S0jkN%& z&CFmC@5b`TGIu$E_E7Z*eCihlm6nI!+LS_@2M*~P1=ye|uK>sE3zI2&MLmcb`SYW8rhb>11;! z#Kf=@`@!qp%u2pG7Wi2uAy6g+ZLA->(jl}bE5(NpJ?<5DY89@cYF|to_WNb3 zyF~K4jm;C>rLfZ%z%##wd++Q7i|#Oy6sw03e(e|M~M1@}YWL4o(|53h*u zw^RkIGIOftpkrfW`|Q5)fljR&wi0MsRxif3agK9a5zeL`78YJ@*sH6pwV!CMBRT!# z2wG}Ql0)%^yfIlK-<)k7Beb>l2qrmB@T|vW3$BzZiwAH&=+rORQ$nW;d3bW|Rg_@b zCyV3@If03kZbM*HMV)C{K$3?FKgpJZ=OMXlO?jmYBXl^SqE>D_x31DD@$gy{dsk=y6Z9{Cv@vs;?AB zqa6=sLkx867a@O zdz`-R#9bEML1^3ifOd5|C>@}u$3{tm>JxAdXc8v2d&%xip@)a-6aE6jZDL{Pk{KBp z(SX^hfnlD0?A4yTLF}hKuA@{Mc7Yy64^ZSW2VL`LQ(%zNtFA4^g~NZuD!d4M*X!11nq>5xXVooz3OI z+S+Tr#?2piv4~`+nzBL8I0$4sfhKHXquvVTy#A8tg7d6UkM+6~`92RVBgP@nQff0X zrFPA(TZ}914eO#$Dp{v~?TY-(8~-x&qq0}-4^{36ZJK=mpqh~7yDXNL(J76N!?*Y`59A}r-TP^r z=gyyDwssRZld|KY=Hez`uUty>r%L@>pt#>Z0;WtdZd!C~rW=n~jhN)Lq zSH(gXZmvX#qji05P-;Z=?((uy|TUE3G;M*Q1OD~xgzfiJ9vi`1i(V1 zW0<(YQc}MgTz@>VgDt(zOzjcvP>a66)NMYZC9;*DIhvJSqP$NUNgAAtwFwo`u-5yX z^b)7Jbbk_6s+QQA2Kr29x>qYvVxi%PsyzaDH}nW)q)mg{ubOWO2b^$pz@)NbhSMGYd$$60`wJTtI+QI;E@r0sQtlmnio;B0d7ovfE73bg1^>1l-K!hZK+zK}Mo@_J~nreT2TBF$C zz8SeEJS5lTo$uo=5#xA$)pj?hfhwa;=eRuZcax>Yx~D*SxM!n(JH?L>^8@-}MU$)B z@5`8JVgYmknpXd|aEZ((`{c{_^5i212aQR=|59Ck(Yg2ZC9!0lGE67`KCS z(4ATc(mwg{zknB%TnqbVLo)#_nth-B{=FvmG0BSFaV)Y18Q6v zx!{T$7iu%DCL876FFlorOI0cdQZ*jf3b8fGUwyl}gTB5WR{3-F4MJt%U21ZsEs- zu3%>T!rvdCmOB&L(EmVAPBTC>gbVjIE-m{wN{ks0duX!nRb2gb?G&RO>X^g+NI@V{ z4{k^hDim}aWMI|k-~G=oaSZoS;r8NIuuip(D!q4RUb_DH*_LeL&Op&D720q{%sL;{km4qtQwa0=y@U!Bv1ArePeU zJhP`%N+$m}**YpxmX(hbVYk4SFD+x&_>|hBN|N>g+QWxq`o4PbZOF!XyQtzhy=K>F zsqLibD6FrZB_o@<)#uYJ&N6mPK+p#^u;@S~0}=>ag1pWp9xmY!=S z8x^y$FsL-6(}5)@E)e>>fbl`I0cw@>^Ka1G&}bNH`TzH|M@1bR;dguc9`LkD_iE!% z4}m2#&i%>5uXny&X>%%qu^}7AhMm(hW3#pc;JdnIqVQkQf*iGu^fB@HE(N*xX7WW| zwtj)5t$wptG<1=s5M#|pS9hAKeYU$=F2v}FfMhG`R3X6#29hc6^4&NY24`+re}^Ep zL{}Ti)->S+1e$?Y_MO&_N$k03IY3fD3+jcI2{iU@0U zx3P{6#kJkJAoq>w8&o2Os zr8BI$@R$u=4V;pMA*kfFCyF;M$Mq|T?utjZ5c7^`EO)Bb(%s#>V22!XD7_n~&4fw4 zt7vJzFvI{Yxc=zT$BdwbHz4Jr1aTHMY;*6&HgNt+<^A)^GjJPIatVU_j}9s7mWK@& zy1wq<_USegd!3z)j}Kw6^O*9zyHHp$k40wt4{r763ml!0?(qrv&Y^fKFTb&V`a(VE z`F>J{nmvE^vsCO(w)cdoL}pU&sXAT0^c=&X{ZZA9tY#vX%iJ=0>DB0TyzA`7#ILS zGBT0K5Ny-ye|<&DAbFvW5c~3AfjJ!x**&LWe8Ncl6K)9Q%+TsGg2jFUuLm<6Tb4;A@1c^Zh~Lo6vV{i^Ep4aNlu?T>cADF@1x=*n1Pn$d%D4n zM3JKDFiGz=S{h)XY|)N$tc!NWW$}`pm?}k92^+g;f4i)M?T^CKjJ&+>`2JSY$}AY- z@$X_J#9iJkiKA4qUq8Rn;#s*p?(t#SY|R754l~i5&}s4@!&k@E#B6$BQ~Yu^`~Ob9 zxY$VY{V;+92fjwL$Mb zn-~hkb9ggat0I`IXERUBtpMiyGP3WXHVxq)M4fB{_{W#$^_Q0+f6L0sR)V|3q{RmS zd30e1sv(q1yOOiwp8|gs#<( zbvr1~ea(QYiSxc5!gA;QjZMe6Xj|-!+@`Cq2X8r6eX+EJ_I=&;=XTr?GlrcLJJx}V|pC*N2Sw=V8(Oo?&C?v zt=Sf5<-X|1{VYmbFqn`9FN9#YW*!P9}TW@g94%q$&!r$uO@DIeZXe6DU*gn{VIz$4omEOr>q zpRW#lpY^YiDSQ-;4z6iLN-Q>Xf}uV#=Csw>oqWO^yaCsFJT~}o#`l*57|sVi@}3RW zJJzUFEU7SHd?$et@nHu?D}oS{^(yNrGpBX>w+b3}a<4vk^3>zGLrvD|8=S)Ni{oQ< z`UJfY#w~=H`>XYIEca77ZS=9F5j%m_gV^5N$9VAi|b`rj#H<~wj_O`we=vri#6Yjl^oVWqe{K6vrAmH^O=%Wbuq zh98HW0STHOcuu2KnRV1XV43Gb_Ykw&=@ZIL<;YU$VnkSl+9pB*vXrUs=S(wQpfVOe z|0VookAQKRCReH%O`@6eBtxE^_Ve9l0>_mh`pLNWejo*hj~q}B_M zm;PQHAO{>rB3fjaS;>AkEhdRSSM;BuQex$3l1wuInC0`nIM#to#AX1x`PmgYh6`-~ z50s89Ui5N0Ox0B?J3=X|V>ubLUZaK(Lo7`uUdqB)tBS4MaU9q!p1^(Kw3$_qv?hX6 zNTTxIf_MVIEGkY4jCDZTnXMCRmUJu@l1~Mc6(I_>=(N7YO9W z)}q>yP=A?|08^yQbK@KWY)3~JHS^7-$4{!U(oKo-t~J=ns|A>frt$5mLU`i^ovCmX zG;tK*Scbd~eJvW3q}XVg?Ns|a!JG2(Uq`Dv^Xb0;;vm88hWX~( zy2xVdeU*6Y*eGU9{e01X@P>4Bhro4+e&b~{PZuQhB7mlqhV5{v!%PnsH(f0Vn9{ln z2ATU{ohelh#(-pa!nDS%2RDP4NNT#}vZ$yg?#oCan-uAe!5w{xxcHQ$O-nQDYIi9+ z_7L$TcgLgl=E0Xo5)7bYDtWk|40@*Km~Tqkr&7_2o1C6uXNGJpINkuTC4cnbN5ZWL zah|l3p<3rT6c%}j=?f=ubcJ~IAS0aEXy?Ez8H41&1t)BiYxGB7CC7_GYzfhka_1j( zUjd4wdsV)EmTkABoORXYZ%SgIZ@uCM{85;g3$(kvV}YFcVsNE=0L7sM^a(}$zw||Wj5_rzTn6V-fdbga9})3dtkB+QWy&ST*;9;t z@Y%VSr||r*>t3pLwZ}ct_g{K(B;3~lJWI?U$HO*P<{XXxkx zKYe2I6=Rx^JSbTkYo)(DJJ4~!#HTvU*c>f75QmT(Cv`8Thm6j71x3q#3`3UXw`swU z53txq#xmW3=n6Z#LHUf=V1BeLuIzInJ~D)92fm7wi`T2ji#mnP96X|ZAuZ@{r36fg!icv8F!iJHXpajjW#abcG9e|D?bEv z`f4h_#q9Ch2<~FH;^OU7zW8-eMBqkJzpv@WvNL=md2xA%vyS#pRISM9fCjri^vW#> zUHSlI0xL(?ERP-NFtByOX9*tt3a9n7Clh>#K2?N;g-PJO`$H2VUxDHnTyFz)&enRI zpW%+et18vUyf}sAEa6;)8zQc}kNhTWMpWX+K;i;FzZCB41SJVcXwuoPvg(J_f=5wf=0poUaUft8QLXLTO-yQ;BTeS5uP$>*!rk~N;R^g~Ka*wj%1P1Xv`HskQbMc@cC z3okD*yl_GO#mqrbkN%GqU?@D^yhsaHKlWYarwJ~cLck4scu!43E7f&>NA~vZ&I8N9 zlMhn@0|ooTJakL}%1ndiQ2t!;yWeAJ0(9z!w0-sK!>+rgi(^z}gfAV5l?ruhFlA?S zH@FL+wi-&}A&+I0UuK>6XyDQ(wUWM7uy6lSC-T-kPo?oEuo+ zvS5ev7`KVD#%Y6i6G2@IJ$}EbZWFF`j9S!F{I7&ra#!Pkx>kuBW~h+UhQY#?)LvU`|m)m`|p1ok8H{wm0dC_ zE0VHFM%jCY$O_qHk5Gzal#Hj9ky*)JC9)+lvI@!GWPi`Y>Z;fEe*Ip*&p*%0>$=M8 z@jQ=nKlgKP=XP#q1x;?7q|09+pQ-iRNTuHU>oZW9M+|)Xo&Z$g|_HB<#Qk$Wz8LgviXAkSp6cc%eJ5$J7!DQZ~mkg->A}xU{oZ3&IZ_*1> zF=)?{-UkpSfoe-=Wz6JN7#`2RL9zNH?*=t!=d*&;4lZukr^|TcY#6v*k1Hi<_Y#ZO zn8P!OpBX@Ic{D|8wi}9uR#?SSfk7dK`ufVafzxJCB%oEK$Q0To^a*C!=x$}WECK+z zEte0$vM(E|P8c9g#B6Yx_mWq`hN#=I^=P2*PLg;$tU9QIMXlLiQ-ys$4kz|6jp)C;-MH!I)i2tI_aOdjCH}D1J-Lq#63AHbBarUZ-km8600pbH zlnX96aymP4T^N@>=CTueVp5XbMx$!rP2l$;M7?l!-JYSf=3Pn*68DdezBTsZYwJ>xj`w=Wi}}ks0xBy`9naAAT{kz!OCXB1MXJH>O?L&3;CkQ)|2U!mppVlB z^vde$YI^xN0e*$#9O$;w0PnXW?#UJmXXw1Es~D)KG`cDwLG^Cw0%{h~PAhM>fH9~?>!?r`_4Vg1C-h5HDf6>eV0;LhZL>O=EboVLHL7d)A8I6g`w5D!Iws{ zG7`YzHzRLcg;|8ChRbw0n>W^4ukg4tGf_o-=e0aLYqOcC(VCaZbQK}Hmtp%3f314u zsjWeO+5*mm*2l1gIb?J2)mbI_?D&pUKSqb2t!Thbw{v+03Lk@61^qr46bPpciO5e` z2iYv;e7+3Ne1Uh}Ia*l+grx)^4N6JZt(I@YER7`0(&*~Fe-0hL8_pnHg$y+eqjU>x z5-51mR#2fYT|#pU015fl#5d6R=_U-q^bD-K27uosds?rR*pA>qh7EPs0AP~Sp}a8A}JKxW6XISNn`PF3N+ zow~XFL&%XAcyK(We%+zy^*BiP=vZex(p*&gp_XUQ0ROaD6NC2AJi}8M>iu4gyGa;Q zTT^3RQCo*??)(pT+y61N1mKJgJ%$%kGd=t$&XY&F%J8~4X{1W1e_<*l6L6Xe5=EY0 zWSXVi9>oI;GV)E{gx}g$HW$;|#J!$ErLuQ#Ttj&IFn<5=gW?f8>Fn}1-5tCm{IaVg z_AVw)2=E_WXBR);_{Iz71pZg#&nnes*`D=C!#}P?@|}9cuAF-rVk5Rw!ynmk12}r!3&XABt_scx6lbB#7Y;I=WE%(3~1I< zu24`*OBVU|QQ+b)72{q7PMx~7UUFmbxASxaKqnv<+TK{8hPu;^#F8{qeCy7gcYe4} zFFCLc({U|5f=Fjt#SQ&mLN(3Oqq}tteSp`?Lzm5182puAToZ-MnZVQN)-wLb0q^;h zR~VS}hdG+B!mY&xo11jRgCWj^Pr%+w75rOI0^DEG&3m-~PMlvUadJS6?VFV${eaH6 zn>{QmekpwEl9rS4D%_*&gSR~qStDB0o(j(j@mTH(WW)kYcm(r89KRhF5N>KN&y+qf zDusT?=l*rSfc0&`RUp{V`24!5hwQBWs1xl19BDOti*OM_0AR_O3W13|y}&l8+%H?d z-U-Ybk>1!4Xfz;VF@g8*+e+JPZMYE7Tq1)F!1bSg6phAx7Q?fSA+)c3iKJv$$v`KxoFuM45QZA4RAs`$l7|MFWuv$rIFMa(7o&bF~|=#Gb7@SuT0 zw!rWJ7YW86UiP1u_x}WGYAqzgCZ*!Bkwt<|mc!3vm7 z`3|#ST_>4MG0lW9^z+(L)zCW$&uo%X1b^j*k``(mgyk2y-Q+>Ws)SKjSI45sU0Bg2 z%@XE!DOSi`%ZXo#GtBRl^hbg{6w*r*9jMUlK3|{_nxrj;(DiOy!cD-#$3L&~Vng>V z+HH_wFnOeKehevO5OM@)j>KAc_G6LBq;k{ZzP3B6`Xs*Y=_v(Jxw>C$R1foiK+p0D zB4z##BUg2bNq+0nv(W-)hsS4c5k1p+|8?sWi!D^6&QO~=$huGF)pCLyX#oX^0&r`J zsoi@a$O8S9%c^uvQrzPG6G^3Y;IcBH1!~qJGa2sUS$F|{LP8s}?-9O+ZTLyF`?mVh zQa3CuGr!q-u21pZzCDhKF9TpMz*H;9db3kEX`~O5W5H3arZkM`_xo(aN-f zJ)UzM#-kZ4D+^hoP~bd_XM99@a2?=b8W|U;mgqh4D9)NXKYYyq;}JVUg9~O$J41u> zG~?@CMe;1AnHFmFH%Uu zRjE_h{rRg3nEZMt>xouz`>@9vwNyV&vi?#_GSw85C-IG0Ec&)mPrKo*>`!%gdY6$V zZM6DcZ1lVDC{N!sl+2p zpLv%9QSc0W@IZN4$jD$PdJ`Q-FT%_+#+5>KBN?6lJUNG+K<#@jpnQCQUlk0KMo5FT zIKi|n%5S}F>5F#HK<6}V?i>?}6Ums-BZ)Z5LdDWkV4@_{S`fMd?DNnrhB6xI9fwxL zFNuu~Qy*JDVO^Tw{@&DTX&vHa_Jph_cQ&-_O+9sJ`ZYf4lmkuG6h=cutil0_Gb8k4 zI(^!FGm2Fii_UX{3zXLALML24n^_H{o!OKQ)rV-EPcN={3x@lf?i|w`1=__2Kt%F$ zYa#CbjBEqU`1aH>nhL)Vq>A^&CTz}^FQP;SMyAT<0mR6KneubNwjj+=?C|j^^s;Ef zo>WftA|Z18mo44p%h(WKR`nY79+VzcNmm+iZA~d{rqWI7@3j*MKv$zc%9RB-;6B%% z3C~|=gvwZd5#1@fd%fNJ#4{&Bm`R}!bX~MrE%7c*)rOWc5XlDnFr6uO?;{Zdv@&-c z^{O&FLf@2q2HA~7xY1XsUro;|^MZ_mG5r*`%@j$f<4F8=nd@A z8d^L;tYgpSmHgj*N>L*wu+X87Fk*ZdL(+aBYd|o#C5!`ODZ2FO5+Ap5;x~9tvvrt;}p>BtxH-=2UFo^QvQ=H|@zn~Yh8wEm{ za-nl@?qj1Wr@kXA?ilF!J)#(Au0;kM70x>aU}%;tw#jPUcwS;7Hr5d3CC0BWhBw;y zEJ;vhm@Tsf1LDd7&RLzsk!K6}K4M;RvvjR;!(@?W^VZ zQ&RHi8&-v)u}AK%(!a*g5?byuVi)I+?NorWJ3O51<6(p8qeTO!x?n11#=f)t+o)2! z-@QjL?x^5CeJRRD%tik)R9FSy{MslXPF`^@3wgal(dZ?%N@D``z1HB%5^=HHz;Ny5 z9cp1E3PZ5Lc@stmj1P~uDCz=D(Y!enZ@oREj#|i#3hDF!F6AKmAUL=hCJT^}mJXR) zf_v#%JmgtFN$KSG;o(EzY1^7uy#o%#G>rr>B8suKMsqQiAZ88oBGdMBf(;jhloG0z*UwN7F+Ie>Jtm$wxZtC)m`m65wY$h9uvWM9y9ooaNwd)Z~nql8Goxk#F zo*;8!q2Z-kmKaKtdr%&uRp1~EH07r-HQh&!hq@b^_*1!pYIs|H?AP>1 zCLY%bz6cq^a}-1$NwKI;*gaUDF;x@VrNo}ek@_O%T?r^T=WI=6F*+TAZ45gu`HWgB z%ZmcGpjTHI!=E)Ul{6=e)*IEgzZc77$ejs#u9$RFXypFpge}$&Hzx{ftINJ8N6#+i zM#Y~}b>@^pcSiX6!F0m3nD`WnpFg_Im_WtSBYcWh*n=9{mcIb>jmT(OA%2Cub3}5B zkvaTXApRS5zcTiqlYt6(7Fm}D^AGpdmw8bN?5EIfgIHxX^ci4y;Q<-rCM}-AcD9ePal`J0Hb$GQl|;i;aRr#StU}j=h?F``E6q58+Zx+zWVFU{G;) z#cHbmF?RiiyA)~^a#04fXZ^HFP;Kvy)_%o=tuRLs<$W!w#K&HWMMU6HMVoi%ZY@!P zM-?H|7Y4M6X)()@6;4eH7(DZifws9L&o?378IsE|OQhA*tzr-Ph^&CUkkmQWa za6~fGg*_H=#bp`YgW7X_k?tku1M0)OVXRUIu3B49btg=$%K+!;S5}>?%z5smUxCIR ze}1KAwzgxa=I~PSS`u^4I>6DL_Gp5S*Gtewj1LF{B%!wgM^+n{R+MQ{W^=Q6^Ap@r?yZ{W&fXY+n{EO6owVzt|+msGKoPqZT? zI(x1V0WCy+OR%7=QQu~El6Tv&zTfg(Yq_L>TkFtirrKW}RS%puzn=d!TdR_Mi%ru| zLhu?bupy z6}@Zn6uY={eQcBT%SQ$7xx1mKvL~NW*UrWJ?kid(8xI4IKpE*2y9~O@)R|`A9QJU` zdziq%MqhQ5!+kc-RLwr&Lt@Ga8cV^)dGTP+AfHYE&*D`PgD;p&l`#45uZ82wJQT)R zj`Yx>Jia2~Hy7%JG%Bbzx(6V#%|d(;q0N?&mgW**hM8_3KMa{cTYB2ydc8nD6REUh z0jfxcJwC>H9qZf^^~Xs+!y*+l&_bMBowuzJfNfY*7j5Wa-Id$4{Pb;HmKY)T;;RO+ zP6O@*%b_v7_;FvVyu@nF7DnBvC%wdu1z}`2ynkHeUxw(Wmsktxo)O}(aTGzr#{oz3 z3@ens?fs#F#Eu*gm12Cq_4cBc>xPDmYs*s)K;EA$MN77^%ZfYa08eUU3Tpo&g5~|^D^Xk ze8yHW99rM~BxtaMq&=UZk&&Q~zTE6B5d$?eGc&WU*S82k6V<}9 z=MC=q%8V1vSxXoe2V~$mGnO4h8awdSG8Zg`@m1G0;o$rKc|MQH+Ss~kfCxRl99(z zM@sJ2kGX+xBSQ9chUlZ$J7Gff%M^$23S+coYYfZHp&D}qL}tiEo<-Bf3~#?coGrb= z73zkHQ{9BSfzS&bS~uRy)``uv&|!RYE9S8K#{~ya46TiI>c_>s5Y4Vc0;=I7L}vXQI&o__TdH?^{xHdin?Y4johYDHkZ5Dq@Pv74Cgf{ zReoK9!uVUAf!SUT!GQrp={wNCp6o3mMW%m{;Q>UZ0q)A9WzxC&{&?hXI4`fBWU!E= zO`JK$#-oRo1M3H(;Y}GDcYks8{7v@FU&J{%Bplq+EEi zG%Be~4rxzacEKztx8?LwztQPP@>4GrXN$%&iCbKzEX@1vfOJ)}QB*{FU85M#^Ir%7 z@UjHhf7%u`X1*9iiMVy)vW5hQ4zcMIScM-Zn{IJ_7iK=}M(${h?Hz{s{*LYBxq5<; z=L78K)?Yq9lS^yDr6W)>F?&Vy`>P&6B4osHG}eRQu)!0)3(HnzfeK5B~DLN9KBiRr=%&rJzD)L$wMPHrEWf-cW9{AZFSg14qv&N5FYddxH5O9zTLAR@u|=rphkZz(ul-8DUqqBj64Fk2f5Vp9%8$i(oeWQ7qRL9(?RwMg0nZ@tj_ zkpZN#uaBz8@2o#u?c*b`dGH0gMz`uH(RaNiTrq-J`)m%0_-c!H#it)-CuQ0bWr%?a zZhTq;8?zmWGSLf$TA=y$QPBP7#n3e!UWt1FMb^}n6rOt5YL9SiP*it1qQSaCwXoh`9DE@%FAHK`5{4X3<5cMgPpNb3nPivN} zJ|-L`fN4LlqkVT3$fR;vd^sy2De3A&9CzhE9r5XoBWi#n0!4fC-N$}LeFoI15=z3j zr5Rtr0{j!_i+mp(W%(yN^3MRM46@;&L(*Rd5hP2veuFB}Tj zphsgtwCWI5E<_%02!=wBR+4uy?O#3raNR8+E9Y}5LRhpjJOc_R@>w+kg&98U?d44& z7-UC}^WXsX-8PlB%a~+>e8IhhsAaz@+@q2}V@5*+`lvOhS%;Tln9bDEK+YEApyLt)Fh$%ATjA;LaNEdm44 zVPRp}+brztN89wTT?=kAGP!f`^p(@ld9oLNFW;|9{hF3#q};$uTVQ*{?AovIDqcD7 zrnA^>W|}Z(jko7z2#~=^mh&UGek@*W!a9r>p%Hi12fZFiwz|`~QaORw-lz-sL2{eY z6VDnt%C?8(O==8hY;H&}_1cOjlMLl7x8+>4S<4{FPgBC6Bf#su*7%%{FhpSf;}X98 zSQ{n)@9cPF3MX^V+nl`Ba`1=;2C~41V9>R3C%y+J0Mi*D@8JDWrAiKg)!k!xy6*u9 zc`@?yYZkl)j)D=O??8LapP@7&-2F}7hw%LT{YOyhp&a{MfS-cO<)Tman*H#9!^r|o zMI!}<0%R)Q2>-Bw$V5=(?O1}hgmUM>&uhECzJ$SfZT`4@{}hSzawGnVXz>8ZgB=b^ z6gPmG!=jkz*QNnS-qlru`oLdiWq@Qe-K~Pl;xa@)7pXT3xeYh~6J z{Fx}YY5zj_$Y3+~{fYp{JHS{<-20aA-@ns;^W@^?Mbay2)fO(MNuAHJB(rckT$%zXAyiq7x@xHm=p{ zNkQ_3VASJZ0Dlo`gk6{7_XreUIa2&*_{4F5i|m9p8d&y6wHnk|@jV;-HtOEwkS#x~ zYmBdejqlFm>8XeeP9L)D!wU!@Y$X*|FK8e~L9&Y*q@z(}fAeqTtjN8koP?Zke!rL39Fo^jJmUz&pKs(k8 zWH(N-vB{X71EP(f;Mqq9`GGT2r2zVhWd;VBhr=x6bZpf3@*8h)%)H04WDMH{&HV=C zdu@)l+=hE>!RMxkfz&`EwE$)>M1j_Gil)5ac{M+@BLGFbuA}t9MWHf6#NW{6jw#G1 zdEs6Eg-e-j%%&WI=dUqUg@U00v+dcZ;o*Lulx76OPOa;q_q*R-eMF5k3v+LOy%3dx zY?oiZPyl#Dv@Uzj@4nN)tuJz6$G}JbHgLx>82GAxJPcXWAUGXx9~>H3^-3>Bp4Ie$ zhR90=c8k4~K?gD-2Fg8j#S6V0QO9_C<0GPE(7N<4uyLG{*!1 zZpQYy<`rcaC|m-`_Zui3duXksTKcoTsj zpFyCUK)_y#JDUXQ#6yEU?`01T+z@&Ft#V4xE0@yzK)|l~2_Py=k<1TJUayepJV*0JR+tDlW=B|r?Hinz8{vcQAT#=Y+JFxzX8pHT zPM#BgW*g2@%|?i&TkdwOpx^b3$7aW!C-mIhB+}B-NE4SN&h-jNf*yg55>;SkdyC+} zz)GMo&w@e{>t!s8{UdmgK$77wArAZe_HMecfC<3O7IopvN;XK4feK;ZQP4p1=Q9?p{FQ_hOx=}aky-!ej|r|Dm4_PR zK&(Yku~>IZx3-VJ?b9x?GROTi+XUD<=ki>)dCuoJn4S$t2QhRWtDu$@|Axi^UDi4{lypK{X-_ssBrP95dGLR_~=ZznL! z#``O#VYFIeC`>RI;a~9v$5p*S(i;vhV`(&yd=J%9p)7=rejpJ32&V8Zg^yck(80wX z@A73ofjERHR?>Q}7a4#HL$!Ey@H1%piFAm`kn!AkZzfRS{Xco_L!+cz;~SVvY_ctf z7~}eKB+jvs8{}jAB1}c-_6^fh10@^Am$FH+tj-~6);)q_KJe1Vw@P7%P)bftQbNLS z-pF8||Nf6iP_h#O8bKxI&k*nxLIAC*C;K-)5+xP`f7h$d&KGw8ec<^T7#L(6_5GJO zVM`AAKprNEEpWDI6*S(QZOx@qNIglEFij~sk);P@gp$-IOOOIQzvOaz_aVFlF<^@x zGMIKP_ELv|2vAHq%Wr1EK&#%7a5R6tytz~Vc-ljd%vKN}&KP*Eomiujk77lgJxxM% z=u7-N{1ftL6d%Z3fqXTk`^Gsk1$juw#+!oD$>*R-*kD+Je1PJuk^;)?1NyJ=$MZ5O zj!frBS8KPE_s!YX)}{cH%aa2$R2Nw3B6~rE4?yNjk{qjZ6pnez{Gn&Aoz-#n7pt` z|A$y_M8LbAe?+~#AB&NJ$G4nfR-Sw+hw&QP(T^`;Wqd$$dH3+#(GOa8Jk|4XKd}a^ zmyEX%pV^m65WO+oTLjoMN<97d+(r_L;2X4Zsf64vCPz-z0)D^=_>07qD`Q_0DE)p; zQ;+=wdE3B{5T{?3iaI*fJ6vB>@H5JPh?TX%MVhc40YP>}(lX&uWzE*er^z4a;LAT2 zggI}2j)z)U38{t6E@rqh2}d7zs33Sa%a z;!l2P4$I#IHTtj{6(EdBL!$O{6DEB>06rP$gV`aK+j9Gxeu?7|katF=!m~Yb7QL}) zD}gqjQN8(&@jp$LfFPDeuUq~O2T)h^JyqFWg?`$n0&D%9aG=s{4dPx*ke8v>6g21# zlKFkGp;8nn*(f9+CfrjJF-Qm#$&VCidMnc1Q$ykR4&v|2+2M^G9+-C&zM2`jn=ahI z^adwR0;qvsCW1pvR!Rz|o(LFOWF~rk`w0b}h8R*Uqz3JWRHPju?XuardP9A+y+@dH z;sltb&)ZMB(Ho3z@}NNc+UBVE${rcrMs-?Mrz1$H2+cdTd1xDY%&$@f>SSr zsCZyL2Wdg@&(eDQLgW%EbyNtm%kKmix7WSDc83hQ_1q zm}k$V&mJ7Q8yeujHED2-H3nrhQi5-<+6`u-znoSsIT1B33_aKG_7>hO#EII%D^%j7H#ZS z7pr$&^$I!UfEPX|9la0M-J;GD+kFx*a*U(Y7rlP{az4ex#2SuaJUa_29Z{&30wT9MhBws^0=M_=Mgs%^)omws#qXSjIPRqJSLfj-%+1-b2x)_% zOLjT@Yo_M;jrDSs{vTVPKAU*A?6%R&fU8gyguxh^WER(JTn6h`drnc92Q3*Nh}apJkMBw zj$!W2Iuh8vQpqN67`yWuZzzIOYT19+xUlP_B8Z&8@#n!%)~d3hI49$8RB;!er&t_f zdbzbwq4**Ax?W&DptEbF&3|MAnT(vCTW-*66~0=u-=+WmX~G*bLwrMDlvcx8e&!L% z-)MG3!r}jcz6cJ}0!Z*q4G+&gOBbV|xIaz8_-ae)w-;u0JCRq-lhYKmXsNgiC62a1 zGs+D}Nov`Uydc9f zAOyU5<mBb0_}p-$%#sIMN8gD& z|4Zn?M-X9n#t4?5j|m?c^{;rc{!f>`dS9Y*&ga7AOq!cjsK&-? zz3wZ0U9XHE9KHz>@CfMzRtwjGJ<#5%z zsjaO7$%_lff~By|j=f=eS321XY1EjRX70-7pJfDtJh(>Iuy=X0oJG?8C!|X2CF&f9 zIQk6;P7`G@&Z9Y$pg*L;#KX9tz(h3iypI`_)8f!pI!Cv%;UMmx&&sDFuCA95Wml*I zM=lzG^gPT0d-c=4%Iohd*gdrSf7S~<0l1oCE9aZ`Z}X~Dwj@J)JtjQYi*r;ITQ+h? zyz>Eoya~%90mkUyUbmLJmIb8ZV?%`LP*6S2jYve_wBoquLQ~J8*q3uFJ7NCp&TUQu zg{rjlCm$RRokG+RM3Wq8hQK6OQgx3J@gC5z@0G|4)KpVB>2h3rX0G(CE-PwU^E;w58I zsHASC*I$CB^iu&BZXha?avL4x=HmEWX6&6324pVQ=t=WmxlfldCrfgYnC3?9y{+4@O=R|4qjMJCR-WG?7oV!`Novv{f zW&lQtigPF>2Lb?;%C1}NeA}%0!OlvygO5QY*iYqHx8&~5w;8(FOg}ckHz{H$$mS_J zb}yCbGb;2X8?wM^ZltcR-D$z^*@K-WU-Y3o=zt@^5c_)`h#;00&_XY2d@Y_D>!_t8 zV5&exsdoCxp}h?+0<5sCrPVA+)WGzlWZ}tNqss0}Q^^~0812*f@k>4GcVh!Tq|n2f z8l?+?m}o1=!Wum}lH4%P^%k7vt&&Z3%jV&d5oTcPoi>D1V@>~(&|y+z)or*nZk4=3Qgn&0^}gsg4RF51oin} zu!CJ0{_`6kSPzYYOu33lIgB>d*t=i5cko_`;$S73_+8jO{D$~sFY&LLq+2hqDe@gB zdmC4-YF932hh6(v3M?(fh;tb2D(pY{X=+G9P60NrTVDg4qf5F-pa#f|dFFe5ZE&j= zV!SM%YsWM)Q+V3(@PzNXKI!S;ctDV?KtN>(4bc$>G3(^%ifxaSWQ-j^I$82I!Mky{WMOows^`$(Ge-1lf6voPT5jYw8Xc>no}Lc@_Y zTy4`0Yt!C+ZQ*ilo_t*l)fOsCXHwK!K3FU#KaT<@W=#eAJ__7hNydTqw8k5V$?&qu z-T=KBB@2p{uMw@4SlFm-z{e(F|1%U2{A|ySA-ZVLPSjhG9(LfZq@VQt;T2kjXpX!d=cCgAxY>q`9E?$g3u@h(D52aXk z)y_l1aU7bDUtp%%C(a%Q!!72A>x!p~CnlMVPwo1h5HXBw>7Bj6$9Ctyo!=t%PKLFD z{9J5v@H1(=uL7|`>QScQ=7}Fy?ba5@NYjKz?LpB-`=n&Bg1LFdWp7ekgwxcbHe72IF8m9!4 z`*x*UfJU|hdGlIMfVsIT*7H$ski5y}xBGGPc?o0*8G2=Fb2Sf6--E$;SKf%~-SwT| zDb8}R*)BmD_D8l#2HRX6{5a5}xaDS5{dsbvX{~e3-3nt!fecpeu^jXB@$yB7pEy~A z2!g=v7u{XJ?c0uxaCtLF$0UlX$4yGK(^+3aovDGnu@>C$I)bK-DG~vv26scN2OttV zfq@OJ-j9z@s-`I<1ZG!B@5WtcMK5fPvZuU;lDh}{91>4fQU4iFLht50$MOeSPCG!V zK3Gu92*OmlHt1p4b2u}KA%EKjiQJ#Z7%uLvAQONsu$}DJ%U{l9$1s)&w_DA*aIxu& zrhir$3)XVE!>h0F3vXjA<)3eZ1OI7_PrTcF&~({~UY1T#n>j1UPlTGyI!!`pp95}h zOjFvQmey%9BVbuC6Vw;egged?wqFf3GoN-Xxs==Wh1v4s1Ns^>A7 z`yti0M_#f`GuhFFnlsjLBEIx}Q+m|fT_oXi2)!2m*hjsOrz#(cI-YpvggE_kMOred zo<)@w#4 z+r4P?+}++uA4-5OP#I02Y4UK_?A7XCm-1sd{<Q_EW5tW_4EQhBs;J|$j!++=Nd8Hy-<5C*bm-@;sCsSrWZX@hIC)AbJMxOGy z`LRs+6K?M0H#BY8*V{M-r0WAM`<0s`i(5h_b($}+!~5B6LO!U8_ZDe-5dDHlN*>7W zK`vSjXn}XM!&V=?hHcm5prKkHnSYm-y$#FXgcxa_z^K&=Sa{CU$$NxWK;tm>(crJe z&!`S^0{Yj;T{(jTYaA~a`kg@cpHKbS!ob^yBdL@Wbzv+ie)%$K$QkceRBOaFB5ZUk zJou@p^we24D}q-^D;@9#WKF`aVoLH{R$bZbn8ttR%rWPCWWD|7_aDysHFgVjMxmoh z6g)Q;EUZ8zzU6wLq6uq)#2~$$ul3Qy+>JOzIJ1Y&d_BDPWQpY9-po?}65E~h z`3Se42G{{%l9WekVdm!#v^bdJ)&UvEX?DMlM93by7-CfU#5FdYy+wO1@G|mcV{^t! zW(dO6uyd{tXFLv*&*&2?M&;W}P7R6^ZZTwSD`?GUzHus{nGQ;_a@M-EeQ&m9D0m1W zRo?&se6$b~GwxhGgOuLE!2|%G%E6d6p_}lr21$RQ>9)~0^%6U?*S_WY#?@)+{uAT& zJ{RCPSu}s+f(T4eBb&kPu600WlaAy)Qoz=eW}etx1&BO0tOhmsxd;ntk;H}QA}j9| zvyhU{sM(w$KJ&2`hph%Ll3^afqmjW%pdUoRi=(+F!zlh8QWkgpKB}7qHzi$E2D>NZ zmE#-gFA{H-OstGWM?u=cd#smgxOzEQnh2IN2S9*(0UF~Y7m;MqE-Zwo3@-sMzEWZajsYf+M1T90gL&hj@I@$Z~cxff`TiwaIk}*-Vx6 zFk~by9~!)H|Et5g62@re9xVSKi7;jK8N|WUf#mfQX5L$Y5go-E30<0c9p>I2LB!_* zpX@l$&W8kq{O3sUhiG(9WbEDQ8#{#~d_IpCqdcwJ=g;x5G)5zfk6&NG#Xw_5(#V@y zB$Q+jj=I->)X0((Z`&uX@Va$CA_#K{!8eB-%u5y_dABoE`3~!SnT4n8ZwfW|Cgam`E&Yf_LB-*qHiGzL>BM%p*Sl;kpRR27&Nn~kgePAKyp7{ff6xBea2RHynv8rH-ZJB+n4 zIZ_&)-e17EbD_eA8w=!-?CFr;#85>z!~Gi6BASMirW`;r-#h_Zj#OasEg$GEDACm9 z9-5QPu{aTx8j3+KU^ije3S3#0Dfryt&47H zWZAd5dPs&I@(w1_YHzRSNCtAA1NXsB;_VLcx6k(2h=F%qR>WrV#Urd@@c^2;&A zdxLM5ahU?)#9PuGROS@GRj>Hsp>ZB-X3zBfExx|Zf=vO$6Ahene}2Eah65HQ9IEPYQQ^=^*$~L!_{XqS9}7a>|U*vob5VaXv%IJ-zP~E7OOqm>5a98MfP6R($d@ z9_cg8N(oOUYtFMhIkcp^!iNDLb`rbsX78-*mo49Lkzh&*SKJA%cSpSIQ}D)9v0oN} zk7>1RrR8`gKxW|wSK704GW0kXp;#AV{v1|_g3PSBpAtzdA{1#UpMH5!+SAGUt(wim zBVYZt{(5(ih7KO%F>3;33pb2GyaZ`3;MT|iFeI(Bpe0Rw39z=ui1%xPHyEnGkjpKJs+W0 zLsIEe?urAtyt=M4GmiLb_wCow$A+l{y_VMr+hm&{km_#VOHEmTNs)%MYdiND(Le9A zeOv9C&TpsA)7!1{_%Je}u6L}gs5+dak{%82M>$l`*q)|UM~B7bXP(g?#por2W!BGK zq%~CFJYJo709kKjJxd6Fqq(|XmPOI0U$=Xr?zZ3gav|hFjnKx;VlGpd!8HfKq#q`Z zuZ1Eh0Z>YeLK=eqdT}`PgVIQrYxY;w9D2=RT!F&lZXXXb&vm@(uixFc3ZtyN&=D1c zF=s&j=DyBr68`C?BIwA0Oju7WUpMhYKpqO6d~f>(;i;OQN6{VPJ+sy&pB%JgI*;c4 zKNzT4kXLn}cS6qh-S|n5R>I4KCEovcJ#Yt3qtdaFJKn1_Eh-Ck4=(53R~H&cVz+}G z%)H0y9F1D@JM~^d=hWou6R0lR&lU83uhwJ2*^5?za`YPFzQMu4KTnTX?)^I{z^K|C z$6owd41`*OnP@%k3W7u# zhCYPejTq}T9-Kqw{BRFVi-N)3tKeZi&C-aa**7D{q?Tea^M%Ad4M}4X$g{TY!N>2? z_>ag+F90~ez2(GR{ENXxv`B0%aYfS#<79gMd12EbD*z3yLx_*u>dV<6*?sGx- zNbH()Kd@GTbr#CPB4)Un?X<^R?LPg1X`~2#Y{`eU3H(`PE}Lj6d^wpPMh01LkKZCVtfV!p_SK0Gu#%VN9Q# z7kbqIrnlr^Wa1;qX{8thY)lgI{R60ufQTomDR-m2Pv@~$toHi!u}XbMYaQFICsJ^m zrX-(RJg2(&jsjJF;(}&eyoftrOI;EDpH8}Sb%?}38bNg&)|c<(9F3{1uNxr`W&X75ZZn?FrX5){4#Kb_O6dU!D3%qO7dU z3r*3bvCp;pR-*TV(EN6P@wLPmNZsUG!A8UmDeti-Dcokb)1_ET^4=yLos8VN^Q`aZ zJEoNK_^yY>D*uvi{2BD#kwTVi%CTRuM5&%l47sb~2odTQfo{%}*?-H+Aa^K9;jSM- ze^8>X^#1kzOL_OB)TY1CEbJaYS0f-{Od;#yjlVvJu75|L)4)`9oC8xJNU^0unw;$? zzK4bSkqx4K36&t&dp2((#Hrrm{Owd($^o`>6BA=&W5IP>Axi)@_hyQeT! z)IhBq$sjC zalhO-je+@4Y}9ClukM~EDIp}7@u&V3%rYUEv3q#B!$i<{S6x$5pFJ)I_ zf@&i~pa76L9e5ax4=Dvq^hhYkA%Xa`eqh1)epSsSw!%*+oB0gE;@i`EcVao$M}ZAw zJl8}V68LNcG(^Y5tETNWI{((9Qw}mFEqe3MZ(vaC8Mn}X0P(*x0i)1$O#c&2pFgH-wszYwNj8pQ$!);uY!WvvfE%9T@F9FvB5)^i{rT}H0#9V>=*U&} z`9mz0e?UZ0PEpq0S`S z^Q`^IFM~vWuky5+=2G#sJL!Es7Wtvso^4i{Q)z?&vhn4Xd~2C!k}q)d{69oBzBs!u z3j2&fIHS$Sw|qT%uK2#o#D%o$ymq(f6bQqAy1-6o zgQ;>~y<*^(z(&JpfGVOo6YdE9ai7Dv_|6Vj!lS2vjFBdha6lJhjvt3y^i^lN`0A;K z34mo3LBTU3GLpi+4zA5k;Df@fOV&*WgV?`PJYpP(aN-MB+*jTcx21M_##~GjNqCZ6 zLLq%crU%XcxC4)_YeM>)+WENlwE}5%AtG}%JwiqV1GxPM2JpwP6>&zY$|f)p8#Nqy2W*e;cpwxiy_D&_n(&qI)W^QjV=LX@e)u|1j}f> zLdmKmfrL$9t%qUzNBrf>eoSDFM-6bV9@&mGS<2PUJ?5aOojyjtK3DWmXYT%D)#qiq zzIh+OMWNJm(LqYh=idKwsaev)#8Y2jcmbhpE7u!ep z`^~k`eOPht=pOPWML>`#Y{+s6r=sG@bS~MpT78mv+*5H@HRBe)P=LYqrgXI11AEi> zJ^@TT=@qxLDHQo9TK@m8pDyWZDCN8ndjIgosSfIV(E(^mY70TUYouTz!iYhtrzd98 zItq}w-TGZUS-PYZH=ot43{nl&&yyAWF=N9>5#=*jgW5J80s)`))zSDISgMDy<@q@r z(GI;dmi$CVMqSL1-*qt!soG)TpA__{agU3`kPZ(F5CP)of*bVs!LB835=B7re;M6a z5=(0T^mye%x_6lkBI7?R`Sx^iT&B^97orJfDyGLNur4ENZE3PdV}ajWgBMcRL1l=7 zLf6kWi0#~&^n{3E4c1?juh;mt@mY5R_`#+mGtRBerrN(1Lwa?(`*c~4-rA7*`a(;I zUh&+q^|^mV_V%A^H!uF{RDb=D!t?EiEpn=Rl?N

Bjyi420%z^A|Jb5hp`Tap5)H z!~N?`NFncY!gtH_f?BptI%H%&yKlv-!eP^qc|w|(sg*ASx=U>cYF(q8roezKRTzi4 zbJ95y3?zLQna7(wWHJ9EE@Bs~BRYdzEn$CMEeMJv)8E+%s$sC>)*R*&MTzfIa%2Nj z2GhKA_e$R)f+8wJ;1%9(s32iQ66OJbkglIe9y92lP%lij|ICtJuRodl=;7KJshNlH zSc~Ad*v>8EbfwMNW`o5$@E)eEvHpqE{nxx%a6ICRu=S-r@XV1DxoXFnC5Ge>6p5Kq z!pG|YQi*Y$!3{?GShK%Tq-`PBxAkNWNuu92XEqWa%R0I4!I>tVpo{_P_DXYA%T<}s zlK}a!C|J20He^og`jY{?wGsQJG49S=)gvE7Q_C@Vw1)uMTt!kC_J8RshWF+?FMhLX z5QDH0a6XkdStD%&0Q&15ei%p46gs#{7cILcpiRP6B!1Oc7V?8zt0{p16V8G+$`4)lCIkLBO zcOHnA2rNfCQc=s)v8o?)(LvlMl zmI?>;QY@{{PUhxv~hBPuqS|V6Vzkv$G^ol9IJ(2SX^=Je@>$pOA>=6_3lQKR$2DAYd~gL z1*Z`o%$RNL=S*ZH3ud$$Ir;4qVn)2*W<2a`kzwOa#++C&*Ky9(PWOk2xg191AblYh zOBL?y>vFrP55#)L zzi~|H`@Ue_&J>$+dUIjiyu9ovfRxIz|Er4)CR9Xp_uI8}pPG%)5Ua-f2!l^GAVO zfT|vbh!#O^^(WF22ia|2SWios?GySpEcx*)BzRU=|E13m*eOpYB`d282_7$q&7e46 z1J z@kp4K2_wbJBj3ORZ^-y%@`2Bfx5Q)%#LX5$^hXz4&Hde#)E6B0EjWE_%%S*b}!$B;6`zG>OlL$uEli?mq68+_c+fVO1w0RCK#H!A&?lC#<7WF6&cmPZS zYyH-)^GO3he#u8jc3P5w*k}a^$xcm(g!TxOn<+P3pU<1Cr~35`UVL=C@F(AfMZ94M<3a zo40f1Vtdr?->JfIobFAB337ArGf{7l*ldSoyFi1GKuQMUznpFqiNhq56Km6Jgejft zGFJ(|8dRIBl>4+bZHH#?-R}sN7A6GaSb`<{Kawi`l^gFA^j-K!*Q2AGId#0mLsgtG zcp*@H>=+xjY3w7D>Q%98Gn(GSO>!OXo^X*HG?-~Gt?`+~!e}6x3i5=!4l4k<*@J}Q0~Dlp(n9{+d+gL z{~uj%9aiPKbq^~YA|NH8fCY$zbfs~C^bKf(@7<0`2N!r7i|M%k2J@Jl+Skg9scOUb=kdIeB^3C1+ zQ=#V}T-*MTR=hxIKIx^U2qxs3CHZkdF~EenQ)1oygzLZ^l1y#7BjwCe-7(f z7^JOg^BaIHj(VmOCPdXax1GQ7Lt$2vjoae^(_@|=lzeR1$mtrO>UFFQWk~rWC;aav zJ`D{;6wtcF|D0Hf>|M)CaqyYsTL|6p=ux6QqG`T$yHpiZ8ofiCHal52+ut(Hnd=VI z?%b1@lbIG)NL&t}_?V)HAGRg`2B{g^m(r5f6v5S_1Ie?|t2S3ib*&yGiHVq)os`)B zlG=-ZowFR6$Zgx91>`OZs0H7!UITMx1u*j`T@sI(Gn7pzfu(7BM_}Ox2%+8vbL^j1 zxlsku{p7c{sXsyax-WuhbNx;C7(k(SiVWWZa|_kL^-A1#GcPlwl1(08Txv-atv^MP zXg%Kj5_yUXXU0k%j+~FwmFs`26vtS$4 zJe%iU0OCuI&sre{m9A7;qatw&tJPEs@$-Qqaae^*aM*k}3RHGF0IR`-b>M%g0?o9h z!=M2G6CXN74$R3I<^R@re?Dv2W8|P`(~c}D|32w&JaOt`^GwTaC|b4O&C(nWd`RP+ zu=QwhZzfal!x#{JKloF5`G^Ao#DN$6nny%}wt@pc#cS{p<3cpZ)Ui)2Q9js|*k))n znOZ&gVc)k#8{zQUQ#XX<14HKWlky1qGUi$7C5pg3gzstgu|!&0n!;62qV#Sl+=eE0ny6#Nq|2(rF8{LMw)#z?J( z4|Hj{uKoywl1^9DgTe>#0*d^dZBnqA^uJ73DDmN}VH~$v2Ds@VT8Ka4gAYl>$@)Zi zf0_jvgl|r)NXuEJ`Nfuh5dCrA-ehrh`@!e?3C^L)2Zr;*hKirBe((4?$PZBbR_6>f zXz?0A_G^(10IUjIh<=L6oPZt~-;8ob&`UO6U>=P7ltCAEZGSukfg!*jfJ)TEEyFC% z391C5$3o|}%e@8@pM!ZDS0~pilQ4eRNDyz`MCn{-g*qAlI1U~r+x%9)c0VXm8s2>7 z+F$C+kF%)VdaRPC#-3+O87O9fHY5BUQ8C_WH-gckRF$;XjweUoYGxDMHd;S9_BIED z6X2D#9fl}LSR{wX#`1)#yBBedu9`~;P)?S>gnbNbKTN_mYVthM&q=^k zD7q*1wgIuXx;Q#;Nd`@RW85IuZ@^E@QUTbz;>Ti7Di4(ybAve02pR;je zc(*i9G(5?*Dzqqt3WL{ zu{O(b)K~FBG5RU`$=^tMm+2FFUg$S#nm^AO=Mth(sHf1#VNu8|>w3x&m?pcN&s@E! zKEcADLU7}mhjA7N<$l3PU3c<~cMiB$C;5g$XyEU->Bo10chb>p| zh&x6oe|2SqDPNuLxz^32!}JIbM#qn6zUiE%kI2|UO}V2VR<0MNyJyt$hV2)W+synh z@-LryO@04Wc{*nRS$FK#+8G+Or_f1#|J@D1A6JxH{!!BcXT z8mqhY&A72Nlb?iRRA(ju4`G#5{w0s{R9;@r{Ua(U6}{4VEm6Dfkz+ma;dla{cI^D+ z3%5`9ckj>QRn^T%b>1zV$~-dNRdlM~o|ay7Cc@#x@_psDHYN^;vdq(R!xec;n3h^9 z=|bzk<6pu6$UYay4Si~4m7y^Byl`l@paPycLU!(` zOgv=Mnv&q3ky%R355t^aAgKV!reQZ8y+DhpItD?EPhT$aY1!A}3c1nQvXksjZMqf* z>GU8_aWpc*Lv7z6|Kk?^{1|P_Ivz#zH=uz%3L?6KXqU>m3LGUBN4Kw`{K5!x(nm(96J zGmWBW-XLyk5_*aP*BsdFne}N$>pRa@I~5}3&o@eRf6Q&x*Iu$^b;T3!?hyF91ice< zEI_guAo^|Xs%7SbFZBS`ddNF$98eQ7s=q`e+-isn^uog*fo0P_%N%eINGA9;>JNIh$|-mkhP3Y- z-d4Dz_sol@jCeq|M*i3V-D%;5*E9U86)!S2gom*Gy)vQzh2gVC~0O;f6>MZP0Xjpl_ zA-#FwqU-LOPCj@p>nnW$EipzcX_-k+Q_kQ8&@E-WI2#5VWHMlv4%}}MJg0Yt;2_tj z3=d|b(Fo%9!cckPOh+8WhRclbvx!@P* zFoUTK)|o|Iqve8-bn)ax%Bvy}9O>NP;r)vHZD(QKvFMa>glkP>5=%O=yFkt|%WC7u=H>cT%ad)H*& zpyo!m)6IH+oGc`^Ihu5RPPMM1>Z!ge&*=GvzEt4O@?ypJ@#DMEO(Z_oSj5ob{x z_g3M5`gWW~F*pWJuY0qWS4&L-uuxUG6I-J|~OW$TxzO zR#z`roWo-yhxZ3lQ+sB$w;}GjZ0ZheXZHlb!AFBGo#!L&YEQQ>?bV;~ob2et zuy$P6L%1#7$6_M61i+2tpcg>{MLIs6q9<-gz@x-y6{vkk zse@hjfMGBf`-iXP0TqR9L8@NkWF4Gaf3!Ws4M-0es|FvguNueSlH%`U7Sn<%^t>Ew zfJAP4EhLeFxS6fM-fYwpp~}K?XOv;7#qTGuOBV+(G&VK|e4=vY5=B}G{(#qiigTnX z3xNWYfX%ws5jkl~0HZdIa1|P*)y4HsXb_(D#Di=E>v#JA&h=r1+2BqW*aV^suBzrT zxV9JA3HsX!DL|;=&LQJdOanYF9@o>V-H8C(dvH@lcQo=;A#)f5tGK%R5gcz6N9)}6 z++)m9F}1(JGdTv!U!AZ=J`Xsvs@VirBt9;xou?7Xn(xV6gyclHpQ;VpsGP^J>zPyw z%!L`yiTUV>Oke;ht{y5`DfqVfp*}%tt63^;LtDLqa-{D=N>6DYZ4|$9+Jn#{Ruig& z6)-dI*7zyZvxQW+utZO;o8M`5R(5fNhQLhe_USyrSOqA2zYLutv1H9Gpoqp>O%tN3 zHEb5o-Oy<`9mAKG=8t7?_^G7%s9;|fh0`)#(-N^XwqyDxAUJw>}V z{$m{PoyGVJZTGEcS(Vb_>;(_0>`y1F3m?pT&T5Kyq~?zE6N4o5UVs7ZObBdN~N)L^fKk3BY8A{8^NlD-x$6tB1+IzVSa|?x}P*ENi9NO0O)N zC_~{C1rK-rL#gs!qf|Psz&vqgTv=QRlJHdpI!J!jrC;w=&qQAm!Iaqu;`S(2q=2i-! zb$JR)Hnqx79fVXtw4z$+kD@!RL6LXAF+rIjrU{DRtsj7Tqb>4`vc$~1%ZO1G3ISs^ z%vicuKV5Y~RQp$0jzw!65fv78LE$0{1WAoK$3QSN5*+0^hIWKO1({-+nYoV9KxS3B zHw02xJ6QQetF4&Uf%aTl_jl6mMQ$0NAIQSPHS_2_^EQ{jOZ*&bl_c}CZ#t-I1)8RX z7gUrckY?lp3ydGGaae7)Wmrz(;^lp(;KCEPCtorTT!QDRx*Rq4B6-xG>U?V+8FV|3ljHtof zsxgSI#Z?m_DkR@|Ww>wu`MSPt>;GgRYjPkWL~g@D>EQb!izo)d`y~}Jw_UJmsZU_L zpT373d(LzRDY7A&i*VJ-gxO;1sHYLABORX;dt4hXvVzDDHDenCIygF~F_TF)T$ zz~qEd*^^omS!0g}S-`t1@Jc+XcF4D=UgmM%pU)g57lKRy_%4ei%n8wZgjCRo_hl$U zdDsgxb#_gZMvW|+FcJfMSnorwuq-n}Y~KvE6Fraj6tq3TF0%@D%)m58hO})AeIU5; zDM1C4T8BkK_w3r3DT)`R#nmAoXI^~JfX~(fxu}_V70Z`?2EY<5s>JQN@7IPd!1!hg zEEZRRQNDoY4I$yoT-V;7EQ}B+;jXK}EgxriCZ35`wf;W*^r01a8U&0SuMlEiz4G!I zTK`WzspqEAyY+rAvzfG0yfR~TX!x1pHwOeROLx(It^|DJ?J__Pm7o@XdlV|=MeTES zh-h`>v4W~98~I=>t`Mm2aU07kHl?y}K6I_g`1-){)qgO--)Anr4y5);dDH+y%^6hO zrp7>z#L#oprx@31@J&Z3rBsVuNO6_J_W~6jJ}11_CK^gOG_w51tR~5 zc(EdF11?^#e@X~s-12!kM@Xvd5D9f)6lA z1a499p~0J2xbPa0se-nAOH(^N{Og}o^_B?g($Eh|qe1OKC0VP**w+`Tm(VbXUf&<< z*Y^Ag<75TABTmX!#gB!MT6-qR7Xeb?j;e)ky*efiWBi8aRZ#%QBJ@Qzio_5|KuT!$Sk^EtT%Z`$^2 zgtFmTw`DXU0_E#ZkY{Z)HnHJbTC5tmCTBNx4*G|;FEu1Ceg}AKr@z<&JLZucs$ND3 zrjmuJJ+&}Fnq3n%BY*?N7?$ry`_ti+8GxgVsUP3W`C6{}W@!o|0eWqWiKiOB770CA ze^+RGy0fDS?bMkC=lx)IaPuZF@2z3G9+^RU(_bI6kGBdv?|FJ(kJo`UU{#aBg8Fqu zf)4K?-g&>e3zK%6*Hv@|Pj500pjW1;jTiqW`W6|eC-o0};Kky7WHls!sevoK+2Pl^ zSPOp$wR|rzl9Peukj=L{?mW&=NH$SxIYq&UMB&k`mlzoSd7H3%5a{(|GU}+|P$-NV z5W&HSR;B_jVodO$2m^foIy6dn1IZX>+_BBTA!7`)f@h2Bt0ba)xEHnak%N9zYpz>p;qYKtEBBz1E! zaS=LToJwSz9(;iBNlWcKJHlKfkOFqt`jMFHPx&Yd^2s2f%e{_>+Ipe7wFWzDmELw+ zT@W6k`DmTy49(%rsHbSpU8vdmVJ;sj_2yWKrk0>Yor7j2ta95Q*+YQL5Mm#})hBHd zBB+Z+_7kgS%EoUZ`#OtQQI~%}jsWTHaz#E0X5JKFI;9k#9_fDAXYDK9(*;dY^WiUk zZYEBd3$)Htq3?L^20E`v&*39C6&8~qo(n=2=2SZ|JNID5Vsat@+yOjy$FWPF4?PA+ zU-5AqW%NB)eMNYjlDjwvdwQx%3{6k>@V&a9CTWnKcGtlpr4!WuDO1Y@i_=)XqANmq zIoCXjo*IXRz<&<2JQEcnh67z>@jVV)xNB3?6M++$AIr2=A{istHl#LorKw0~*qz=* zqc(gJXK4G(0{6uwgZ(P!u3zd568|8z50Wwi8foy$v(3N_AiI?TLHIFzPk|l2VOHcQ ze9syDs-uIQ#TcUmDT%YEp_Y!Q07S=rx0;;(b1|79v~CEC#|zj9cAonaXR%J>tm@-R z!wxD~f~>gMUr0!01FkmQCO@vjwCA^5kFFv*icd!sRN&B$<`CLKSiq_uH+tC8hg|IF zMJzK$(>hMhMeYva$;y!m=GRif3}d>ea@e|)4HIkLJD)!2LAI}2Z=#-@iGzoE0&ZJ5 z@TV?2za2UA8Ok&2te;k3(>qv)xOfGfYD_W_uGQJak6T!UkeiWu4+EDk98#ee;bfSW zh5Uf?Hjm7nuH403nUQ2JM(L5^5*rL;h}$?d;;tUl+*SJJxtbC^Ls20XVM0PMn(qAi z^5^uL!!e_9|G3T1en-T40(-Jha}7+pf$LY*#G6|Yp`q4pim2S+E|G&gAVkQj@!3iK zwp~*QvTIKC_p!Z37(}}l;Ov8%Txy488LkJS1=(OBSCdFYfCR8-88)e$#+}uXT5jD& zob-$g7Za041i#{A11eyTl$h?%8-v;WXb|hYUPpK*bGVQd$c5DFQ|Cncj*nrspl`^c zTJV0v4JGpv8m9e#soOp#vbzBG&%sU0WvyP~KujN)6w@9A_Xof{BS5=zuot@@o7RmE zb?$|U>E>4o?Mor!5O`F89zgG+=Q8Q#2xb%z^|Vpbov>*!VjW^V@yajf=-5sbtRmn!3*6+AE4f$_3=*chOr0`_DWb%{5%*B zW#R3?S>Jl+@pqhn-V?3)AStU$*VJRaZA8VsGkc`6Fc`}>bXJh9bJNtPA@b>oa;>%K zG-fDU0qAwK(?ajj5%EHZYYOU%BLV5jrgL2Xx-@`h>vIg>d?+EWVYet~G~^O`be{0Y zuw+;S=}x!WGl43MXA~}GA{ecw_s9y$u+<+H!$>dr5dQ6drfA_XpH_H{ns6C58{snW z>ieDGBKH7BcLex1mcFXQz9I#(^d{=9SkV`uKrWbP0y^n?K-;yyCB4EnWvOzv zwtmkM#CY#pa4bVCYmIo`_eN|90}vr{V^)1C%y_4dravE&&`ZlhU9ac(fzjxVfsaHF zT`Lz0HNQ<7)I@rhV@%HNcHbeyj3pyyQf}F=kte_-1VjNUO-+b4rEfBUlLNf*72+R( zkR5&27}UnhT5jw2guyqmIqt4+Y_~=|z;xb=st^i$*DC5QV)?cgem(+GHLgY*S2PDf zru3a!r_0*zTaoPt`XH%{VMUxZp(kOU@bg}O!@F2x*aaFJc#3vO{)x*vvC6SV_U*qQ zMHsq1d8U!o&gLNn&et>wLJ( zirv}v>@PR9HZwXRqG3Yyp3T za1%oLQJD<$lE)aj3`O7wC8osCGq&8Q*Yfs?%T%ajG57JC-;rMuZ;~VbY>tmk>$+R3 zquRGr3(kqYJ`tP*pp~(livkHSIpSd%Vq^e+~X$%Ie^<9?{#@D0Kfi- zFB7w=siDD*mlM(g81jhZ`331&OD`{i3m-Bhu9FD>l!@@!MwnDtjLH{Md@h z;VVl85s4?XaNb7GM_d;3U*EY+fOfJT;)$`X_3+`FpF;fH+qqyeM5hf05{+`E&+V~!nrKBDesTDiUGFhV&SZE$)X zAATxvPp0ylA(!Jz)u(EWr7s(&nMsV6o@@hk?kiWO$y1~N;dyl;yuw^g z(mys0Te)nKOn*KHGhygcjI85dv3ygbR(jo64=;Sp313x){$IOOogHl)dgj}g@~>ZP zL#i;FQVz`0oE>#0g_h;A2{?N)b(7oy6i|k9KhK@<2;Y0O;Iy}Epk;Ax;}N|&_TQNG z7e$2-*7y((koom&rRFB~L8D~Z_)CJwiJBU0%jF_`07a_`BrM#jbEq>B&RJ`)y-^ir znWCc~F8%9Vdd(uxDZMYSEtBt-O5ivJUk1pXf1{m=_;u+gxU^KpE0J5kkeo7TYTB$h zdnwQ5oj_X=lc53=@GX6B*~30bU*qga$_KRK3iZe7-lR34RB^bzbBu(ZVykYJHn4^6 zsT{Fc03>~eZaP>;tJ}xkXfuYa!v!LSHt`2nBAg<%;ksKK1m$3PF0afbyf-lU!AP(#-4W>f-Av}_5-DnaOezn=Vx<_GWym)2(!O$-IVz88`| z%LG5)sW$DDt>03dQP7XvD}7LE{olY=9%uW&!P8X_nayvi4)W>mRBgL~uf%_wW;6;z}vC4}IaU z)S(%RfRZ;S8M94vC({vR1{4FeuH_FSZR%g&Tlt%z{v$yeCIVorS_n|nc!T23yO8EM z zvL7u1LZqbG0iH*Aeb5&A!>EHOx5aRUv~665WwfS4OuOUdndW!WH6Z6fIA)v8MaT{a zeSP7^!=xvRCaJ)V2XfblM1nT0%dsktPCEMZ3eNj z1eXOioire25^!|{^oRCnArrpR*FJD|@?F!44tCP&VKj$w3{mBS8j;d20W{5v;GXpz zNqsGoKuv5xkvdnqGtvWPE79hq5^d@5k8tyR&3h^HK4^nz2}XR^q*ottW)7Yp{8anN zIT}urB8g;q%ClBGfsg_ntv36Y-T}1?+Lox?677B+^2>SR z$$Ra$wSb}{s5k`W@Yz%nQT%ozzd>yEIKkM%uLqLTb^8mqpvQWMG+m8G+iL(qBQ9l< zhaMmJ*$b&iSHKgY+iM+At4`9#S@#23xfo$1#q+Te9eCh_4?1RpoGoMPIh#c|JB)%` zGxy?*L~LKw%29(;eTyaN!fmCMiogwKwbVI^#f&-hu%i}?>(OMK@yVFPD`pa!s(`d7gfOv-1&jPeNhI0(u_3JN4N0&0Op+Mk<&H@J{t| zbPAAvr6X!m`=_C`q0cy#s?yIksG1j&ADhE`+9)$y*V>OD@k9&$Bp@$MM#lqdu5WxT z1vNK?i9T^9&O0{Sj%fFp&)>nh^4b4GRzCpf;fj9)6%=^PtXM|GpvJxQ^u=kwWXsj~ zpzDjwe0Id~VrzyuzxyQQ1w|QWJ#&ox|D1miwonh*!THZOD(<+CoPXK>od0w&*^WqQ z+ZrH&+PF~G^RF|+$6-f1phTHT9l_GJ_02S{(oh`W{(9EIHN6kAg)Kx`4qk)b?(4=f z*TUvnWF**T3NFCy#b-UForioq00tFaz4t~x&J4E*n=r-+2AgJ0%aON~hJ%$FtNp7&i`szI~x!SFh` zr6>C#zzPj1wsWd?3GZkG%jl5&PJ*t_2dQnq%Bw~C0|fYK?~{(h4*YFrTk|!DF6f?S zV7wCgd^wrQA8RP}^YKhrtvkx4s7na7Gjgal;XE5p(Z$jy6P=;Ia{g}% zFZKyh;p9gg@h$XjKMrh%$pgT+F;20U#K&MoD{H?rpa9$C&Q&oh#oZ?4nErZ)(E~;Y z;a}54d*b}upxHgob>|k8Y7d@Fp7z2(6%RIzcpNNySAt_bxm^OZ zG97#1_o*KobT&lB1xg3RgKcNnR<3Mu01`Subo&kyOz>D|pv-!+k`by9Qf-kbt8rZ- z`)GX)DEwk(*k$4nW%JJhO~EvyJS=3)3=!i<_wd^eaJ>uI{so>qN}&4Lgbv>z_FG2f z(~teZQ-{C-=KzNwPW?Ql$%s&7_gOcVrh1_PRd9@vm~;<2%7bsc0&Wgk2PFbSU%Jzt z|3Uk&sHlyL>fGZv5uSO#3Xormh)w3~+Yf%#$hmILg)Sca5*pR*dp;t^K*K}8dQ@{h zPIyVtrvbV(Op$>0+0_qUxO8d(H@fDW`_f*vhMIJykFIOU7FAyDjGEzZdOwHdn?Y#` z*P4_2JoloWLt z(uSbnI+gjgUIA_pSdlHJO7@mmraW)nL{*KRp$OGvGz=&n`#K}1dm@h)IVr%MH5a0pk zod;skoV)%P9t-eu$8i!&NPo!z;1@f-S?wLY7QhSI8U43Za?+qCywUys_WLhfH@P}u z+NKK#zWtYSHx~@I6`OQBFI8no%>dGgE;C+Cli{4aci3Wb1RWCo)-nIOz)bZgnB2d* zHmU#lJgPo!z`So0P)uZsghPfHC{f{6V`!HLhj-l`Q7Rvz`aMW+TYNdz?kp zyc5Y2lnYQ6Xk95kAuk%o+M3D3Uga(?O<4lp64_mFvG~SC>@gX{%TcR8!=C61c09p5 zkM%rGV-{-i4LZjOii+_&KB-(sCT9GtEcp75TE4IwV zQi#$`6sC~f6Lra71Dyb^(VH$$20(!hZCsqwuRa+_PkBS}jiNr_B|j&qA28Y`#|uU; zZXOdJ^tyc`Ulx5I+RSlWb&1ep|5jq&%n5$Y!Af=2Osv_9u&J&@ZN%CKL}5&*zZRCe zkC5rMNV&(SC5)HYRYbJ}rLp~?`H=vpy=lE6531^vu{f&w1~_sSh#XIauQy^5?Ym9| z;lSR{fKxk?WEh|DyYNQ?3nv!`Ce3*x6FDVkS@8>lh;)y{@tJ91PTRjLrYi>)X8N8Q?{GH5n{%3 zGAx2)j|p%LAV^JMx${h;2+ITx0)ZQ$B0Q)h+i86rDsCV{*iu~gL=ToYk=hVYKLL*p z+fIIlZjHqnR42ZfOz64uWeh+Z3TxW`R0)+fa+j6uCs=RShxY%IH;9{?e` zk!U?dA7J*`yD5Qz2AKtf7;W?ZOV?u2-XUSx34A1~T@9wEWqFbAc~~*@P5|}_jm#Z-$QwdxK3)G9m^&-y)?zUwCeup5F&_JG2(9~Ldo_{&L#hM42S5O zsUN4_z@ftA(bS~J&e!ko%Kc999bL7h{*M0rdr~*L)YZEf7Z@R6VoX#!nE>2l`Peie zEazr=1_Cjv0IYLYMA|SFYFhuY z31>dwcTC+EtpKKJ*2DSfG#|t}A`f6M=hsUXcgpjsIWn;{GuAahFF#S|FY_e_dOI`V zC%ny&F^gCc_bMip9MXO>*KF}|=;>3zbIJ^?%wnWxI^%PJYU9_K2a4u5Vc8T#d*Pk4% znv1@8mzD8;ys<+K0@o)wB=l(WC|wucEiZOSX}!s${OQosUlh>i-AhyC-T-Xw96Bq@ z&|8Gf$9y5Kg*p(BWGswBW|-3vHR63yYON=aVXTv&d(2)to1V`7aXy<#i&6WXQL_Vp zo_dRvi-Q_9>~o*S&uw|`s0OK*arU*z{MvI`<1|r9B*hnX+bu$rrN{s`CFN(qSlE@w zvDW6s``b=Q@m6Rvh))+~aXZx?0n6(`pvZUkW8uIwj}EY@Cdn>GOV8AuKSdeq z3pWP7+?_d__I}|zT(chNOjI(YDeG;EPKBwua7u`Ktgrq>nhp~YC9WkV939^6HnOZ$ zP%I*+CLB&p4aoz*O*7U9U@sL%a%_`F#^>-#N8ulnu;xh-af1SPbi>#QFlIxH=Zj_H z!tK*KKEB}A{+2JUBO5f(@O+NE-RzHoRx%?RG0%rV+Z^zU488C)KDzX5l#DHRuK%5L z8&FWia2jEG3@Tw4B}}L;Bsd8{vFS~Vhwp%4wxn8ap&7Fy12Ha7DZG?@ z`U6RaqbC@w79}*F3rzEmOYGM{(cqPxkbKW$VPTO0krp0T4d*M9x0NtoqX|8P&a(Fd z>{)xC29T96u6mwS!`*yFW^>(Du-xCLBYMb{RqdK4XZ_xgi3kHC=_?I>fHl2IdD()q zSFiv4j-|zQcH8sF7bmuY9Ga8!{JD1GGRDq+RPicY#}xS1n1JvIVOC;|>H0KG#}Q^t z<|UTu%<7b{3z1$Xz!Q#OmbgcE7U_V`RJBDLH z_nUI>70vXvQ0vwk4L6B1BhK?PMhZqMMqIkbN+1=cc-e01wH>>^4bF{z!$9_T2Pf;A zU%L|2T@AJSMqD+u7T4EW*v;JQ6IW5y+wJeWMhBZdCr@HwZ`(W9E6T6_L)Dyi;cCiW#};cm!SIroFFrC z#l-Gn25y0kI!t3sH|X5;(x!9!?JHi1|G>tv4o@9vo#))eUS--R3fMn9KHjM}6Mr>J z3!18MPy|F%HEF^UMI9-Z$w)H!caf1d0NH#C+P#3_^(KFW0Luo3&t5MeH4t(7xKi?~<&W@UlCS_tpN} zBDfw;4s4GV`UYG+d(>eJRk{kngxcptD*KEZHJd{!+W2eQuP zg;%r*?~5hlX)Xa>yN${KO8f#1&5HGM-SChB!OC;7qw( z>!Nat|sV5O@NH8dsqwLrJVEA@M@4f4R;Jx9`cX=)-!})$N?HyPrtr`EuJj7RX6SS%|k%n z(lD*GAV6v5w?z~2W)^;7KI?m9=gPp@>LM|!o_3o!7q5wV_Ft6L zzMovb7!X@qm|SnRdL&#euwUIdVD#AED-_M>U>2xdE6T^dSF?#k$-?wAz6^OuI3~MM z^O(Pd5WQQ=Rr{7>%k2xanQ2h8vrbY|W@TV)^CZc$X|!5;abUsLr?((VG!|F#7J%Tv z1ja*|mxP?;<5D01Y`_zH019<9?&(Tvm4QCMzYL)4XSGFOo`dpdy<@FcONxv2KY5*f zVl}$g43J|Q2-6eJDa`OM)Y9~8I>xv0gG*i%^kk;uW;a5_unzX9-poIG9oN&Zg`#J67Y9u72X-1+;Lx?KeOUNzjvW(e*XQ)``NZy&A#0_3{s|4hD0|- zEtqeyd>DPJphBW|M`=sA4x&a zdKj@;y?|EvL8s(Qp}Y5N zR!V*Mc~ss$`_m0P0?i9P^YA|>bq|v-_?v7^<+wDAEq#E!atO3zjAt{D2a=+G5pTt# z@~Py6Gm30yaPhY#&H2m;)mZ<1475{E@a(SxDhXO_Uhe@C!5yzWu%uBIRGqV+Q8!hUsf7~u+RO!ryr zFvl6VC+65}9d!;6%rVIMMA49wWbiTd)XQi zM)g~}V)n$fOGp^5C)uyy-}{dipxeK?GnRNw12R!p{v~UAwsRb_Jh`Jias9!eQT7v4 z8&BA6oR`%qQ)Kfrh!(Y{_|E#y46l}`U@rQKR|ikG*6&QU)H^1I{S-}zSgN^d`(+?c zK&fO;BSiQBukQe-dURoFYdT@Dx2BpEat)M^Gb1gv{_fJ(7?PNTKTFL;6Cjc{DXtR z|0AOXejmCj#_syFKl%7B;CH*);*Mys*#MrdbqagmvUCsvxRdSJV21D8Dp z+#KLCQ0f|_dr8#NRf<_!(4pgPt@uKKX+bn^a|ZF$qj=*9D`Z%JCLllHTsiGgASC1vp2#^BGJDRS^9H3A9BgeFjeI99~lJL>g zgrGm!@2~$@|7XjI+EcMr5}BU?=l&@~wTias=w3eg@Gq#pd&ldzpmYUe zzq;=in`Lf#{bK$*?yk&wvkv!EQw#}~B#MbtQz}7+ylu%sNqyxGrr~+m%0l|H92vHn z22L`xvGH!XEfukRRzt4x+xXbFQ6IS3m_c}-d*TdWdg({1B;jC%b?WvsG~R8n(=Z)` zX~!11&Hd5m9tgUQLkE5n5l%Hv?%Jfd)PKDeB6JlZ*pp9lWU3lhpn7EGj;Qu(_*(?I z#r&G4Lc===fnW0Up1T~N@j0VAkqqca65#+E)izT$QACr*eN#b>m3!$DR0f2MLIDLM zcdTG)(-|nJY0%<^0@u{OcqA{{Y}65Z1EwGfhej!I7&l`h@sV)ZgHI%Hyw4(_`b_%n zJFa}nRD*=;sv)5P%6A5D^GOaWlp~CfL(?_4TSNq@C|MO5#zVA+Z{2_O$al`lKj2(= zMV8evh4a>Edynr%lWOyFiE&(hVdri$FIs}F`V3ah|0vWJZSCyri?09xqu6|q z!vxq#D||g31f{qRCrPH5IO31}gO@Mn-0Bl2)WZl_&;ET^Ddc6Y6>o0UC8d!chfZEm zY^w#EkcJsG+vT{Y2+HRvfN|aeG=p(2U%%yjEF(X^I*r?!I3+kQH-7zE*}e=Vza==cx@I&KIH9}O!X zx3500y`Ojj=hgVLn-QUXSJtQEu${o~s07gwP#)6*FCPKOWu-JH*g_%6q_MFP!+ak~ zmTz!KZo;P(;%!Fev} z!)u}w3PJ=n?i#JEOwAWZOU38qXdc~vRqyOd8+BNjjJn6*G|odOLIxxJ;cP1fxvWLQr(4!Q=-g6brA>z@+N;)2mHo0(jI!svN|*XeXpVbE-M= z*72BfA;=sVRDsAyA%Ogk)^Or3mrpbQ-H7xBP8^l&H!qxBW+;`71RiNV@a$I{y7vL+ z-9s_VYLFMUr)NNjZ3F&=0m`AXxuyCo!-M__&NGyh(3VFm$vIQYXHiUK&ZyNmeE?#q zqtLz*-wbgzLUu;?9uRek))0^~7xGy)_u#84CTp4X<%OCRRA$u7OK;g5oB$%;3cem) zKOx64vkY*&lho2m2n5s&|Gv1|Z^V7k) z#ACE)w#HVi@U?4ql+Wbic|alL*mjOtxofl@X#EScwA!WuXn5{} zXA2OAr*;;2(3Lx{7T(`j>;9>dW>)gBDu9)VoZqfk?7aST8#t{Z&sSxTp+26G?q#<` zIo?@c@?Xpk@6lmEDeQOVce~O?10Cn;`O+(1UY9YQbmWa4RfPSMwZs&Zm8TS2B2~3+ z0`L~r($dmR-tt%Rr6%viTlB=LhmjI_{AbRF<928A4Eo6fZZ)9gB~rRv{tj~iCyQ4( zi}ze-9B)^Ch>MR89+R^7=_*SvC5*XM0fS6+hCiptnVlfVp}2F zg8k;-?xouRhwKb5DD(Yazh1L9F7Kb7nfXQ{Cv*kmqgMQqzB4S^bsC5(gJQo6_1mcx zH^;NDN0>PT1)n0m3z#3`JuC1rI5}QRcg!w3tu#rxni;~0|3iF(nVS_ft@af-bhMTb{lzY zKzGT&)<0H3hb1fi%>UDnKGa-9-~}HpT`5v90dK1YR7g`ja-#P9J78}U zhRCJC-a}#K;z&XfjG;U~y78rIcsbqWTyFEPJxLG(8i1jkBEZF#nWB!z!1JboI$#4H zbY)=jNC+n&Fu$$g92l%4=fIF3N@2E(oNuo<8^kH^-@5cIxb7z9ehm;iGvNOIveQ#1N5jH}@`fl) z(~ojzRgYu4C)Gjy{OMXVX|}aZnV$yI2VR{!$livh4M zSN4=^=~xr9|J_$YI*LEzZrbx47ZUpzG#-v&`>`XeqLTx(AeJq z0naV&8MDG#dMF9H3FJ0zB(0TniI9-FIC;E#3j9tf-RJtlX*Q8UDPP7MfiBTr>`N?~ zRm!Xo78NI{DDP%q?HCBvT2+8I$OFKAp`Yw!z;_&vGQgDC=lbqU5&2P7$BF4Z%hjt5 zqsg^(T9`)J-OV7RYR`2YT=YM#q2uIKLh6<0U7e!6DAk3L>glMb4+(1B4;-LydX#-z z-qYvnCe2e*8?q!PR0r=OYp9omD!DdI^UBKQOayDiWLZ2YC=B70E97()OWq$rl4kUD z)++77=;XwNkY_3AYyQb!OM6obHK4?@i}AnZ|DS&Yupk*aQZ;r0}-7c4x` z@W7{8772;WSBQ?M&fhG%n@)=CU{%wmlfL_=iTA^?gc@9I3~7Kzy}m!O9dj%IB!CJPpUBbpe-X%fx*FHxv~Dn=nk}0vnr}{631%vpq#P z#UC)aQZMqASno8V|MP;vf!N-qB@dfK`R+h$=iH7_iDF3_k>)V&ri+0^yh9|f&QV^4 z+Z;JJXUzAn>F2W;!#Lfe(|t(G{^FLHCvU|_yPwx@4COU-2&x941!R4s^w|hJUBe)w ztMYPnKgA}SEBkZ$lO7o-QDwp;TuOrm6a&=i;#zWwk(*Q@Ft((nS6E`^P!&Nop6%;>N?nm2r8Utfo)hwbfJWA zA}sBUVb{B#ZB~PeqdZE1FznDytvjuhFyth%`(P6y-uKlSiyeosdo{Og8>&qg;d-sH zWS7x#P`6&^=O0{L2PhUE=_gn3HtpeS^RH}c>)+lwz3?^U#tMS>>nMMGT3kf_()()= zVQja5rA8imqwU;LvlZ?IeJ z=;lKU3}}MW-6zuU!jznhs1<#VnXC+X` zTe5x^?2Ti9?0Ws?&DLsfe(FE<#oyCt^%|neD|4;u(-eS$YY>IQCLy%WWMMF z_V*T|;~Mq01;joYbc!u-8Xh{=ZvQglc=qW`x$W)+`7AVIm8>7ok}8^tYzcYcQJo_s z+;Lh}9*Dy;b%Fc$P_qP@A~4B!wQJ^pia}kTwc5y7J0m zV|$XY2jkT-~V%>%(A5+Gkau2Mh+q?WE4_Vwi2>s9EC)(N7<2?jD#YmB`ZosL@6`7 zL6Pyh?qk;H{eArYczc}QoO53HeP8!ApV#vupV9wQ1};YhsF&`hv>DZ&QLZbTkUGig zY2LqoKTF4n<2q+Oo<@Vri2gw`a|~og|7kJYQJGh%?f0JC4+tPxs&cp7&NB}sX_w8q zJppqbf2xioP1*~%;rtO(nP#%A(HBrTi^+GiS}EQeeEQQmDcE6h>1a;TPYeke&(G_L zQRt?=Bcn8SR6V^Q`p((q7&V--*%92C4zBtKAMCmuZSsM6k&+hN4^~wfswi3SvUz8L zW-X1g1pFT_NkKjxzp0$br|8myr99J^>kxUx7%zgy&=0NSPJAIY9o~bD%oX43`3Io+ z?2T>cjTQ)9GW$SAq5uTgOLr^cag@Ea?{(K&aW-iPp19s&U@-xH_*WJ?Z zjTDWSay*-}6LlT6nP#dp^vvC$WY?qnE&UN<#SG}5D~93fanfyzS_z`cURp1r@HIfn zfYRZW&{vw-E(5J7%#e0DeE6`tcS^L|Q^&X|u`)DjG&+5QXqJhz>MJ=|%3yL@>;#tgF$D*<+uS>QX8ll356Q5*BT^%p4v zXD;Tmdf*-)uTr7lhXiu+hkI~#F*O~pidY=7H}*y2sR`)kaZw6rbGHcC^8~<$#=}#yL1pr8ZKV_b zxVA|u+ig`Tk0FHUXM37~TtF-dqqm!)r@%q#HwSm@Mi;ktJ1*weDFHX}0#bx9>^%^ea#J;oMJ~5$+Y*YB7;Nuuv2Mu-764xrIVGa6en_P1 zQ02DYc{ZjVn45DyMvme5nmcm*lE2Ol0|Y=woj`eqPHeDiL(Q zFDJDYVvpL*+htQvjwo%H-j+c4$_#>%|cg zid==tZ;Q|v{a|~yZkh$xO;r26odw04M?^98i{N0lvuyS(&7RKbRb=onYFEIf<%Qk9 zc}}j+YzzG(iYH!>HCA2-23!1_<=5bg$h1qV6(XWXX;O_WpmLQBeh)(jHzJ$;V$x({egJ zs9BY-G_ZJZU#bZGP0~ShZWw+C@hlzN8%t`}uWy#weg{c`7SpvGlu?cQ>(Un+kWX4p z$C?`Mbtf9%zS*ijH$k3bxx2s&*K^a9or?AjMwa5a7r(;{*&6^Ssv&!i!iF*>2Onx; z{rC(|f6Yk}4qMOZ!||SPuX|#W36o5~r}Y%Z>I>_ya{^V;q-u}fU>rg~>n9X%vSxb1 z_>=-wUAdI;5t^l}Z zbJE?33JARe$2RvPX-usr-zWD+tQ-u2u}-1%%;cy0a_t8APqRUDK0j{y&MQ&yE&vT^ zlXp&jIMzki=rev~bj0c?fU7ehoE`EPY-{F`Kp3x!WuM#tYs?wNt?jxiPz`&=GKxZT4BMNLXNH}!fvk~_>!VN%CzSalF_7Hd!P|Ll3snHY#<1WrA2 ze)};o%`ID!Jy-JVRHeUFaw5R22ay4tSV%+pIe3_SPRPWmKUTg6zJW5R#N*u(wkr5} z%!ouMU+oyU+5%;UZM#l{y^1f{kqWwa9_^lgg=9+ z*L8rX{CXgxu<};((j&>~T@ba|PPxfyE0R~0BA(1{hv!r*wW zJEb84yE(#t(SboL`(w&}hhFT>k9Uv;%dWoJ_u~kKCHBmjGii+aS%U(TJi_>b%F$+@ zQ?GOUMYuKN9VTe+)XY5CqV2m+4k#^+S?x_s67MVRa!s16fVM$#WZIk0B~zu`0}^G% zn$e@!H15y%kBoN}`JiYn2nj@IFJ7X6kGW2V;2_MXaH|2DN|8PxkkdCaNC_F3>A%i+ zFS(co%W4P#{T7X`vp*W&$Fun^^%lO&y=wxefS1OIO=R;b8FL$Fnei#(GcY4{dHc`R z)B-VC2AJwH_35v6BT-Ax^=REp1O_=B--uC{2xdey+$iY>FVc?lg0Dn4>6`RsU z_PBB94O+CJryRk#NYUU6i>L_;VozZ&2Qm-h- z-2&}xra@D~k0L+20;_}g_@(_osC#ebJxJ1xBX&OqIT?m?o;B-R$KMZvxUR~3>gm=e z+t@&XM55S)LhUD~nf}qk*fjn!nwxzk99zUvbzWD1n^plF*bP)gdw{gb_5Q5aZW&ii z&tDVwNc?h@NW7q!ijoRWzCkmyo^J9{jr2O%jB&Sb^P}m>p*tn&M;%Tx1WI2T)U@e& zR5}kf{50TaX4{%~PQO3#G<(Fu)i+Gx$`+eXzYIj2jG)%J$a6^$?cZtKykbPizD3R> zPUNpoa>k(S9wTEm&0e^6t{`nE%Q=%to?%= zA7lecDD?BF+5RlORsE9wtnoD8G@BVS4)WrvP$U@uJjWx6S5QfY8Q>XmZ1ses47DMM z!cId|m6cq*8U$TQ4?g5GKu9hK;HURr8m+bxO>e0oquXb__m-Yv_L8h8+&v3mThWC^ zR2hK;=-l+%45@i(hj&IR9E?P?kh5hq3`F|s@Kx}cP%6S0OR@`0il%;Tv^<-u)u^Vb zDm8-$8hR4FOGMx40#uWe_lR+GAAwqRR@L3^+b%gGPmZv79B#d|335R!Kaf7yC*f2H zHRO6oiWHC-0)(r%PXg|eroL(~fJrz|gk(C@5bWXMVVs>oJ}Bb_9l(kRt7aNVQ7?StvfXE4Z5+ zc3;H;VADn)GS5nFbH%0^*8xMTIq(5me3VxSn7+{S$Y27%?w2NM)Q=vGLAhaoiZA#G z{Fe4Jz>v4iwO;ywSS@B2tido32+fW)kDyLHz||Kd-DjFZ804=I#8mX<(9KNr1d4skFTk4xTf}au7Jw6iiZt=%s*(YujHCY%%G`QkKQkfGmcgP?ey?PDhv$04f@ z39A8wt7PX()Cr)^mE?0mn&mj;?(ItV+&R5$Eub9X+tK|;$pysy6sU{#9vQwPcv}qL z`J*Jy04S^h6eCOSr+k3PBojDsTC%kFs;R4gpYwFVMGys8QSk8aghQ6N4!q8S>3O%Y z_n&DE-GPQg70{If&}b3^=0FWTNBRE6;~)J)AppC?ESJ1fFJKciiIo-G_ejqI z)RXQ-PkmPsLdXI>l|x}lP(43>$LB!4Nrmp8+0=>Kk+}OKURhmkzLPzuaP&FRYJ2HN zD8bJDTqv)6bv4VhOc(pPQz9qz8B8@aI5a<{H2%$JF*#>4YvfNQxqs!?ySFpILl&Y3 zg_^ua6Y|+suc2(3_NPQ8peT3p+tFL%Z+&KRQ=W6#@m{=1$|InC?LP7b+8KJEhvz?b z(+9_7kg@klcw?2kevn@$ln=x|gvDpGOjCIE)IILE)=CS`O{Yf^)`e;7<1qh3cY{-i zud$0F&68MDAT{}#eu}OKg!4UoZCMAx_R4>J!JjI=eCVr*V_XSHOa&AAXCKJXu8Z~8 znh=DNFGHuw@z~_GYuCbonIm}Q$e-_bm4||h&u)Bs;T_}+Pw?3(Zo=sxyEDB_L>|*b zuk%@jnVGp5a{sdsqnJY@bXU;t^IZ?>NDh;bL)@-SQKILoUu*2_{6OrjVd*CfUoGNP zicCtnccTp;Ckf!xa&mJ1mUAuKqNCt9Qk2djd0X;gJLZx8)R);aeztPZtq>0R(0X7A z$yzw(dlRDe;|Yyd{Js+Z^hf>P9MEG>^3^tzb>(qMEAc<6n7_Xd3fe4BLjVhIqmA!M z3zT)O`nLCaFRNngb-z2Xzs{|$Ue)hCPL}j9T2y=ZvYjAU;$iYeje8v4#>Z8lIhY{?|5Ks7Z)Sd}G|o;WZe zKVDl}LeNmEIJ>AX=6!fim2Gu~fD;JB+S?b*_w8LG)cIvJ0%+}_4g@IbtN-xm_`L*I z_2l{IJWPZ_M@rX&lS*e884ZDJ(HTgSphY9&@pXt@)igAsqNC|4?nk!#y+@zGM$Os3 zih=duXCa!Z*t;s48`Fr1JcX{!yf*SyDRglF`T}WvPSUhEf87$A%}X( zrz(l_7V<2wqb!9dV&~bP2bv=RfQmJr!u+3V$>{!(w_zt`C{$QmF{p+NHIaG%E+MU! zCKNRL_k$5%riSov^UgT5+gz~9OB$@yQbgdCDv}!*rhTN@vquoPbt0gSwWWZRPzYUr za!o$asTsSJj6kQNGFxny(DfC4y>VI8B8I6$k(-OB9@A~PS?>UQ;8#NIdEh=L#iLWh z`N}265ObV_n4_93X+QqK(!9{k`-i@SzAQ$#A=XVRRz-snUrPzjX)>v~r6tgR8OR=t zS?wbtM5*uto+qVi<$0JPZx`FiM_!r@j&xcmi=6SF!o)9M&h{8&EW=>A_D2kb3Osrp zjY<-0vUUhSi_ z4&hisL&Kc5M_zhQt{58ftvuHbPFNPJY9LhAUqRBywL7+?mzq5Pbd@ns337oBdSt-4 z)=_Y^Q2j1=W5hqv!&|L9JeC#ywM93shz5&q0`VKKe4J19*AbzB3S>wR_fx5&#dfec z@?`eX=c44W=N{;|-VvW=e`em$JJ*tbJoH^`lP7%6CaCyQVV&!#v%ZI94rqAwzdGlk zWh6R^@*nezss)UKrrT{;8Pe6aPXCsZ+8nRg3w2Ngocs#B^v=U?p3+MkBh1R&gh``^ zz%Z@V%6@qt#)M(Li(ZZ7@Gb6ej5W7K*)aoTW1p%`fyk5{=}0+=bxVbt-jH%04r=h-o6CLFuIB39O_o&I*ki{cP)Z-M zpOBD{3=PhWnlACd2$}7}-IVB7fb?{d02r*(?b_V3<|qt;>aEupM;=@;JUKwX@`dkk z^yc89l8N%5$Ub_hLCVA&8tYo15m!5?9}N&>H3PxjtkQsA-ZoZ;WH8J2Tj4j*YccnD zV3#9G+5U67=muWDu56!DW_svjOrPea=kn{DFZ;t1-t=U5#2A7k^E}sB`FS#cbs7Hw;f36=)FmjtTTSI)TF#Hw%zD z&XF5|61J_R>U%V44RMHMv*5{`E#wuW`uoj|9-dD?=;^{JQ3xM59**BD@W|xuemM;5 z3kP}Fdf|XZX*3>?cNV~1C3^9rY?(_Q0q=pKIJmNRgynA{dJHZ?>7Q*S5MWFx!^IZ1 zHCgUzCP>@bkKV($g;zfY<~qGBe2?Sk;+hEtwG6{IYCho2q@iiPLmi#MCrE9~9UUGc zy1O{!#th+135}r~JnK{z)&!3Jy`)-~M7CeB5;4qD;My+tQzxg*b@+_}@`HnT-n%6L zy0GOLDTE+i_7M;PiUJGhEU;(Ve+noOUA~+vPmezfD;9isCK|F|YjprTHL5TAlN*?& zeS8bDKK|SZ+z<2G%as0W3qc|sZv+cXa0}{!^M4QLe?_qA@?ZP8^4G_iq+o`#arK-S zTfp&8sw3bHJtvmlcOrVAN+>p)blFuPbiZt?CJHq?B)m;jF=9U`{t*nro#*|1e@4|u zA2}X3C7<@ z1eI7344L##FDwbVORyPfC03Timl>qI=N?ePAa2Mt47pxoQwpqwCRHwJ>8=i&@@>n; zYejMj5w1z&Je@MTAJz}>XIn^P&-~-R5jJHvhr@64H0Q)J(}#<$HT&%>(?B@D(Of8~ z#GW42KgmwY-CqN@{|dMx;yYUFo?qzlHJ-S&6tep@ue0c8I}no~GI7n&$mT7rF^`xI zA3Q%G@ar;~-dPK*RUNuK03(fnT#lc`861#})T6i)pm!~&lno8zWr0H!iGA?(FMs?3 z%5MGT`r`M2)L$r$5PzHXi><&niI;1(f|-D)&Vagx3aSGqvyctXaX(E>=4bXXg9kfl`=rA?`~Ijr@Z{V z(`YKVAB43O7J!x;o!A2d!To;0o>WQpkrOxageNBp0g^ZtBKNPcaq;myKsXT#zJpk- z_EAvq9H8J2bZN#eYXd)JaN~@f`*yGV4h%#MPp@n}wdw4+a|1wV8wWwEG+m8K z-bWaqg1`pQ%g5AX08<_mPz%-Uhfj-^cMM7hF}xSi>E8Y-=H-wR9_btG#SKXdumkWU z-nKu+$r#vrZ(?0t9|A#)cC65;5!d()S0p8;9C58W0AwfbHlgGNR-us_EiW%`dm=ad#>1=W`ueTurkJ;yJ<+{h>n%RRmLZ9osD-+LoA z2|Ikh796!A_^hh1BUgxDi^F2{L1`!qSc($+3yAHh<^2N#Uz?KFE)D(9u6XD| z0higgW7%a!R^alSpV=06nSzs>YV!o`-V4gY-cdG2{8q*92nH$cuguFjY@>hdf zkkj}R{dVX`-bQDAnqTG6a1cmLiPuay)MEi@e;(i$t}^i&FWu6Jr?#YqsZ?QvLPPTTebWV1A0qv7ZWGV_RQq{-FAGxmCNm_bwuNv=wzT~R^5<&lnnOJcSa zUbwzroptV40cz!zmv8pE@nL;{x#*L`rSwsrv(rO4P4H?bx9gGa35BqDiS zBmhd;*KykG-b@W1aOt$it?Eww%(rGx&6#nZ`}s98Je<{bauhgFI$xrq-v*7wO6`p9 z1GkkTA*4H0ib6WjiPu9yEP%E}6zGq51q518DQ~C|gcL+V0c*u`Bo2#Dw43zV}E zf^9&ZOv?hY@sB{m6yPs%lV_m3#l(og{i(e=WDy43@j?^AXy%sQFUI3A{M0oDOp0oqRiX5rW$P84|$oX4kptNTW zd?=_noQ#5k;%G!8Vq;$q7>Ly%whvED0DtWt!}r&pu~Lg8U1r|vwbi2SCij&C+;qr3 zCX-(c3jSF=f?@X6pvqy~`}wOyB4S-2K8z5mg`(Nqm{(5Z18)t2ES{DbB_}o=gs;Zp zN%Z}HXYb0byK^B|oxVD2Yxixk4bI7mP@$A?);2;)m^2!0>T5Yzqy-s0BV#?9&II+w z^u+=<$ag3(3K+6N8o+G1X1RJ)fN!t1L-=-5L*(snmEB1HTV9th?{0yqiJ9{rzZaC8 zL>}Xxk_+qsv&Z@7p$|!d#|c3jW3d`di(}w_OBsUM+IsPcQS4&p#BS#Ai)@{a;>`kF z#{lLer3v`lxd-_IQTBPf5`2-G!-eBk6&rSWWxxHvSKkN0`TEM*X*Z@iPrB>sMgm|2 z>Lb@n8C6RE`UJo2pk}?XeV}wifXbpEEs+wj^{gM|$}6l6N%5r+^TwFN>Rza*9RC*LK@Mt^-50sZtpeC|FAq5Pn46xSX3gY4Dj5keE)GPoEH z>dQF((HHe&TBQT^6z8tU9~4{L;RE!f6nessUFASUTqxI#UA+UYbRdLfdo1Kg4H4+m zW#+m%jYyOqIk!Gr0AIe&Uq+{tetoJwf)FsO&p{Fk$X7q7Wbmva=jWvNJL^8z-mB2bW-yA-U4+eD8Zix91 ze;z#4TNjS(KCNx9P*hpWtCxJzP18r-VAz2w7O3HH^x4pOMQ4AH4LSDCqRyCu5`-j_ z5QgsoA{s(Ih&htclcZA^?vv*^LYAcz{}z0TJyi<=EVxvZap9AUVl&e~DSBo(&j*MG zsoNWS7^ssQljTm*($QV8!KcIHKmhl+##40X%JC7KA})#72{c4muzHt~rjnI}T_bp7 z9hF{67VFDyr+x3rb3(=E^5H}C-!e+cvmnV^n262Npfj(5qfM`mh|Np#hXfz$f0wqp zFId_#*3ItWcrH+LVcxB-Ua(kyoE{?OLB?#_T|zfcpDx`Eri6U7*mO_D?WMT^U68&s`wp}KuE?N0I%udQLBMxmcxM? z1@ywF4o@ddhXF1=0S5C>0x2>UeZoChoIMgnq_+!i!@nMn^NcF6I>RcJteP8FKR5w? z)0`+pVD(y2@fS%JOMXR%F8s{S?@`i8D|1CIW&uW0Je#%8K3AxtEpHPQ?4}trq}l{a z44Q=WtFXhfP_BB$RNe4ROu4DXY#O}b7(Y*iHyjso>+f{XXbv;%h7miUiJ`0~1jW@T zC_%Pa2u<&M3y^@?!ZXh?r#I7~1Hw_mk>hkM0KPDJi;biIJ(=+a&#PK9v}{} zpA;FFwYJ|m@FAq3d68#DJiuC9BR()D$90aBk22!WJ9dB#`;*lx$qjTVk2+vduqvQm?s{L_Mj+cwlUpnVf0hqDPD(0l{ zZdP#+`M{baqXqg}L7rIO3vxnq?R%mYj7WUKYLJJ;jq_wWTt{~yPraI7I6Pj}pKSa= znd|8WaF)4IoD86#Iz4SUi=oWohqQ!5jO-MCb7V|Rrk&nBV+jwawJQfO5C8z{$_R3- zu=nxFX}huZ z5(naXhSchlLNwe2o$x7pSV<0Ml9hzN`C z{dKf-N*vFutO-3@>t}|6l9DvGkTPULWiyC0@sPJM!VN;})uqR0R}zzK|44;6k_rUk z^LqkHV1n{LWrBLj!0gkv%N`egI3|>E>4o*v&q-5uK)cpU5+E@MUp!&(R#Y1mOun`0 zpdfh47v#c8^1X_go63{MIZ?eqkc?Ma-$EW=I1n3vDz&BLG)_w~OxD#IlB%K4$cZ$_ z=;`TIp`X>9=qdtc$riRg7v}7nxQy>wX%y^d>!^!t7 z-$0iMcBA(()r3f z71B9cs~teo;h?Ppdm1JM>%QE+_mBy2TAqKce5}+IgW6ZbsF zG~6L;f`$xk5M=;m&9&mDEu4Xn0c50A2JuPuhG1bR+|RL2y;k4pu&Y;zk=}$l>YS)- z$A1378V|<1`KKiEPMJp6Hru2T7Zy5)0Pk6546RS{*A`RqE6N|iclyyc<`VT%v-5nT=;X!mXv}b3N}C&=5R0+cg0INV~mW9 z(x35v@)+9F!~P6f4k2!Afx(q;80wIr!!lz=h73_2Z-?0Gk)q?yAslxgytq)FBR~e3 z)4%S`_qA-*EvDB8$7akbNUcFMDrnYZ;RM{EZW_|}N5H4>o{5ZE*}?z4PmSE+raZ-G zk35WZE4DllHnmOW$2bk7$8xal@wKnxUNra}H|U?(M@Gx=`D+XzqA^5ubi2vDZC*S& zhCg2kPlN!uOpJ_neFDbEQyg!8fP@T32 zxgJF)o1d)&FR9R^(Av!oh-1*a62ETb(qL21|5w(R7-C*2P1uR&2NDNXoM==Waw8On zG*Rwf|AWH*6%nO|koYmt7R%KMZAh}_>3?(OVF!I&Og=6i&~RNy9vZSnnVhGz*7_30 zkm(dyD0qabv&{K62?RES8z4+5F+>)N&zV1KR4R6|rA51TD{Pa^Gx96S&9bEKoDMTD zv8QesM9S>szBc!1H|hIRW{7svXa2Wt1JG6#Rzl0WtLdRpekC&~{UQ-UVCo3GmrK&_bv%xtwV}YF+?4;mRz-ohg_KO78ufQrNcS_oALIC>iTTa;n zNCr9Lz^Iahf4Y+f+pCQvhJ5v!ViAI*LGlYFu_7;YE=JEau0j~n=)r+e_a5A!-S9HF zy}+jk`%oE`%q72@4SL$-np9Y)FoJ_n4^N zY7vwJLbaVa0Py)O1j6GcQ?UArKhT(0+I+frH6I4TV?{P59SaOhGHDjcm)&?ej6$qR zN#j*}fmNwxwpiT>%ETGRBu)$4q~A+}^fhS_NDkY_O?M@4Vj)7iJXgKj!_Dhq<*(;t zbyBCylfPsW16lxZu-~kB&iHq?@SINPAmw`S`9KM$Ffk%nLKGsEvK`vMwVV}(0J^}M zF!|Ct(e?-qcp4f=m`u!GWfnoEuP_0!!o{6|#k*HFhBOx}RH_ri$cibqfQ|!(swd7Funig- zKZo%W{a%`?7~_G6W-{N;X`Ce?jX#dfyloOi&;3jMXn1P_pIQ~)vAg$Tp25fCbO0Z* z1ElW2J?xo?THl%E$X`CSv6-Jz!Z&l1Yo6o}U^C;wQSd9P$63ZaFnd`FL)! zF%1CLP#XyJ5AsXAg^>-y063$%Ow_pSW^Xi1ccF{r!mWF$)VHCM_;7h&L^RF7Qvuij zQ)e}dhKPscN-@H*zDc`s=8`B9ul3I{LrT}R$Ecmb7G9)%BpUq7#aq?yn{Z}iyX%F* zEk2YCEbJ||7*F0^CrsYn2%tM}5~!VYNnDG2EPOP)=_p;sam5U8riOa7!B-Gyku>tm znBY{;Qxl^ij+4jd!v#&3Tx&jtSh$ex5O`CpmCvZ^{wtFqQ+eF*$`LCm;xR5A6B7YI z5yug_6W>E4V(sn4vC^J=RFvFsAe)HpLjdaq4k~FmLe~YN-^tRgK;-M70og?u*)|#u zm0B46!a+krlT1|)`OWp!!z)Fomuw>1fJ583yDNWdwjD=Tq5S==Hg{=d6f}v@Pgg@p z6EB9V-~ZXk%SpLnw1pTs^*KBCOmqe;XJ=EP!@u^1pI;niYYBz9%^caRvs+kxkGFd9 zkKY458j4zF71+#p2b+`C{@s_=Dl-n`ah9r4mCc5g$lf7=aq>JcCLtDjlglYj-BF?H zEIJp&xo_W2Ij+8y!q)m@uDPm`!>B@{)pQl&1rDO=Gic~sICLGT0?=!s9)@!913zpm znE6iY0RfV~HWLuZ3q8G3Vj(W5lIAvL(ls1HIlLy)oi>u$FP$&}%Iv0lqy$sO znruOegX3nXzGSv3JJLQC9$pN062uTB7@EdI z4g-O2KNMP{piIoMnz-6UVXkJQ_C0tPk%RO&A<^p!jZMF!pM|1C;i23+*$ z?Oi82HNfar%|x~REhnqrfOQ~&sVmXGld~L?hX<196BG7!W8$fZ=tx`d({f232!$u_ zD>ZvI@{ML+_H|mce_o^z*4*?qXV^!Bf;*}|T6K@)vdrNQbqXN z{@RGHAI$#}+ATuBkC;*Kv4Qs7`R6dgYpx66+AHw1s}g37bv_$UTQ&2}3O>f)qDZp^ zMggb`nw;jJx!D*6%bS~HI7~vn9EuXFK&BaAF3N|wgU1HhOYdF|KVt4rjvoeDhs zyw5<=5QkbQfuxGM=5yfkv+I6^O2|o&)4+;#EOHf)8BLUAr8ogBs<`HiH5^@cwY@>dwR> zmp|cNy3U46QC6FPx>YSG0zax#*`v# z6q8}%PN+{kUan6qs}nrnlY}H!P>##81d}Zw9USXYJlpZE%ePwEL8XbF-ph%1h?Uv_ zM{)Lf=_bV(mvm8WbSvO)5v9Z}7ah7GXHeUN!(Jl^8=$vU2rylAGJYbT$p7JH|8;dX z;eNN@)RUnLS@!`9{byi4m*QOMQm*{Bx10eVe20u+Xtk7bYHBJLTAz3Ufs+}#n}LA~ z<_#qxp2zfGio{tvinPGL2?ei%Je2>=S_T~lC5=6*r)q>CgCg+@?V*|Af1-np?at^! zzIF&QV@_ggx27F6VN}VLXuJf_X>nBkYQ=tx9WI!VOr7+aXrUMn+z0D}+`E3IL;?!6 z>(jgKNfCWk*ZohDmK@YdRn(6+IW}}NpAP)hVy#5+9GY>dt&AvojXwoJPst?p^g&(L zvQ$G?Kt@k)Pl*^0x@7So&1CkoYQfm+MkXhUJsujrORX6W8s1lrhHI))Fei3Rhb!L2Xc))(&VcSN)RX|m-m4sCL8V-MgW0WDEQ|Wo8KtLxTSyB)*6g(r#16Dxy^LH zv?iFSKUS<~@&VA42&94>pGVY4m$SNhDD_0}w@pa22ACScIDD@%vPz}&pH|9DEjgL{#d#VO` zm?YH~fUslT1mOlU~M zosZsRfG;H6Q$TU7r*pA*SqOPvmoQo8UtKIi{BGRq!R@=4)T{XE=(w{5RHH$1yoHAP&kw4#kQ{S0-e-q0`MbHG!hh%C?U!8! z*eWyYp||qS$lZ3oeutrKOX;Z|Qez|PfB0eMnn=W!;I~dTRMXrlw_1O5gzGGnVHB=D z{BV&GS?C>Xg|=;c$T~saUgNj}VnBhk6P$S{jh^T~@KnF&s4QXk{44yZHbQN9y;^3# zJwxzkD?Lgkc;CK#D{4_s&n)fCb8X}DK=JNFRl_f@$*?wniS?@w|L51M|MYuKvMBHM z3$w?-WyW_NXSRtynJvCo4fl>4R1&Rq{ie znlocRWR|3ieqL&-D@rNR5?Yx3{3?V@h%V)MnL&=Vd1CoslJz2)!qsmcPna1nq?`{S zm ztBhto9gnh7W>3LHO-}?ISyOr&UIEvJWL8Ljubcc(l65;!{BpyK-EyZmIg?)ucD9R0 zJ!<1;PT-C!*7`ZQbZ_C(M>X4N^`cHkPXh9R+6ihUN?PhypiJ5O!B^&n?2WjUf#;beh2S7|I&|8={m?TH3+o(qnBJoKYALUITR zB9@&L+MLv29G)=D#F>%mhB90od|)PgL@@s8j(;|a+RR7tdsf11PwnkX0P|Y5jQ{v; zn{ZR4iNbub9P;z#^Nz2j4vs|nrr%Hb{q3x~ba?8$_FUb!=h}lUQ(NyHQyXckY$V;6 zZ=!aNoELo@?l6%2i@d$Rsph8NUd*dz>4EpvNnE&qxR2d-?w{qg8S1tVB|3edSMHni zO>(&|hC5sDl6Lc+dSq*UBX};#68=Z$GtQ(YT&zQ%%EFcF-MRQ0X_$Sk)v+){=vapDpSyoTbplG zA|TE8Xf>m?dYACcn76mG+Gnl3jWe3|EX}(q_gx&!sSqW64y|%;cf}>3I3*)XVMvu9FL=qg&#noTK9!dVMmi1k!%!3oRgKsvQ8xh$EYbc`Y@dNcZ1B z>|^Qo_0Z|0lU{}zhSN)i6RJQjlunu87>G3kB8+s&E6K7Rx-j*9A55#v(a+WAB^G=K zjwWX=mSnqF*UOhafnnTyJNq_d0#~ox7ftMDa*1r6%@lGmO-C+%tVDA|=&d-cq(~us zJbTJ6Mc#9D4jmY!6g=vnFZwY4#o6=8Z4s!FlT%P|0SQ+1md}VkVDjA*m%vk3>Dyq?z4y3$TlSvK%iAdkz6MujI$TP)KqRGut=Mb#!tSPLf$Tw^l zp73AEq~^szqO1<;-{t`NIKBNKFu9;w7Z=n!bbG!?!Ko?3K?+f=KeRj3o2?aHv1V{ydIr~iIwxs1T zJueD3Q6!%I-Ty{n?OE5fDyuqXr%tv)z)r*A9LVNy!TgbE=y+4k3EB-9V0~C&!REDh z;b4WgiKdD01uj66*8r`|J%LYvHWiUoRz``S;ZMsK04GHQf~uv(`Fx|G5;c$wKa!&2 zbiM}~I{5!MKG03(Fq(be4H!`P%smNt`d^I9!1qO*^c7S^5GWK|HM8Wv;BVRODMbWR z`XUuAa4O>s*V+@TFK`R-w%t;!sIL7yXuH?gQ8>*T;n#rX;RW{MR}3bY7e8-*HrY*O zO&fAvC)~!HPk-~JUT9y%0%*%kM_&fI_sF<7t8`W9L512UKR{_vAhvd9_&g^$gMEdb4|9n!YYNblZOcE&pV$;6R=-4sxz>(?ZiShi=V8u+x{ z_A0KZs5}(+OpB>5L7I-bwy7x=8d`6O1BNzq`5t~G@tOa2WOhKa%YWVI1YGek&s;{NMd0Y1B*gIfu zE-VcHq;c-Ec?;@m{O8+N|9Mu^46>IsRc!^OKu7w2ocDVuMj}vg##_jjuADbL4hAOI z0u??F(9JvV`VM2@G64b;toT%lgA*~y_1%*3n#uoq4JIX~0%_@S+xC3sv}6ZJ%l;qF zl1EO@#MIE3AckfbIZ=d^i{Ax~w&(6rrvOW65TsW9 +#iU2jM5lo=?It(P~=}!X= z+jO7^ zSdw8E;OGS?+{t;ypd3^=J9xXO`@$SyZluX-A`JR>?mh%(iXV{Fk*Mo`K3+T?2-NP4 z_dM?4@H=#H9?0_|0By%9M9p!8Re=Oe0yyAQRhNh~|NrbsssMpe9)sNKE))i`@ugJ1t> zCbdFqLwKhJ*yjf1Ev|nK>ER=02xJV`U&43s^8X70w=}ah+_70 z<1tzBGF;BP(DGc>nS-2-(l3V+xU|=}Ap5?*QKp7pzPJIW0oSNa_w3F*AP@q7~ za4^x%qDvlHYsJgoSeAI4mz0+`-Mua7Lx+=-6Cz+!_!Y2cgEWz5fz$T6HS@w>=YW5V zN8`yhlby1I37a5ZD{=pEGzMYeA(~DtaWhnnwS2?7^_4& z?)vz{WGt}bsQmUeidhkgqt4@cXU?!~x4ki%7x;9|{IxTnG{jI?GNM#j-BajEV1Y^F zNH2PVTc~`6f)FSJWf}}8N^5IoU4Y!867~w|MVO=%RYN7&%?c!PO6V|ERT0T$JyH^2 z!9;3Opf9hxR?_3UIr3^0Z;1>~k)r}Wng@sAA+I*WtYke@u0g%A?lOv_Anh(hj8e)A z^l$#-lCTOP9$v1V$8K>jU#F(e9m<#7$gzX-fBDj#nHW>V3QmteBFWtPf~fg$KwDJ@ z^ue01Gcz)-ZDHy<|4?*$R7#5QwxH)1ZYL)0W|nn#$bO_Mv$_Sa8CNy^W_!YqwftQO zrVhtL{pT81B&FpDUy&)87lJ(^Z7gd-&c zn^92XRtHy5Kt@ISpG={S51eeP#LJ!Tlg2xJQet8l-VlKUsO-Df7V^$>RVIeQgWvqu4{CC;t1k_kvA|03Ya9(ZSQhT2)xyQf z`$5u zmGpmYDm)D9A>!oA-_?-bMw7*@|J$LR2L!ursjWej3Q)Y=AcQaIn65p+!;sejV7GJ{ zFYQpN7W?_%hX>z-|Beu$qAX!|E;T3TqH#+eKP+L~Qo_Ki`c3~l-#pz8n34o!GhGiH zm&35vmOt)C=$;%H8VY&-+2MmE3^Rc^>i@qqn0~;Ck_gSRKSKX=X*%pWX^JG0H-M$* z>c*#F^~Y2S-()=y^dHxp)}$B6ppmn3(4p7w2$^?nPq9Tq#>G!h$b?=_(M)MWmS8FI z{d%H`^#FCwGDHT+33OzD zJ|$P~`s*eTW0>M$n3FYYn%z-=)QXpfFFzyjDG<{eFHpAl5>xZgWb0;LPn7rl$mulE zeemtti4i{OS}52E_P)kr8&|w~az2c2ny&^??b-*d-_z>pL;*YXvvJ6&ac45~iOXBh zAmi&XKo1@bMYY?3;m{ns)5N-@vtHuC{QPA{sonM$%&n|6R8&+dx-6~GVpa^ZA{&@m z9(tSg&q2Q-HNozU&OrVoFn9c?Kbd+M%d|a7)}w{f3DO}>!hHTKQ<9cHd!ES*7qxW! z{JQZ67Z0K{nk*NdW?j&I$wFFL z@5F7Zct!a6`8jE!fQ>R8w*2d9EY4S{G#eJ?4_=X^;lUY{NL&3ZBI0`Psa8GhV5BB} z4y2_V(^=Lx8&h;#7^u@ajlJI=RSTC%*)(hY-Ecicq3n7+RP4xq_KO1ZMz0@ge*bw< z+n~zRrSA3X7|7({QO56CRahDPO|KqSy!Fh7(uce8)b|^-F zZAth?7({W6GBD{;&T*$)6I`|`Hq)q5gY(8!8*CZ>zuFiZN}ed=rUl*p1cB^&4u#-d zKbla|TAKV(0N4^Zzc862>l|P_DeLiWps1Kwk3Fftr-;KVDUMc6n1(X!IRF;!`+?@g z4PQts2$K26c?@-UuX_;D8ofX*%?L(7(EI3Q@ZIIz*_ek^Czd6lXMw4*x5RDyQKi|> zF9pMYqJRJhflzOu`^sx-(rP@6uHyFn{d{jfs_!F`Qb^J~_tgno7u0`Vnc1w%En;zn z*a2}A3CL+lujk6qdpIAhp6$Lspn`)Vx&4|^H+l6US}tEi)tk!aHY{v?iOa93|6q1% zWy2NB{CqXjG-M&-jnc9lcSBaLKNqx7ISqt#&GJj#;w+~~o%?P`*1X=qOp1IKA)-^E zoj@<>F zpNaD>0!3s%%Gn8$1UENlL;7PJ5FrXc(m%%h3d%j)$8=dyZzCi-Yqy(X=x zSNhm+gr?i*m5{38($V0Dx5PawVY@IAVI(0`Sk?!8}Y& zJOz#ob&3%V8?J5xcnRt=0BOpG@!A5t58o33Ocm8qYUo}Go0Rvqk6qb*$huqH7~C=) zmdJ-2F53D%MX$bS_JT=8yk&^fp(En!6(=;p3ED`)O5TdCqH~wu!}^2-F}lKlg9B8e ztD$Uw#nht%MjhfY1_X~TXS`oHe*-(PrE&kQ1o^zB+s_h2aWe^(N?FenCvAnG=> zS57Idnv_WlP^{mcpKbPa{m=`Tv1lMkzy@JkiBEe^8+>ici%XRAYCpGk1X3Po*4?m# z=2{&2KfPS13GK-8t!TN!SNW(PDSgo4==wu-}20p zB(k)y2yh`@QPR>XaK)h+S{;&nhBuG){m=KT3mvr#hTs|?TMGGx|HGDA!_fG#uF?ih zG^1#J;YY%DM*uJHX&{+6*EI}NJ|f|Am;lV2WH06z-ETD42IN;)N2j-FJ92a(DNzrZ z52Nl~r6n(L{~MqEKgO;*9_zM!XNzQp$W}x~Wt7!zCWMw`ONy)pvPZHKG$T+da5{pSs1Q@yMTt+SWc>`_uc760pof?aBxk@6!D% z+oD1Ui3+Ta5Ra_JxnO(Rb&ZLj;?>14TphmsxuF>#KNv>fcOg|c*8?f2F%Pc;iv6qo zEp|8LBa3L#3q z`kG}Gb^=+f{^ms?$$&^o;AvAKvX?;%dz`op-&2?zkcrM5dWehFy?eZYC(5F2K%P>X zZXlvg3ZL}R-V%JC6w3eC*Cb8wfJUlyd+w7ImWH(x{EK|(yYSzQ=a}~To4hY`4GeTP zpPJzIG`CO-yuWO1zmO6jjn6~fY! z?`i+*n}YPAe||7h+#jBb(#AJEhDTxV`s4ob4l!U2!jXxdl&jg8VU49X#*7GgE5YUb z5VBnJMO`2VsT%GgZc$OucO-GZ8BVAIP3m?q9X!7Nwvqnr9|<{xjY_sbWhOfIitc9pFjSGF-312G|uB*jvDqT*h%bs8mu7>7-Anq%7NEw1L ze6WpG0N(k9AS9{p%c;NapUs!@=NqN+yS0TD$$$R6M-z7#w(jrm?|&BZ#})io=K7Xs z*YiCsyw~sHHrU$k+ ze8?K#JOQTF_rrh?w1IQojBDQ(k=O{YmG{pSQ8-gBvW`-2thB#;sm*Qn`)~b(Jn;yK zmBGK)Tyqmt;Pd)iTg%{E-fM5k`j+)^W2x+aKQ6z-5|~ipM-3_R+5E3BW?V-24A%9D zODGCy9T@W5j-?I9Regzj1A?b1s7O`fR3777+)Jh=%_w2EDKI9a9nO4X{Cpi~`pc^M zXQA`^)W5q`C(D|y0eo6#NnTrw*>*m#fXvBiaLUoHp=&-|Ui#l(i8QsO!134GVFR1! zKek{AiZ=udOVl659IS6a_G_Lfl#E=cz^FZ4&YP@Kt{O@Q!Y+2OV)~Cy{I7pOb*IDw zkAPcTy!G9c4X}VbrlM|aV}q5#HmHFCF1cImI{(tmZ@hWWr!-F?LkOKF!QdbLAEm$q zo#x!SWJq)Ehmp$lz?}{woM+}2MpAamPMi z|Al&j`QWEdYE{+MWCf+dqxT~stfiJ(GhsXr$r^yZ-s8j7!2LfCAO&3`v@cLg4aC)d zbZG#`gSVO)&2FIBfp4c;(+haA6CwB5Tb*{H?`*ma+-CE;tALc+eMxon4_B3se)K#W zT7dt@>%#QlBhw5h;TuCX_s#@ydD`)H`t?bukfETw&yMZo)aHLH_WsgP#|T6@?n65W zIw6|>s8pm1uh)VC2oBaqYj3xjV*)a4m^e15nH5r0f>>n$=;Lm0%KYyq+TS)mv0Vahbklg)H86t#`=!SQ*ayBJyJe6(SHqb**z1A;{#43mf;19%W#%kZa)- z42LkgPZz7MelmmT6A`mI3=BtENU@JW49#Qk(4KcSXan=O^@qWJkO(|J*>iJq<4XaR zU>yHyC8nzu4WpX~=NoC9>aRhu6#w5x${>Uq^<Xq zYUR5qSqy6w7hRzo?5-OnWCH{{RL*N>sGE;+zX<9Y1<~*`BNiXw-b=%;&n-2C$FH=&xGr`q9fS zLj=@055ml0?>BC1P1e2g>F60#a_z6Rje}%b&v*v&*2)J`b#xw0fgNlYshb0&WqVzX z1>m6a%6lNo?&(4LqHN}Ma~_Szj4P(5CoW&UoZF7e%*e)(Fy+}palg&>9hJu*D_)AKB>zc{ddi?QGYnB#Oxl)BA`q}=so2H@gh0MKMXrh!E6YB7O; z)d-kCyaf>yif~3}6`p7`-d!fKmll#hyD`)ir7-(m{j3Q+U2xP1{neM_&bVUTcV-*_ zh;S<(#AcL-Y@C>wdBRQ9R5Z4jVR*4mJ86Bt*$Ie>Hair*_!vEq?vR2-uE%Sa29*Zd zZ3!7wYn~SytE@x?U-iiWYP2)}J^GjS){v9xbwy?Ay#?AFh8jF?iGi*{=fI-LWPquK z2@PibFJYp64qQ#UXJK9vRm7@Bt;ra~wD|is!e~n2^`9_5W>*!Q1)jBqpjA-T>?}SQaKwEI6W2f<&_}`K)v(n+ zJ6Pn0H3;4g!7(0{x_k{XGLBp?BPHs1YZ`d)4iZiS(}wSq80Tg<2L9$i6$^kz!HwJk z+bheC2Z444Vlxfwbp?86$_g(ld(mauEYy7h9*4N&nElqVNNRxT&cEtcWD&PPkM=O{ z?2A~COoXi~S+>4)jinBq+~SG3-)^|ZfL;8TKwnd1WmN4wcH-SX)=lBZK(aI0e!6a=pV(r zzKA?9q(n)3(ir4?qQc(3HRSZBA#%O_6=9%hIf${h?8rF`^UqTVB zSo|NL?k08_^F17OZM>^+Dy(}+38ECQ+Gc`j64EQZx{wiX*v*FKU?ANV@m@771KJB7 zXp$FA3V{-CX}BgXm^1ToVu5S`9z{U#R>>BGG#?1fj*Tw6$@lF$rHNeyJ*}~K3 z&-oCF0etAwpOWEQ&~;ioyr*QxF0AOr&O+Nu+Yr+lZw>|0Q29x_PO87F_V(i6*RdS+ zmomU71FDA)ITCb+;1Z@6sKEV5m-T8K05U39>8G4g!i=Ib>yB0u`0lHV-HP3- zSc;s8Vb}~0ARE1)fD=`vj-On1V*?vA z%dc-M1}kDsTJKfX2?$o8ipQWk(+uv==) zFUM_SzqcrR0MJ27)RFSOTI>yh!TQc@5XU1C4<}m<68SxuG%Dx(0x ziBW7(s;75*7n;&v*qu_m%M#NI@IMNbjV}kCRqBF!cHrPFK4@TR^+(bngz>I0lQIhS zRK3e89g8Bejh%P#ofWpy)zh;iqyvkdZ!wYLC?l5j8`-{CVLd$Wh^{beP?WeWj~Ff% zV9-eT@&fK5fSb-NgXNUR;QX<@k0LNZjW(1OP8{Y2!LaB~H2}%TUKE)7Aq$^ke^BLf z4hq92qJiv=8-W^<>kl5SQsA@JG&O7VER$4farcGj4FaMWJiz*9VM$%$-{C3qp9nb6 z2$TpLSW_DM`VQyO{9RV6N?0Hu*22tgTk7zxrZJcnHkL5HO-j!906*K>Z}>9}B&|I4 zFa}a*b=_4sJP0-+q+9;Tn#hNG;2+1MMi0!J+(RC*utKEmi!N3Kfbob!{p?LprM~Uj zHgxbWYfw`ikDp}p@l7np*UoCU$vrA`4<4#=!72rBRD_3qaun3f`qW2iT{M4rH#G6o zU$g+u^-yvgZrXpL&?yu7_L5rj>o3eF`*4a~ZTgsM??u5oJ$+OZ&)e;Om{>sTEYJ~0 zKxFv#%fW`pn^ii=I`ipzb;(^uMI3?ddZIV-HU z$F>UlB|2<(aq*-PtC7^&>a`!A%*oHB>^Q6ZmX^Xu_t(67YP1*J$C1c1J zxcV`F=m?|fm>R~|%&c#+(8G=L({s_9IkhNTbLDQh#?}I@DTT=5;e0-T?*9)K#ch;)A}Ci&&Tyf3#cab#y!`gke@952xFDNouy^rczyWv2jQo z^ZZ0PWpKhr20A*z}9B?>qxh>ebg~4h}bNynXB=_jgtC*4u}+&Z`8f5{1^D zoEA_L2jjT^uKW4p4eAbq;{r-rgE;lx{N6)Zeo$q0{W!hwY8s?F^nbr0+t+5yA^8K5 z#L_zzBHtH**c{rpyO|^^<6E~qd>qehgs6Ne5iZYq^?3RF!AHE7JkNd5zY<7_kWM&! zhr~#9fG>UqijPU|L1@doFNrW^O<-T%twvzd)l@hLP|BD&4BIM)^u3~nnLv5wyW?v{ z%3u=lpfN!s?4HOAVHjya8Gund3JRWFeaXDfz}5xzv}!IdP4`!{Zaf2+%0Rmi(B4WBHT{g2 zYl7d?<+ZR3@ea5Bktb+B5p9Hk@^TY(ZsPPv9CD#d(*zSC=CvZAiU6b`ecWw1m;Bc8iQi zJb`KEDhPs3IwG|YglSuo{Mzp*^nbjCR;8t^H4d`qtYuW8BX1>iMYnv+-U-Nq2@rH~ z0r^cwp0GvBf!&h!mLJPA3%oijRTWxVR2Sjg3HCh>HTCC_^fDIBL=r(hKAL40WiL|IP^Ux-;7{bg%c7fM z?P+5;$zl8;g~(nad>`?$^dWx!ng=55yxp)f>@(`RaG1@gI#_SMS@n6gA(VJDoYv`g z^^jD1wk6e22qYl0 zojIWbF)W(e0C|8^+vfK0F+6YC^mOPYxzjuZV@6>mgVgd0s`-;;6c+Acv%KG2hV$Pb{x!B^FBhe z8*I`It<@B8kxt76@&v|BrtR;{tz9W92!vF{hXpXFz35Qe1oDEZtMvXJa?*1^VqbzD zG$xYcaSF2cd2#e+?2Z2F=y~GUT^p|ibm?dk7gU)CgJm{I=L@Iz{?6j%sJTUlZT7bFq4luI?=FcP7pY)dk-7fH@*Uh zjG^dJWYJK7ThS(3A^nU>p;-Xv&m zOc}MN_QK*t&^#&48G$Rv2RG~$uDr{!)3eqP1Ej#9MUo#9oAl;a^LfL^fDq+d#Vo&o z2*k;w!3hCpG107xK)ybLX^{Zd#?0x#ApoQL0jH+?EY6<1c>r$b{S9r39Qc+A4J*)b zH4U7IT&3J*FW{;?3=kzu=zMK3dHHK&A8*4?=O|g|Y&$|Z4Osb}-Th&HuSkg4hP(|BEFS1z8T1Ja7kucf?0U<*V>Fy*v+DAbxQ-u+ z(uDIv$fK2~aTTP8Mc1V)WiiH@mZNY2Z0DOme!4(AbSNEzgo^sGFkZr>15x99MLs%K zJ=l8)5RAUd(oiiIkf)XSZzjm9_;ba+-K z`U*o0wb?MO#?s_OYFHhS;@UX~K&ZIPO|!Zv&(?i%ESe6zWkk;aexMF=c!kmkQ1{a+ zgDpScyJPqF8Qe9WKqs;XAbErV(S{0azD#Vx8AW&scT>qflI+~WSU*zLimUwNj-#pz z!?h$`Fkyn3J2a^F`EtfI)w;el*cf_8*6v%nPHDE_1HJxs*!d7PMkYzJc{r{8MNqBS z^x>VY!*JaF3n8@^n#hGB#%eO&(fXIZleV{(bt5Z>bP)avjn)Y`-CfyYo7VQ=L==eS z^Kj`oIY)ZjMs`N;B%%_#a zSjQ-ZD}<=hn8CgP{uJT%(+twBkZHH8kYfl+`FlWjG8>{W?Q|-Y$hHM{nD0Cd41h<- zxK*DjF$za2fD~&)SIqCUp^okgoUYC^K>s_xm|QG(6-1K;UPBY?9; zE{6G9z@l9O$OVEke%)hxNKEbbt^|tNAa@3WqD(C{dUN1wiIeJfXqNLU3 z+ez_GZi&J8n^qF7v#TrJtK@tRd)qt;8-A5b+=3xl;+GnsDues)vPkY*V^j;Gzl04h z#XtG7Ygh(Nf?${8e_pBRuDXr;C0fhmpL^L|-XD3%Ixgc{O#$VD`|9TdN1H-o+@9h2 z#pYDEz2LiRr(C4ys=xS2)c4La^i4ttQS)*KPtc&CP2@Fzdes}-d(o^FZaJhj3!3GI zxsrTjoQfOIlMx;Ob<-i75I?`Fh<1IZl&j!aZIp_;kCS<%vTyi&W2@>ng{hl^+O9%W zexcSQ`(J1nqMm<}Rq0sd&hzHN2?mP`^KxKX+MhR)@+&7LdU{eGE`19ER>vRICi)&v z5@rWdT#qCX#pVFql`0$1EBtbEIFsFg(AG5>MiyN)usREikt?i>lySwhgMeW9#1ylQ zEZP~4JN6kb1#Rgd*b88-Q=|$dZ$XdfwCjQ&bPwt}Eht(#S*!1?pX^$6Fsc)9C0NE8 z{bAO-mp@9=hOjy8G=L=iE!v>K*~`Pj)0371CEnEp`FUfNM0~PZ=yDIVw8p{kAL8)xKfXmAPNOwuXZ76s;o~JO^ z0hpPogk#H9<6!6Jz83y;wct3+jYEalAf@e03N0q`Y^7}$0m&ge7e(bg^iAeP*1Dv;k(d*c+ zN9_#Z{LLPm6nPn^HI38U;!+3AK8Y@p?QQVwLTK{aj0{y)kG`=*%|U0;G{stDFJg+@ z3-+cdM|s8U(e)h^MbK*WR+Ss25rh9>aalu5g)*ksz28xYYU!L=g>bGW$!6ov&wU;|Iy7PzR8T2hcxq1j{h^LDkLJgoZe*RMS=;140MCP#sytr9r)-L*` zS$^krfCTfM4C2qa$CL^|;n-K&16Y}$(KMd)!o);F+(@8ZxZodyD1Uo05d2Jws#e9; z?>SmPrYZb$TtNs063$liz-!Yza{c=qY%niO2-#X(gKO!~#rJ+5a^E?jR*X~GRnJTZ z_5DgyD?#!UEQg*xxOGt!%oIQfBu)<5W1@)~HdL<-i;HTr;MiP(AgXpjYgV5);U3KiImkk8;oiZAh=6{)F z_f4;7jBXs~sGGxnehS6<69xkZ4lFArvzS_h+k$y9n=%c&?QD-Si_}7`EX%JK@9e#5 zbUF(6T3fH%1A4yNl?fA-*?>7cHSl}nfV{IATka7797nKl%U~c^Yv}qxYzQPKxGoT;WMW- zBBacRBYgyke@!<{5z^7`+O@k_*o^)BP}rWO%3o>Gg4VTFRrdi}c=R<0UI55Nkn`W| zyrJ`yiM<|74-$*n&%HidHK+;O^m0d28i1FP-xe}FyN*a-`Ld^`!(p`cX&bSp18p7i z3+wzbvH2b^N;Ua6n$fpW;|^>qgN^)UslR_!1+^XkEq4s}az#iu0Et%(Oq(K`+V?lN zDcXL~Yv$Y&-W` z00!(Lp$Q3!CGBtBLY5&f{>}h9hxJ}q3VA+q<+jLxy~FbTWY zlOHl&#uzT%_IMtsgy{u_D>zdW+1TLD5!mXLvlB3pGH;&b+xhB18H=og%%`EbRk~&@ zJmPNh?BIIy!4L}l*{9Y2+20FzB6>mOUR@?iGtRs0|GOjEoS@if9wAnO+t5nZAB>HloVSfoTd}8Bc580_+;5O-PQ*bX6e)uW$!Z80eZsUH_#1p z*)J^+c>~Jf`NqfWa%Fh3pr~0#a)eViu z)Q1Wc&B=AS&g#!EkGqejQ?`ErhzWt=rVoZcAIiA=c`9e32ric!-LA7$s46L5>KE#N zTO)Y5eE}Y`DO`0^z`gs2l%5$%#|`+utz^UIUUmkII=KXS`Gbu__~gycnbYxca7>+m zWJx(^jLsGnw9Y2r5w6UAI93g?R^gYhOo*aIa?o68oP$YRkfBqI=WcX`A8Z|bWy@AL zlmXbNDH$TAcKOdI9 zQnqN2(2wO_)`;YH2(xGzIWg$^?VY*Fr}<%*RD*0Zwh6VMvy{dH2IiG2AANf0-0qh< z@U-~-5ZvbPb3C%$ePM{!8o|ClK$9CVL_No>nb;=!`Q=J}pAJ&x z0+1W9GNw~cD*4Nn(?N*pc}-)Z9o+~=c=Qh511zx##p2j9ENGV-xYDvCne)ELcJ~?H z{45%M^dN`jUZ(C0cXOY8yzS-v<;NR4anD1a(Nb0Sf z)ZRG7o~PVwnV*1$)?v>flg0^@O&r49WWn65ZixVze-WC^mFwIJzA(U9`pZ3^C{!DFlDOZe%aX>Q~YeKZb$ z?ZKjWanjIpOs{j$ls`|*lbw7`VcsGF`d&o^j}wYQyR_uDL)Urr4xtm-;^I_|kZJX! z{j3ka;*`!Tdn?;JLi3VgoDSL(e_3Bm1?s*G-8>rA<5Hrezeo1VnnuA_J7#E)2O%8k9sH?ssOk z8LvRvmpit@i}$sYG>Pe+thhY|xEwp%66d@B32Sk-$;jX;36?MO0rA@p2vEl%{@0o~|BAl6l3xbbBxM@SInDUp=7 z;fS;3A2WPM@WNjC|$2~p`uJLBNd3N zoTl8K)%nQP{lDn2F0(KdtT-5dm+hE!yVjsbD7XXSqQyRmewb=L*$qqs8Ge_HcoWIv zAvT-Ul@HZzG)JTE&EiMrk`;P?RCFp-ih8;I9HtkP2|=}3oOE;C6wYxGo3VwDf;zAGX5l-RyL#)TBbyFSA`o8cnLO6ZuhalHE>nZ=uh

MP}m zWBVR`(;g%#xDjLa(8`w_*B3Ak8Yt=OeWS52OrWun+9}=UA#DRsASLl;B4A>(VNL)6 zAXColYl;+wFhdsBJ*Hq%ZnSjKG$l95L(X-Zx0|{q0N2IZxWgoc`=E+3Z8u2ug;39r z`nNj!TXx?3>{kC43Dh;?OTc#MN3<{N1K=s1W;I6nHbkygaGJ&t(@hz$+n#@!J$rZ| zx2Q3RX(Y^L4YcTd&k@9N{D2=ZNgJimu#83>>YeCn38ZLcj;3(iU+uRv` z8lG;;3sq2?p7c^Zae|sc@ZDF-$)niSAeB}zNifzq2u!dVxOp+Pnq0ZIbsY6l1E}wy zXTEC_phCp?#NMb81yq=!w8?*Z1+3$TKHw&oSpl4ivXYVywZi#4Fv;NMQVOEdU^CKd z7lUSUV^%3|0i<;SMXY8-w3<2Yt8RV!zQPF;x+f)x{g|QaR92KL1TG%}g=}iRbG~s& zWEh2%=t8agN@I?>EtoLI3)O~+*J6(kS(G$p0^D}k5vBnkV{u=RkJZA$H=AMs4XMdH zBGw(+?*uBt-+yEiS=(ya76b<3;j&s69!^ z3nt3Q8^9SU`=!>a(~1LM!uf#!)xP8Wz89tiL3&?i^Jyc$h5b4qD1srx_MFJm51G(j zTp}zdqFouc`f>|O%tJ;c+wSI+5{{b7cj1%S8C+nCISuBT#To;%-R?G@P8C6w7Vml) zt~YpM)A`|MmW)A$NoyBhG!)}X*lHk=SgD3yok_SfH_;nYER_Ew+u}B~ehzD`-vn>Z z*iBnQN`=5(Ulb^Ke?W#>3dUyqiY?3gbrEH>q&BH8**Q$~%8ncm`KaD5!b#(hq>G7W zEV&?A+^^rL(TtGpb!0;1snV1h(%ydg&HCJ9FUb5fTW=3bY8%1*?^!Y~kWVn47Twf0vu1qn^gA7CvU2b+d z1rFDrkuTK@>k5l^I>74DjfxTq15t6`Kv25Lhv#C=BXTx+DWKgN!U11e^YX3?9~AR3 zLpZ2AKSGOLvmogv*EPon9t<@E5F$Q6!&-`nvE? z2jr1eKJ0-hx@v`li%ZwJft@%a@odLN+CYq8)XledrKbE`CQ3=-i;#ns+v2xw;?83l zzkrpWc^s!2ssd+OM?1wnBMs(BXbgd?pU0)TvuLj3_MIy{Xa@ra@yi#Fa`&G;?ll?s zIQOzmBtIeTA;+q|b$TJ}iwVO1;zA7$4K(Tp!V)Pu*@obO30|rY4>_CFETWraE<}5Y ze_eQ$JT+##$K@z1qr#=e?qvzITIV z(bS0oJ#FRl-K{Dc$H{iQ_>o{1aOTm5<_@7LCrJs2w?@4o=pH7$zC3ucQ7Nq*DV`WY z129fO`WYu|wC{g|K2(+AM zCu@1+m{;yl<(Y0|=9F5zl4quQS~wFr?fK6(Zd}LjvI=}H1o^0vvMDHrPo8wjzo2a+ z7%t00&&9#3jqh_Jzd;^*6No=?R+XEui63;@c4@kc&sPY! z^|qW9#)Bp)VZL{2`q==rd66-^iK_8w=S9UZ7}DC0_|k&>UAgL6M9qzo_ld# z=7Gz-gc}2p-q9pFO~#O#wt#UWx!!vpK~hm30pq5Ed2UE9Bk=Hvhumt4l)ma=mrq$B zJ6iFB-p^_RIwP2fX4aI96pkWs&f*H>na3K(@7+bEwORVC$E5(Vp2y=HE@tC4@VC(J& zP?0^OKAqT2u+R;p9>r@7L}S5s@i<3_3R1K;0mgG0eOq*wNzy(EthM9?^G6T8*%9dW zr#uX*Qp5|IR0bVnL0asoj+?dqK7oX~T>}BPz~Bhs{JI&X#G{VS*;>XX@&rGN z5@uXJKPGm$Cp=1(WayYr69Cl^nge32>dynUVYgUsZV zK~#ZQUW;tE{K(+XlyecYas6Wtk1aYo*Rp~1Li0XWLxBJq^mf#-+vCuWOR_0eU& z@T)v?y09H&8y638qsF?+jecQdwAQXfY-0gn3dq1uP@^S9--5|tgZ2t+HFn-}etn80 z6)GT9YdqJa-vNG|e>o5S_(y&+vweP-ujD*QIXU&{^TmqE`ZbFR7&8P{=k!{4z2FF_ zN)s}q;G)PQ9vEUacI8X30O4XcX@dnIg1S5xIiiq%j~IO|iB9iM-lmFS=!}j5bBRMIVn2}J^ukwBhCNid^7m~yygX6~KM_WgS zZh8a%S?j>44wU-RSj;#3h_d(sqAuaqJtBuC(F*kONT{X2*%?NPKdCs5=}kUpXIHW4 z>^XJOIp2V58B>M%Kpg-z<9OsU1>>~6rE6l`iW^NJC3{euN11kU7Jec9*G(RhG}9v` za?RRT;^ay2m0~J>v+rVDLIPxGM3`g23Zt_9`;-g)J-;VGe^{aX@$%4Hg;p3VUHZKI zyABwciXGBkx5wP{8e50+HM=~@;6A=k;E*1~Bw9UY^c)-h@L`|5{KZ5Y3{F&qg0lmx z+RZaiB0At?u4BV9IOx-dDIURb;E9w-q#Or$c_((uX>0kqb_)M^HC{9`Ni@CsHJ@RE z_x0jxpW!{?{ecG@$lJ)yQgD*d{0O-ngFi`3%E?JcM#RC(z#qWq=}^kgOH4>uQxWOG z&ag|X0B_f0XR1ImD>s^#QOyAX?=Bu(iX2~B@t6Giwewl$=gU`ST_b!y*dM+*@S^q8 zmoHx;=J#~2o{3jGxH2CKa}$d+ zUjyD9kJ$yhzaY86`mlFZg(MO(fUBL^RbAAPMse4Y&#O2&sjE>HfzO!R&r|i zP3k_qeDl9p&b`;bj8|MutAMVoFRR{Ymc?YZCgabp%ZXos4- z)1C9tf9z3~ra&z~brNH2+HIi@zwf8$aof3c+6x7|2nGiFV0mlWh7 z7apW?_sx`voGpzi#s1i>PeVwC+>)UrFotP}DRONdz&_{OAupuwXIN@o=?oDp0Q_Udea4yxYQ z1604fP#rviWvU7!M^N*4{^qYd~yF6HiGv&5pV!8pIk@Y2Cw&v;>cAviq?wk*|VO%E!@(;k!gd)WV2qEMmt zlVXoZQE*QSEd-^>@T>jDshLj3+av%Z3m-{)b&FgaOMD_7zHiSR%la0ChnocL&`3%? z8jBRmGzXz$Osb^7eL_0cqc;=)%NLR`d3DYllvTDrJH9e1xOX`Y`md})!*&AN+uLG7 zrvL@fe7ckHjK(U>5J3r$p2(dXd6xvG$+<#Iu}fQvbpurb+Qv|un_D>2|0Z78>xrfh zr-PAO1A=c=upu;<8uKbEEWvL)4%$C*5RaR z3$%+eN*c-dx}3-m_+IGLPto%r!#nphaAhD$RwC}L5BYRC-U1o&(gPnm*Mo! zPF+Mh)0Tr(e^6C}`jOr{WP==0b-NF?;(sY96G$$WN8Z$6jdL<^_)|X&kqL!)9MqG( zzohS_V4XL#&k=M4HCnCP$#Lz2Pjs!fJB`u8xiG&n{FIR5D2k>W6NG!YWUeb6;&vM) zBX_0}&tngw58CZ`5erMGLvC$ z^^^*$z)mO`^%|}&oL?JU1t+5&OxlT*nn=bDn!N9}*>Azn1s#6d`J+6^puykkA#OZN zMUlZ@vW+MI^nuMGtvmFj^bV6i+z0qJy5aqMj2n01izXZtv9aQ!}g0#p7|r0tCh)g59t0T>SeVer{r5O7Oy4d|3S3k{DG zC3OUcq55j^kyZW{^z7z0(1 zgLT`pO+Q!RxqViAmFDg*pL0($vpCLbI~Nd;ffo$F>%#PXXhfg6a8h(;6`E*o&S+nI z1!EDzw=}|ezZGL+ze=z^nR??9a=69g`YO0hS_d_&tEzf!qQRKKYle}g?%O45-}+Z4$D|ydnn{JN0FnA%l$OaWXWSIT-0~VEZ;kxq7{D z&9V7uh=6Lq;e%qU?~PnbJvtD37VPE(ccm@tX-<&>6Pp{~tg?wHP6VF2wVn5!P*tXf zD?g7a6cJ}^d&ZHJ_>DPxfVz`I*>;{IufDXrmDpcDH`y0_eb-9Gmpd-?gmh0LA4@lM zwaQwQsDqiU-Y!J*=!F%n zELh|Y#fSX>b7^4#rV|j-vlr13;48DoI^&6Z>#Q~`enmD`0fBlMzpDuxC`jOXP%_Mp zyqi0BUf)!zLy{jP#LSCtTbeUdK5%W`Ykt}uYEh%{vjKS1H*2QujRSs)(t4G zGAL9zyXqjOgb&rfad1N+>jbBtcEV5p{KLox1Cw!*rc-gdm_ghWf zRJeAz@?yTX5IkWBzEF!=>Q8p;T4MY>j*V_dbd<@CQm9nb0&!p!JWw0SHkJKTnSjiF zzMrAKt^)A?Csx0JusFPr%U0)hxVUZQ?3D;dC3VR$kp!qYSKyGQ4R@(`*Snn(EBhKj z&1X{$I^Tvk5YrJ$Mpk^!*U@ID-`wna5C^Yq*5NF;fB(TugH&C+0|)kMvV*ofhh)SM zs);z5Bx`7BVE;pEQnFS~Fm5cqwfY#!36u+fx-c_(pZ`8C6bb2uwQh~J8SkKK^@N(9 z?Gi9(fyinyzLg*5<3MPMclgfm%(PuXg*m1d`05|97EJ4kTuagg0P$lEj?*XS5>ZNng{F0e) zN7cy)wBpYszYqjOS|xX7VT3>{AE2P%?sCx*Nv(dEQ8;w{yF&d){FEu2L6ta%#1lKY zP|wHb@a5>>Mn0gXRSJMSX@>~=N$}%g zt9xXYaz9)ref=th(4c*5e%nw}pFBC^AO*9D2MkhMPwM;Y>XQHN)Qz0chh^kq$yQ3| z*gRo2Hypw*)}CG(op!c$IN0~N*H`($JkVx$MapZt$QrwB3SGO;F-AVVC{2>MT7XzV zL_k|a&3XDhp7**VWmxWRN>2(tNnp^Lz^yh$PrgUh74)jA%k_WW7 z$VwU9uDO6t<+FI>R@C7%lDxg!p6Rc)qwv}kN#SKFKsC1On)@#hQHz#!d^ys$<3rdo zO!HiVftj};GjGfTH4q&|NJic*tcX$SyYFi!iOdHF>Xe?flZ0eZANb#$F|)qlMS@k{ z+cuf-u+PlnuDdej>~og-x5B7lRPBK&5fQoiBw`sEsEL$FFB~9fUt(6oL?`QCI`AId zLJ6u@w?z=Urs`x?zk5yGGZgD9(QA-chspoeIPw(Ex{t^JLAW%!b0iT?6x`epj1hme zw|jenf+tx&KdW;f?8zc@QWT-dy4lYSTDS9yUWx~~Gj+4BTO4rXyNyF&6#P8K)xPrR z`vq5%=2s6M&^RK^uDm`J?3OwTJO;YpsQ^^#k$tRZf4^KfAGiZCkiZRoE`A28^9(G8 zultPlml92vDn`~`(P5XC?tH2yBOpK_@jn(0xX%_wrq1G)14FuzPtxxy&l<%yj5*(% zfh=52#ucN)M7Vcuq&*u=);R|&25>h~;tz|VaGC}b{d{+Xf)}P)6ZAkBhGcDx@kw$^ zWtn@M2*~rSV*zbQY4i<579aK3WLQ=N85+U;h4^c( zJ>LOIckLJVqu=V#VHJHML{lP!WKSqd>K08TIFx}Bu(IJli}m$+Vx}E(a; zh)nb&t#Wu7Y==XYXP%}4u`bUb zI)|E$$(s2-F`YWtUPBvyKI_68b~Bzb347UM{vFI>2aGboZIaPl5{miov%AwQ6DO&i ztaZ{aDwf>w>F0liolP>R87^wn9Gm(Ol2!53Eto9e@g_FGKn9T@A4#)jgjnw$4+spb z7{TSG9iOzg0Y(sznnP@P4IU(tg3pQG5%{A8-q3q&Tv{0AwM=vnGON|tj>d)r2xMX1IHIYsE-Th{KZAK6To#=G+ZcJS)KbUZluH{QA4Y1iuidu>S} zo9FRvLvTYiYi~kz$&TvQXR2d#;rnOM1qFB#S7JZd+670I8f8T3WER?flkhMk;qA0- zJP8F$^SzS|zTN<52s#27fd7PCw8TK33FeA;fz(p+sDLybMYf-5y0@hZqLpC0_pL5P z4TpyZIbjkjebeP^@L>fRInB(A{@a2L3WR(LJ>9D-*?MrLA!-aIDFplWSm*TmkbR|p z{1?V*iO6lPmn0YX4O2+k!((oBz6C6HHdea$nqv8OoUCSHwziCBA1DdMhf@JrG!<1R zm{H;P7h_CxF}bL3cqBu6x$~7FXQ#!^U7Cq9XhM$KgI4gP3WbQ~&8Z(%cu7t>EUp>D zH^g75EF92g@oqve=@K6mUz&DkL-*Wt0U-S4iC;zPMo_ShFPoco7D#k27((eQO7{~! z{v!}&Wg9PQ)f-=lW-7_Vv~|)p<2&hH{rE!N=X?=%yv@n=Y-ZLb;Il1b=B z6x=g}3~djTgS_Ym;B*w{A1lykCbrIl>tcKriRisa7-1sKFVrNz^E?fF?gxDOO+)qR z#cb=ZGCQd^jJOoWH%)HuN8`^yBOlS^=cv45;T5|jAN92OK}G4v3%e3ZJ5P=6(Ao@X z(c?zx&M<8e(|UFVf&^Qi#)i=tKsBZ6A`@vY%MzH>_s%PrZKol>>Yv+xt%BP6AIt$F zTbB@owjn_)<8g)msK0l?NRQVT2qKMlWKo{`&Z5a^o|n_;Q3e)~7i@bT?F zfKfxey>%!zDC6=;Oql2+9Efgh&l#E>z@Mb4Bk^GnGO%pdv$xNpR<<0J{pOJQaO}^g zf%$>|$Mwyic>)wZ!Omvj8AQeu6T@MHGPFLhIv+4{Ej*tC07JbjRcQdKxt!K(u5PX` zEx!-Qaw59d3W@A*8Wy{pf&?$T<=!UPL3pgg!rne9T?AdJ=X=2WN(mF@gS+q;>N2K> zPlsG9mEG<(3TK2GI8~7fECNCxC-x==u&PCg`{C~O!UK?Off^$g`_r)jWRe*fcXQ~| zUea|QLQM(Q=BHNiiN^-rSmz#iHkmAWvrt(ue<7ChuIVT3F|`)`rqnv8JZ+tsMGY8$=0I9mfyS#wQ&>;zk+jc0F`Ch^@8Vn1cgyh(nGjTvdh9=_S!&G^bl?Y63aI+ z(9(J>r1|TZrRk;7eBon?1(EwXAegOR%dvgx1T&GotKo3$5+jd8(2MRQZE7qQ1)DlF zB*^ttgH0w8rj!mIPTHB*ooNvYVjKR-;weQoCeRwV`sKVsMY)3!uQvAHxo;rmxrj!` z)hG{+lq)W5GlN4v7Q}FHoE0-tZa0_ga`|;q^{}hvQJ77Dg89Y0_}&mAT-{fFC}s9v z3AfX_pf6$Z6AcgnmnX|&SNHtqd8j|QBRwJ{QHL%uXf9+|IS2zY%!3;67fXCm(UlAL z($3Y_o-Y(^s?{OGpQJ;;bIE|n**lv>5j$0`hk*u=xhn8Xt~l1JS+qEpNu(c#8X^`h z^UA^^2rbb>>a;$C$aBg8DG3kpu?qW~iVtb8l>-`U<$&Q2=l5Tq-=EuCU3mFT_pa%P zOjeB*&ofN6UqP7IXK-_9oYpB)XZ$OP_{Re2hJ6rLVB zu_0fz{#U-wJPvL!l>7(EtGA|U-M|9<0Gdd=tN;QRcTcsywAIzgUU8FwD>mw3%+On{ z;tUw^+fDnyn{UCn4{Qo)C!D=f8i9Fyd!j=`?Ih3h#u1)Xn%YScEskJ4@;2!QT!w;Y zdkoBzot8#^xFVaWWvjxaLJf+COQ6}Z)_YSMdF&WAGjByKrB&J~`I6mg(`yDmm7h=! zz@UH9^}^KRjEWdgf>q6(jDc~xw-T;~Fqhp(dZ=mk0$g$gPZ}*u3PYND6e!r1;BXTd zxe7F$88)D^`^fkC2m!0K&UD)Jw1nTO_fT<`Sm8_T6yf43XXF|&oX&sYmhiHTq3(K? zOl0l5+v-nJTKm)UTC%&Do~$|dt?dKlOL&#z`=+|0tv2cjbb3RNkJKk?&5ar;EZ0ex z9(y1lJBvun-JfAhcZkglQZnR)^5J=3r5QHfzU+MDjoD5M3eE!9)F;njOK`w`97z#_ zuGUpko1_Zn7CL3w<}$xgxt7S1T(m<_`j1q~`bYH3axnxmknef6W;m%`272D3P>}Tl zurB2djA&Crz7gmB4>q(f9c^m?ZmwS{BWT~27k~RxPEhZ*U;4Z!Zg}b_#xH!DX3U>FsajiskT01Y%bkl zv6H8|8-AAOM?x@C;{yrS;oJCL-gh3S=7Ki-M#Bl7$Aw9rq@_PKh%Fxb6b;#gG8xee zCJ8hE+qGl`u5vM6$K)Ry(Qd1}x#W2c!_kU=pQTZ~wV^v4MZe(|Rc z!k7T}Ce4rVp;ZqP`%Lzo=18Fbdl76&$)tK+PfG4IwA@Wcn5Fip5$`_}17{)_-` zx$8c{;Cw>PwK%`qqQ3D6RfrgiYtsK(nw|~uP#;K{XIoL^aibKsPrjj3qxJi7U)u+x zBZq~as2h1YP>Km^Pz%}$Jw_bL0@%V|0y)x?<7#Lz9j4$!(#Th2ECog2r}3d#3926E zqxPdusbd&UDo3x%A4TyVa73PkbhcA1zVPCzkoh~TymzCa=e~))Fasllw=fEdNa!r6bnE~2y2Jm)t5V*{0x^iSCOyTq7Iw-)pD1?CdLV9In;8$U6ULrr8S0$F znWW%MPgPKho^40*KmdHFi&A5_K_Zv41;a5y(Fv=FUULq44M4LWy!|@o_Bf~M`s4j@ z{n~L?6<$8BcL3Q|x6#*i+l!83QYm6`i_$Vm;p6ZAR$uzG7yp6kNFc0&MU;`M`!L%I z6q$hM84b%xePAObzu%`*^5xX7 zTqp{~`ko$Q|`>_zv+aV41$-eb9tJQk~WT%96X~vvAs9) zbcB0B@9;o9uBt>L(7o3D5)CC(P`4-trS;C9hla_IWhs&&P}7UBwz`@oLglrcu(@>v z^u5D#OcOKj_SL@uIt%T^9}=m!GS@%_vh7-Lo@4Vl_PThr^`m*ESh;q%uaMF6SU8EI zz)IhNTm;2kInme?YKqtH^8NwI`)UI!!WefmfhCu`;>H|X+tXi$@R)d_DG{K|2u?9OA4_3Xkwr5}c-Fe7Z zby`kkzJ`d;Ez3b_*gnQRdAnAUiLA>vVUpsJ%@K=S;kr^IT3$=QLPo&+go92b?yv-W zO7W{1n}&?FTdflZH)k2v1EJtZa$XX_)ucBb!H?QqyOsvR=@{`&|XZR3m1ax(W|J4`B23>meu;t&*ZB8zc^OGe-m z4%J35AQ%`U0gs>E+?7?6&t%zEk|V>{Vwsv;VeO;>QxuC_d=}Ly zD3erpFdo4vfU3k~TaR2;CA5BsmyU5^2vDWQcuY(n9u|ldk=izbRU-lpU4($RMEGrLRr%H{7Dq0ZCMemoHvQS_sW5IYY)&7d6zKl>Ws zBks_>2HTywhx@9?X7&oqbw30g>Z@_NqJTD+;R2-!3WeET!lKms0*UOc2w{nMDA3V= zbHz8&TTDg1_gJ1%k9*F@TBcn!sThtsM^8V==-_9t&LmLL!W18l0EZOeZuL^fp-Vz) zpbirB9`Fu)Do2k>R$5gJm)Lwxw_Mkv*yMeH8dh7ozY%x*kzJE{vS7Dv`NL!>)^bMt zpVMauGuzrB5`f&vo5@F8*xK4wz)54Y$jc36@wuY=ycT~(eF1Y=XH!2b5dsJ522x!B zmGaFkHu~L6zvU}ngS#nj_OC`@C_<+ZO)kdzst;aQV!E0}8)QA#Agj| ziz3*S$H8r=T*}l*+>%6=uh$EU!$ZOGyXIv?o{$(J<+9Tui}zj9pgwBKGFP^S-tqg< zifKL$##emQM%SKX0#qb_ln`&L6wsnhm^u~i01H*irdLg$6mCB8)gMuaXb{n(-BX#K zeSX8Cmo2FbB-BoUh(bcC`~F>)7B-n}w~grt+-xJDaM7xO!4tyL9BX-(vQg~(<5$!H zWgu<>CIfhNcp(VLzw%qwfn7jd+k;`5KpV1Vn>k_s63yjHVIPH)f7b#F@_vl)Qf&5o zG31%17-iBK=Udx3sJSs7J%GLF6ixb7PAMd|E9rAgsnqCZi4E=cKfdsP{#2Y#aU>tl z!r(b@=7$gnn1elB*ekgp(>5?ve+36f;sI>OkL=OfVLtSIMB3=TFnb+{7w6haZ=tb_ zx?sV9f7xB2(=!YBAJn}iv_ZHQn3GwKkYy1BGOkmAT?mWvoJ&q4ggJ77NT&-_<+vKKWO+;JO0a9d@bvv-{O60JMymwso{r(gWp}_ z^7Hjym|PIOBxmQbcLni*8?6nO);Scob4Q*_7W?WS8~JdX(Ha)u_djlJWizYW3oD~# zBmnU7p8_5AKf^u^lHKpq1y35`Vm%Nn)JdX0wfrH*Xd4vo!Fu&>h^CAx9F8+Y8Q^AE zR@h$%bRph^ZQb@womE~U%!IM`Yt;Wx;Jm% zZi9_Niin)t$y>K>aS8|s_!`CX{g>C5#etC8Kt5SQ;uin1R{(|>4vX;MCbFIjQrgQ; zCCGsI!ART;zTnAj+DAdK{RI6NQ`lDSK|GKzVz~6*2do>Ty?_3SGXe{fFn(~$Z4nBp z;&}b~^`|Mfkc`s%A7X$_S^e(aW~-~3oA!fC-`n#{o$Hgv|J+Jq*|>-SV8ychC~$fH z@+f6Dxg&W16(e4}7`3!;7)(CaY9{o`kEd<&?xP=3AN|i;^!s>j?GZR{t?qPGR8?;# ztFGQHp4uZRE~iePzF9x8Z;E&0J0#Dko!$7EzflT%ww2JU|M`-h(jg^$@aV>?1DNSw zkf9JjFa$E4!-z2Fh6l97yYjbBH|8lg>`o%aUOLiK5`X%j|JzRwhlqd-yf15DP;o>6 zKohXje3#!v(V?&knb4YFje7Jsx+xoU7hqdJ=RdbA*5mhg6r4tYWED{7Zw;;2zg`hG zEcPr04=~^(nXF(#_|I2Q7|z!k*0I`J|8wrP@%E@yh`a$Q2X;hYCbmt-5&UPlgoK1> z9zDphUA+RsQGp?#@OU>TCns)Hl4WCgPbLWXuE6!#$MRnT_%2u+>;};SQ@||l{U_kV zljttC7eH5GXuAQzjHW}yJLIqAgF5g@kVQQ(S$cbF7#K>KCpIi-i-f;}=pic~~ zkRr&Vg-&|JUu#5_n~Xy1#+AEajB~HO)A@AI?|0_+$2bt3m8Auax1baLD#s8Uh(Nww zI{DUVjbbw$vk3>G{`uz>{x_ARZNgWRvK>*cK>^N#@~?jB&L_vl$#Q~l+Iz#pi} zmt|SC1x2NcjRzTS{u3C&>di?F{wcx!tO_RbFu{5-`4yP&Awd6qJPau`q6NfR&f^~) zkq3x_G(fK^1Z&p+HY^|6p!0jM@Zmgk z47#}GU5!#>{N83jNlI0y2jGPU)tAld-jb|3mZD%s^TV z-KK-%T{i!g{MoS4;MesGw!qCJ0AHZ~{Xxu3&499lz}?ayzw<>6&f-1b)e?Q&|Gbp= zw~6ZdH~1~gZTvg?HT=MGuBl$hH3Ok`oVv2vD|Ou5y^RlZ+#`P9-=7C$LEfKInlsM$ zm$Qf=A|Qe_&>k>FkSxw1ys#RivBPAb`DlnLI@k(X4?8`I+^vNn0Ck`H$XQZ;an`b? zBULwb50d!;dY1}P6pAK3{=XJ9!DQ>#O=sh}UEh;n%$&CC%JJ)95es|-Rq3rVe;Ba+ z@r>C0#4h9A0w{v@6BCTn6^iH9Ld^`2oea3hbcyvbc_ql6dp!rVxq!gkHtY zO2&3$PQLL4|M4qtw%9mGqCZ9i;vf}sA=Cg_ShFhjHS#% z*13On01t?lW#CaI2Sk^@l|KM5B#Y^Gc?9|-e&u!Y?0<7^fR2-p3X*!942(Lz@TxIRWIedn(_g<2_ugibI8mv`J zu<%)S0RH~zbpplucMf=A(dus)y}E!KuCA{S3l9%Z8c8HruUoxeF+ex_X`I9GkC;}? zi@<`*6(0cM|Mtjhon6}!Xm{UO?YUI!FcIeE!@jj}+L20Cb=PG#Kj=f2Jr?F?!r+<` zb$yCjoa9F0W&up1>Reuoh4tN^~Ohzmb5_-ovJ{Vea@3P&6*(qq*jHEUI;S|ePs;G`9+vc zy+u>I3D3Q@(94O4Z8b2R_w2N$;Q#UeUm^6vGL{>k!#YpurKDe%rx2Z5IV@u`tI zA-dUh3QJf1@||%cefxiX4oPSuf76FWeYN)CUL+?1;fTm-7KyU}{3~t?k=#MHEz2VH zfQeEAP?og~8XzH+SO{AEAOv*B3dZqjIdV$w{~C(_<09WM##Z?tV3(%Vkm+h6`s0?t zzOMuZ1_qyg{yH+1uzrtUUvWn`>eaIoECnTxM!1Kf`tN@a+jF96z`3J`h1DwG-#+mg z?W9;9nVYZOVRZAbjE4&2Op^M=;Y=b({ zCmxs`2)%(AbsD4*W3!+BAD-;Di#m*)GofxRC~{QoaAV2qhi_QieDl!lm3~^)T_f-B zZ!Bpi#>4Jpl=X}d`0xD71{7#=L}dEJbkM0r{Af_#8ndh*8LUJAY#GV&rng~8iyNLx zTjgrTZfb>PLmC)iEJ(chQ#4||ZvN*7<2zyoi$SDOq=R4iOglKV&4(LxjaRSU{ne}I zbU#~S?JWKoxR$@(10uxbUM^gLI&|wV#e&!kdtl-wv>_!4(3l}ITt3{qdm7S>B*9^Cl=>`d?bRwd>{ndv>H>xmfikT>QGcYZt3i6ZBVNV|S~ntEb+RczSF- zTm0kY+&$I{d2M-sgFGw#xe;3aELPNg08P`#1RSR^?27}oqnCrdMScaej*)R@BlLeT z#;JaAITi{8-aShHm*?@GAOJqaJWCppLEsri3- z7>!=oA{H$#*~z2-bqYt#7Oi+4rc1)bkpL71)G&6L7Q#kMj7$X};!_U(0;Ja-Al{v! zxYckP{<3Hb;*{gb+p@d?F@ECPp=6eSyu^Py^cH*2#hIHhMiY>_m3HCw2bZLzPeg0u z#o+a_RL_Aq;mrDTd8^StN{`RV{`0$G5yh-ul78tT08##hXvvByR5)}Ky)Pls^L$>3 z^hRa?S^ojCfrM?LerX}-Bj5>>1C){9h&Ll8w6T@Z$JdEZe~(Q#Z1}QE{cQ#z3ETJm z-&GtOp1KxyOXd029^Ac_xl+HbggH*#XIs{<50(JsYwt^2H2%ofaoZbIqw3`6&+D+( z!v^P9mBQkk7JP!^@+C=T2b%A>Z)e?ZV%5mO%IP?4e$Ea%Lbq_xG;#Tdj7unk2J#*yqM>d_zZOfq>B(LR6xxl_*VI8x&54kORK5H8_|(bRmczba)g zL%sl-X2`AzX^{?A*n%&Vg8_G>`G^{l5MXIdm}D& z-vQy+P(1MXDnV(N7C_cT#$Na-M2}I1?{Eyd*09B~U!Xl7_8tk@G*-L1dinT0|6$Yp zqJSTWFOydelGDwCz!e9S+V{b7^1&i9S$YV=O26KI13G0WM zLdKiyx}W5%99-|ubPc3KE0YM$-d%CstWWX}ufhTG!a00m&KmTXxNpxKIj!d zB5re)DnuxgibqgmXq?>a7Kpt{j#Q5X3qEg?_FTwEo=~oA`3qDZh-^e_$=QLZvt`wb z{q6~DW*fS*NKD0N+uY0pEuYU_OJCd*LNJ3fBjDx6Z&q1#O0U6e3Owa%00wcSD-H0e z4qG9Iw9A7_qhZg|;+k6Dw zc!W+yr)X#jWbbdS)$q3703|pnSUjn{3#U0+f$QdePzD%p4z$0%f;L40&5EOd5V{AL zKc1I{>W<&Ygv+V}x7DnC_FheIRQAF2894jIqX2py`P#uXv!_l_Je1o{?o!efx3y}j2GcVB5`q@`*V0zRvsW_ok^28D7RY) z8}qY*4Oq3UdLYG%tg=BBCR3?rZ=F#c6Is48V5zsdm}j+zX!!x6hy62=fR138zOiRxHmFZ7>>1YohwTM*(oS!HQ7#kN!K?0XNgd(0 zuOIyt25X#~tM7U_EvxW*15Cyk!3Ap$Aps>MmzxX-1q<;Q1@?O-0U9+gSq`&gcMuB&<CKuTzvcWS)9MGl8}0Ih=qK)N9qiIg2=IQjVsVVXC96MzNLg@G}r zqXJluimQj3m7W^%`}suLp%pZ99Hxl{R`<{t8w_3mJ3ckf5MiY~zSX_h1YYS{j2CEA zy?~ByJIAB{GI01rHh3M23U#Nnw7--`XsnE6go?WpG?>@Q^yTP(lWW-q2|VW@c(*(= zFI~cqBjc}NJvlKg13eue0gnyp#5#Q~@w7DoE;Ht}lacSD(fuHlrS;iKE`hnoLh`z0BBqwMzJMQ3R^;QlM+TvvB8>)aN! zgDQi{I36~832jq~2EPy3f?b#AP|(cWT5Le&aZBylT?RW~HbbeL1`1!_ir-?K-l;QOigXC#bvHK-yWRxfY z2lO=2b{A;Uw1c)v1Y&u-!S6vEGGIsIAy?&vRu7y6pm9G z@Fb#JJQvFU(zCj0>%d{hPibJb^XVI<;o8{v%x_zvW>%-G_aIQ_-^H%weeRXKkMvwr z8 zIiYh}`AMf_Kr+1n>Jf7@;qV#-%lF}I7>M+|1=odmh|?lnyY917tE2M01|)^q=7fv9 zKD@Y`jj(N@k7EUi?wX){<0A4ufUMyb@09GH0+TQ6uN=;2s-FNDxmH@+R$P66;5*#DD@))PwQVJk}7>`SODaAhnVT_ zUn-FuPr&Ii-j$!os1k?e|2If8Ry}XesS;2`%+qMirW!mWn&(ni2xqOmnN5Q6xtT3w zMZQ|)Eb#p{T(D>)=boI|r1pbsbw%vVv#}7nETeXGt;_PJql5N{V$7-Vj|4={9^L;! z95}`;u!Q{E4F`!oRUnHT$%M6q(eF1LCrc#OUu z@7>XR&q6kQYoYBJeQA+^55=90KIGUv<$Y%!6PTd5c5YHLX zx8R_O{JJFHx54b$V3h!QrZZ+Y5uX}jODq$4j>|=H(Z_2PSM#Gf(>?5exY4B)ppSu_ zGzmbo{*|VgA%%0>+Q?~_96%dIVFk-M%zJVzu@h+@g*r1iul`RjSWe$E2Y!S zZSJIp@c~9Gf~k-TtR;_4m@smr9C(QAu(`RpK~0g<&~B#lX5zt>r@PeOeibd_UB{rR zIKZXVS=cnY)Wsd$FelY8a~Oz^U%*l-f!Jcl3l~6uazuLor8mJA@o&*NywSasz!p46 za3z!zZPo3-;YGMm4mhpWr=w%3(5$}kfPnAYzZ`{^Rm40l{pGe=QJX`=BQQ|_D9FmBXE~Y)(n+C+4`@~( z>kGMAP9rNUDC1uH$f*YG*;mFZKE1Dac`2eIfKiIqw(%kz5y1Ggiu!F~xy!EX`v%(C zBg+B~;3^#t>Tkzbv1qp>?=klV^7`9h)b2jjpt4V7>m|XY*53uRU^UEYx*D!@+`yKD z-7I5Q2Jm1DK%%wu5tn+F>9fg-Uyb2XHq=@Vq~@=#jU&sJ$kB0fDyLuiv<43nI- z_Q|#bt-LKMh~2khab z@lW2L@*uL{Ku(E`)LRIoEcy+OJ+~JyIrgk&MT`Rbg&a{LE znx(Za!Lo`MF)fxA&bC$!xfy~|1V8f1waF+rBv`s|QF=6|S;=k&UQ*>;#~8FZ)lfg7 zb6ENOGgO&85FhRWin28+8`K_h=cw)2sggTOJc_`-rDFC1teqN3mSQ_?K)eV!lOZ_x z{)MyRjcWO}_m}3urSSYD^!5QifGN9!-6h_o5H|C+0hp~F<6zIMFPPs;08=^2q8+K& z@~pl1CF1a%tc4(xd-Ho%>evzhMQgy~nG>;#I>S4L92%f(s($(XrBKl8F&%rJl?=Q>v(zpFW|`P^$qy<4f#qLDB9^KqUkv)f$Gq zpKnX)a$7{&v}s0pb*|(0BZbuKS==IiT#CJcZ1^>L|gtQVp{>+eq<;RIJ*<@qCPGQyXEpmHg`Z_|+L+vKA}luKbS@GQl73CMuUnmyBoV2v~U+zU1#-=%YH+64Oz^?Q!SR|PDcO*4ra znpab3+g%WuL;Edp^q5X@D9}`uKZvI<%@=~}od{m=)8xI-L43o^J(G~Z84TX@If$1x zUm_gMG#QETnEp`d9AdI>=ofp34Sjl^Xr@SwmtWKP9t2iEj5VYQ$W-M#xTc~9U3v?$^Q6fna+zTF1hpGB4i>*K@;8iPQyA2>&=CmfBkquBPeyu~cY zeXQWHUBQ=7oc&HJ`vz^ZISvgj3jG@2w$L?Gb1=AUX zXBzc15SYc!^f34N?bS;Y#{UAZFv&f~vmC$ext&cg=;1|W?S^3gEc=+mlG#n&K8o42 zz6*V9Cj?nD%47PWLu9ihf6MnTr6JF2nabm7t*qF>B0NqOdgkMloDt3uNyE#-3Nx!J zDbjuFg)E4@->5rL2syJtG#g7nZq**@IXzU+RX26bH@ORFlNUdn4g;w4mEEwa6u+9H zcH65xgSHZMtPLYUhOM!FZ_&V>qBa`6Q8EUtBth8LApSzvjmZ~uHMnxu@Xo0@z05oC za$G`g`nsg*K;kbqBsMDJ+hjnf;=~$mUrv5HmFpZf#o+wz(5?rCMbSe$Xt3}8YVr=^qW4%CxF-7K7; z3#iq>c3zqc5qznotTgMnvr{rBN~;dcb+w8x?p0qlqS)(&OK=_w49ZmRT@}+c%=Y>mw&1IRx0VQ87I0 zB*6!!*dbi~36F--5tnfoQ*+S0=KGup6j)1hC>&Hch$`*MEVIB`ByX8g4Rbg1peRz- zD_Y19hhnU;|EOtmmfBKm-$jVPv{VZIIgoBNprK+1fqVYcSh!P%+`-+2DYxU)#nzXy zAkh(q5DMn=Eax#)_-1zn!=S}+d#(;J4*n`OtKMVdQc?OguiE;tGhPehGn}M&0tQc8 z+VHqiNq~$YcRGY(?01Gq(~(LFRUZ3q?IMjMd{pWIpTnaE1o0nRN209=D4w&-NyjSI zGPN_PHja={)r`V~a&-RdOOTRzbPnSCp{xhZ)7Oh4d3*BoiRdclKb8Pofg?+=f>-^v zr^Kpc+qUYl5TFGzn$t45uw>L7pycs?{e2`Tp)F+Yvgus$ZaS+hGX*N9mU?&Dw!2?O z+M-`U?rb6B2t1*h<2m?i0@;?7hmT&*^}X1Hm$K~;LsR|Z;B&p*I@Z|o98a*PP{5?4 zQa$C|$%D%Aqtjj6sVP^n8dz;gy6xN5z?@=`hL>eXNTUq&q|`uJf8z-ApIJ-ShNn#_gmUy%{G4OlXAc zV>sz_C;k1Uv5&lJMt&i)nX zS6l(SYHY{MB7O{n2j4|0n2JU77?Xp;9FWg<0L_+{s39do>m?3a_yh#crb|I>ssT{2 zp!AS2ZsuPCu^@-bAX|KZm26swNi&&U5ITpg1{Xx7NAQcFrB(wlqutN=OYi#9B%1@@ zUAdJ33QB{I+{BK7G?nUPoOp_{~i}1G~Kf z6LPE?mB8{uz4>gY@6M5_4v2<3bI(yFG;i9AXRw81hxc!)*OE2Yhf^m6`cO$3wmB?r zo-HF!>_=}%L}!WCjp~_SEgWw-Nm#@|?%y!-0ZPP5r=3Fs{w6x_lXa?`tT?r?=4suB z@0c)vpK4Q2!2VrFU-cZ!5dd=%5v69R(sqn?Hnq;(Y}ty}eA1JSbj?#oUA9w9u_P|c z-E;~__KsNs{8T{MJC$op&&TJa_kqxhPIMuQWaw&7=G{VgT`kt^k0q9Su_g%+^6D#uH;RS9kwp zThr7o8Bw$y4+6Ikef7hFG;i83TR2vF z!sIg7pp4hA8q>Gam$D?2bjt$AByCfDy{#ITyr$ltU|s_2Wg*aF2?n8p_Y=*eF4u?& zhl!-u=l7SX>RUF&01i${{sK|z&s9M(pERL|;Zr67B*OQ%o;!|%D*7$x!f?5bNx^{a zi)87qfWFvyje1rgSmHET+9LU`dES+@0vv>#D=&Y7&R}c7QaS-eRRA-$0)8?C3b2}-j9g?maVR*!*VvjZFju)k>l(dW z>lFJJw85Wt(7?vn@@+q&gpmvKq0ng^2?YXK0hosQk8Hw!YsPKDe{=`JSjDY&DxQ|; zqMNkbZ$pmC4-I~dvu*rokY#^L?l4#&j?(xL4xcSCv79AU07_hD-ed<4Y-v(}Dt+B@ z5dMWMs&#PFom8B+_rOi8AKiN*;~oGeyIU?4pD|pWo_rJeR0_~#o9HKF`<6r@e)m6$ zzoL2%l$mG1?b&}sB{jCK478g?u8ONE7&%MJm52q4w48msO967$c6>OMZdwULgiD8Y z?4d>Pi}6uE-L8yG#YN2Ij2*SD05OMtE3ZS(>|-bUVbUX<5w=~x@YDyYV$I?9r%%2= zj_|Ti@LcKy1&Qy)ob8t=@Fvqh8}`}Bde^G$0m9}&XF+nEcFyatUXp!}EeBOd;Aedc zmes+5eICx_#L%UTruowN;zoD-%a<>YjqOao#2G!qiS%ArA~u_h6CT)hYuu`TnS=b7 zyS&7q{QH<2eTfS77NXB2XFXocC)!Nu+%c$%#-g`ezV6pIRerKy|*a zA22`nprQDXljJL5#dFn{9<-UuP7=&{wrYg}PwCZ3s>J7OWfT^Y5wX4%*1yYUZz|h^ zA6-pZsYv7+(}AA1#CAwnxV(;!sU)U>4}xAqTQA7eQ^AXl^%fd0;?x5Fqckm*s6s5(t zq&mZeOiFRSO!pZ1g*DsSTnv34w3EAT-kzn?;WAneW6^-D+#j}D3RIE2td;tOc3D}h zR0)k)4}WA^bbNP%$NBz;Ap=K$|K+u^5a<264HlCoMG!TxPqPFGAFtzi#n#soN8Sd*o^cQBjs6etak z6nd{!A(c*WP@GMtA|4Zc}b zX|6_(IzkVC!D#spxpa0KXd4FZftrqh)unGlbR{d`Z(-6;B+pTk6zn}k)^YnHxC|12skb(bC}sh6H{8=H5Q+qJ9tO6h>{Kw)GX{M)gg zaswV>`3Z35*<&PNJVa0Boy7;zOCm~>SCx-}bQBwt)F7wIfePPAOha#>*k%nviFaR* zb03Yo2i*E|N@90j1`BvC;d3jMuJKRKXZW)JVxao`7%9Pdn(Ko3Kn}%pqX(9- zQ_M>6qkOMT7|9)!quN=oUb_h@3E~xR_}*~B>|pxj2+|-FP|x-elRA8D5+;68Q#O){ zc7@N}VAK6(c2~ct6Kh+E(Ub^FL>1_ZyM_N^kkcdCy6gN1*EYA0nMi+>Ok8T`dGL2Y zxSJZ0OR(c*wB#u;*~(4~mOUku#hhE)D!});rSC`AS5%!b#TXv>VvFM3W@SU2WnEa90Kb zNk*-MZR3J9J#IgX{F(Mu(S?Ab_G*5PoJBa@3y=_ce(gzZH z7QRY`Hr)XMkCNo2a^kFWU;4f}x{V&Kzo6$xk%#fAmd`VN;o3;n+Wa%a%fWHi`}zY^ zxSvbm6eo`I5z!e=nO>tuUZ|+q8|5$_P&=303?$HHrgbz)d$xSI<;K%Yt7aBiyk*M&IgTT5!lC)cVh&X-X zf+X++*+6Ja6v8IUgjKNvMq>#Cz%(T*AfInOsz8sIUS*@o63hiZk(XKoHajtY#@0}z z<1q%J(<`dLakw&2!@Fb+n%sKolbBMZ`wJUbooMHwHX=KFwNjDz!^=_Qp+Iy`fLNLG z(yv>-pVIZ8mb<*s%NJ&%ifJr#{Q7POO$Yyx*LipAW?;r8I9FyI7i;bZMM5Z;ZjFJgBI{vb9O~xPt_m9`#J&-=f^MoYQ`oYbvAD~yR0tK&fMpTArsmWOJj7iNo zxF8<^Sq9}SKPQ`Jt@x2Qb#O_-<)vgDKD`QVkfAbYDyzK9rT%D|11?F3M^>ie(|OPZZxyQSmTusqHwMHGLfWaYBqdn9RLy}4orUDKI zF?n|N8m3*2+ybGo^@;;AgK}w*R>{uY3=3r-Z-7)c3M@-c|nTpLG zQ{eD~#q+~4VmqjRzI@E+-nxGRSi^Bqdzmht0HH`D_u@K5XOnF^>k`}4SYa%4_$Rky z-;G=*>u13v7HDD*3X^mbohp4TdY#!6KwvAkqyIJlC_0Gl0cHigSx4n^_Z*EpKd*0Z z`G^9)ygG$}Ii|J^e;J%61HZbKP>3aI%i-1Y9WD9lM4pe@DK*zt>ddH8>3vyblsrr} zvQounA=%uO zxiQ;5VzDEMKsP%?c0UuLz7VX5WB*IdrxZ^bwZf4h7Jx;*9p^aHr&mH>;gj+}S02q- zU|L+3S?-heKzi^k)2%@lP3!G%8=sw4e^S@WOy^|8q^1LxA17f$=2vF}gDaaFEruqu zN6@8K1NJHo7T6cTs)n)01Nb=1E=Qh#k~+mH00tHe>eC4rwz$lDP#XFW`!Lg{!eneh z|M|K5R%PZnU!VyMg(9Bl_>;EyDeoR20@S%oonha5hrp((@x%GjX1q&ZxsNt@Rdhxc z_CozBgb;A|_MWI~pP4F(jr5u<1pyUv!?5LRp)2QiPAaO4O?0W!D&Iend7+|qcZ3(% zn23MA2*W|`bVKpOE@xg!LbE8YbbWHCMdE{q!(BU>U2O`~62gFCH|dhq&EabFEW)@)#Zm} zp(-^a$YrAv`eF^tnL;2GKO!mPj47~wstS?)ws{=wN zwt9U$nuN5^9nY|H_mzW5l@$<#VlfI#-=2ZzMxz!bRa>fY!LwAkN`8A`V`eaCIemTG zXiuqvjXTrlCogI3I(y^#Ku5*U=Zq9p=w`c2gM77oeDgWhTXgUGUsdy|?9=WISdsuf z5(nf7iIUXWFcp)b!SnQ6Qeq65_&@KNsIPXFA?Ml5K%MLz;zL1y@!3$?>GWwo`}sn! zYW2NYJU5&gD=8<+ldQ)j$O=4Q;O zdt|%}b~AuDy}ekgeXRqp9*37UnP-?<6$!u&Qv#ajrR&36 zUe!?wr8{MuR5D8DhFiur3HU$8EmD0BlpP~W_JYE%a_ZcMtO5LI_DgodK{Nut(-OTW z>1M$)N@=Y2eQ7CCY50CQ_oJE1okkPbP?bBen$P)ReJQLO{N*QxOefhT*UOAVC^IHu zmcW?xXc6ND2v2PgIZb?zw9+~Cu@^#jN!dp)02U;{sHD%A8EL9$!yO^Y$hb-DR8=WBK6T9+ zMDD+QzU_$u5w+wT&vW)JcyC# zhA7Ma#GL-n6U9rgw73UD6&Xgwp9j;g`Xik`7(Ctm3`FHm65w%p&bUS%780$`T$JdV z8x7>9cE-);do4RN{2GV~1EH!9q5(JTp5j6vB=2a-$^d*dNz3-z!R*=CTd(Zfi}M3lc4a67{V7lk5{cOzd*pRw zY*$ZOLS+;YW$M~TjI>a!8Q#@#HC`_oI+QkS{M5o{@Um#Wlw4GNQ^Cpk*uE=s9}*Qk zN-WwM_$ONH3X=f@F>-BxD8@&VV0Z1D`F6Hp39a^w5Cd!s!+c+l>B=#CvV)M@5ybP! zK{t81H^jP8SYe$Y(tiN~Bn(T-$OWcNnu^h22^%YPZAJ+ADw0aC9lyLK-tcV$kS!dj zTU)7X|KK|0&^NeeufRv1Zm`xyK+fLHg9!P9J)n3%Ojid$UH~9nV_d0$1Bu0mlrA*9 zzkL9l5PaK62`=M0M0oeI1>1XrYH2yyER5r$hjJldv7;>}sAnIUEL~p}U=t_@32!m| zXa##}K+?Nz_w&sug12&t}L_pOW>8g1qdB4_`@Nc zm>n@Pi(JNK!MfNd7x2pf|D~KjL}|VVrEcmO=BWd;T8x>!^r_UjQzLCPK+I=u@=6tc z1ikw0#eGEvJyfv{M6jpmKRfrG3wdruQHC{cFzZ zBx`eVB&p80<1cV4#=BIuR!diXGd>ZG#q@i3TbbH_hmEi*RG6m$Fodbil?gIrVV<){ z1UN(ckXxB(PxMnKR`VD1S8)3W{~UOO!Tg<#_sBU{9_9jc``|_ zhE3iOr>kN6LEx5irj{ug7XQbK#VDmI8Rl$UA`wO4U4-n3TiXSOQv_u4nrsD4UPzgF zq_Uk)WIvPaWY@MOQudN28e)Tuvl`Y5GQwWKjc=6=6QPvMp}i3*2(ob{i!hdHcKv>j zWa*rh-30U7DR)f>jRG?Vvpq}(DBMnd7~TH^cvqp|?niol-*(0BzAZ^kLw;kA0ox;9 zsa@p+g%75y1iZ9Py}U}Sonb7k6L|cw<*-Pa5Scyg+^17@!^5X))K+I1!4d>WD>Y*4 z9D|2GENzr8!c;m@Lt*HKyEia6->_WzNTcmt!k7ayt+BmlHl(or+-w3)u}Qxd1opOE zuG@d1`vrS_T%ri(Yx@X%ojw2z$5>3|(8!h(Vp^qu0Y!RP97&nbY~Gb~ci}$3 zUQJ-{ zaB~28K~Cf}tmmk9kH>uSDPV*`HIAG;o2pf0wps45HFfl^!%VL6LXYifCq9{GhGa2h z|5O9|Y(Q3>e^eZ#q^XNKJx!f6s8N55ZawNCx1kMJ|l1c~j!ZSlN){S`d5hFcA$by~RW_kFB@cDqoiDfa(-T?&e02li~-7E=z?LkWg5askG+D0-X-eg?M-(JtRxq0m6>D;5kA1}PV zMIZa~hAW-Wl=8Ko&USc&kidj6O_#uWypdts&`hd5Dtb?Rec&>Uf$%Cj^%QJ)miwc) zL_n94`j4EJsrn%_$D&)Bs!csXj?~p$hi-W_mWmAaaK#pP-x6IL32f~5*M2p`6FsB9 zyyp+g*ifIyM2dq`G;tt>V#WtYb#BvhuSQZ`_sK!;)zF$jyl|lmCS`Gh73b!9WnoD0 zf=k%4a&v#}80ZFy+q%M?`vRcikk)h~_f*TAwW^o;hISc$Qu&E^5-;ndWvghQUpeJ) zB`ON{@kvse+@RyM&@)9H0^Q*XP56(w5xSP9g_ifau<_DvVmgx-uE+LhhVuXc@djho zr_zXvU*7JBv1Qui0svj#7cq@>xaD*C{AspEUX8xbHiPG{Yvt*ZZRd)g0H_Fad&qeN zDqX)RQ^=trnoJjm_9BKh(#M{Z%HbOtORGvFsgsp1pHp@3WUy#*MDjeSg!lN zL$$pIrj;Ckd81;CRjG1{!@rqvPwabirpgB91E*jVqg`WSkE`2NczKLUfnqK6@`7yPhF%P| z#eH)RQ}7MZm#FTy{F;E!?tfV+j=J0)pOYPw*tB!sqXs8#$5yK#xz;to%W(=M=i?+e zXgbM7gP@i$_UkBOdGbYsq2Y3V$pOy%Bag(Y^V@v?+tk>bU@kmmRf=DGQhv>M#02?{ zjBu#T!{+rk_8M8D#^dc1vL3iOxZi79J8c9=W_Mvauk=2QBi=w~zVqVCH_orqfCEp? z(BQ``E>+I=QAzpI1EB&Fnx@%6gMl|gs64>8aB89-!>~LeSia;_sVl-)=Kb} zV#>+`AE7E+=c22+?ZKG*E?WuThlX9R?bt66IL@ zZC@?xB(40rU#54GfV$ox<78EqwPlCsE3(#FpozY*A2~Y! z(0^)bTv}A}T3P#T%zSFcy);}oIKfmyOLVZ}V>e7V9*lL|39NS|nt$j~c`otAb}dE? z1&EiH??@+oLYTyMq*`!CYvtYNb9|>VZz{zA2TT8#_SV%P<*JStAli^a8h_<@HICD} zvG`uaX5p69uXvR1HF=T$eI^(>Va!G+ycWw=Q#t&jCjpom&?0j^H`CC+w82aVq+kMw z>!HzlQ@4CXsVOm3UOdM6_WUWapx~wQ3@2~g3AG=r)DEer9}3!KOLT4B`_cQzHet$- z4I}3v4h6#~wJ<@L^6FFCS7&oyo+70?ClyWdE&#NDM8C;wyXPAxhx~*Xb4g}?F>nhq zjm2*bLM0K~`8`e3crQ~Z-7K#>7Pr|0)(2aQQaW*>sae~&N1Y1Kqe-+66(nC>v4*O& z2R=;0i=seoM*ZeQ&MmSNv;h~dFAy*yPZUHrXPt4cvZ2ieZ~&krY&5y z1n9cz$dJ&b6h-Pd^DoU-DUfU?gfc$pQ0UQvfOammB1p=K(u6ZWkko$~^ty!&w~qRK zD3FPS8M#c}e9#97!U4ZJ;S4dkqV1TaE+mr3X4#VG^b10-FQ#$7tB*z_OTKFQ2{d zI(rRFku;$e;L=SwE;8-7gi|g8tz&DxcOo34UQZuo7hXLB8pg|r3K(LSg69i^>)mm$(pi$oJ^IC{fX_gPS4l~^M- zL6@vg-2M?{8AQ*#V?^$0ufAo=Yu7h%fireaWgyE4&l^KR3o*tg08d9#u|_PG>d>*O zqw>EFfJha6*#Ov-<$VIAz-Of1MNGN<4V1knedvd0w*XTX+6imva3Z=x9nH#Knf;B> zgyKZ3KRk;E3Arw@$>wj2(9S1q2IT?JIi$(OJlsx{EcwGqD#SC&9kQme4?RTC;}G=s zKvc@k2>>5tOPDQ`vF68%DEB7bz+bk%)yOZmx19OeWY2!)OjIp8PVP`Eb&z#ZZD}_G zgaS>;faw-id46OR3Ay$(J|A4>4!tqGxs7YLXrdan4+3Ev62Ah`)*H6d0$Ly?&7q|{ z@CnvEMfU_x8ctT4rph0bk%u#ta0$3|ZMVg*7s19Yx3qL{h6it#(x0-#cOruyb($Id zvU0iUZg<~FpNYc@eGD8wRd;TFlZE2ynNnefT55~RTC?iWG$QIo<$D!(9}VG7LHUU( zZI#Y1kvddrv`W}QM-kW{^Otlk9I>KVKN(QQpYkn(w83<7bOAhYsEZj^H>bEZpg&H| zku`Y|Q47;E0`v^@!9;s6%~2<=&EZzs<}5@eiHCdj1K2G!U?TPfkhMhB@tY*23a(p< zul&T?*fOwjd#ndN9ul|S4|ET6EB4TTWb4NGv9qv684VDy8z+ffZvZKfWfPsg-l zSdoZXiP5>Nn+AyN;2uzv^*FnxGOHql zq2iAMiGFONlbthQXDuTSDwe5Xmr7ur_wqE%*J9hAt?=8064Qf(F|AefIf^&qj)GVcJ{Xjf^=8P zBNYtBL%jzIVlGtrSm6S_-h}za7f*NCAX?U80S!Os9CHw`k><)*)0P7jC&e~{cXEcq z=esw`qE|;C)h&#H3TC4DSKz*m->1=CHX!03q`b%oh1lVs2Y^!7;RdlVTTiB30tmvl3`- zKeo4B17i&~T|$mj&?b?<@$jiYrQ}Tj{z>;>)$|r7e}uVAwro+Jxi&mqXdORH#o)_S z?--(^nJpc&D0ia%x%9=)_J$EpU%VfPA`!BQK56g3WU>9ILgK)5ZwcrmN*>D3Sz5?Y z@}WRtkIDK^W1r5#ZV;^nkp04`bUXUc^WvMT!Rhtaz1$_JZZ})UlFSZ;YiACCNKDtX z{AY>w5B%*%m1!S~SzEYwzN8$!lnM8%2KYAFp3dNtTMdWQ->k&--l-X7yX6B>VRV#Kb%8ylCvx7B5S2q*%HMd{wiXrPew{@(7K#q(ormb zH3!ryju7uT^1y6A<2O^N54qRuhiF^7LTtG=p_2vAX0{cwbA*#3o8JBgi* zDO?K;+iF$Ok-OO}9NjDG`1QyTfXkj>=}cM9ykvt>#rbPS{7HR+5cQFi2cytMG^~4b zw~s(CmYioF<>h9rZB*kma4vIBW*2(`F8sMUGQfgyYc-^oXB{74uwl9L79Fp}!aKe< z_5htlq9L95no|SzDrf7$??7g%229cys8c8njxU8qS6%-=_*@e}2zAa(xTLKU!v*^u zS!_2;p0Wj7<(x6Az!TXnl_@2F`A|h12;t)ZF4sn^SFlGsT=A-qEH1nZP(g9v+<6CTNT2JI zsC5v#@dM`PdGEI_`Mi^Fo1D2r@af&xaR8Q576`pmy@q7|z*SzcjE`w&M$c7B~N?o%@;=8SHQo?+k3mdHB~f$gJ5L zN<7>x?S@Q$fLZ%$hbSX3r^5YdFH$WnKpR|%Sj8W15kVV0Vz42>$I{1!{faiL$fV^1 z$mU2i`QdhN*yJ$fi49@-KhkM~9}T36o4B4K!p#5AF*C&Wv?s=#1Q;w;drotMSSBeQ zjDGY;zzPsZGvxLST2xem{Ku1m`(qlhGF0l#UZ8P;@mcHy&8cFPXJgq9FCJ7b2h5s9 zN0b%8TO_u5@?K|I%w{hybAk#tY#fJCpVib_ExKoW-={(X1iq)AHCK zr<6UxMwM;^c-xkAqc*F4sPO#Rl<*HTk0)sI@{R}C=jJ4Zj^5qr@Ay@cAm596EncxK-746)GxJR7)0CqX*LJz~ zdgHMFkG1y>#Ik?i$Bo-gWQIi9QAS4gO^9qtWLL69RJI%Ktn5OzB4tZ5%O+dMPO>*y z;d@?2AgV*XwnT<2=seNB~>6214#UhEOx}bUl3U3x^P27bJo5 zZ~i(h9`NxqliCXj%ey?h(453E!m_iFY)8Y};ja0`dy^C97ZI9CrVk+b^+2@XK}s;o zvdA`jwD>f#p@y&%6OP?3p*R)VV9B60-fQ>6lxFD%6M7ZKC(2;-ilcK&EJFP@dI-$P z{jo|1`92_ysb|R~ubGPw>HUBjK6o#(;d;_?F*i;vo_XGED;a>8zb<%i8#rgbK1lE; zbjlN11x2jaB402F{n9NRSdDN7&6#}a*Sm#Xm-w@ssw+%L54YPa+}}mXlGf4DUM-WG z^aoXC%HHmbRwFW3X^Uy%yo~wW#-H@L>VftA-l=2$3CEDZP21}4u@dpV;G6FnGUg-*JEYAu3-?R*WC&q>f&9XnMEG<1c? zd$_4NGt%q%Dmj^{5CQ9yd5f>n&s`H59RHCnGI>PW(*QIAtx<&IB^leVc{!{AyBOXy zy2MBForrd7gmp@O;AUEUVqw6Jk2-=j-<;0tEVNpL$#4?DsF<79B;u5Xj!%4kO`|H%7cdjK7gG}y77i= z0L(gB5Ku+d#G_Ib?Uap6jA+EcwgJ(YXY%>5osl8X7eqc}jQ6T=Kc*r#7Y?+)I$@pL z;Xswj_*QKCotD6cDfQM{N7qfe5Rn^3)qST)P@U%ilhnQi1ziCgFERYAX-9Sxz) z&)T$HzVzLDmvOZ;w1r}ENx>&&GX&Yi-Y`1pYAC!~N$@oSShZEZxAb{Ac8=F5wN*#J1Amq3EL$ESLm1zg~I}krVAI($lfkzOBlY6BDxPm7VB)3#-Bk ziW#2xXSj5*y@p_K%Ja2j8*DaQKN6wVr0VMVo=_I&%lMvI0^(3^=(R%v1h!E(*M)l|;$~x7Wf*v_-UWV+?HXl}REx~A)!7&-O1cfoo z258f{U;39+e(q*W_n1b#=^1W>O0;tn`l-)=$8G3IeH};|-}}^bbKN1Sqzs(XexJC$ zsb_2wY$u;#JtiS>>8-)|7oqikC3<(_(B3~VSG|E`rfkm~zxAf7R#HAdq>gy^w6UUL z0FPqMAp(S}s0<>5-vg{oWv~SL_arfY!5M9e6_GuK=!Vc|%kDF7QLXamZAD~^Jsg`6 z%B5XJ7?}qAjW>3#l5x#E7nH@{ma~IONQ8vTLf6f?lesqrq>w(Td%b?+UuL%nyXt5r3SP}ra{%rAU`x0|hO__@u83kD4_*W8EDjynejqWlJJe@Bgj2e;{u)YsHK+|^AAZgv zh2t2Ekzz)eune?*51_J=kV~Tt`yDOOVuVQE7v%g@Y6DgF?K6>`2yTrn4T)iz@m%B-yl2k5UPV>~~X)t*DGWPFMNmT@ov|bWa zL~Ks03f8v`J}u;W+4V<0vuo5beGV9bu+MZu0QKt_&6SRGM_noi>lS&zC+g{gqpmeh zLL#QTuI|^y2WE5<*O08wts$U;OvHPexxNUXYDh8lpP;iz>v4t*o&@8ua1RHdBsB6q zh4UFBCyB@h0aVm*`8xr zy(#WL!vu5+l^XM0vTHyHt~%0?ri-^RzEH?J#%~YxufkFv)Qb*BY+)c`(oIZ#;;{G7 zZQnr_yP7NB(8c1K!LrDqRxwh?FU*YMqsI-`fMzICLNM-nUA{B};S4*?ggtgt0oTu& zmh}DDS&oBjk3l@UFM$dv6? z(w58%e$_d2rYLgEy(1C9H%F}#a$xvH-pu@w;HWcm92cUD20dZ2?4kuO61dU#2_e{4 zJ4dEnseGJ3<__>6vm_oss;q#n1lLFKn%~NVB6b1l;3rZjrQbhC(J2!ellXEvfF?5E zymgU`(-2QRCIJ{6DbeXHhKa;@2YSLqP{^tWg4xNw+!BC&72n?+kqsxJm3V2(0FZ7d zud$R(Ts7=C_+b)De~s`STehwV#CO;2LpdybS7MnnhngLG5~Ow$6=ERf`WHxBl&Ju3 z64AXoR{sSSGzqO*Cku4S<>*-x%K5KPcbc%+>O)B5>3Q0)+nr>!J-D}t8!i}m9n21@ z@=)*CVxpJb!tZY)D8sQMu0@CzmtdrTK|usQMQZAdarw|)kSBhMh$p^dZms_ z3kUKfj4m6~)>>iZ-n$l8N@4Kc|aZm=nx&p6#Ws#Rbj{pQ^09MITKSADdx`?ldH5*0GGu7JV4X8nPFIt8|JO?82m&1pe%}QeKEtx5VRDhGTCW%hrVUts4UoO zkL{sow5?x{bQJ(qG;&`>g103$Dc#!i4KHqFtxZvU+0=q`hKM#OxHZPHy8xMoq>nT8 zk7Zxh*`sxNvjSmD_Y9G`;seY_=w}{E$-O#eUM_#uV{7h#^uzn#F2GofJ8~4bfaIj# zU16WvZ`sF}NC>0myZY;_Cv1YUlF*(K^uhmJ2XvcL^j5S-ehlgBWO!TY>O*yq^U-C= zEfxQZ<(X78Z!SuzC z$;uJ*1D9_XTos|uw^ zueaHIZBuX&o@gxrlx{A#3;>}KWLaO5Y1|+I*s2I!XLvP#qkD9+GzKGUsN?&1IY;Yk zbHn2dMlg6PbtqOo`(*jZFQ94G0ioe4{qwZtv0uZ`*;A2rlU{=LxQX?W_hHY+L|aF* zAf?u0-dlVnKgImDR2o)i9^`Z|E0d=8gTTZ1l@=7U{^!_l4!`uK>mk}jfI9E<#;dos z(y@7v?Ypc}i_Dn*v5i2lU!NigES!gdpN{kV^+sePgO9P@tD%VXrm6Lm4g)pmCZUGj z(lLi$AYD!u+XEOpW%2#=Sl_QSIdi6lEAW#FZ;db9KA(*d{ya2 z-)y#QlF%!yQpzy{C0u>qQsq}JpfK!Dt_>!qMtvd;=hHs($GBG?oC)j^eI4WB4;yAX z^-Gx>jw`II6}H8vLtY^~vcl`i*fV9up4w|{n^D4ASvpp9sWc+#?E9I*NeL15@j*4F z-`V3d@UP8E|82a2If5YDq>t8mylLK;^;qfT$S||nHEQx6L*yD81M?1{ndR{WA8Ig} zEc340*8)3KJGWABS3^4nwIriO8aKyFpN5!EaA_t0TPm!CIcOdS+111$1W{89%N8gX zSxn?UnG3|*`)afM33%+F6g7fSb8U-%qqj$T>w1kt92@d5sre2oJ7Gw20Clxc%*9Xl zEG*`F0X0s7S@ALN2Wt7VrRjZWCc|^SEwDgMH4!m| z`KUM~^Yslx+QZ($E#Xd%U-)7{Eth^sDvOg|MG$-fSQ=_i+*N!>soJHS#LV|{vw8Lf zqO%X*Rn8y`^YNh^4+i}aZ>gvVM5z!-OP;f?(}L%Hy99gdr3Jg~(D7fGCLKc>S&-Eq z*p#=f_z?vhjm+%(4OX1JP=>O6G0jKk+Ga9n943?I82xE~hW;BO@Ga;QaHp!%Ls=gi8x8dzh@ z=c4cey{amYzfCYbr6`53K>cGiSc9sq^ZDQS2)hDzlj8^y@}b; z+b)I*lb=I40!MDTlHM6>j$Z(O#;;%VyJaU*y%hl2%BCiKv3qprTLl4ik1XkBj)@kk zQ3xa@jeV3d=6M=~Z(7h9_Ct3I{Xz5OmPg;HAfcvjPymzkOjvo$Gm#D zP*h(vuh5}_Su%m+KHl>#<8|3ZNm_};hSOE%vG{bWk3%pYbz`D(kCJY-F7}X$^qP>g z@x*ELNSQYtaoOHn@pp`Ab44a96}n&t z8#SOjX|^HMG5V=D4ZOwN`-?YrBl0Jqn zXo)ryyVGt`Z!hBdvhE1bzb}2j=HBN}KZhbT@&~TU%J0a7x^fB*7C%R_>_5#|S$ zyND!a9YA6NKHh?u%b(JwL(hkupew(R%=n?`J7G;tI*be$(A4zwXMi!t1yFZa8dP2E z$hffx#*7MOk3)91A20~m^u|PbyHH3`?kx2uJd&@Z=Ub!ra$g{#36Mu@?#O&ngm%I7 zt{|Z0?LS?SQQCcoOFB+|pMS1@&Q88ZMdJPw$JcViPP;v_u_^QA-AbkZ(xo!h{}2C582_&Me*9Qs^gfOT0KRL*W3 zr;a&?^xUnmkF^FPdjF=!aCkSHRO!3YI$uYla3>^WaU&2hB4jf=>4*7dKaA=}pLZHK z`kx`{=y+eHtuDz1eMA79L0saHTXumck8c%3!>3Q3sS(i{GfHyR25Lx;%g{%>s|Vj6 zy9ZTpKs)Gg)8nT)^2XpIwUM<~%lpW!Hwr6I2b^kX0b^$1j#PcFEPRW9P(kc%o~oUwv zk~2QyTwp$I+9hM8rfA(m-uJ(H``5vPQ5l`-d)T==*cEN0vJN0XveS6$BMbZ<=)zDW zWA55aKE2R1j)cRLutQ}cI~C<`lpB6PgyV0t+1*j~mLulT%|yd>qRdp{7$SQ5(IR@O zl82{3N#DI^9r}eNnE7Ex>W@QR83c`&251aQ4p(vlN4GI;7CJPMkIjOD=Wq#u zJ#I~pat6N4czbFHGDX&#v@&^wpMfHvy(}6d?bT0c0jvEX^Xj8XTedChf`0O)4-oT4 z!3$$BU%Nwfo2JN?bPk!Yn1Sxd0wP)tg%J*o1O1ZYcq?1W;JI5}APNg(?=nKJg?p>1 z2wpq_S`neZk3PH|1+5*&hk&eI4j4fgr=~38e+o&!v6uG)cTz}B2Cpm6WGRde=r$6( zGX1w5oS{fQKT;RQNN}_2L|n6of@`s2U=wtzblZ~y*GXC1ufBwOv}%6#wsfcMAq|U_ zS0rMRNj?+j^<7Xj>cAkbauxYz(UW|WZ(tQi~ zSf9nhonkxQKRS9A(3o&&%jJcb0xzLW6E+SN6(bdR)g6ZISsbf7$d2TwtmM}62UQq( z%l^D58LUiz`O!!{f!iD!-~A_LYQn|{mD9UZkkVf$eic)WlP5L`(6Ga^#7 zhMG`yawz$qDS6O09LS$SGZ$BQkwwNY#a>+f?$39D3rsS^%c&!T%t7He176$3W4JL} z@;4SbV-blVZ)d`Wt*KWHYf}~yX(?*b-T`-LLe%ciEc!jdzX$_Ek)&eSoJ1TB3tcg- zr(<5m6JE;%5Ka6_1tFcJYwj4X|8@CK7lGTNrfZ2m%i*-=yTS{bdTa8OF9wrK+H`h+ zjxNGTk8nQ*5w-P|S*9pb4dA&Y6=7m9A46y59*cnQ)4YI5875ta{Wz9rrIN+b{UHU? zV>;_%FtTK1M^*Qk!T%(s-SO=w6ilNzc6b8!qW1Q8dI^J!zbSlP6Emvkb?E;z*2x^l z=X%x(J~q{^IR_w2#1?u$B;SLkza1+>Ngqv%zvb%Svt2={UIQ8$XT?qfL7Jt^4<^Xt z+=%_SWnW<);^34Ug6QGEflubelH6}Pa}oVp+xx)*NKvIkIhez0xny(LI~4itlC!`E zB|_31*rf`v9#vI6z5MrY{zgQ1{~+@g4iHjk z5f&;U9JJ?JYtMrAe8eC$I_b<`TH(T*s1M#3W50A6fJ$~@5MZQW7;|90j5NmRFO4Fz zALgStDs#i}^p{`Ka;&<_q{3NVK$N1KIN@F(nq;YfQCykp0$v5HJeujiOl$HJ7&h~m zYyf#M32Z;5xNNT^?iK;e7>qV{jz%g1P(v*nty8(M^FQcLAo2a6M4v*LI+O{kGY0`P zr6y&S@K|^HavzbKyh{VRH-CRVUXWrRtJF;VUz{zkrJ%iW@OG0y{a!LC^T%3avulse z7_R(9F6C2sGIDs-|Dl37dSk_fX zSn+9HfSSAxDxGJC(fRP&l_;Gd9wpxxC{ZxE4PMgMAan=AyHCn{K>FLSLJb8-1`p_5 z5E6>JfaBCPC|1?dO!an7{{fm+!~zZ$fC$N*0iaAmf@->K=b;)N=%|K8`holyBIAo+ z3#;G3c~AFpWfElJ`5r!@-``{BSvpX`Q3@!y;Q#lecmMJ4{T@Gc!9?0?oEPt!>(pb! z*#7H2e1`j=y#n&WWBma_&@NWu2~H_7Rn38r{Q}7`4K67=K{D(NV!m?qh8h&swyClQaG?E-D5L!@S$pr)A!A>DBO@6eQ#vY z@!}$1z&oCd(7y;TISAzqgCFG#qhiGC5nKNTXf=b+ zjp9tf@WJ6^=Ie_XR>26>T`Bj6W$MzKtjOL1>^|xt3ji1$A)Bt|RRbadm0a}s88%TGLkj)an77sV+?GX1xaK1+rXgZbzbS^4K zuXL@^qo~A05cG2HA-Xo0Z3KF5Qj2NC<7byZL~f1EzM4fNl)=l$z6xxiFhCmn31^(a zXlevepyPg9aGW2rQ++C8fSb$q`=!F;gVdo2LU_mR*51794+dQLoIwEIP7rO?9t6F& zrx3U0r=pEm7(g5MJAC|a**uVx#@D~z^4RABfMrtrhC?p#0g=~P@Ocno57K9DkY@jD zk{yHtM=GU^xf%86A*d7CZ@+zc|4G#z>u)Fapq~>NIDQto2?-rzw7D^`9S$V+mwM{s zFb8BkkNFi`q4@Hwr&3WeKvnPfmcbgO6$Qh)mFXUik@^Vr%TZl?P#I)kQU0p%vlUaB z<25K?M)U+AI4Z%^fC9IA=cb{E0hhwb>ZaPg`$Sa8qAfD46m&jea4e3`+xKG%awDmz zGAT6_opp#09#NHLM(#!7IVrcF>4^OkXarGYA!Bt#m{s^ku>M85MxG)sbj4#MEs4{A zVrwn=;8x$YS2?PG9s>MouoOrBG1Z}+Gz?6U{|quoXW=Rti6d9Z+=vIF_E8XCk@aY< zECsRhv*23yE#9d40vwUYJ0F?~KKYp(YB-9j-q0nVhYZIGi8!^pY}=MveI=k^BZ~3Cyv8MC!c|}Yd{+1sniv94B#tkda_3a-{6m5bfPokEr|5T8-uLp2 zCA&thg(gB5z6q>YmVzLJZdY)KxwOLn`Qoh_-bXeHXwz#f;qX`kiNUB4BqR?tyW$W_ zibV=CK-5JN4Kshay5DIet+Z1XxUXd+77@^daR3M(3LK*(BNoej3Vc#Arcp_1b4l9; zxhP26FwKj7&$5)ESq2^rb2_>J^AXrfwLk(&hWZtuFtb9I`?OpJz4=F=sbPf-gD#xG`BC;r!LciHDoo)xQNV}Dq#``XSu~y!^*z7G zE(A7Y5q8{nU{K4D0kiu8q|Gt>Em#u|hdQkm1f-auITb$9R_ZpnmIqNNVYCP4De{N~ z(BBmqN(+H_sOS$o|3jwyj}Z|3rzzfJYVIAgTi6cV_kaDL9>_#{Dhtru$t*SzLH+-T ziGf6(SE7;BkrZAAygkHN;U;V+WA01-?7E7un`@8~?J{YK&_Abk?@0dl@1d#S`YU)> zr~UW;`u%r;G`xX_y<;#2;EK^6dip<|WI>xQq`fc3eA#j1-YuuUKskN&q?HlV?~Ban z4clumtzzj9~X+I=|gg@VBGa--qv?Qh4vW!w8L!SVHFyMDkyM$onpF zD75j2iUCwia{sTN-ujCQbZ$qR*I^#@c$Y+2aT!D8nJ)i%@~ThlVRNMTO=Zip?GbhX zCfEp&Qc_6k!?+_rc|`&GxY*02FaJM2dkhI&Cd0U_f5_Q?%9EX6vC0n?PQKk)sso&6 z-{#Z~Rm1C$_`{uXLHT&pA&tY`583uFsrN&iX9DHCdaLJMvVY!4k}L0BSWjVDD3?}~ z%Y8J0yoOXILrCCk-T+A}0X>5S5Z7Fr+mJ`D0idk~6Pcvjz|yig)>G=fc2ldT;!pYd z@5}I3IfMupAqfU>W4o^-utKkiux9}+Az72`ymQ)&H$Ha%T){FH#Le}qe>Uf#_fp18 zen=HMnv4HWklA^K525M`wpg0VQ1(>1#*IVF!jOg9k2&O;%#`e_-8p6@|+y#;x=zI_8X&ojTbpseLfgu7Rz4aK&+%HCfbHYoD@S&t)z#)U|vc|%B`X^ zg#YqdZI0o;pX&Ed0vQa^jRSwN^N5Pbd1!7?e*ypl8961mL7HBJQFMLGVRql={-2BIEC(p7q=1Itzh&^w+six#KmuvfVV>aBH8!;|sIC+C zS~xi9n0x3#^*QVC6PzqZ3%KG|+JqUOR*DC*ImOmg5N!cDk{ww`078#m{*8fCur{Ic zN|fO&W2y?^L6_AIQvO4XgZMA+hUCcn{&{~N&z&U1oL&a|I*5f{ zw%dwb+X&=r7Wk-7p+tD_YinVoqBz~p^sh%$#(_p3+rat#hOuxOQ3YO|&uEqZZKroG zZ7L7iQ|XEV2PKs4brc$77yL$kH?ox8PO*dPmKPQ3s6K~uEXFn(h6?BWtbI=sK~X4u ztrcbbsS44XK-xP2PsD%+wxWoH%&+c8gTGJYpWo}JT?LRqZu^TM!Vzgi#)}FX@%aCs zAc`qauhq7j_x$;5|My=7sbDWCQzXVJ0rVjcqW|30uKRxw6N?s8pPMa^DlO{z{sS}r zVzFDZMT(;kesC!L))#;eh$5fVCj~b>PT*wRNf__`2YdT-RQ7&_rYGbvD$*?k6Dgqo z3QwydlxOH|w4j_896PzIe7tjh=F;HDH)0h1`oAF+J3nU&F$9MYsx?h)*?+eG_csfjE-t)|D;SH!$0~KaS>>jMgfPw>(S_P;H)PIHDs~ci zK1*=9cK)>h_SItNQT}K79;?}bF3!J>@qd4J%nF|8 z+6e9NtOk8GXrPYM}A8!8}Is{#w2|Mly^=c>?vlyvyY{nQg} z3(CE(;7Ta??~kLgyqUe7Vg|S0YbvE`E~KUR8x=U~i4`q}{n}{AQ0gWSaPS!bZiovE z3uKD`VLyq$;UNMCZK(Di!0+VnCxsx4HWa9)`w|OfHm5`EQVQqMJ8o8oDrx7DbXDj5 z^Cz_~LKS*}k?!k`_xyhspnsmvJPmUxYyZdXH`4#Zy^bD?;Bikb>QAvj-`Fl$84&R7 zD-F&kyY>yW4Qt*A|5w!En;gascxh&Ud?jov@54HOPQ^dJDtoi<^O+5Ys_g_Z6(=)d zbcJ{%PqY6y0q_9M!K)DacE$9szmGUd9U}$>CWXI>1n&Z(Uq~Ab{e3-@nh|;au$foC zIzP;&{zAf`=WXYSt$k?G;wO~)NSVylNzI!lq~|=fQX5RxHv_xLW`ITtfUUuB#Jj%R zQBZxBIkQWD1zt2L;gtek@8s(=kXKzvsIC#}G@!IyPUk&-MEU6*TqwF2Dz7|JY2bC3 zP(a6P^N0V&;8jqqfRd~DG9)*iykx$tvn|{=|0d)6*!ZGS4}rifAEczR{F1Ks@Pko< zF3_J_t9<{UrGH-1U%yIvPzEfFk+j!uiF?2*d%ebZYk{^FR%TtDo*VrML`mroSGdFl z1rPi_Pr!G98Dxuhyx#toL(`LxpEA2)Ure<=nwjp|3puB89 zYlN+Tfk#j>EF$SObx(SgB2s<4SN!tgAf1=OapS@JqC)G}X%? zW9w_szfo+KbWi&@$*;90uW(>F;PI@2#yg!-b*fRr*T$|MCZS(b`pF-IW8D`XNq_=- z0-2D-qY>0Vr*sZ)v5_cK(#OM<38c^54N`hgrMlh*0x!?H%zHiRKew^X!dtX$$a9;z z^VYQmR4L3Z1)$#}{{T-%83w9`QQ61;xxlb&+xZreVSx>a5JY%v6*oJ>zL;%!<=OVi z_ExL}DAHARtr6dtdNNxu&Tts^^|)L;cnZdz5Ih&Oq!_@j@w)M|`CTJ0$oNe3{}K=x z?!T|njp-&m^mXNeN1|<-pYq)DFpS6)fjX58B+Hw~KoEwH+R3T8Fvo{W>g`9(=Y|nF zoCx4k)vpBz#SOX~Vmr!HJ6Dz53qg5rG|>FH(5=dFp_k~@U4L&IILlz{x!e-JCpSy; z=5@UAoQkMh+T4OGiTGB72+reQ zzhP|)WE21RJ)%qVvF9_DM&7K~=g{$nsb@}}Lz^yTLN9n@tb<-al<;J0WQ?ez!V<|K zNF(vS>%FO*R5`rmmP2qAW*8>`!wwwJC*t(udilCeV68yq9nltM<81`N395r#WP3Gh z`fCps9d5G))HaNas&IQsNZ6#>+}9RrVNU5n$fF}pxBTY-L-ho*)rJTVx&DzTCwKxD zyKdX^(0!o7zL#~W)M-2zS-e5KyY=sr0xEnF+JBmbKEuG55k{_DGeg$EFyb@10s=j) zSQt55>7Ns+`Yho7T~#%;o|Tb;hzf#@9Ve(8Z{ zmca}NrcGzV#C}&js8&6AD^5oZ*MG~PB&A>tY5lCKRF3yDvU)AK*~J@{y0M9D8$RK~AL87Z&=LME^Zyr~M%XD(3{yLz{hC;A- zf4fb;C>#Ld$9)f~u86X?|C3h6*)n$*OmYAbsm#7wyLC3Z^Q}MIRWbk> z^C!T+DHO5Ffw7uDITE6y?8;6sgDK*~o{Gu^8wQ#SJwOmmh5;?IA&@>W_L+uWR~J4) zft`5U39#Ahd-#Bavz>lf7tv}<1{8KixNP5@k~|L+QYti_4g3+BO}{44NNMj$`I{2) z3j*IYufG!N9>}Ll|0g)QVt-7YqI2b1YErh%d&#tsEO4t-#7*khv!*bVxe%D>oO9YP z2L9m{fiZZ5clmADp1JafK4mMdcoe>2c3QHuBH##E^M!sZIDmbxWtc+aaB=)4>zeP( zf;s%+(3~M&RaIxRFC&0PC=q8bY@I;D(*phX8@X>FFhcM;f&ld@cfi#AYTbBRwu;oL zHcOv@oG-Upi*63icRRLtc6&|HUfPi7xpc*c7e>KYpg7@9I0Qe*y;7 z3XUissj+#iFVz;7TJBV;>c@=_|5#^GOPh~!+AZ#F0k zJRF~aP^cnmcrm=T+N@Azj^sxzm)E4O(aq6@7kOk;V!uKT82pre`}2`*Mg_|FJ8T6i z5KaTP%WMwxp|lZ!MMRwlnNocD-oC>(fGJN*JtAj=OpX!TOL^XOBtfXfO2yH8GL&) z7#Rov8iom&SP204tMdq@9w{AJx^uRT<2=$HCN1P;U894akHJNxvk;ne^CHDTz-x&t zc1EC3QEO#gsq7Lw^(q|py!9{VT0CvGtx9G&ni}fjRL|epEoAQ4U~gipY%V2ynkDTic3h1 zQSu+Rk1LLEwL1bB#Wer}C&QiNXRwF&u3)LBnQ~NF?OH9bL&Aus9+bsB2o}ltRvAh~ zNf9rAISF*gV8{x{H%4hY1>N=}}N956_HsAY)ZFLeNgCYBAyPQ?7y}xqn({`2x(K`!6u)W4T642Kw6 zBrafaoqlnv1z}P`Q&C98qsw<4R}F32zM1d|Gyo zg!US|I|1OKw>+7j=e*PJ@)ESuWguUlstrFGHLY549NK2uYFJ}M@G$Akado(w8a+Mc zGCKu%`yoQl+PnGbkcbsbOrIW?*LoSxeo|?~`f9BY%%+4F>@sd`0*Nr8QwExK#^xaB z-d}MHT{-4~2p$YI4Q*!eo{j<)!vQN9W;LAa)%D=M?gml^z&BNm&Oe{G_d?0vAZsGY zpk28DrF4|etzmzWIw+1135XWE$IE}p%bh9I0Y>M-{{b9&afsQVu3y9DpQ1%Q8S@ds z7+w5bWLE)ScXrJSB=?UBCVeNTzap2Fu3oH z`B;!CmL?bySTCnO$4ynwt={oL##5W`PT66}%^8t}?mI6T{i9ArZ*1qRu$xFQ zX_354s%*ol6;|AoK|SOpuy7wwL1#lzwGhj9QB`rD3*faSI)^kJvB2Vx2Qqc1@T&*d zRCQ%ffP5i{ON@K&@###QbS&mRjt3x}Whd|2bK|3ZHL zTY#aTxFKfE{G{*45}sH<|aF~y|;yLRYnZou}m)&Gc`w z(V)^?+R&~ext!P(c5&2044b%k5egXTWQcX=#JUb}`dv`7G}T)+63`4^V`I1K9yRk+ z%DjDm^S~F*cv1N9Mn?El_dEG=!c7>CJlETp?3{5T{kR3}ZBYF*T7p60qaV2Wh&e|Z zJR8~j-q`nuo_4%yPIotExAu+|F&CcDd3mN!H;*ueg)WAcb|!9(;oxC^27v*sH-yVJ z8pnT#l@W~IkkHmiTo`Q$IeA{We$#0f+ts7iZhdoAY1mbjcMz{6^-6Rs_0E%E4kHE` zGGnx5^m1nU$q0j+MBx4N2yqC5g?a&MP7UDO*6YPnUcjSM#1pXTNDIrf{Dz@c`}?iI$-unDIl$R|@$q8TKkqXW z$DE2UZ#LWR-#>0%iqlm+kad;716Tv#OM2YLYaUutx#~lU#(+;*HOFD+S+w|oDS_4S z*=gHBEhCyTpc-);#A}KY$*_oM`vLNqZE$-mAf?dpUjP#$=2co4m_6UxS}D~A8tLgu zW(B-WacDRmoal?R-N5L0H1R8)`yn>~N_H@0|X}Vd*fk<^$|7BeMi!%;B z2!j?BtFdAE_;{k{5k>#`a_mVEm1Tq(KTmGP5|H7>pr?-mzGoYNd{@^W7m}ZSuqxVM z+~#RTa=iA{>DiXsN35g)Ch`-VDjDT_;4tU`eB+OTY{M_QKitVU90)P|p_@CD*8Euy zn$w`oyy6!}_v0K))Nv=n*IEe-QB3h*Cdk4WwLDuuhA0Zp_o8Ku5h>&!XG4_;G@MuG zOy}J<#}WhY&Nx>cACdyG18yK0*ijgSL1%ETRj`5-`V6h!ZRng5V6MZTsCEHsfJcG_ zXoWWmLBZ`6EFQ+s%8y~(j*=@%+?Z35vL8I)_VL*zL_M&8Y~DDeWTIEO@if6oE3k%6 zzrY>ip71S!2T0}E^Iea&7Q-4({v(2~l$EGW9 zYDhH+0;A(Jm2bCvW7@X9@Xqo&KGpLRRcm@k+%>_|N-hHefg03AoW&qu)5>0kwO+## z*bLF&Mv*tc@G`7Ko<)|}@5^)=5((pB%U4v%Xb|IaeqX*5RQOAL=dwLh#>S_*{&t?& z3O=AORqKYN-y7w;ZC_IR^bU{{OXz%vF|cQU57xAnuslj9%3C;ge5f6#8ZHX@x<;8> z27esiX+QdRPj>^tpv}cHVGK0^^*3gkYV3~J>Oe`bgaWQeK~kUN;L4NTKZX4Cy51r zj74o0yx=GuTmhOAe$&cXI#6^@2Jt}__|asj2`x}%S1)`I9b2he6I3USiXuS|B)N=# z{xznL3O;iJJ5g4a^AE7Kk==Oj>#__hr;qr#pwBV6<+(yJ za~11+Ea*;Rn;kgs`~_IU4-p`iK7jthB>^vUPohQTV9|kJ6IaltkI(sl<|zRN{vp(m z&UTmR;OBG?ojh?v?0d-Ql-W(3h@=kj^PzbDL4^cADarG?`N)RAQxf+wY&StpnNFPx zu?m?Ra}KUsi_=S+GXwq{vajo#UY4kyuQVX&8f>`X^=M55a|l}z#i}qbh#kp z;E}bq0!A@fzBr9@Y>l6gGz^K~3eR4k9!OP{mJ-=ZP})BsMIAQ$#2USI_p@{~dRVo~ z#O-Id`5R$Qr=E(*8-~een0AlxS>zZ8IJDZb;S%2pTWF&F@)`b5;*f z6H=BH0!Iarkvj9#Fx-A(gM_z;IXX)8KhuH?F<%^5D{jU7`P(~%!^4ZrejX8d*Y@+z z&TpP^qrxgh@vDN8W6`0SI?Xs3mo9+>NGo))be!kG;kIlR7Q5u05 z5LXpC*-q!2j3dVqTRkofDgxf*xp7;}TL&Dj%giZV)Q6j@4D{~~{IGaQtekRnm~eq4 zEQ!@|>o(V3yaAB$y)pwTkrJ6wJqOZU$b#srhzYu*Sfhk-e~1D$Mh^zxN*5hm zu;1X`eG7Gc>&@0f8mk#zn@<2$37dDvC8t0ay$T`LAS_1et+V$J@TXBUohaLarS>Eb zMw7cHImjBEPLt!y;}w|!^g@q;pqzm>+f(Fn8kSS^>2uQBoR3@xTn8fhsDA8(kxxFd zIydijz=6JiX6`GHi^c?nrWg52zc-Ncm$EKvhhg7)%wP5m?+L4hBTP}a*8x-|d~*2# z`xDw}m~*RvhjRil2K1qMox{1HV@|>uibq=lf;2u$lWK9T-|#koO5Fm)*t0;Orj#Bo zzr2@`hvaWV&!`Q%*4ol6Cohu=#mxbU$|vrFRmX|>0fa(9{;e++D%LA92B7uz@f?j* zrp;0tkzK`+@*@?8J%PASXDhSm%4)%cTO~LluRN0Y_vIiDxl^Q*CVG!uR`;F}DgoN_7CnpU(%$kV%tW_5n zLC6eC&j@C(e)SZF?+!BKWkv!x(gt!^7LZoyn&f%XWvZ(s`=Isw=y7!UBW2UI1_FsE z^M2Un11_h;j}LhuK4$=8gzGwWrfNSRrs1(TUM!e#ngbNY&>-k?tjwnOR-#ew0O2ZV z(quI!*qaI+Q14)l*`R281~L+a^xII6+|8|%IP=y zh|qx`(f0U8z8qW3@|}c@8nrNEi8ynj zayHwM{8wd#cnL56pgKw&HwTuIDL?>irvFGFZH4s-#5n2G1|Ai5;cE~|H-elTQFHjG z0-_!%Oe6I5qxI@Rd3k`JervX@e%X=4I7f<&o`ID{vhPpJyl8H{cR9j-OVnlPhTj?% z{`up#9X57!CWxepj8_#wNod>SYjdB-;Kl$JN`p z3|r=_?i?q)4RIc_X&on%i^z%_lqMBEP!=y-t8RV6WiS{a%HXuq%KPxHL!%-;6=3|> z%5%J(<3oh~89U%gS48J3u{RxwS_ttVT~yxEie6)@ec_R{%pcdBC{1HUnC$|H0m@KM zjbk++wF<( z129Fwq#S=pMa0Ag17K>I&`0MzF;o%**sMo@wv6$_XG3YP%nlf%7M@FV7LOztm0`_i z`Iecq1>QhC_jM=xbRPN*pXZD#8?dA-hc%vQ`YCsPnhH}XazLQq9ca+ethUS=(4sg| zp@+&lDcMz;CrG|x+HkP^LT$sXsDqPKGA_)sic2yV=*dDfO?ykwQ47b8%KQZT@rh0%gm+mCAh)5Z_bPBO#DLFVZCR>Jxy}qVdOUu0(y7I%6nmJi z3c2@zudwgIx?2*6om1A`;=uZm8GZs3FJ3*s`4VkJaPZ8jvSX<**t=}@^3$qV({lh6 zNUG12wn>=2liLCULIw6w%FQVU5_;;m<}9Y+ySjaDeebL1`mi}(v?gD*b(6tmLUA5g zoXYBBfl96U)%@&!QH=hfjb$ALYvLzL&Fr07el}(CHa+@}sw0T23?uu3cK@&Z1xV~v zTfgCnG44Tv9G^gb^c^UKX&=1%)JaA_4l|XI>y6P|x*Uzis?_|&nBQ<>-5bDKJh<%Zn`PO?Ue1MV?+nFeG|$D1AaPUf;xeAAs6^@PeR|N$5ivtQCT*)2fYqD61TgV5zwuxRiFGwxCWuqWd3!D13Rv3 zuXh*Rh~-dklfE>Y$TcvPNcmD$IQ-#ZjaR2nL_gTQZ2*G3622mKXNHGa6_13*ugK-Z za0XX&Rj%m)PQi)8Cc~$CW`~bZg(1T zD^kO{INzz-SNXJIA2hnj!ANlEyZX!ddyd35UT4BO91d%a`-GetDr<+}9LZDb{Ih`D z4@cwhz0JcbhnGG;SC>1>PaT&DW73buNQDh33(^xwre;@jL<#+|@8zrGlmzU@6vZ2O znVvV=Qv4S*)Xt=SI!Dr&5xo~`fB!23(6WZFjyP^?Ks1X7D46o61L7rW`p$_!>66rB z6T#KXEN2c%Q4p>>;S6Wz`}Df)U>U!^z(4=xot}i{E<2>YKIltU<-{=GJ*ZaJ{~UY= zl36hkn*!OSMp=7(}BYPOuXgTdQdKH z*cxNDxQCC=$ut6z(f)}C?R%I-0@sY|;YmD;G0;fF(`cEDAhpAP@jmT#hH>c&td$-h zK`L}~kzg;PN_1ri>Jq1&!+l~$UT_yM!Q@UJ0>q3+L_y349%=d#r5H6M#w$6h@3XC2Wu$XSm`)*jtDdO4HI|LrS-RAV`Xoigbr4DWQTi zC@QIR4xxY|prCXsts>phjevAYsB}u_vqrb~zVF}v>ye*~Y*y*Y{fMT<3W#=k5vP zus~bq1R>P?Nf95V_LsN)2UbfPl1i4doiQ)UjSS;$v-JWHw<6!!;Dvn+?<($nV|*;Y zGa46ze3J5g=J`Ke2}LeKJ+|(?fk1pYrFt}$!aL}m2_DwMJo-A!zk>uN((*9?55zNy zmvoxZ__}4Pf26$(87g!gm@0`l5e#{+OJ=>D!!ZR34p&1W#C1*a2qG{+FLg0T`E~m| zl8J937cO#T#b5UOpnXIVN5UBISYycp#GY+w3M7|~C_(KWuKDup+K-G9RZbD&$#Vwr zbc<16Z9GlupODzQ5?srVV2IdSawxgLn=H`grBX<=ZP4+JWtQ~2E|T!mJzUMV?}=$G zv4w&?#vP3=CJR{o{PkaDh%Vxr2xf(=NTuTIye9v!Q|KrE&vQW;BhOTop-rj)Ug!%v z-0LvGDH*ZzdqH(PxHgVnwTqVjWa;dRuSd-lshH zmDIPaur5Yp5n2YO;nlKQc1HCRZ6oN&c$kTy3=2p}HDfgKG_BZ5Pg4#fJkh>l{0{c_ z)D6CcoVPC<_EpScQJnD31jHxUMea7al}>+XM(^l$3VjvON!(xT21#?d#K+F;h^}Zg zP%Ur>Fe4HUpa?bRBgmJ|z)mK!X*z?BsOdo*3X!*xYFgFxz1%Bf8-RW{O9!0prRnCj zlV=(wr__VQ5fzG21*Y}+b;p4od@)T8W)drvzrN5JJ4y#xQ0-7mvnIMPa3h5rIcwOF zdL2uF`huSW_Sl16tA`_7(RC*;-9LrbHf8ggV$<`#149a-**4TvsBv2UAt-aMV>>z0 z-V!EtD%9HEXsRdIxy>I!XjALQ$T00XJbAXtq!vpb7}k%zpyMT7*CsXNHiRj^{yc3U zGX-9Y#&#Rf9~}D4&OYrtc=(}AY3?VEnwWW*;t?#Dj0`1BCif3uRJavY!~_tmWUWgi z=0oL94?iK4Vs>i`${xM5uSRrezHyWi$`h$o#&TTautHD>{*-vRoy8d==%a zI&i2`I42a zQo>Q1(|%+T6S;2c@nH4U4kb~bA-Z2lX@RU#|3?0ngiY;B{JqQ`ka|<0Tz(d&qC4)b zbS}dy{~#YMW;kZ$E{SQxu1%O-PL5Iu9mfrC6Unz&WuAo5oT)~{3F*^69%BDu%6=0QVyFEhrVDs zxd6#Svf58Yd*ID^-e8=!Li_~P2 zP_HL01aFwy1(>VAPwf4fQ~5$p>ON}C>surCf`blMiL5i-HolWTCM-6{EvZ7RSV(z{ zVN>I328phe@XwDe&Pgu~Ud>~wmsQ-VR5&y4p^D2DkVdZFzln8M&8E~vMVOfXO+xhlV4iIpSa+q3iaXBiaE|8xR0wF<$ z_edkI);t+=Ixo_H>Poj6jh9D%MayA0knh482|I??>>E0;u`a~X!7 z(biyCO9y*J#yDLZ7U5JgF1GxOL^%hmRBCxtjUaM{AeVx3um9mWvu77CehvuQW9Lo`ILVP}$0l4y zWB;Icylsc2V-31Vb=+6*chDmJlLb9$uZxjo!FxBcJ!5(T1GJ!R4{1h3`T-b&=Kvi@ z#V>8Q!M2j#+;@~iEsU0Rae5R z%RV>WGXrDY&}=CYnkuAEiuUje)f82k+i{~4q^Be_)@2uP zv^f?;Q}^eb7V4{l_lV70!N)Tb>+5y|;T(LnQ!L37eHAWtrf;9sEcpX zW1^*{yfREC-a&VM2n&{ye?@8HS5Pe#O<-G_Xw(`h0)PH(vC>eX{T#|#MMRZ-N&L3+ zBc?V5@iX4LradGvHpFgzOAoWLZ;#o$5#@J1h|C3H2x}yd8GABur#p#7nWX=gJ86)^ z25Ol2CI5Ict+0~>Y2_DD*Dp{)#2_w8z@~eJDDAx^!Q09e%{_L;6kV!AmZOFD(+Xv0 z>=|pgKV4zRu9%)YJ@ok~u}pT+eQXgOUq6pRsC^`+-F_(Xagu9hoLSCz7372|Uh2Xt z(*uo*s)66g!b4V1|Cg$3zs-f-VjK|T$rK$p3z-yZkMaMfR)b3Ig(wD3X-cchgnvd4 z<#}(i#sSA{#wYG=9$IcEk${9I5fTz2OGcdR40O>;`;M0cS}FUzLsb&uqyBb_|5r1< zWC9m=b#slX^w;De@54rN(1ct}cc_Jw3Hcr5F0D8>SJU+Yy#(yOs?%-L zOD1Wss+!NYenvgt&0^vCGglnOc(=_tt-7f(z{ipYwt`tEAs^VZ^JF=mL24{<>i!lc ziuQDqHy3~0J_K-I9W9gKbTq9=Bfc`fpa`o#36Mu z#^Ptg;j4>j&4;i0x~6%FxpYu`rnmCQiA$ruC|zo+y?#p8%_y3ZDG+2{m{7 zv^5CGbT!vV)xXOh7{O$rbT}vskJsp{HJ66ek)oO4P(PT*j*=cm8d4ds({ug=W!jnBivsp)d*)H$FIb) z4zvCo=P{PvoO(9tb%9}Ht8AagRFsb;-WhVjMz(hp^rpEL zFnGAXI#ESWJ1w;#b+hBzkFkFm6d{yF2H9ET?vV@q4`R`}eli@{)i^dr@AR=h{NtvY z48g*G=66(=;3piDP?@hiGKuhA1kimpyI?#Sg1x>eOMKq?)YGCD@350KQ5Wi;&cQb- zJA@|5&p_xsMTig4TdK?^(YzdbX;0&U=qbSj~hc0%8S1&NuEoDO=$ z<``ah28Ei5yexp7;QC!Jbfi2~2YS@+9g>vPa=*w+SP+p-W{mfKCT~*daZ#+x=(CgL zg0eU?uhdq`-+&l7)Vnqk10^ww`m)ZlS}X3&0giE(@Bxb{)qmTuM3hc$OOp3#| zWGw<# z5=RV*>dU*nVjF`g`P_#-N-3s@Cq`?j^C`dn6i)ADFSby@Gf4Jz{OiBZpsUwW6gJ<~ z+SMPY{qJkx7-LEKATEh!`J5d4Ihhg`)NLWmrc7iR<>+*b;2{*Z-Da&1GwrjHuWeg# zH0dlyEqUWud>-94uqnGJ^d>k&mKB@ZZyqs0x=jef9j14@DebR1Jq(V0J81Pey;-d} zEp{}*zu7|ZgLa+(tR(%?bA?~l7CT`t!Y(xt2GX7Vf_;jNvv$@4o@P1#q0Ot$s+@4_ zu#cu9DRbe>3m}`-u5?{*8Rf2svcB}D@j>)TyTU|S5TS*)N^W=uf3TI=ZK{ZO$R|Q= zyq5ylc+Z5FqlNGIt8G9@gdZO1u^y^sk23|C_v>O3!3Zi`S@J4kWDpTQ$__#9h^V4f zSawv0Qii^gsLzD#DRkNQ`wS~{5pD|NV=8!ZDOhf%6A1g+cj^b1PoWziQ6b4kx6FL9 zi+9#UdmG7}W2~5Wv;RdIspP@dB5ef9{4>;>(4#TafOfGZw9#whs6#UU4m*vgs0zl z@h;*R_bdsjHVDO{`$ITbH2gi#BoN}ed_sgxuVq%Y}fTS*@~GD z6Q(1@m4)XopX|?*5yu`B=()9k578z|K?xl=o)IT)c9y)eY)z&Z44N+9(S%{n$!?1)kQ zijM+)_fu?Hd%sMN5H$_zfY59QLa}okS0C zBwL-jFXD>pTMT-1q|}w8rMXgL%Ws#n@dT`k>=hil9)53}x{dMQdL!GaGpw0L3eW!t z`S;|q=(5~K<|3%-_z4b1^L2_I=+?Dv*o*yW_@9;}{4%wP%+#>>iu#x$;H_qs;#Q1| zeU7%wR&hKO@IBihNfyr~!gA)g8qh$gFzM|9Pwnfvn5Lj1EJ6n^>h0FCa(4r|?l}Nmw_Ejs&sNRk;ah{Y4s@LWSrJ&9UWz%+$spQA zRT}eNcADS8K5^M?#B7mflu2t3zWSXpyz_uX+V=DIDJC(UHI?|tQ*Y$%;!pu}NS`q` z6z2u7v#)P04r&Z(wnsB%-%C+v13&@m3Z4t$uiSyGUMkHw*z4*viO9l$wh^q5BIFwX z*mFPZO4yPS;WIa_{+V%3f$^wM_kDyum;$h@t%F~ssUu>}Tdd9egLW_8qP}H!1}tvU zmszl8yn}pvTEGO2-Y<(_X5o7vb9N-Ga|_m2JXrMOMw`g2njK)sHu_Y2cWzbW>R4Pt zQL$bnlsU86nXsmX!K~)+wV*A;o)b~G5IJrG3aKyXT9|_Z^JMspdbVgvtcPrEwUDn& zopLgFyfo~Xx(3}iqanD~bYPYhK+&q5#q1cKfH0xdT(II{GoHD^ zjI3qA7*fH>z@1qh{f(Sz9~SVCOP$ul{dr}AfA?Fq_Qljne)3yHYy+^c(R}3fT-XJ+ zmv>^+Xm=m4+z(%Hrm-Q3+Tsm?IxRKHIR{r!a)A)%w=gH;^>CXT@U((weL=>y&C zbVBDp^Hi48sLD8Gs}a%Yb{$S`9a_%d^`6*oq4ujWGDfYwAx5TH*5)yqU_=lwmXPrN z7;uyVK{1Tv7^J2uv!TPCYs1@*mg|=#m&LQp+{BQ65KrAwEM?=0vaxmSlPUdhY}B=0 z7qPcI&BmfiH#=c#Lkr`aGb6x{juJ;6CI_1my|APhsu9&fAk zjbZcrP-n4oqfre7G@(xR@j`0ZUMb0Y$@+s34Lh1fT#1OdTXld`XI9lXD=g|Tti$=G z>)#V~m+;(0G_@=itQSmyGWB{jU+fQ)uscFnss0vF%oOwo~?hMYOg=-f2H0TG2%SV4NpcC0j7IgpsD z+Yn7CG4Em(N~me|t3zw~a*S9s@_-a*aDwugoofJKnw`$BReeNHDYb%!^{TgM?0i zrRhzm*yQYsT@2@wU3D_=K+oz{zOQfV2mS}^X%k(+@gU+!Smf;QVRo4vV?3SuWslgIfLOn?!Qw5?vnpy=iHg?j zlH9o?=M`-6Yj6|cQZgxYWPRXU%me{T0o!EaQVwulW3QbY-3SjW`6d;bd#94twrWey zf!WBZUpG?P=Q#O(?0Q9~xPq<-JR!O2p-mSP_^fas-1jBg68R)QnO!CqGmu3W4W+|f z*u&&9CJRMT8y8lx5Y86x95_D=fsaUtEJ58km87OG>tePI2TB zia2fBS}VG~arq`!I|cy`d;>(O5Tj!)Kr`rNZ}r+_s7Q_OF+REYTt)n7!+n-|ABQtZ z;EP^tiuPF1;LL0-DbEacfeak}#d#8HLpmionRr zKOgz=pX$0rQwGJkj!pjVxo5fwwM&KN;wlT9Q~74xXzib?YAp(DsH6EJ(>96AhQEQ^ zR>W}QOT4&{U7A{gC&7ipPl{eB+Av>1QMHkf5qe}Nol=^;SD-f>SvQoE;Ko`YzXQfU z9QvOy|5|a@`Fk80;(bh#>YJ(}R7q}W)pGi)k9VA!fpCrM!dO{B&y48nt~0$(ml$O* zb)v{*bAt73kv4APRG#V1;vj$e9qB-UVKF18@=ssT3a0gqj8If_wI@vWJ@pz?sFru! zZ*lwEc7l^ZCL8OP1KD@BFbUQ}Ba1AED^722LtS(4-PC0De@7s~-~FzuuN;cBpbkjP zn?iyY8Mh;qLK#&=$i$xoAPk2Rw3xA+#<`bj1!b3sD(s$YL=c}+b@q}y9eaFc%RBV` z(cgR)#PeuTH!Q!YMIAqe6z;$0vgH|JrYAjRO5-c`>zUv>7gQXrd6(+9^^W4r-E6xd zDSwp$VC%#Rn&Sej`lc_L+~;kSpe8JXpk&}QpU*UjvbyML21y_llQa`gH42vdkZh;$ zG2uD)byY`r)p94$_P}!ccEYa2ToKT4Vu6|UI}rsq5gGgxi!eT3-$Kw5W#2Xh$3Onr5p1x#$h(nhe>XT)+J1T- z_Exe?i4&kP--oi6rwM&N>1H0f0rE<(fO12n?GkO$S)+cqYPeBPD%}lmW_6g1hlz6! ztj;j}XrFLU$E-)7mhCPrS^o?rc24iPWeF{RdF`!`!Iix8Y4dW_Q8Zto{LehldA9K8 zLT2tGjDcaVtEBh%+i~H2y^hNWRP|sMMZ)7R#wV5T2Ol?gbCcwvmibsTzF7AyFHAdX z7qNcN=1C9z7Mk$3=ekR;ggk-`n{fFlAxYFtBR6)qA6x`c03Cm!mwStxu-j&kwfY&R zj`JB3Od(060V)F3Cxqr?*!; zR?3t^D#TH~tBy_A(pQTHjjjU|`z_3xo2btk7`%IM!uEinkWc{s7MtHW|A7}GjTFuv zA~YiNpxn}WP{GS+ktmmk@t+R8rv>e}Q@V~)B1ZEX?8!f1Y59_K{%Ob4<(tlZct;9b zhG;K}rF&%wch{sK1;Kk6=y_|$-Tlx1D*`C9&x4jczhr3#O615@kxz9!CGb<4A0@D* z|9c(&u4iQ|FmVte?%s5km#%YHDuM&g{YRJNZkrHp(6HMGyLO_dj@Oi6IE#FkTC~i1^s%K^LJe z%~!Q~V+1z4brh9%scbT%%Ne{izF2_k#f~yqk9003@`<-ELF2H znUlDcL+I(MxT$ClbQxe~n%zm-7;$t2F5}3XeKFUfh(uUD1c|LK`L66%ySGM>H-}AA zl{cge_W{b)=!xY?QtJ$B7EYae`RB|E&WG`Q+`0YADm;*X{b} zhaVH4q+blweVw?Bmdp62#uKmX^?_Su_Gc^K>*=|qjJbp#9&>4SJ}JFtmF9ev*ys0o zXd5Ee)|5|_7oCr3Qu~IkV@7C_Ukj;_PcyGQ_c`Q$arl7tXeW%aX-g=%`&NDk5hA1J z7k2HziVnm`)=#y;GBd9!Pxjv*#oKp~^a!pcF|OOMV*PZ-mY)$SyvnV9B>9ip@e|66RDE2NZhuP?Gtme+#c+bo2vorwQyi*n#6#01 z?(Q4u!Eic%rNAI*`n!S)mNoqhHAii+6u3p`IB}&9-gKOywIKcV&^Y=iOaZ5`m4vqT z2rX|6xx(r5m~|Bkh{Yq*1?uc|; z;MmSF!FCZJPLb)qpPOGC;G3$nWr)B_{X5R1lSHtVgHyh7j32OuRt(NupZ3iDz45M= z{?4gq40k1n-_)l~o(PjZE?p~WO*E`7iCt5A^f!C*&-s_0&vkrYb{VZpry2Q8t= zugh0{9Eg~F*6l0UdHxDWX{7gHH_JlgHJP*1G6G7FSs}KFEIoKZN3s@)S#D>62^cmmUTvD+Y?sAqNX6ATQt z)*ikxd7lq=a4`WrZi zIJlwBOdkOdD3FA8;QeRFsqi`7N8eJ0V2Wd#gRwz3|A$U~ z=4qLO-1XZy$j`!P!qCYjkV+dYv{5c?ZFS8P>1uIv@S&uAjNSDHQ;5VlCQ_GXfZ_e_ zCSyG-Gs{;DcGmL_&3Qdlm2)?XG`3Z}!DAFa-oT-_6;hHlx*01#;dX(6s_;Nv86vKgRALQ1% zQeZ1n^Ta!Ft7YU>_U|)3rK1t@esls~a#99oG@fUQstNrhb}{BY4De#Kd-Z+>tQmI1d%+tOh+;>pCmtGGe1e}k zITz@ge*9Y}%a~E4BbK{UBVa3$cPdN}s=cuE%-C#C|!>qmQn|%WUi}=SS zP@1H)^M8)VxSu?^#sm>U(pxOJ&r05#lFYo>D;WKvG34&DG;}uEX7chRf6zCi&oacs zUOd2B)?GsDHZr>eFF43zqol2wu~o(XnsZEX_bD(!lcykdoB zF^e||lkP6C+?-~qvi8=XJ?eJmP0uSu1rhtc<&Yhc^%~rx3$2S`&t#JQ%qB1igRDS& zD*GGVfmbzS(m%&}Coe|Yowi!wqm-?Oj8ZZLrTj6-iiCy24V>{_^|LU+CPq@+$6|51 zf;i_nHVCR)8pMA!b8NK4_bk|DQrPUr^HK9DO7Al5rON8Z*CR6Kt6qEDZ;SPE+%Fu+ z3sT+buL!cuIrC7Hako`(-+j^aNRCSMBd;#{jIFyM^@VWlSnTD)-2>yfWlN7as0rly zo6&We8^Jr&h_?tKk6u6(5Y*uP^>O?pgv3T>K5cYKXp5cUnY&dC>_H9b#-7A~YEN_m zR`w2!wI@XXPmMzgeIW4VP-4sMCJw_pMB&*vvTvN_;SG7kpLAdfGt`>kGNFR$TIjp; zoG)t!Qdm=z0Jq{s#og{xy0T5F6Cd%ljrxlDto-aABZl%ceyHcYud8K&bs;zA^#Hu9 zei1d!!Ip4->aTrStPGl`u@FYs6FIo-Xxxr*hAKy4*N>FIzZM5;Nbr-@EacFP=zvhWE8A)MCAMlH>cr!ZJr~1V&K|FR_sCig z6N8T8Ge=u~{NLpEzyEqTKFZ>_&eTgtZR9=(RnJglmtEW6eoJ>#+s_qqL^;(3rh<5sEOCclZ!twE`7%Is~+1+UO#f#V~g-w0)e(Ua(R+?7YS-!Yxjz zWgjBy(5l5T=gYU%3e9(?VE-nXST*=cY_zb!(Dpns%h4ZS*QP}kE%#9h5)9n%oB6A=5 zi|5g}OU*4J;@KhuaV|mE78UXW9h)xqxYYq^b{qD$`MixbrjUpzI0x2mmJ^fUuI~`W z{cz*wp7oUOEyubnWCkCumYeQ-tV$we1^cx~GJZFgHu%a44`4_T(94aCDnMnKz6?bX zqupCY-bs-^$6=^pfEH_Vy3B_Y9Gm`k>k|9r0X zPcY~wpG~sK0K-16GwO%h$|KPqU)BfbaSccr-<{ZPa0r<&HuXpF-*jFuT4jBpyXR@i z&|Phsw3-aFC7fbyPHg@)C!LKh>~L9lm*>`|Zi%p);KFVZjh3wLDT7Y-KlkheMqB;3 z-m~YevfA@~;P=POe)~L&hh1$`a4*tyHiXp%fU86JrVRO?qEd+MAj0J;dSL4o~z#~QlYN;fwVa5(z z=!9B$gaA?f=|kQ8ciF}1jvTC#_`gFMOpl+Ng&FxIIv9U4dor}@z+B@$fnpk?e2ukc z*OVd)Np#NLokiT$h5g7J>q0TG=wFJkHc7VeDnC7Ms@OElvDGaLHfG8ywlD4a(KBF zt%?@`Z=eiH1(ox-0_SasCdr*KwrD08A6@+54Ny8vP9=KLf~5_RYk`_!;=T`Y@@A=T z-Z9l##az+QYX}NTYVCb&Kb;RU+PaiG3dl}uqCzbchcRjF>L_RGYV6!QeG9_-3=6W25sdP5U9~;GRMW}Phn6q|wx%G0RZ%8q5G8-#VY4RL+a4)^K=l=b;w>XQkK+`+=0Irl(V1Pr> zt<>)uuJ642;CPgR?^Wx~>4*O`aGTVcD7spuCoddCE&(<~{$jsx$g^>RgaaeJHgGI!VwyqLMzS4EjWYxMc3VA2m%oO45)H5bE~_E&Cl z*2GU5llc#qAW|A&v=i#mE?w@4=W!-x=P>h_DcG+pu^5v&(MHh9ka$QM^X} zx6_HEMGtAm9h#Xi@UEUfg^-ME61OFuUSQGEd(-g-j;t2BI5ta`IO@fVY|{K4e?rY5 zH)4i;%wn#UdJN%N-W(QP*b2f>$=$! z;JW#7#43HdO`#d2NH|xs`#3}4m64j!wHAg=zr1lz-6FPyjY~g`EN-h#>B_DNh)7Ig z6Fh%dGsB~odlg#M4n#}qXNqa!CVeMvg<0I}>tM{YVSXW^{s*!(HL^6>=ia!VAV9mK=?% zru)h_P;Kdc8$T{$p1%`GB_We0rm9h#nFz zQY3$k# z^0`D9RQ(JXK{m8Ff#5|nY5;>a^JAvsJpB9*hHeoNVCO)$Ynqd82ELi|%|K>C7*?$vwF^ay9#jAH|h|3cG`>71Cb=eAg6K zX~c`X1V#wYa%k>*o|C)Mk|fMhszBi;_hwYT!Sp3m{yadffg8ujAcHHp{bQdWuYrW57k@klN>iO>a|uZ`wl%Q6#}K3tP8 zhko)!ECQFzGf~)jDBK}|mqun=6(UzWUa%(}vz$In%mJVGC&dcCi#zPx!S^v@sDn$n z;a6QJBfXTTh$O%~lq%^A>DWdr;CKgz<8Oz*oFr)LIDGXv;P>&4xr;g8_%;?q7iFT> z&>bMlrh85mWEoupq~PEHl~EA@tigK{fp}C#DHXK#r~zxwkEoA~>vp>ZGDCLLepsTR zj*>+NO>PrmW8k=e-DeFCO}|$wI1~p+A^?Kf0QE3Vy+_Zj(mcuf2;o$RS46vnJI6@# z0BV^~qH|k|R&=fpFg7iuz2P~JH&gyCkwHwwO!;9(20Wj8X(A>KyJy$6E&{Z%^h3>U zk{Tr(#prVp`)X}Yvyy-qM9@s{`Fb!QyLe2_CcXxZk}O>D_A@c7(M@{099gPC_6NSJ zh0AvAMBV0{eCesEN7v$rh9*bboqO>fZZ{uJR5v|w)EK{omvxh7^{wdQiTT*_19rl0 z;7V#wd5XRrJcdbf)zQ`&t?m0WU$^+6??_Y^D_iUElbr@LTN=1Z8|VX_+9oCb`E=c* z(v*E)k2%LJ1^=MrSY8s=d&!EHb*;J;v8-IV|ahK#JutA+_J+vMW3PcY1 zn#|-tUZ<~q)xd}@IXQPy;D@5k;-ivPfP_;_s_l$nOf&akS~6XDn=|WYQ1D~<@-RDA z$P$T?_ZKu%X>xh+)o}PHk3j<;Sl(;v$lZj*sBjt0ZqH6#8EN=(O$l$=K$Om%lO*jY={Ndw;>~ zDo4j3z^oUnF0aVe}-{<7~Bi$c3{f8}$Q7{a#|URPrD?G69uptGYwnZ!9rG6GK$ z#B1+vz)Na}GH$q<#3&6#1fB?bR*b7OhUEEPkEz)|Y!al-yEN)%`E@qaGfKC^pC!rg zwt*=#cI5}Cqem!OL>RN{hb>tY>EH5aK8lgPuq%l1WW24;;9)jvAZI0Eg9x3h8ujP) zrmKw(c|{3rr&G<|;{3j}A2X9NqpmAGG&zn^p&oUE8F9~CPa4J&qTe`0PuzrmOHa0| zKhHsMWdqZPV)oMnF+Aebz4M$`N|-BDnWF||?tpJsCK%L#OrD5HbGKO*UL|`y2j?_4 z)FCF?_>mID&nTR(BwnD3T?o11K*0<%EYACyB-*b1m_deANacNiIbjJ$K|PYpGvgiA z$;lvdmXL%NDxTk1YNmS65RplLwS~WO4XZOzQk?HR4eu=xDjprK zukHzT7UoI3N8Tq#E(=g0nog8bO0|(`;!_mezadHLNAoL_v#l}xe#J{>B5fQzETiDJ z`sYR>Z63W?GV%lg8ppFwI3}J1k@xLF$ruIRPCXrTCBRFVx!Z#E-CyeX#rgF+=)Eea zZ2&JQ@E+us4Q6R~EoCHt?zJFbik%>G|0x*`or7G)wMe$O%AY@^nbV_aR^DPO z9~ZvVI5=%I`SAL?*W|dld9rKz)D9fKKUQBJp>#O$6j6*luQl$@H5YjYvP8px$|jgl zA$3&xOY@(5imVC?EqmckX*iUOrwi7DUPz;tp`D=idiRQ=CluNz!iv*S%LS(H4j)17 zoeCpl>q74gevZ2I#iBu3XX&`22Wn)F(KlE*u11njSs6!fq!v4sZDF~RZC~k|Qa9?f zWRdUfJa%OMrZ6h5`|DCiL9-)M!d3B)Fc8kT-?zA%*%pyN@@%IE)Q&7@Sx?bjYru|b z{}0IT9HGTigdX1Xi&f>^c?*J!409XeA;JISob14~(HCb+-3$Qr!Ak0P8)TdKfrP#% ztKgfs#>n{OgteBFwEA$3+nM69jlA9-6IkA)uRem69xr-j-x-hzbn9u3?!&3IiCRt! zwU)-K&8~1+4b3_&JPQqtHaG*Gd^>meZi-V9sE5dlk?gxq%=tfp#jSjN((~_`m1(1D zIki0=8C*~OpIQNa&;HGM;C~R71LV_u=`13l3VtyyU^A@WbDn@jADsgXOo5-AyQ{U$ z6|jfJfoG)VovMkKcl{f}Td~WRgysu6J|2R9mexG2oxA3i-^aBEN{xogqAmAs4(L%~ zaWRnm3h%_WV+Sv4)nr*Isl!d*9s3JQu6eZlP|&1tX>+A6gj-YJ>2>CHNN5YB^J?(r zHnGJKe5hmo)=#8u(jm_m+Ih@X|9+flcu0g6Z=JyckAi(efYq+@ZoZo)&oz zt>w`xYl#ij$Qn=d%~Wai8;w|*W;%Z_`=Vwym>l;(jZ@3F!2xHVrx3C;{^*D(^Tcy_TLcZ$t4}cHtCR#z3X%F z;dn8+CvwnW&=ixcs@M!QG~yQXvICV!&Da_@4wqp{lQv%XS?~T>YnRd%y!%ZFT=WC! z0Wv}e(J_W$Z{df!6>3cS7Bl|IA0UhS6jt`g0(yqlIlrB+A|y}GkL~G|VcevwpMMU& z+bGv#{9m>;@}Hp|4=9)J_416UvVGG@-Fq*LJ6W?RgKWE-{WbAu*HZ>+&F&t-XB#?4 zXzdyB?3otLQZ$MOxBo|?%1_0L;YK?_Esyc=aeqH!ezV;1ek_R5FWH>+7$2|vCpeB# ztmm`gDbVX=(J}Il@U9w_RadUlahc7O2aKA!c?>`{JF!_T=;vC%+{&R9BsGh$)=Q=C zF*{s*4*hI&bjZZDVdbPsg4%ER!fIwBdDdeQ>>_@=+3&QCv~H42)soOF?vmSQN4B*^Wu!|&3QN$J2F z`<{1ijR!Ozs^%m6!c!Z=y2FkRlAhYe;07!8zZIW9MFg{;8%QMpAR2b8VSt`8G?sY9 znUj82=aYkgaPw=64TVFpU8Q`+?HmX0*%sz++X&Zwr#XQY(FXFGBt=wYfRFTwF8bRT z!OW>65K)=0Z0X)%9UBFAPQ?EA3L;;nwF2Zr<-6F56D;Y$x!o&3IxVcO zQ!{;OSY^A1VRLJ4wc@G}8UgT8s>O2S@{1e!q!xI1KLG0QTa+gzTU|hw?ZYpgG z#Gy^_oCQTltgF`etUCl#@>#!9)&4wd&?h^}(d8O zucEW@^Q|!9%KCM{FfaZ2L}j=jL4IbnGz0<`=hNaoGl{D(HQ6PbbAE~Md-#g4B}qFn z(EX)4We?}#iM-u~aW&=ROZ;7LZC!w5Kf=>I_*)-06^gO@>jkJGJg1NB$5p*G8KhD{ zuW6M^Gi&)LfMtK4rNDPCQ2;LxLma>t$2kUTk9=NC9jp^gxPN1~<4Cx&ex%6)@AUA9 zu7+tF}*L%EXm&jEf^Pp0LIe zI|`F+h|w*+vP)ymIQ4m1T_gaTF&w0!Zg`&1{bFc(1kMpG+nq1ss|_;ymHzrEa;R8g zo7k@dKbV;NP(F79ll+|#GPqx`(C*RKMXoZLyA0?Y@Vvx6qt>oZ5}>WM zSbJnrb4@m>Hput=N1*T2eZfnw!vr^wayUyi(04ifqCE)}(p`!Ki|5+vQ;m-ilO?1H zh?^LOwiQ1e8F*SUFfPUwZ+U6cW*kzvextF`?%H;tav-Lo!ct-NoteZK!Erkb(BC2S z0K7H2Q%i}1z)8Y&H#;t++<&%b55|(`KEN}{jbUA{T)#wTXNMI{k$iRamgt^ExXj_x@)`v&;@oGPqugQf%&;f*^Z1^? zwjyjof;fHtCgbG}zGNw8}?3+O}BO#U8& zan=)Ov)vleb$KphF^ju3&EuqCsd>7+M;X~KEbrVuL5ke?$s z)C-&atr6FbtTmqLY>~2vb$Mmp{Rcl1X zVKlYD9h;2~y4JYS^S=L?CMW||8&?kQZ*3bZVjJl2sD@pV{3W>hc1Lf8y|7ofpWh&8 zCDSPd&0L*tg=aJ5(NN5_xG2I>r!Q6={znC8sU>Ww4%V~`caUnk3-7|U%VoU3TaNrU zSb0(Pi2|5~5TSUY%p&x1=Vf+`RyyCnh(HcO*D7Tg_hDEBWkF(}bGskSw$N+}W(EFT%U3{huJKy@uP;=JP+e@oLWDw!*8k>qW!YuWn)Vfy~nnhk6}YNa7V$BmO_m-aDSl zz7HF>x2R-hhNwg~8Q+S8vXbnP9T`RT_$n&d8I>&}glySlD=XP6JF@qD-lyuS>%On+ zety5_`ol|C`Z~|gc+cZ~9F0EyZtTJl{1#uXY8p|XE;v*whn~c)VPDp2RCW2?ABQ+1 z2_wo9c(e>gnp5RA&eEK}Z#n#Aak`Vw%3lku`n}FHPkDHEBVF)ozuv)Xw`(Dj)$1Em zxrYR=&TV6L?9Z_=g|9HwQ<|LO_lF zIQOtr701J*B}S)!<5yPp-(b{qRQs_FpiDw^NNj_IB7HD!;d%@KKKB%N0b2fC+=5?} z`=bYltTSqpCng(5DHhukdq^Lk6e>(K-ONJ$HrT+uFYuZ=6cP3{?-^Vy0xaJfpd_i{ zt|oJS{St~&csJS`^~gshQ~vHa*f?{MZW;Q^^i8*$;w!I&mx%5lWn?L`Z5Gvvosj&(htduYn-nV6~yqBbPMNKkGLtxQ{ubBqj5 zV}~)X+VNT1c<#UyOIXW&$?iK!`z7TM@>YSN{D`n_Hc}qU11Xl*uSR2IH`&_0ISGb_ zLsUU6c5FlTQN=91t7Y7S)P6{23%$dJOjto_V(2nEFwKPZP%`YVS?`Ag?1HB~ATM{3ZNRbTFu50;Mdp3>lOxO_7(T38wh2moGwRcig28auue>$Te%kAGa%3MEYHO>jilpeOOP zsC~W_tNIjH4)iaTSU&wcWW%p84h^DDJUBm%v877)(Z;Mo_K~{+XZFOflt@%aK6r)a zK+qX;W;QmP;)|fqwH_!*)pnZS7Fx@p!VgR8%_I=Cv&Uc z=#G<%P=Z^?gRrn|bBg z#M6pvv)76)*o&^s!UWcoBsS42Oo~G^yiz!`FHyKR9$~X}?4Q(`!?eQxl@0vo{WMa* z1>s#LebZBqUnpdfa|b#)Z;)@Z(G^l4<4WJ~IUf4ohT$wM!6%FS*DzYqikQR4XQPBa z+d^G|re&N*w{uh@@ycN+Q)@-VX6f~vQ$t9qho5a!5=Qe5#||YcGLAg8ewG0vT+Soj zR0IyJZl6n#xaS)fNG5V+pALN+DU)A^ zDd~=}ceSpmA8|R@bBzkdT^M&56jGi7l>2N#>uj5azH)kzQU30u(5U&bE3>AyD zSY$TBXY5KSzQv)=<5A!>(mk)l(M=*!F9!K39Q6zUv7lE)XapglV4^s2o`o3scGYqXLG8`?eS)U z3pWhq%ed~OQhs-YXAzKhj!pI2I45Ll9qIZZ4NLo+DGYO}y$OKcU8LA3HojmbO*<#k z*Ozy_&4!1#pG+_2=53p=v=0!fF)!BKLrHxVn4taHGoL-C^j5~8wG<>6^12@6b3u9k z?cKjJRtnFf57vi|=#cj!GcVn!7184)wES1Pg_mH+g)RGAZb6)9EDTt7Rvzsp@VR$r z*c(ub%ik{rl?t1^(#g|93yOj8MHOZA`E@<~!}YWecJXE{P!1aHgd5eBg3s{>FP+!F z?!|Wzyf{`KYQV+^eXkve>A$}G>X332YWqZuTlBBRUfdztmfPsaTgAQR?ul(1TLSfb z8jZul1ZEtE@b2!rw3d>q-h3aBsenpOw}$!5ZVaLu7yPFppX^eOpV4`*+MP9ke#e2| zLed@ft}and83T8~SVlP}on*j4skLNs=qC**tO%0@C&nXZ*Mi~PyBbyNoMp=ZZO=$& zYu0G(v+E6%Bpy?}k6s^bnP?j?J4lIwHbG&`V1ka%`sqTd`1 zx|DiIV(04e zO4C~agFq%93yYYsDX@46B-cPV>gi_uQiBaq@oaXJ8NhO@JWkZ_>j;Wq%3M0bfbwPc zy!?T$V!jNdG&J1@m9dEs?3CI0*)TBv#1BQFW&Q$>jNvL!G_{q<1Rsu~Moq>zP0-wN z%P5+l#bm|tsKsPson(Q^;VmrY0?AftP0T; zA5m2~B+X$)>~IyD$^9@VIC_uq%V2tc5T5xYpB(u397YFrmU8ze`y+?$NR!~t;hyxt zcR2+uK;IXE`WYCnrz4d1AqL0&z&jP=5b;3JQwW<~&mws6N zLB$dRj1^6$Rw@)?UVmvGZz!so$U8aNP&oUcq2u%PuK!Bx&^|x3cbC9e^pX+^{R#w< zJc%g%?Yp5~Nl-B(#g0e8uA*}`5{VMfIeM5HJXDs?x{s5{WnKSDA^akmIA6WH4fxi_ zEF5Y~#Aek&k^Yvpy%|1+RVwzmge-r9WeNrI!H529khc0B9X1lohhu_pz|~-$_%y+r zQ5l2felH}z-x^X?B;uKV^ThoSLBQL?`Nz{mRAuYtzL{!WED}zc27-Xs(Djw8J1RH| z0#BEtx=)_(ubWq*PaepxG);AX#7TBYz$VV-Wcqg-C0Ph(^P+Bg%`xtr#r{5`TTz1Z z_>=4fo4UC7?e?zrYe$XTOBq)6F@3{G zEeHXHi&z2&*?b_R)gMUi1ug5ey8g|Y%$)Lca}fSe4r5+&hlk}cmEzATNzSCckPtUT z8QiV)S|5G(im$8cM-wFuA#PZ;lbh5g;fRVoC-(aOLJE_g!K6%3v|u!*H-TEso(_<- z`xz_ITlu(M-;hmPJL7-)EAjuBUdh5*pc{B+_-J9;`z=0xHa95Hy;8%-87}TFv^4~Z zd^R1|#Trfhi^&*2f{YX@BbR&pKGtgpR3^@bx){QFQyUTt)`#EU0hP~ZAls~DU(CM1 zgI_`ENtXSrg87A*6R!X$U|ZoqRubTWJ6i_T$FK#BeIT*sb0l~!Z$I&L0jV&Hn2eqBH>>|8E6^{t984p`S|FyxYt(id3~8W|1IUT?T|F3c(3P%&$Z?r9^FCt*0PAe)Gv$Y_na1q zqfe!2q)2yBt!F894pnM?!9&%Pa{xiEjrq}c_4eOtsw#S}A`&C&g|0h`bt;c(zu^ZT zudH)_`V~gq8@^aWr3-6;gAQ9vi2N!E`Eg2nYJ67=mU?Q;_e`BlW~&=17=^9zPKNpz z49Cyi!U3$QqDX{l0C{1>X5oka3spIUj1J1IE2S`eMsp$CY#)-Z8hqtbA;7zP7CY5pmsgN$_VFpId_bmcrE`a4B z4VmX=P?4M z3bKs^!xIFjc_7(4RgXY-D)w6jODlR*=l2=+pVLHC+O!rY&PWGBW>h7RTNiYsK=e#_%6WeA2U zD!|p7Ktu12HdPy4xCu9A`;5Bt0}V!Mn;Wx*f)jJjzPihwEo7Z{<%M{s)$RL>GRJR= zlZIa>b8EPCe{sd6G(VYHCnIC~8#dtV0@{=kmGY#n^2}H|i^l`mJTIobiQagI3LUQ65?D>*}N&%@TF1Z=Y?s zbfv48a=%&6=YM#zd?=zfmLBaDFq;2LJZGjNM#6QnsRg@^<%e=NN*6Q7RBYwFsW7Em zrD3LSsdibgZtP4O74^3q_Rv-;g0Styc<%8&f+EKGNJg0x$iM0a74Z1dm`bycox5~; zLMMBV4NlILJ?UJ4&#xQQ#di>p@z4><>y5o};^yS5P8ZSp<=Q%Rrfaw82ZXYKW1ADE z6?xnfr;dUcGYSK<04vzet?Rqdo4;#`Gm!^l74bdicU6hK@#&MZZ@nxZCyqA3!^UNm zu?QRABT5_P_bPO*#1|y{;xPaXdBc^0kD263Q*SK?x74cA_&w#Tv$b)9o%l|P^n zjgVmUx4uq#d3Q{p|2yODu(dLsuy5}5F6^thu)+O4m@*6p(e*|G-Q2XPKDw zv*^cj$)P6Fe|)aDJ?`qj<0u`m0kiKJI%z{feFSeJ6YNje&c!NPZ!NG!@f3>>hz;hL zDxUBk>PkTSWR`8EE~;VE1GYP^fs-zY6@Pow zh`-Y%s(sr2R9O9s@Hwh)WwTjP3bF+jsTb}%B0YRzJo(9)nn)9Xas$C++ zx9jp)TVEY=DV5{_|8zqAT(P6`z*YKH=kR<3N|mW;;gxaDEnd>PnX);-UXlFovX7&a z4cnY)3-fJ8E}DaUOrMar=78(OZLzZ4Wb59~tp%4J9U4EcV{Q47w%tA!O69gX12@`) zIKDZ>&@W8;d(6F$&;N0<72#xWz)aq#qMTd^GOJ~MDDRcs{NR8iO<3_ZL2m?dwhiHI zV-bB9CN{(Vai&~wSngn_xzBwAC6Pru+nj{;%2n%Qq%Yzg=A%;jfnk?zNTzPrafsCX zK&ZV!0u%mu4vC4pN!Olh5qtVQ=D4gU-{on|F}=46Vst&aBCct0nXqrM@VUL#n|D!0 z&Mgi(fi(I`aT%R&tsmi!Uwg3RKfe%!qEf`TrQK!Y)WqzzVaW~d1Tack;e4F#`;<;e zO4A{@wf6n=yLa!bBH=H&g8PWns?%IaldfL9iqM8qlkrkh1cL@YK8 zuU_@*+uJ>?L~T~?=SKogJ3x`yoAx5~0)^k`m6vIkIJOb+X@GYO(1SUTF=)n+yBV6f zOINeozsf1LkyjMDRIG&>*w}>97~Q18eP!Z1lIk^vRnMxW;flb@Stfj?U~P?z9i}yRh_JJwK}g#tA9z#=H}PNu+x`0 zxUDe`&55?2$0B%GRuRsnC3Oi6N|)38>TVdCnKWX%D;>ISWh+LtTMh%@CH)t6`i&u( zt{pNrsfo}(cHZlX*b6%QgH6ObF>HZ~4^YXZy3=2%jAUQ2dWT*aSUP{~>>!o6uT9sn zINzJsM4%yNGwgYDVQaob_jJdM$X3BK-DmHPC_NvThH-2*Z2Uv~f;~=JE8hIhT$Z!l z?-UFd1f>)+-M$IjF1ja&VbbbqCpUGui|gj`=u)388h3!e*Ot5Wm&+~Vz$i~JmXV-BM*&^+WeR7M@IWXMiT z)kV(WXS|Nyby5^+afj~S>rN~hrIeAut)3hp!!XEFAvuf~unOgh0MDw~HE0T+hCZTD zhV?gMiV{vJlJR8(=2`Z%zlw+x&cD-@caFZiEWNU0Ml^t%scpu=q-*zW3BOdP?QKt= zGP~+$o~Y9M;xK4DD%uV~?D9ia&C;`G_Tu(p(3a=XO&R^zBF=0lVF844B?A>BoOuYjOfV6sxsfZNfW zZQ>a17Qtfo*#_GH>WqujZlzQsME$ot)cm#ms{z5{fkV+NUf>x$YxfYjkxFs6ESpimdS@O9IuBvM z{WEDf)oD8|;s{JQ2q^+rw1>%7&*UDI7Ni(&br)F29`>Cm3|7a+*x#9yd}`&3h{^W@ zQ&IRJ%Y2LH#kxGw&{!X_Z`B0O4CbwG_6F+*h0`aiAb#S2N$$5(*a+Q05XjFx$2$b$ zX&U_f?Y22-R`t3d?+!Thn(t)(c%fiKFAW0XdvrZKBi?XvjhX5u{luY<79dD`t`^GO zjo+zvZ!nj`d6nSSEiv2C?TY>Au`|jjVv#tRx2HYb(gCAqqj%j(=w0r6&`#Jd3}5I0 zo|aF&qI+kio#H29EJk|CZshj8Y^$X%3~GnUu->?sSlY%^vcuCJMf*A(q5jDN21s-=?*5#gCyyZ>y3X?69GG`m70s|afdVTEN1f_6Hog@HcKRsAl93|;7 zNbPAg>Dg3nR7j9ZTa)F5;(mqY*G6U3~YJ z2w(ZvOgQ7^P`gpkv9Kxf5iwV~uBsZ^wfq$Wv9#!6!YKje(tv5lYjS!kaRz8Ld1vSM zi}RnMYUQ^NIEJrw-#Zsy_P~s@InKiyZ#6q#S9*I-K#^3FoIE2 zD7e*CzIS7VHgL4u@;@|m-b$PnFGAzCX+*@3qXDG%Z zqVJNO$Df|RRazIct(iTT{C>oTE)lfuYsK+yc7=#*IGo4ArQd#s1b*TgBHs|N1RjkV zePyU3H8y93uVAzZliqlBJ{_bJX)EVLTaOTU<6B*l{~-Bl#J$8r+x*yp$$JTx{!9PG z?;JT)b~DtgFXJSxk2EZ2-fw>5S+#SFkfV9#5-Ofv(kT~x@cCxwE9GT$W{O*vkO1(9 z!~6A}7bp4bWKumh;l#H`YC|{_K3|-9XyJ#LEOG8yi$Y5OaIr$k&d#p88I5MqPex@) z4hNQ{z3+FwW1h^psu#v8YkK{Alu2vftxHnF5&BSW<^`d&q>VT8k(iiKuKDIK3eTpl@kG&Dkk48 z`T&|048}kfT1;0T4a;XW0#Aj%5LV3TOdzEiiHSO)rN%j>kg-i)eWZGP}&!%e}~5`j2J*>yL^!Ub`g}n`|-ZDwQdhPzIIirY28* znq7BFf(iVcQ%O%76EdEt)Eflo?XRSfIL%AC$Jh@?n!D)2s3`PZL60K`C>%#|&x8&W<1D+oE|@YF9=4H`u=%ob;An<*Jwc-4 z@`%z2KECUqOddUnTSQ1mc-hPmc(Sm0Nn!C!4~2PF!7O?cMD`r`WDLzT3px%1Y*6zM z(%qO&-58}^hS~=gZQ_g2(9jO9t_UzySx=#^phza0X0UFo;#l$;s`-o|o8N zxen7PPx=;>4iY&gM=TSF@vMFKBJ{fNEL^_Ya_|DdEW?2xUj^T)7W?_673Ek!=nxFD zUQHn6d-Xgp*`}WX0jJ(Pi^(B$>w7J<{$QZP`9gx!irozY&8x4y;1Q)f!B!m3db}U$ zRfdCPuud_jp9At0x_BH+>+u8}&HJuIKsuIVt4_#~uKFefBv&k)H7=yQ9{?T6ZU!&;OvF(0zJg-eX@2u(B)wa`*yMZdpcLAZ zW$>WGU@PR3TX-B~8iV|%Z_Z+RZ{~Q*p+*o99T?`bjvlqe|2n zr|UrC>0qCj5^=m%F+ET@jqPQhZYWTW3NeI559twbG8W@i`w$efmRid&b{9k6c=>n= zneXlTaH~&07Q>M}F5VsH9>QT>zHWoNT)%ur(-In&Vha`6OCSA83r z)P);-kDUhQLarrHLmGQ=)Ov4ewm*&%ag0|nb3Bd$+4pHkm%`FA?(P-yJs(Z9MqUeD ze}tzmsvjB>8Za@2FsfyAHLu z81+8?^Gf_>a1Nfom5M2jXQk`$;e(>)7&m!3;)X|>o4HUZ=1H8NhLuVG0g6XrI3mD5 z_?Y-@`Kv38l{P2OCN>y0m4}_7o1pN$mc`6cn3iCj{iQ%{^6d53SA&@^`QSL%tOOwE z>y4++F_=3VlcNgTELLcIJ^u;cjv6Xo9753t7q##(tXZ}~FiH~fCRq@w<}f|uT| z=FY6!=PUpo`GX}ksn<`VKCFi+nB@y~I6BNmS}_B)gjcVOcQzJzZ+#$;$lO@Bv%4)$ zy*`R9EdDAj<)XJy+lemO@pftdeaTU|a68Qn?xN5bnyh$m@%o>CF zCG_Bm9#(l?%zyjy6-qA6u`bgV#PJxtqg6`jasoqk3drH@zUjjNQ9FQ*GC#EfuDKpY zLSrX;q|z|GX*p83O)Q4WN^g#~QuzaNObzCwhwZfc^6&VsWxW4(Dzo<$?~faUrWoF` zV#=E){_l`$Arr-OVC>4l*uDL4W4DOu(RSjA%Btf2KvdlLq^N#$FFI1Co z{`djM6Z-jt!t0Cg%~u8-hQiLz6;?;Sesd6GK`bgrn1x;~4{~O_ioGL{rH8%yQ4Ute z2sAuZfW9ko#hhIdtq&Js#kb#9hlXZw>vpnLtomZ~aoIg_{Y&6kDpIBikTJ@o+JS!mBX= zN;Y|PrA8NyPUwdZQW2y4#NU_4gzNC$;^Ar5*dAQmb3*T`hwV*7S%Z5@|2!5x*B2#|lHo{8CZpN7I$B2cH}bZUt=2p>AQ zFicq5L!<BC57(@ZuZ({8@DrjZwPDIQzBX+Jt^! z@ZQ0BCyGJLWy}2C=+7KlXYjZE6+J@M%Ot4UHsE&okJE#lz8WdW)uIpO22;z=zl;>v zN-%bgL`W9gy#ujps_RJ<%D^#$`_*M|hF)C5vM2+X88dBBM8e< z-(D9;9SXq0=!^kO;q~MLpQ9P-?=HR+vesp4i9jicB3DPG{31(sibaAd=WZP_5qF}= zl?b*+tS3CVImX;wnQlgx9hlR8LP#1EIK;|5rdpYup!R08J~!Y54%K68Rs-hBlg!#A zC8#u_r(qTrQAEf#YBHut5)&ir4zf++3W%ZYM(Ba&yV((a|*!OaVvnO|<~1 zKWaDn^9(v;M}Ii5EO}3eJ!IPt{kU+yHwqlKD_Z}ceX!6aP9Xye$dMsO5CBOjxi*E* zLEulWf>pWUIRpU(Z=8m4^~hDZ_baa3T18PWj;WlzPv%2<`2JH(3vO|7ot3wr0Ly;= zHWNGh<+7b6`B9g@xlsZ|^p2p9am!=Rss(MW%SbH@N2@{yWdOKCBZ#~aMHzjvuwT2B z1-taIc!f4R9-0X3w-ECty|2Ik>Fx8)W8KdhCZ6Drx|e*sUSCkeE+F4T{5|!2=ZoT* z#PmTA!JumLxjOvN$WK2u2#KGHlIy_=Np;=C#`xG+x;|)u^9A8>OK5rJbe)%%S8Cqg z+-wlAh1VVs=Jeg8ay1o*TL9QoJ7BY3dDOK-^9*nk*&piailalg(P%3=p*O?w9!PY* zJzuT|U4a+L#%|31Wh;dc1Ui*DEPj>N0FmFTAY44D{rKtAXWiZEFvoON!OdYN@z{Wl zOWAn1mK63;NCMfQrSjVFg^+d3Vu6mFoNvea=^Lr65MJ>GB>lKuz{jf zP>S&MoW!bhM6#rou4JZ8*0?ODxA|oE*y398#SR^$u^i;c24-em%i!ed3O&tEcZjX2 zM~_>kM1YRvqUn=zC=;8v#-XP!MfXTEk|WdnJFA%JBS_fu%PKEuqN?j39il%4 zUWrdU92nGOMGpS5;v>8AfL(gz73-44%jv)V1v=1LrouWy$}FA{2v>!drW$ zo}>sgNt&K~d2?L`3_82RkXwPa%lEv?Hqjbws0xq0ys2Aq3wxgtRnr0sE~eu7`#FHcF&yB_ceW9S&y* zNQ=IoeGda1?YZXH+a`%SO~F~$IrS=V47z4cwV9)x`qkixoaw{Ojp>E)ey#HF^+vv{x{1B2@6Y9q; zR!vj;=;)#kwGl6IiY=Lgy<(DKQfFKs?mYrAXs((zWBYNDyNTygAor!CYFVk2Ao|ln zJS_LLV($ekQVH0n1hjXo$DY%tL;?w&9|b6pm3X$nCO0W=@RRVY9&; zH4Ln>+|KS{9Lg+uMi7AXP9YfqK>zO-KhBsd+B`x%m4E#iQQ4ZqA68oJy-+|~*5!c{ zOT8AEB04hp&;jm>26t$+QvkV|ydg_e>k&HqgGly2{hX3M?h5T2yd$FF2s#RnN(Fh^ z(^$bfC85X2Jm{;JRpyKdIqa;ZNPpd;inkby3j;@bRM2D_`8>T}>fxk}A^9)V2k6MC z4?f`6&;E7oejkX(MrZ_*vtFxRI+h1z!ycUcBC`cVaa)wE5h% zra~5-@Z%wR9lY3c(0cHE*1>$>E+wE4M(Niv3hD^FK+caGTWvgaA9K9HJs49924I35 zzk2_(ukxso>5gRoy?*ae^Js)d(9$x!BgmDMWW~rmzYFW(fnX3f9KXwuY>+UBsl(NpZ12CZ5krqg51z@@*)_&j`1JhTCB#+VCn)H@`xLzV z1sE#~JBnil@cNb`_IbzN~<)E;PtVrRpVeB$37OhjTc9fTC52_0P z_UXtkW5fkM(mP^TVnRZ0^{QOF=mk)`%Mizm8Y&)Vc(XpLS5oZ5pP_up6wSw*lh~JC zy|kRvbV0)o?yz^X!ohB9g9ldUQS|3C{Z#KVUwRUJ+cW5hYF=y&Dnb+p5GxMtKg37M zf8PKD9sN2fsR8`;7?_iA=z72gXjApj%F+E7KE(00n#-8cgFY} z->B{A=gPLNfbl;sl=FJ+b-{1{c;jL5M6kf3P?ECRojGWsCtN~SFP%W@+p>zfSpy>nQsoNBPtP8K<<|+>EU3ocsSxfU{?p?A*8xUV z9_3I?QHqf@H8wsbM!;pC9bKKi;I`P>WNRyXf?!OW6k$1i3 zvL_Qp?k+AaUapA38xk;UMP-26fmpo5h@eC5uY89<{qXQs#xa3_zn`1g!$2N&QVN@p zz6sJ6=?BC}^5l68eZ^I`(f2`lAav^2t8ggZ3nkz|k)sF&WJ1PJK~unK6`%3a4uCi4TITR{m~*Byhz!+#lGG!|>c>Ht=5_tk^W%`Y=`QK4eCtQPVhssG!% zRq(Zlod6`g&C41nyZk@yI=q{;MVa&JZA9k;3OdgPVM2}`i6~iFSvS5YV~UBk&(+<0 ze40hRxHIIU_nvz5TiNpZhl&BX_Vz`LjH>RU2Ohtk4Qf-#f7Pbo2oDn4xOJW9zWuv0 zf}FbhL;%Q_L9A~xP?xT_8NKaDS%hl(1)CkSmD%qbBL z&Rx-ipO*I|+qf?~F?5J=0ekc+_kV|~zb!Ea7OtWvX?j_v6PQW6*>2@S3}R-z>e|{- z%~2xP;o3~QnBt~*UnypkEHu7hezQ2egGl1t*YhGFJ1$B$p||r3d4|>omv&d~kBc)L zjnU;$x}0!O4g2diLLUr{oHTMiqeSlgv4<{jJ})o@Am_93_xY>-`%*&M%1)4m&Yoas`M$<&3!Dv zZ*S^K>&;+zMLjG$#9lKEGa-A3{fD9W*FA(B5ZS{LAeu?2^hVOJ3SShfIJdF!9$M6A zGO1twsM6HnW75Yj7AKm0gxGAX@TQmJmCB-F(m zi)esO8Nhi}+NcKvGJez}{7->VO!Kv3EW-q!;Rd{_9F}VZS@Z1$Oi7+kE67LuadZw= z`)^;$h+&>UN%a0KhpepZYyX;>ng+-fl7PCb%z?6!OR8~qqy62v>=G9)PTHekIhw!P zea!Ei;pLtf>ysaR{yw~2(Dj4X(LcT#Jh1d|!^v0*#Irye<+FH8{+A<Dr zWZtiMlHeXDDa&zwv!ISMfG<4(G~Ff~^Io@)d}=CgInAamXT9x)N3P3ChGN_0|*{ed3o%F<4W z7o1KG9-eUz;touDh$FH-dg&Go^?{YC*vBE@82i_71$Go|1N$g}aMCA_e1gF^JQ8a1 zv)A>bU~_I^1uvDTK7Kbra|k@C9`oO}6Q&<0`JaNqsw&Wx9RG*y-1}w>xhcf9R8Jt^ zM$$l)boFx{_R_`w>!o2y9)?ylzu+^TYK;$xiLnyRfMQD{VAvBODq|LyQ=-1gGhY{R zmhwbr6J?mS!Y=!Zo7rdXeV_~o2@}tx+8vs`%ev{{bgRapcAxG>N>IPjyTaq!Y}d{v znyAm!MPLJ@`6Aapl$3gQP6av%MVeb_rfEo=kB1M!A!lT!S@jyb^uL>RSn8OSl; z4m8YREnsD=UQ}~HJT*%L*qglU}WlId)@`7>ATmR zo&=m?#aGxlb!linaA*F0au-P;KL>Z9KQ%%I8L;vizMTc^h zv2oOhI}(fOUHE;1^lN;NEiF`&o&WeX{t?5GfAU$D4v4}{Fr;Oo0$M3b%soZ-lKIWs zKg`XUk^TL5|Hmz^@bVliZ_vrslQ51KIb_Qm6cu&mS6lH*t3Bc^OE$hfJwi|K|LQT$ zmb>eBqMGrdFE{1G+NGm+WHPodlnIA_U42R6|0HC5z(RJq`+1b1qV|<%b>SYTx10-1 zy28WHIoxo#y$%t~RcJrVN-m~#?P`d7ZZE2h|0u{-FoGxiqiX?=$f5ytzu=Ph&7^-m z{Y9N&5G6c}m>S?tr6N6>h?oR59IV}v(6Dots}NuB4v>@|sVl)fH1Gu|f>7Tu|5K=$ zK6Q^qtY$Y`1-@%B>$3HupXUrFYh{77VhnJz3fF+EKnLm3a4IJ}9MEY88kzrLbvz@W z(zkk~`O!Dp9bt`D)w0>*cg#`4&0AoOh{&uZ#h;`1t za^PAs*?;9(24_L*3(p*?T^(m&8ue^j=5^#87vn&h3Z$*y51h~5l<^Pf%?Gh-l8YaX zA_=*NoowX)S}F`IU4krhC-Irc)H#?g7Im0A0|jQjJId9B0*Ol1M8tOqKkRPc-K=W} z3ctZtW@K>vn_u%8r`hk;J{h5dVFDuO>4YbA5ETQGWE`$#jVz2WF%0{JmmCB@l2C?{H%L&8Xoh>n{vf zA}clyqH;%npRz?bWwz422d50wNd7uyh%5!2kC^aYBO)rQ zSsTd64Pa679D!^nVdkDF$@w}9Vg2&gVM(j@#@e5D$7<|elx!C6ERV5ey7hLRJ^esS z&0bWY8gFQOE2^W5pIRCFhyX|1jUKm^gp&N#hphYeduD7M5lbenoN!QXN5z3ZBnjo; z{e1(Zd||;(7_+_jg~d7etB0>Df|xHIWKhtN_$!0L^pF61CKl{`V9x-f^eezY3SJRV zJk-~urZiq^f+-91^{{%VVklUQ7!22>B4V7CB zq-9CNXX0mMV)F7(BHZ+Bn72S!Ko{_66JvJmCCiMfVvSHarxKXGP1u&@!qa;_)N=Qm zUTEmHlqoR>Ck@<|^)6%)snfmkF(^ZxOkT70SjU>C&dtj)mYO}|&)wx)LUChbL+tl= zG)fqi_pT4SmvqTDV;&qhcM6CM0}J_o$1X6jqdnwbZ)~jc=UpLZ#{%Y?bi{EYa4<6) z<{v4{a3%zZerzEJDQFsCdt6T3hT7$GVubtoTUX{hKQXCH`;Qw6CV?pn3-E}1Zlf|# z<3-T?XnK;;|C^({h}BnM4ZWoI^-wClDw8zw5H#r#AkL%KlwC=RV#Y!)t&%K~;#aBs zg`%Ivhsz}+PCXN3j9_%%8(6~8+Syd(g;X_pl>HXstdD^B-M9JS2ZbB@!NZ&42zv@VEl_dJl3R(2F=lt9|81 z?#>hiPL^b{({L8z_uvWu;U@vhwu1f9qep*cJV>+#TzAICvT15>ji7hyB&Y*V(=O+tg4IvLNP5;D#o;=JiAmb;;susN;&nlE`2igB{S{tX30i`z7#X1C8`m^c= zPITl9bkKw|84Mwf3B&=MEDMlO+NGwAaBbQDDy`$_4v#fFQ%O_fDso&*xIPKpIsvS% z6%Vj@3wme-^8j@zW9X}A-587 zi)~P2gs#AJx72!xtO4YU@3JGL=TBmU01!w#P3QsTPNZ*;AH zFJ9EH&I75J^a|T$lO*Jt1HmPht@TGh>m!iHdv7ztdyn}Ri}9_+Zrpfnxv8v>oVe|} z2E{))T_^%xKR-V!euCH`b}99Hca?H`nzFRH-ufxqV=_*&JM+9F&qkMai&QgvKj}av zA$CLf0C-Vhf*fGHB$0nUy9b5Ig~$pZkUmL!Wl9~G)L8%J0DG2cl!0Yaus^Mu!t}>_ z%ji$HC$$5UidZ!CM|PKhJO~d#1EL5Bjf{-yA<#DhhT()ti?VP)%K$X99BHqA9UXPM zbC#nHKnE0SS<|4FnWb?G4}lQ=HspVOr%RBoS3cG=~J5Y1ImxS6Y6E#s~Q@G+qsFx+V4K&V|s^o3;!?xibx1U7;f@EZd1j5 zG+ged(5s+y<4pS5s(@tC6qdf)X_Xr0n;cmeK;hsDSk9<#;b(O;S7v_oZV6&MeGUWg zSFiDTgkS|HsFSpWeV1C{>kFwm}KLFN)kfD`u?&09PQU6&i_B-UgT=k^uYNPE6ELAkGpHLJyC~XdYp;&;%mKRCM9xQa1pEs5ob@1>Gqv1#6H4= z-4&=(?|ykCNy{GB%9tA50dZt-PIxoUKRg})PrZr8NyVBP8;J%zr$l6!pzj{zw$|gS zXNAx$XcXGSf2gUEj*2RPc}sSSZry^&(~ld>?`%Zvu4Z2y*m^Z99&@X>D{(s`I5IW7 z*<1*`wVjT$x_g;jPk32s7$+|giOFeQ3Lef$bZIw@c%!-ne|!zcJ4m( z2CqP+#?a7k`SUolbKpkG0p`HdQx6vye>fl}3}cb@t%n+%e*qd$Qk!{-v@%SpxX`gj zpcH3^d|y?10rNptu4Ru3!VCn1g?G22(jbg0z{`89SFQ_Fd+aiS3obJkuMMOnMnf2b=$%0upp3U+{&GlS{uW#_1zU)TmjWSznM8*5>!|`v)UQeiutj>+oIqTcUA^iLBEguK-x# zx|Qv%BQ09Lw(iz^@R)?Bq1JU<^B5^h`bstiu-<0t>Wml7f4 zLV`%7IqFv${nHFqX+Tt9qbptuAWa5h3XmslZ;xVJxpwW^u^*L$pB*%`KJk=kr$Mz( z15}iveE>5F#kt@|jPB~q49Ay@`T?L#?q;Kh-GBUP{w{c;Mr5KzmhVC)3=*_PFeTzc z0C9zz?7=_GeUK9z1;C$A!^J08U>HXXIAVAqTaI|}#q%QRsm~QvHr#`F6rbjzml)$wATw$Y6?p zzVPb9iXL0fvmL8Xb_RZQi zANSHiSf?#h-|m_j3aOrAKB1|{b?mEep=F4T`EQpOiXfp7XP@tsbXrQBI!KRy-7*}lVZdERKyBtc z=_`fFVIv;X=S|jT|9=RT5_XuGM}D~LrqwY!CFrH!oc)^#`6@}4JZ%*9trTXK9HDvHrEE~`Un5Hi26|2;YEhv4OW14+obt9 zGqh|9j2(e1{0Rb|R@6drJWzKY2$$IDA%MmEOuC;Bi?=UegrH%5ZO0$q>Fc2MR6oNs zphk(~yQa(haW)VU9HFDLD1F65Z_XCSwMDk?XzNapuBcnK5+QnJ9{)qym5 z0T+;!Y_#el>WAn>S${{>$XixYI>L~zF#v!Rs;4@V1I=vWb?9&fvbKX|7=j^v5LwLu zj_qkkXR-+U*A!9lS_xP>XwNFF(w(aee_e~eMPYj<;{ZFXnu7K=NdiU*WY$?0hG|dp z>0uGsCnOmfLY1Mh{cDTIU6J`q$sW(1#2$b4jH;tFpYvuy)|nYK`CAFZ2Cx%TN=$7JkUS39Xa+p&a6 z|H2ff>zyG>mT757k+FkT^wavjlLDoyyPSKuYfbVV1Tjwn9~>M#ONc>QO_W{&40zY$ z2;4>wL+78q@;{CMx|M>bSR$3%`d{*CTx2E=W>oH{?gx78T>71%ARp(&rB7KF5fr3f zB3laMUKi+NG!`Pct(^*JStkJqeEG7^V$nX3vWJ3zQzH-~T6KqUX{lMk{H!urcY9=kJo{`&QhiBA^i zi!zGuA@&#G#7#h7%f`kgZMU4_BQFTjvf$t)nwHPaXm9Vpw?+`>XG8DAa8}f3Ho@Z( zcsES0wGq5*nH#dD2fBSG7@Bia7*cCFUCbCDWYIEv z{Qq$F-tk!X{rh-?q!dLmDosj8M#wtR7M%?tWn?x;l?)K#(?CXKXZGQHLcO_M57;X z?1&u{yHZ($KEUZFP6+iyr+C^zUF0Mh<`n@G~vTcf|Xv`9$d z^!em>dyVTpA*Cw=&j->ttIu(9pRw;-skdxzU)7#1W&8C%eVc#W>FU~H!Rnyi_djG5 zA~6sX*jh{jq?oTECAnV_kr~7>8GiD#pL^!8&y9uSkMj6n;mDxp(6pR@Fdf+P#4DN~ zHWGUO>MpcH!Oq(ldd8?QeH0@7Q>e96J}+T@D2IevMD6?CCW@Wyesh*@Ww1E=g^haS z`gIeAIjP7j1cyo7gL?lm_GV=h3lx0h30grv?d9miD!BxhM zNEE?VGC@9G9o`T=5C)j76NV_U7kQ=gm{$=C_3}PbPRRld=?4gMn&3V(9^)naClFck zn1#ABKSX<=ahiYB^TV;mdYzLS`WK=^NI3LyE5r$Pm|ls~v<#SnO)6#J8x$EdwN= zx1etj(8x0X<&g+9LKiGsiJkT`=xrCsO*mCQYd{y@e8C~*3jO1~>|!L1?2hE%>>T zj(mINsn2j`8PY%bJmh8j@Y$x3aXD0DkcSvQ6o?;BIC5{FL)O50r<}TEQha~M=s*Ui zLxq)H|+% zH|@V$J}(8k&JU%~%})i*xr9j`!|HYDCp=K{p4eSBZ?e(dklf#AEfV4K2be3CKXCxl zwQ^|Ia&8yOR?7ul5vlZFFi+@naG6HhitFL(4~MHH#Dvah46nGlc7Z>duOs?sqAdjO zD%0NLB2*1sbUv!;K$W|O&nZ*FhP}sL;s8TZYHsfzynwt1hV7K!uHRiKS-1NajM6z! zaL9n6Ha{;atCXFRZsbn5^`Bz)H}BSerT-`3GmE+d8OTAe8VE+BpW-&PHi%>)!gDL(pBw+NK(JM@R)hKVX}3Mv|rhv)e9ZRXOFd9 zZTEAsJ|Wv(ixL&M9e>ebW+1Mzty@W74b-QL3l;W~)i4Fs5~-I0YA34PTG&AhQ@9jX zN~qMwn?I>{nFO0|DA9{mZJia~9y1h` zRt_h&MHl^E^gv(Fp?Ys&)8V4T5Z~Epy`K+1dv;yzZKuV9EjPc`|8^6(Yxee(YoK^p zUdOW&`LmF~B?J!9;4OxYXoJ&bxWe_`wKh1~98Kld(FuyG%s0?pFm1%?i=RqmOiI~46 zH%qZjx-sn;WbEfhQU%JTLo^ZqBvghVP}l+&feh!p7ZsOD=iDB zbho7q>%nTPS#r_-HYnycr|&LFa4@LJ0g>=(4*;D$qeV7Xm+fvSu9#m^0WP^Yhi zo$pU`;RSNt#!KBRXJ<`Y7fLNzUmYW5lsR)(TT95a{XU0dQ$F4A#8`$~X)dC;wf#a8 zqQs4}*;H66gWpU<(pjR0R{8Ri;AFF_*RLx~EE->!i`xUa^@Bbama*IT6LnFv?!j$MXo^?AzPi*@Xo%^!U%P7dJEL*dtkU67> zO?oi-{@y1K9)=wV2BH0M$=g`pS@qlRja8!uQ@hNF~2PW37p4y+fDK1+ZPcq9XnH&3y8J)=~`a)*LTr@H2$WU zg4DHRC=p1h(=h!;(W6(h6?-U%VZLp$;SJhQoTeqt6v{&i6AZh7fH_(lxFKw*?P zwaA8x)d?E1I91O5tm{keV0vWTQMV;x)ib|QvyPig!lu7tUpf(2mR$Rd4wNv<6~VGL z_Xz*oex_u_4prwxu(I|yybc4ZOnXWA1{B)J{m#GYWlJpAYO6Qwo@fNm>`DNuVIBu@ z4*&+6f%Sg_l6&u?R3KHAMZuokTVU|>4gof_Ahj>Tc24L1^x(m6k^PeS1^SiN`xF(O zs(SjIG3eV~srM!_uVTM|N%v5^-uB*KO2b<=Cg$`XSj)OB@JX#eFEFhamPK#r7&!Ls zsGUDjQV^=X=;u@AAiodkJEHkGx^4DI*;^-&-n~C>_GsHRa-@K(UtOV2gHQ<#axxT# zXMGY8_+HnK@{-!M_s@>@{V(Shq(6r3kT4mOsJ+S*WL|%Tf*?(TI_$tL4({dI z_6geegK(z!nZ~(lw;1cq&V-*<%z`k4h<*nioaBv){pL+zVjF{M5(hI50eaRqx+CK4 z%;oAX0Q6rBX?#$hv*AL0Wx|ch#=C0%7l+`r&=$9dbygr8A`TWD_@&IFK^6qfcP#>y zPv(h~Ssvfg#t)i1rv5MjInI72{6bdvDE8gowAjC_?ez4y+m2q72m9o<1(Y)x$LHhY zq%&_gF6bKgnD()2K*~`&@9~;JokCvenAJ-aLdlTm8fw;W(<6_dZz5b_?C@jqad`)+ z`N>3qF7(Ap9<%&PLL{>`>c)T5BmN_Elg!N$k4&muS?sOOe=J5-(ktQ0+W@+F`M(c zT-9^0iKxxm0%Aezmbcdhk0eA49?F?>*(bGEr~b#fm1+Bbr7FZuYfqaBTG^_sW3-bn zgfRvNE{xCBaXiw7fR|KH)@|@hGLkG$q6<-CP7+?+yll-WFx7l?5!>=I|2iC-w{Q2y zW!Fm`9&(IOe*OA&HjE$c!qxC4FQ39vtWrowkR%~;(AIKSVO+U0)yY0K zfTbHCFH>+X&!zW?2RGH6m_xv-ylN9IND zr5HosGa}q6$bbs&9R4&ExGi)lOMJu5D3xROp{<%bfi_0>e2;EX7^>!CdJ?I*(d+56 zvV(7F(lL!FG9dWSUEi^>=S!D`(%Z12G#!h#9mfzF?$wUCYbX&+a9S~a)iQ(zB{~$V zX=@b5bq0TW1UHH~3t3!{sVAC6oN^`uCM3^V;hSr~Zlddk&&Y_38H#c)eW%4(UGE1? zwv2ZKs7lr~>2yW>F~0LW;sgyItN;#*d76Qsae! zWsa#lJJ}|J{BUC3C(W8WQC12k=`P1G{enb<=deAhn21%E`KUc`o}dMDuu5cYKDlL~ zHrayA`a(}tf#O=yxNe=-#;2B*dxw4{rkxu)LY=sQ=ND&0?y%gaRqWq?gVQ-Ij}#a9 zB;~2hSe5ws^o(=a#6#)s@xs8P5ny=WdgsI*tXK9?_UMc=!aSGZ&N^DLpilY@p`-3c z0~(Hy8<=cmOI)Y)+`M$F|ugIH~u$^|4pQ$7AQNUAw#$CHECP zuE5r?j$h?R)ld>t#;DnPBD~VPDR(QS87L~8B$P}|u{#1D_Amn`FrkJf1h&GYUB{M& zmrcz)%X)|+6SMok)R?f#uy%fhp~AvKaa0AQw&z$C&hG3k9L7N{ zZ7KU8UN6$n+%qbuW19D7b_zRg-|kzqdf^fHGGQj`W*uLQ{~q2B6RAIG`rkJa=+ra) zU4-sSXmTSW9OT~9@c@m!yl}XGJV(|*n}EDKHEq;(Xf^nVnsL7V1zh2z zhh!}q(7x}qx5s*D5rnt9ob?wxd5nc)$_ue{j2Lw9DmPtg8g{;~-w|7DcP3k#_%yU? z{~R6fIJWriFKj(i`@T#U72)w8Wgox2c(MQ0wQC&q9XSFffQ&UP>bYW?rXMmjy=Tt$ zD0u0m4X#>^_l(Az`77NvSw5-VR5sCgbjJn;hN)u#cmA!+h5*V~j-gPHCxx z+B0Ff)k^x$_^5MTk8h-eDeXQ;Gu2LifM*Z>ao%-G5(9^6+Uw(>&UJub{uVdr8~BO& zH}?Q|*`TlSK=fs?KPz#DvVw z7k-8&r#W3+E6U5xKyIG?jTm@)WS zOeKn*FlA%rt`HCiSi9rlUMV7}C%mRZTzZSRR?>?!It!`tMK2d4|ta53B~7(C(lS zW`{!&mb8jyRxOx>4sKq>liwxpR24$SgoV{5uUTQeB*9dDxWV8sI^yure-|wS)g~OU zyxM{~N5QEr<@ZIiwr(A6t-C0S;_QANNUpzi_~NQmd53tnb_27_!a^u#v+ zz8&@4NtS+lv4Xfq9TloUd^!f#-e<0(i!?qx?;hlNzd{!~VXizh+)_FHIOmC{Z9m@^ z@W=Tw1+)Cpq`YC^T(U}nd6hWxsm&j@8FFwjFOgspqi-_3veAp5je+6RoMp@jr8C86 z2+}cxTTq^Du#R2gFkLvA{T!vLtXnTB!utC%O@X3Fn^Z?(zZ8uJLr;c7Ts>D@f1sa( zvY<8IgEC8S8+^+V>H8jW6wyCM~E*v8Ms7~QcAs`l3Infv*F zX>1?u8&?pUFL1U`pLSz%Zsg@uP&<0QAb;g(a1F`kpKi*@QXI&lM zINx1`t$^1K92X%nVt~A4@503m?=xhjS7lQb7nC28m!mVskqe70}iEXJCK4fIWw&l8JOt14hu=)%q#R$^r0AJqA_I-u5B>U;jPbvmjFF&}@q zy!u?aP_4>xaDQtqP-BDk1=RdXgOYMRgXtL*t&6y(* zQs`!Y*Q@84&Vsh(jqoFqUANypcC&qu*KRUnqsYL*L->vF6;JMs$>@eRWVqmiK z<=(5_xp|GUZkp|ek6^d}4hv)re%0UNQqrp0W3y(?m=T{cn|H(uZCpEpaw%ySd4I{4 zo|Pv6PIR?ZS2zEj@j2@u#v0MOi5iH=yUZUls8GHX&yu=3q)^YF>3=2F?`YAxw5ZjR zrTkT(GSUl&QayURPX1K&nt2(WY_1Nn1eMqTqPO=4!kSC$ zrw9$NwG#-?nQw{?i?Sh!4~Kc~@K?f&-i&p@y4@)MN05p1_XKCO?`}j5d1S`2<;%IH_uB12BHf@AG1|PO zr_lHP@I|@IC7faf zYxva6ka%crg*dLbWtiS8T9#mm(l(bhiS;gKf;DTugcezZlS^ZG{Z-i ztm@x!!;jJrRbKAOLuYeHBY(6y)l-F9LFXy8s(MM=amRGY#Qe0B)sJ2KpHk~}_j$~r zYVYgdBL<0-bgqsb4%ZS3$i&KF7=^`P=!t1OC-godq(WbD4E@eq6kT<9{q?Nd!W-qo8M@q4!2+d9Cj7uE zvdn)kt^v9($`(|M{&;mwne4T`}jits0OO@i%ZCe!&exvmmb;zGB}4* z{vD@~qqg)cPy#Lk4dei&5BDcfP*zg51^_ zQ$+PskI3IY#3>?k@WV20Ios%muDkS895kVOT@50wFT^}Wl4XfUMR_{3o*dE)De5%2 z{rgH|t_Ii4l$)tz@XvXaTkX;05&N0sA?cG8o5-#^zLXI=F!=G4rKx##Q$ z`%b`>^*UjTrb=R9O2*~i-oIyDK(+f`yt21;(Xb#+V$x@iq4IsNexTI;YBTsSqYZR6 z0hn}j_XqYO9noqQ$KRGk^HVcVI8wrfoIhjZ0I`93*t^d2BX2{0SCdhhMYPY)u#tsn!K8#m_1I)i%OR^HAj-k7_-t@^BwkAJ!4^Y^xk zo`qF9iea#1RH1+S_hUQ1s;LRbn|8Ji+l1$3PJC3^nCbh(H?c13ihk)a?ETeezF$nZb}nM*?Za-eK?wMtBwyXTHQ(o?xiC}BlnPmfGRDo-(djuOsALdSD? z{jar-iPJcUg(kBxh)q#Bt3fvee)T=^PHUr3;C9!`x1}?xt#gGlds0=zYrlRaud*2g zp0$<_N~RgbN8O*w;_N9p?>Hsw4|Ty~ceOM}nK?{aXuF7nK$TSmLYd7o16O(zV~g#6kA* z-InHb^b6vbjdIIKta4C;^5IM3Wld2Bn_n2ei=rESUXo-vTd<2WG(!69cDNN`+0K_l z6S5J&F9K$hMf3N(^uWB7EDkEN)k?aysyD49KTW+~Q*UeEI{nV+#X%rv7GDn&#XSao4@%?v6Qb{QrlIE` zqcKeP$P}#Tc*#Ru0W!X?W+3j_@Z!2q_1t&AgXl~2nBM?qJmo8S8xHg~Gj}m2D9uU! zFIr!=b86iGa&e4J`*Y3b5wglZG2)-Lnwi0!W)C*U!B0^s0+t*j6{;m+#l4T()CPvF zE(8!TQ$13qxMYb6JdaErXRaM-PiUVK8cK6U21G}gqda@7_XWcPXuJH+_3jHlXi+Lr zv6%qz^2>^2QH=tlnYe+^S-7w@DGkK>Tr@)J{hy~_iAN17ti2{S)9Lf!nlIol+{L(2 zPc=y)lqw(z<7~n`K4^LQ@@3ljg|t)eh+>+h$sOKi`-&%rwTfI&sa?ld`-WoClwP8T zz$|j`yRzyKIzqE&g;ja>CMRsjp7ssHGOR}uShf`BaNthH8Wf>{=XMX$=+9#+X`4Pc zwC}m?!`%<}YQoEI2l2wD8KA*IYYU{7gL`>xCz%!1%qyU!)oNw!>q8pKul7hbzOG&s zJiot$>4OCSMN@cCo2q zWIvmX?7)pRML9bctyS01Abz;F6yXQ{C&4!GT)8iuEB6q{PcC$d8;%}7>7b{>Xxhi? zbkHPfkoHoo)SWkz{hPO&o3{7%1>1oN^$jxt5Na3g{xzPaHF_WRtuW~Bn31w@!(w0m zbPPBNYsVUOZLT_z>JfU9%cd{eIpI0~#`^B^$deW;_dj_3-Y>1c_d%CqTLMG#Nw`}K z1qQXyiW#al!Ib=tG+sK)8En?za{bi2`0uZ(`#Izti$W^9s-_Rt>Lhzxe7sQAzh*QN zE!bYEMF~rNy)!MMJC!&8gJXZUODMrI6z9o}zD>78h_Ypc{)#~EU*~Frx!u^Uo&TZv zcAt~rNImKnfM9aG^Lrt5bEWfS;IC5heukl;;q8!J!H!_m*{MsvXTZZpOx7B4py{l&-03V3tv5T%APz^4MF zct!1Yvy|+A1J&&}PmxxrLI1BG-Y1Zs4(UZ)X=>ine4Dq&QIG6gN}?MAqbaU33zypj zOL2%DL98+UBqUylSRl9K&BL_Ubg4=r#joV)7Q`a zl6LMpo;7S*QvWcsh%EzU=o_IxF~>NEXdp6MfQ@%5xH64`oW)=$@qRa((m7sM;Qp1P zz-+CJV$}Wo=B9YPi2`*E*#9(o#Z#!ko;5a%!42dH0W|<7eeU8#4re=2vlDGq`@1&Q z@kkx&sl0bd4s(QaK0d#Bmd3g%rEJmmm?I^V7Y|W|`RN5!$IkSAk=Iw(gC2%i5`p|q z$%-MSoF+gMb74md*vuZY=ma(Ev4a0sW>z1sK@y{p7Yv>ssd3N=qZdLrW!?FIl%npUGs})*5A$((=c%~oN4(&teaa3@0`Kvfpkf)N}KP% zR&Og`?0aO9_vlRvU8jR@dWf6Kf^w}#2iLDZO*4m`&8=N&bz`nt|EIQdq+`zAkru0m zMb+|Yv87{YVti=jmtnATlal$ghRyUc;+;c~jFi;JxCMhLXY}MOF#CUKOTt5tI1UA4^2%uV}P_jXWqPeDHlMKhj!Vv>A#g`n>rHu+3JY7atEWS!?@_p&sIQ> zEG4B!t<~w<=BF62VWLwpglz(jj7GbowRik|Bq(ecO`HPpflBDd@e5dwHe-3g&j>7* zx;?wS5|dqN)ojTw^5~}cp`PE zxV^6oTkgEupTdcwqD8fViUk_IKeHAcwG64o+t_?GoH$;R)av)rmd7+A#k?m-(>Ksd z;JbQFGUGEwIA1t4+24biw)le4yl@7_aYp z*bVDV;=a(x+ObEWXI(7TbJXF;X6}vAODStu(-No!rGQk1M8o@l1}P28hHE@9XCC$? z;6ARv(N@Q-1t-r29}bT3}))&`-Yub8kskI8Ks~} zSGSSFy)NhBgaKQHsQW$VzsaChm?1Zfh61)@%)B^aqw)*}YswdNd>t>cGA?ZjoyPIq zc@;vSMC+Cu`?T{hK0{6h4W74bSC@`RecY*JLxEt-I-9?v20IL~ZjzF(yRBM(5-@Q9 zOVu|rpEo0FlYbo%_8y`M$@X2G?8Px}eJl_EZ?bO^Bsm+_x?Dv+uOxM}TEy0}vmLmE zFaC6n>5hr1>05X_T&zhBl;*(FoBcx#u0xxKuph6QFIU4ikg2N4<6`jLqtszI(yE6X zyk7w)LxYN>{h$%$WM_-@^}qtl*-HvLV)eRwEJJh|cTvK;irxn+l-soztqP|u2!^pQ znZX{j>1lKME%&MeERT&n-+&efM@mX|5<^y8tg>~9fE|`X2mE-Zcd^YDN3wB=okGx_ zr5se%Zrhg9FY#?HnK&lTejqLwrhRJ!aq=5RV{< zQ@jdOhgpO|sgAv9yNn61pDtj}b&DQiLx-uSxqKZ>z zj6Z+RG8(Pq{#Bt<8mBkTFzebN2(IHAmy-sXef^%0Ad?<_dnl4nvFWWU-5sqDtuGvr zy}3eZF#o7>nj5N)!8sDj$QkLH1RFk-ysz*GKMqRTnQp}k1AE9HXUyvkUYru5uOBW0 zWV7ga_qPiUuAWuZl+kg)zrM#5dds;54Ugbo7*A5@ggwF~2D`b}mWr(XbIt@;5M~$w z(4Y$A*gB1!oqeO*K5!L}FlL)bA43CMc;Rd6siqc5IcOK{0n2(@y_NhXLxikyPE z-CZVvKYc2+zy2fiO8`{3wzEuXBb3pjeU4X@PZfTUQIOcUS*hP%|9fR=S0$dI!X7BO0v8w z88L+*TTrGl&GZG}HrG&3R577B1%*qj>#jtIVL*>_PDaC&;`LY@j(-xZx*ZYGnMKFC zf_)Jq=Zh+Q>^L#|O6vXHZCcsFFDgP(OQ-#}L8q03f=ApDTY2;K9QF{G&Sh zW`e1WX`)BHL+ix6<{KmF|NItPWGq>mVb` zRbeYdSf;EPES!-0lakYdI#)Rw4eA`jR-H^4jaNJhs!AAUH7}VhSS;I^|CDEKZ-dhC z=bm9{*C=4-ne)+0B&Ml8S~Tf<4J{GrHjw~|^K`%=a_8NP&A-4p?sRxLht6|@%}#cz zwqzb3v0|d62LtK;6$C5FNin6H;k*rSG+LEomV(Th{c`4 zSx_M9{5&~zr5Ok>mpqD&>!EA%2Y>u*)r;8S=j+eS>Ahu%F$sI!T6CbY_)oCW$5{R9 zq|1Gg5%AGouEtZ&WlS+7n=ko0P{47|7CCg*!16BLIY2NSnY>3gL!{EtQf=d5Kr~k& zF;PPqsvZkF#k(X64Kff<)j(b9aJES*T1ZF~r}e%&9O^le7tj-g2OHSM)C0R49y(_< z@%fzz`=^!r)zA#&R^IBl!POD= z^pEH!dEYfuO6)6*fVJ*zdZg$-7KiKq0Xl;%1ZN+pw3 zj!(V|B~hZCpo{J!_Ll5lwNSpKm24h%UI0{Uz_C2un)kUNJxjOV!XH9rO$tjKLb6b4 z8};o3Xu7^FzM!8=kW}y!T;OzJ`aPn)WW){H_J2EcnK=c#{-XPm49Xf%Qr+XdwSYBy z3>&XmDB-l1PTO;?1 z2={|zT@{U`^wJGWayVFz31N|!JMTfIvRnvDj1fhwTT0g~rL1{AjWxO%>*29}E?+1q zhEQ1rPWE<~5U+Bqw$o9Blrvy0(1VSOFa@6DEHMBsXOkAyNNgI1Dew2{%VeO!elgiB ze<7i6Ht`(>-S+gEGrV77z+met7f+lk9&4laYG7}orA1)%XX%D!#a>pycdc6%`3}Yo z_lfqFR}ZbgU}&w%jQF(txcs|BWGEBTnp8WhX!m)!P_gISDVK*yk{<@_w00=9ec<^L z#|0mEHXMW1SM^Lb;r6uE^VueN@Z@D=FAgmUA-lwgr2ejVRqfSl*Nk8AZMxu=aa-0C zZ=T2O63u1TU^@m3jv7Zy^!eeqQWC9WG@x4=e+xUUA)HD5`E`eDbJ}o!+FcZtnKK*} z)bv*oDs!$O0C_6!hyGo~DsAdNSEnSJYtKofQ?vCbsKAlMLiC--`FpRLQ}--GR5={ zR5w9(`Im|Jn3w;DxO`hz-12f+318%CK!FEt2rZkU`e!iG0`T z`&vjS<<=D#DHexFOP!^rRoi^RtkVPw+x0{@bn~8FFw%!J)6vDWd8IFr*f9ROFl*CX zJW278_q6Ra)t#{bEqQiF(x(!3vxv-MuEJB&RF4f;>8loF8qKa zov)hOphH*_ilmqRcH_+g>V0)2f-Ow5_yoa%mFm_GQ(@c!gcC@W+%JPsKCp@=TuZ{9 zb-d#pf1K%+iGjv%hw17NF-$y}zU;=t9<1nGmXHq$@HhAy9Fv^IJF0JUb7XWG__--^ z|MREeckkX@aSZAqqD^$Fy4Y03T_ih6Af+89+=^-1KV%Y@Rl>D5TvX;MRog4&QWhwl7dnslyZwrgPXjKmH`?8lceskZfZX zyM^=swyhOSonG5c=HF@^Il2EnMnQ+#Eh8eaVP-L^S+r&iuLMKL<7N+fwU(|L{_7SfNV3;-rFKFt9#zR31+F!kPo zghMJUJ;O5?GhfH)+JcnpbZM?2ohPxp1pLVj+g`0$lmPxYR|V7vgxF;;b>!r$>?a4w zg-OhH{7n$la7SlBI1V6?227TX_%q1zhF_nb9QHS#&pt+R2wNU{bjjmqk0OqGFO;=5 zHa8U09skk)emIoZ9m_R%IFuJtcaKsJ%z-dUF96Hrwho347cy_&q1~%eVyqrKSNAg) zb2wIh_qBg{H3v*Fw3(f^)}u5fD>pl^mS`*DYD#L1Qbdq~<0t0Ucox}cKuGNS?$1uE z-$Ra@0^wW{#WSI4MVbVufj^XBSy?u8Ab$DC5nsFtXv|p=`JzAV3krHSHf`uyN~Auc zpE&>Tc{6RsycA~U74c?12Nz)-H+$S@=uuu8dNeEsyrcniviD@KuiUi}O{>V4FJF`; zv{uk^$^`Lvv#r!E!?Crngv^>z%k zpHV8+w|Y8ml=oNla-IrP2}L$CiB}r>>63ZF;J-6nt7W0m=0_@@eN4zlZ3cSbSPjIi zKsR_YJw9KtS?VXT+sF9oas%tFFoDdA|LZd0h6wVwqgB`pU=_5Q=do4=rMr6HDKPP6XW+U#N#AfhuE3}t^xIqE)- zYWJf|>Za6TKhWjv)LqFcN|ZAJF~uJ+M8d8sl2(U0oz?8F(yy#n@dMm!JQ}1l}z5y?JvbYGXg2wB!}P73J>R z-C_>AgZ5M9!u#Fi$#D^J(5Vg9Iv3pMQ*Wr-p@<{RH$p`3!@Xddl44oXvO*gpaKpoa zlo^7>_gn!D7drNl1vEu`l1_-aJMc=34_h7?@v{354#3QDmnZ{CDBZAe<0N;&9on!Q zNP4#Q69xJo=tyN3|I($ZWZz?~G}V8#&d$-@)LYCm#18+jh=IdLznA8Geymfi`I5W(1Rw8=7Crw-^6VwNx;uvj$VL0CCz8zSvKCoh8l24;fvT6&826j zJV`Dk&Rwu3+tv>u9Ch3Q&@~_Zi1cj$3cr>metfnbU*6#Efek4LEA|qn z__#Qgw{AI1_eil7F9K}TTVfM!V*3c&Gs6@Fo{sy@{JKbTfiwPku#}(EVRfoUULSEE zpXBv{J1qhlpVSWt$6rUr71tK-`mTeT=vbG6zi=9`Z|=jyDxNa_cazvb(ZYs`25KZ# z%bfiZhRAECkrOl(<1RksDVgJP{S|O9$HgP_AQw(yc%YNGg^XmZaWssY&17vQY`+Z4 z%!tMNpIL4?ILP5JmZkh1Oa6K+Q|K431W>&=xh3X?Bzd&k{+}K#jDL2}9xb|$NggdR zzy*tZ?GFi@xId5f-}S*?sRsO)Yp9~6u4ySfYS19baP|braNHxyMg=I+K>t_eN_CESs+ zkOS+%;BF`u$UeT27c@$2`=`+0L#j9!LJS(gAm#IZHCdecZ`9^zlOevhb2vGdWA&h) zx@OEi9e^s!A@iFNc&};9uGI-$O?J-TRwsX-98306XcuUeGy>`*w;z=>lqTEy$qWg+ zn>us8aj5YB@wS1Y&e^P{cD1q59HBej#Ax}}Yrdcn_7;Tk5thPj@%2&0DryCX-Zk z^(QTwqdw(hA`oVI)ZSB%s*m{(PWUCG89|s_0xh`?YD!L+WR5(9zngJ~UB`&2LqC!E zC4IgzE-X*@z5WDz_Zs%mcON1>;J-?9D<JuNW3s;X1u?#oU1wx!?2P0p; z^Wr4mx)d@|`QEy#_nf=#9T@k#1DOXf3XCA;l^|PuBWC=-nb&;|viM&?*xikUUN_x2 zJgW@G)Vv7~knOe*Ln2;jjp)=xEJ+KzM*V2r_u<&ob&ZQZ={J#YgX|wmjsGS5R9~_Y zfs0f)2$7RSV|Pq<_QBNeNLd8p@wklZw!l3)dNrXc0BunNj{Z0o4xDho%FJs$G6|u5 z`B0!u$1)Clx}DY^{{VD}9J193G80BSW3RD;Du4jEuJFM4Zu@nICvR$u z>z8q51-Tg1NA$$Lm;5NQRBV#K%_nEO4e|-IG6B#z|CDWPkCuvILPrd`4;JBw)?V|JZ6Xt5RNm!)HoKghy^_w=S?cICp8+&VV!$KX9DIsbO^<8H$vNj2T ztU3{VjCVH)Bn$s;a_Nr3Rijs&apfauFv$&ZfY09~K{B0KY~i`xbI!=f_%rjGLMKP# z`O8CMXpN??s2*Lp^+3-t!t4q%937kg2}%F?(|H|!_-3KygVyFv+}y#+Y82exFtQS^ z*N~MTIZ0t;oqOX$S&8vz!rz~i{*WF49Op&WyX9%-@A>#u;^#GmN4K?)XtdMTxeNV3nJJu9Y?Nz^C+ z;I9!nP;^y0PsfcZ|0{{=k3(sGC%Zz0L&Dpt-S=xs)|8ILu0X=(uDGZ$LA)7DB%yW; zwry3yBzg47hfiGPxD!P=PP%E?rl}g^PoR)5Je|OVxr&XdH*5dttYOY&9j~H4EaK1wQIZ zt4VXnVt%p;3vu`THiQ7ernD=pvd(DB&;rH&nC8}v|Zti=Pk~4cjnYIQxH;M`V`TN5ZmXVZC6&A;yx_e4x(;0I$9G5^BFCn zV_Ql9PHa};=qa5!e;qv|JGBS7BL~LV7pLvYf*}jGRfbK?-Zn`Vap#|dJ@1k(vFt<$ zx(B;^OFX0=jSB0gxMx7Q`^ah^_g}kI|8>4u^lI>Le<8;i+1O(K;a$T;VP-&e&@Hn#MxKDqKB*>yi=1$Tk>;L&Muo{7}Tg8gT zk2iV;`JpuNXb9;Hus3Gg#PMuKd+xj}c!m>X7d<>ngu$KV0H(eKmT(X^3Zh2NrRYH6JgcNtJ+`Ks=Up63j1ScA?`iXXpGY5jyVb z>y+R&Wog^|>3#7GI#0%@k~1g5vZ$}=D|YR8UBf3*!wB!ur5M0mhG1U%*8|b5`@uei zMV53;gNnyUct|3dDe>X_!9T)44nn%V;K(SWIKFx~9hVLxU0U4<)!4*!r*;i-N5jv% z_3Jsfi+^B2{u&=rwcbT(t@r%t!J>_*wZG>3ws^QjF-pRBtQ@ZL2e<`^92{5X*-uJ{ zVv<>+B=d2&5@dR~>;cnGkdQfG$MdPx_sAKy#l9hK8Ds^Xqi(SGjgNWeGX3VCmV?3$5yr{nv4~ktU`TKUp}jtM1wwH2rcw6+G6;E5ZAdBo;EQ0`=2LNx?He-z9FYzL#4 z0`PPNFn}xYs#9NqEa^=61UNuy@GK;}14TQ#(5#h?pQ8WKP&BTZc6F@-1~mvPX^L}G;RzR>3-+at{B zeLMg568Q5{;3)-Hft(v_jFw4A6wRS5lGH|34h%{mZ2Ifyk*t{=fyT9$!5A{VKmXvr z{sf|u>X}*Rssw6uAetv@IY<8Pcvv8dp72h{txc##Yc%fVk{6NgO2^8`2X#-$6rvl2 zTlMC1bCwS*syL8O2A*V*^`a?V(a81L$g_75TNxeXnN&w4;xi`sYW&9;yOd9j;vMVn z!BxDcJ^}ko|;=wZ(hejdA;fBlJKFawEi3zFl{j%86FxMbeInlEIiOJF5z)(p0LwT}EIW`5Gc zo3Ama5!wD*s?q+IHKz>7`81RnjnO0rHWd5b;(!>vib7%$Z+4b>{GUsT+s z3Cg5c-zV7?xbWI}TvP0cynDC^D+8B4+Uc<-_qvdOtbJo1P{^woG~LR4%(5)OhCizN zN&KSniC--e3qvXe-d2QOE=qm-?p?wW?bs#(*gK?Ug^r$8{-b!Yoof$FcKm4}ZKk_u zYL5y5=80;tut8)3?}Eo}O!{^(51P`)Wb>c5iZKo`_0*F)N}T-*W^}y;6+5GokTjru ze^hA+3GxU9dho5E@L@7vO9Ugx_ZaGbaR6hnA^Op?ZhvuE7wk2!esxeexC`I%vEcf> zn3BFX92<0quW;csg}45qAq`F)EP^jk6Rp-y-G|LDjuLEzC80deWPmoAH1xZdri5@&jV6cNCVuR{V`NPD={m*EI)DAWKY9M2|4wV=2 z#@HseY5jWI_W9MT-}Q!WNI0XY{Tw?NU_aJtOxZ5UnKiW<9s?;efFM@i309ti0EcW4vefy; zX@x1`V0NjaH(!S9eUgKr@vu10YZ*AtBE`Ia@0MP%!jhAk1Q#y?=>byeGXXGf0Yj)O zfCJuwTys}H&4rLG(=tC4gm2nX(?$0|N8U)e4Yo%9VHl=$ z9QHmw4D~sBzFjd)dpZjiAPF@W8;+KS<9`Ll`UI}Mz~%F4>Q;)rFA+TdgEgl-F)z$W zx~C7GsoVD;(~*CUnS;c8ljV(5M=~7AuEGSf&D~lkFupovxRVaT6X{ii0QXJOv6)jd zX_NdE7?XcUJgT2%^myv{4>=pC=)9Mhb~>6>u2NF#hKO#s^W1Q1I4V+ffbGPiV!p*s(5sUJ!vomtRktpfr4JdwU7csrPH@0-D^ zkcf)ggou#s%{9M6X10~6=pw=8uI7lFobLjJGU} z-ZiW?(LLd3yH78l&U0T#Ro{}c;BdP`><5^?7|1fx+$iPJw5+1&X!0-whghWlp|_9! zu-7T~v4u-|_rLAw`I*W3JA$=nCR*-~H4~W{rZv{7oWo~mB$NWU*(VyRe41zeR~@)9 zTtiWs>|(iXTruGOm8}%&Np=VS_$r5HVNitDA4|B_utq8=7K{qNFQ-!+fBPZsZUqMS z=DX=bkXi_HXEAXF!-U0tK@`Ek7dJu-miNRbkj_(9`V%FM6zYU3kyvRP(3D%|fvqCk z5}D2Z96YZhW=on*n}4Vv)Qf2r@7i}l;i4F!<-uo+w6@@lltt<9S7O~(Y_L9xm`Ek> zN4_4w~P8|IDw*g*Y@4-gn%u%AIVL zymVDUaF=v(cPp68`Q7bOvw=DVdb~he44BKJPrG3*FKQ!j3c6>7c^5}i8Dy^?DSls*(?rV>TSwrg2d@3%ZK<|>*05?Eoj|G=Js42qO@MK2jo=eJS8ORZnRr5 z$S4ipBf^|`E)kd4+#kqhB0LYQh4uRKw*->1aAE;^p@P!k>OvuKBC*;bt9#5$@%Yi37*&?_q5@` zHX8bf!Pdm6oDrCN#i6tFmxLCqSvsbqr_fF3leKTxd}at;2p&KTodd)SS6VBdH}KT?+cYAskvauFtLa_K7l_Kreilz! zqyHJ{zS2p~xk>m1>Hn;HbaE9h$otG?tZbX8>Q(GLF?TNkwl%G<}WZ*0{0 zlj3gQv2PhM=DXEw_%LrcDJf~+RIbij)AT20-7ssoqx8GpCy6gqRD9HIj>0x@hKv6n#Qm*6VGWLQy@47e*G$;8gsI7 za~k*Ca|(PD5Y=;%j@5=6=UnL^o3qdgq~2a9pQRj1VRT~TD4Tv#s9@ufnYK8#g*7iw z-UmE&f#7DnM=q>ljv8@vuBdkY9n|&xqZ&p*CVeUpH<%0!^eSd`!M4~{8jc1Td+vuW z#9dRyudDl*=*0>*-QC1I^{@t3E~l`YCK2zZU&#;e#6q&ZwgP0FAJYV-IF3R6c-#HF zY;I&01~^|iXMVn?&Rf`-09~iwywrNb20pCB{nYekXp8qm zKgR6WrRnM~c(!;$ruW1jru>^1V#z{z#7m%`#P?LMJPj^78wYgVIjcMq=E-d zjQt=Dz9lO`;OA~TTa@wJPuO#xUpS1JgS5{AcJ{@GKSf`D^hj(|CrZs<9goNSn*P%z zxlMJ)Didx6hXiy;{S|7lCqzeQQU=CrM{M)>?FdaE_=)j~N#6i7!}D~MaHIrM3lS}z zP+_%N4CV~ngn2{DW`8|Cx+vcJ-AO!o-B8MMZJ5C~)t#QxY8T}TPO>pLr1yIJ=ic+5 z+m0B%vAq$%s=EHx5v$X^4!VpTr0m8J<1QxFPfHrd`<3>WPA}=K_<|N^W2hm#Sq}2K z(z5{D`rd7$r8oB$T*xl+$$m)C30P~fK0g+RweCs(`&g*Y%EX{b?s=aNaPNJV*QCFD zgCH4gVUl)@7#`mFgk^4|=m*%jG9yN2lwjr##j&A5^i-@;97~4(`4gpz9$$+##f0{P zc+8L7{Bhn+R;$Ix9*5(v;>q@bakvPFCt;^8!+gV~uSuZj3yi6SY34B>ZnIfkO-I!k zj!B)KF#66N@SB+b|MB(R@m%lk`*}ybQK5`VGD_JbGO|)yC_BkY8Bw;3%uX6A8g_QF zGmcRO!eklpyqRONOAwdvRKLg7U}V<%ZWNd<%Tnj)sS7 z*O{uj&hG=}m~a04GNr$z@%^vzId`yykNJed*eOzspFefA^v7%DbN&i{Jz+cIhn$xX z-m(y6pI;lv-HV-2EPo4o7g*o3_GRd<&qgejX5^T<MNjT~*zvMKsnVR=M$27MthwHT;Z z3EfvQ+d9iLUT44J)Izz>yEeiZQ~2IQ9on%3SLv^+H+Vsbd1v^v^=P&s**WSJ`maZvokWA@>!5V1Gssa|BkblQg!hj24kil{!rICAn(m zVlpgZG*dW=vvtM09A~S!lkPEEU^FKQuU7Q7TpsxHv0i`^QX=)g+LTff8loj5q^%FY zw*qX08&MhgmuUv!wwFHH=QV@CoCU~GZftb4^RL;PS{>>%K@0V#^E+!4lwWAaAmE$g z+`=rRwJ%Kh&xU(5!i9OKRDppSoP>SEb-ss~AvUj{q83OZTwi6t^Z5a|tje(&&q~aa z6vDMH{QR^eGs%;daDROT`4(a3e&Px-FmT3e%QL{>+IC2Y^nSKZR0Ob>N}Zf+j}h-bh|u;Bui{BYZ1YC1Y+F*<(v`3i~uxtd0p!Q^pYB?|L% zINT{h%c^uBLh`7T4syRMAu&T}#{ay-mS^Am-DK&5a&9lG_0K444O!NsS5;aC9koV-pq&im zI@)=N?tL4A6agVQpH5o0OLckkL%wIisHurz>mXi_$Q|E7$(XK}^PGIfQ3Gm&?hIa( zWjg;sZ{k@8169FwhjuHKC70nEI}S(Qd$9G5F0w=$pUKjAMk%TwfkKoGM}uyz zeS1EO=0_?NI#7_SLdp2~XQtu1RcgbNd&xYEK#K-PJ)ixie)=DNr3glxn`1C9hM2Ug zbEot&;o;ekqRs#LWT*(S`U(R?+B9ZWz3ji!CNi@R=2rO;G)vr-|1O%(DnnY|QMox< z>}wN-AB~iLdB4;pP^b~Sk+M&kGz;Kv@WABzgdQx(~(~_gqVb{Vc1G~aTqWYeT zb4J*=Mh|X0w{@`PSjmC8txe)oQ&Vf>@drC-SOU#r3DK(R(2_#=g`vxrA23 znT|hSfFf#OrIf2ZFl?tAzO)+p`ufTD34I+#faX4K!e2^-nRLEVTiQSzZ@D$iCj9;S zj)Ll%tHd-W@XkNltCWuYxV+&5F#U)%JAD*s7z^EBzGRpw1O9H$nV(|xeV|lGfiK@% z_=nY(bh=(g&X^3EQpXFWnL78&Mg_6mJ@F{Ntp>l33{hwvvXr`x|_a}WQ zu>ilR5ETQwy*{k0r&}$e(0Qi!%crPi>c~hP6O{Su*weBo9qnTfKtLB~EnXTJ8r^;jU0SX$%C0!*q zm`FP|WCA*@*zQdS-|o&QyJ5VZqGp{y|B>mCzPgFOA2ZJwObpqmREfbz6~&$Jp97UN zjFnt7yZW)bb;+8WJw|&H&2N+fhGnB_1=W1#s&i?lxHI99PgpXEJ{f&s4cGnqgac|$ zTzg`d5D!lsQn}-u@_Fcm?S&QUndA(4*fFk^b#uIw@f-P1#)DtsKpHx;^0MPx%G?V)8RS6AR3K@9}1*zCQbZAw2#yA=Qm7P#w zcbrC_6n~s90NFk6KtbarHja38T z3c9+w$pwCwFF)8Ozlzh_+nEwxR-nytR1DI;2p=(o-ZsA40SFMohr@w~r_b*|w)aS$ zHG(v^&V$c4l#fTX=V|`=c!7Og#!~5`u;OnIej9GTvm@>Aa%`h-b8lL5YuClU{|er& zygs+A3-^IQ@e&atg?iPdB*2a9EAjw`gq=NSo(Hmf6{Aqv-giJmZYNZNp~A7MAKg8E zQMO~#Ph)!oJHYzp763zIf|&&Dt|Y7SaQ$HUZCo13Xi!=EW*@6qA&xQTk3*q7t8{<= z+KeWHu$i=ZFckdb$B+HX+Ld;u^Sz>*i6qYnZHOiiPeyijx|O8dRW6u4(Ew;#!;Uao z4#$KTVw77@1TNREVflyfozt}}Aq-O0n_J${-mSho(O*1x0DgnTk0l4oC(%(z0;k%# z!ki}LcVE*%qA$JWG*AvV?6suyRC#?a*0$U8>l*5j)z{y73H#{_Gzg z0zSg#yX4WMM+P5m4L&hhFikg5L2f`LfWR6}5zQiyP zMoh@6?n?wMFf#q9->Psf-vnLGDGwL$y{SVb1jTIDuD^0sVkg~G1Mayl8^-`CqJN1e z-NgmS<(JL2^{NslpeZ*eNlfO6);_$Y=!+Y~K`NGT=qpUj0_jZDm-hV$0$O|;z@C8t z9?x57T?@k`S%eM0ywLhNjRTbNlNfn^(rmbiDk{m9un{3xf4JL$ie@mxYDEYIOQ^F5#cH=iyI>TdpfjFct95M8Dl>d8~sLZ34*`!=?gm=X)SI zENEg_&}p4}eVI3~Bzh+#E>cl6QB@Ca25ney(Ci$zY85rBI-OKI9cB|W`h55&{{+_g zmQyS5m{`VUk&&14&elM}qOPJ34abG%qx@thf}X{_dGmJFrWV>0QC5>RHlCV76&NOI zO0zQRJ&X(Z5r>0v;dO*#04m+~ud~M>T}6p~`m>7p5H~XHMc0tX!y3jYt%##uTeJ&) zp)NKNE(6v-a>7@je!M8MMuW+8qTbjqyl&pN zCYw?Wp1ChYE`=+xso!wqJC%=i4vY0+!er_haQY4t6VnO&J;dMp1bpgvab&v#xqrXi zLv#S^)Z^<8yAxyXZV$V{BJJ~+CwiNh9b#-6M+t+2CxjtIn8_KWjPf>?NFXbZHIaNL zVV&oMk~DDdQyJHvXeo3fEeqyNI{2?CUzrf8rOYxUlIsBFe%jD3c(Qb^sbI}yW@eV= z?nQ45Jnt9~B^9P~DDMs`9yN;*L9QA98R9W7Q`?qUWi97iH-A+!ua;ev_>crBPx@+8 zF*QN^W~+S?ouM{mfaY-U&6^q-2^-665gDgo2B1#)op9kRuz7iS>vs>>4di~`s78F8 zPut2E_+ftC{DywnjwAHP*T!&E$@JLKs`7m_jGHaCVMFbJ*uX-`I@I@Pi&1Cn_ zN-l@5s93A6C!weWWhxOxaK7W!SF>6QJ|Ww<7~MSw7<+83WBns|8m`|=loz%i8yUEy z8Q?EhDi*M}Dra29G|_jgIXOe=Jiid1 z%J-mhZNW{7ulama-AtreBmk8n$xSjSASl}Tv!;za$Spx zO8@-%^I(D%4HKb}R8Pu8_v6m!H;h7TxJ89?S5)2gE$`I3gv2Pf{fI`qgR10%!Z(70 zF$pbR?ahiG2#**@5Q-8=FqiY(KZ{6REFz_uw-nc>=Yp|;0Iv^IKU+qoErQToV*;SS z7A0%z^!7gZl{qu2ABL@FsxwD%gjES)}yXt+OWy3Ays&D1&N2 zd3hu3bXy$hjIe(^G?#sM2gR!qI&UMa(>6{U%Obk2^KPhyMFEu8}=N!0NgeCp=vca|)~J3w+(K6T^1l z$554>rq+Pg(TAE!OAj(Ji$&imt&%(Jm_Uvlafo&9rG9HdSA8`z!T4hj*LnYnW=*AK zHOtczuEpMt`Ir&C`SI?g1Mcy?PxtU`^ZRr@xB2+lsTVdEn_K8bo=~e^SJX_aJG@om zfC_v-ackQ5NG+ELP9S`-Q6ZXBO13(EH9^uTzS-@+n!zAIDEmOXWQCB}A;hp|O)`Mg z?KDi=PBssyOhT4Z#kf(X$N*C_5sPcB0R-4GZi51)s=5R4Rn1XBGy$1_og%QNo{`x8c*-bJ?yU+)5*jjrR_r>hI=J}DcyE?j%H)3 z$zTeP#M=kIKcvDxqj1aF^m)7Q+<9+al7(jlI!?~81bQ70ij_E+kHmx~NKe++obvoF zad2HIR|m@DA&V7Ti3_?BtvDz2C<|qlqR!HIlcNw@a2|x;3}CyZEs7zaGwFaTXi&1d zd-^I?;2}rw@HE!Gp?d3ZU!lnV^L82rYx%$~7cutjbX`?HV@D2f$Vs=TrwuqhR2gT?4@y#i~ zg)$Ej*r(O#h4bRlrMqU!`gzYjee&qnkuWfH|A7OirNYpVCYS+8Pgx8cudi4yg8}oF zL94wUQn7S{+v%SH16WH~_P9YR8yFqk|3exR+0hWQFOZ+f@CI^m>>da{cgNy8_z?5s zVK?;4A`-;5?Vd*^d{@+3CukJ#Mt!oTrK4*?BqoM#I$wA-FDLT3#vs!FWh?yG9so`- ztQ<*6O}B4RYeEI>>+7rSa$s6g%~*QRTDed1P7#@EBHZ-av}qWwFq z`d^a9-P07#WYezmrKXGKx##2`yVAWQNklxI;qE5tQf9%ip~1_m;+*Uh15~TCDw<$6m;HNR|IbfF zG%YUeS26Z6`N+pTd-n7Jwt5L{HVM>>pw&k}JobR!sv9tcAx!cu&@l(hI= zcrpEeE=2YAf!S0Jsdj<4>xF(?f2UeY-gj9A>S^mbE0+MDLGq>cd9$ece?tQpIaKhvP4v@@z?D#Dmw;CjSc$~X_*c6Qg7%r zq{j!d=xChOdP3{>XA4vCEJtzrZJyOAi!e%zkLTI2;n4}t&hwYhEVe@5e@B@7w9~pI zOPhNL|0A+(NtM%oo)Pad-kHSp%;TfqoFLIUekrTdsx#_&aj{H5rwZH-3Zk#i^!z!H zcCFs)(Fo;e03id&Kz4gZ=EIT2sxL2LSkJ2?^;`ZDi~xmm8n1XwgfldzEI=?FMV)^i z12Vt16b!0NKyqTwxT*A$AAdva&ZVHBq$^TU>?8$moVyU%sfnv4FM<3q;|W>ft9)|* zugHd9J4g6_y$n~e@}kfT&$PSBlapq!Pf$okJF|{a=%jYh4HGV2eTzx9O*4*S^yJ+> znVGLj6T?{c*Mn_1LFz0BLx~T4|)c?ly*v-zd8DLnt{&3k|rm_HvY}jecy~w`YsuU{+5Pc;c0} z>5uvzU*n#u;1cRcJb%inb{-uIITeOSW-MA7T9i6}FG3&2wk3@Uz1iDH6AXJ*RbOK$ zc3@CYhvSaHPIYjDG{AZsUqzofbzQosqQK8k7k%l92-xvsE@-Cl<5WBSKL2c9`l?VS zH*>YFa4DOlfMBF|Mi$2fTOi(X(;p zB(J)Cdb!E#bkDT*tT$9Ifxf;vw{L0+=2WH>LzH~Bnq+DUb~4()d_V+&?H6F9?=UDRFbVj37Z_|Vt*7Wi@q?{+w-4)k&gqF%5nzHs*P$j zX*QN&GnH26S;UCDnY54bCf3t}+OLEe^*>#T6BmOwdIxO-itdD1Z{-CYr#nfw_nPjn)^>^ZzWEB?fNV~E2 zY06inEq?xF+Tfqh=6J>AB&_|C!00Co;nv}M@%Mjjh}j^;XPRQ zNy+T}#@P1DuWV19iFpbZxCU6){!s~@zZz}qK7ARbhTw1gt~D{gYyW7ugayDDPoog3 z>1&HenK<^WIQC~KG{4#O_0}pdmtl z)Zoc1ny!$`%t^QtweeN8@9bxazWea;)ED_i{Y6?M=6-d%UiQ|e@54h;tDXzn+pKWg zV0_3Y$+pMOZOeY^)rnSXpGdD~)w+D&O8W6a=K8RKF;0CiM2gj{Po%Ao35G)ovt-%o zw%^UVM64oHQM_>8c{HRlO8`Fu*Ns?JWotw3%7 z8R^SWtUM?K+!+)anp9q{So+z-(Do06vcsl=%+r)fe9m)1=&Xh7DDdV@%{XPG)H$w$ zW@rG?$xL6hNC{JQ1ozkxsL5b_$iZ`A1h0?B$aru<#^cAMEqk_<3!m<^Ja~G?$Uoga z3S?@1Epd&?<;RAKp4t^oNN6{Vm3PxWkD5mQ=N{)b6#*fFnPv%2w&{v(R5Uex2`V!O z!n+ITo11mTvpT@f5@Nr?1m>dB{OcdDA(d9&YkWwr#J!-kT&z#fps>NFFN=Pb!3Y3*~fZc|0Yw|;Z^iSC8sc_MjQsxt(C^)%gPnD-k!jmKZJzd8p zT(g-EwclnZ>9B}M+&7%O^}J9n%g!nj>P zx-yH)&?9cRe?qh4^XFl)Mxxp!EU>T*Z_Y)*+CD!yPlhiX)X zG+li;bScQGo?E*iRy`sv>Co!uA(RUU&}C92L~1RhkU7Xy61O2S0NE=TvM(PtVo?>= zU}M;y;AmsTJMn0LKCe?Tvyii?)(fii(g`8M&}K)eh7-7q=L zOe-T66v^$VKnd(G!xS%eD&>mJZn82yLaM9=xD_6Ou`4+VZ!D01Fdp=3+DbmVsbEQY zsjL7sfgTP{JE2%SXwycg_6cP0z!7Ei&@%OdnSz8~}PB^umW69mP-rc`H1l#r^mr zWYHpul{g{_0|L`)GrtWt{YK7RoM{qxM4f`e%8eU0+)Gx_PRIGSbOg-zOtpvgM;BDO2#{CyLaBtpzke^w1RV-vv+GWU&73ts$v>Vum1w7E~FhqCG< zs@_V-&zJnOaaTO?B^OcI|M1H(IpQxjA$Mf5|Ie|vw+!gZEOggWP+n1}qUGnkm#^rs>cb z!v!25?c#cLsLJd~ol052UNf88{jOYTz=fclVJMqXTXSx9n|Vu6ek=K7jqEkGK?^#% zxPzYZKXzAFPn}NVgA|&@Uf_{EudQ_sS3pGxH4azKiTbBe)GG-~p|)c_NG0n_bJx{Z zw6fQyOm^~=KC7S=#h3{ffwG#k_4Qk3t;tXFp^vofy=3|BvI-K?r~Ui(sheTsau7;# zF<9`UOHfmBU4$4=LGOZ7F;$a$r{bIx@+r+y;mOSSlYdM%SI!0KsSvVhCWJ)$22F>M z=$hK;I7imng-FWu@))d~bPtp7mrvwn^R!2M+k_ z-M3KheHsHxHV>FJh(3v%>0r4i6Lwle&_fvRbbJm2H=?hLBs3_SMb3?XMJExBzHkk+ zrnw6a*D}&R|ez=ho%L%WV{*5+4Tp@1CH(Zfui;|EeXo5Zd zfH}bRY$u?aChidZPdQRybE(FFFTq(Q3N0oUmXOeitcvyZ0FT|XHabjw-nmR~UjOYF znls4!v%ewvCQ;mhm3bkPF?oYGq&;71gzAbWrBX)lkFaycdA`N28_-w#+FQ|GdnzTl z$y8f=vz#c8!^SzoHdP91gds|i zym-!IV!J>G?RrXX(@fN5A=-Std{||LGAe>yM4VQBJHj3KkfH0HL!Blo=VDD0!GQ5^ zHRx^1=;v7ih07@;;mVo(!~$CA-Z$Ba)!WZTzOOFb)47~d<)uE+F{7_0{Rv>QY%F{P zECZO|Qil}Ipj!n}TY&D{seeqAi<|siP~_WPT~kvqqV&9y|JC;~6Si96SKp1~6a7tX z^>wbM?&&Ex{o3hwkhtT~po?vL{R9>!C!z$gpaB9uLBrza69H)15hI*BYhG7(5%Hny zIqn)gS7(`ktAhr$Ulr{(b`{0u_kEt_sLbA&v!^zHv+=hu0v5EXb9GCc-auA^TW0oL z2|YHDuvXYAY#`3M5|1-?4`(MRX6c{#nlp+CNqk~U|C!4Ly=W1p_7X$He&0)*qRP^L zA_2+^m$Zv(PtY86b#ckBzG;e>L2I|>)t*H@#>{)Gs$VM`9q)Odx+lnpu{!K6zXTBP z^5@r6w51etQg-#0KW9i;#hyI8Kapm+j{mlRqor~a{k4gH^ygFW@$O&EmLB>{q;zA{ znL^{Ep5HU5meukd5B*(Qt7`WsVvLLJgEP_{!Ooq(Xk}Y{pjELzOEGG>oRt=K4`^-oXlfr(POrwW9&Yy% zW;0I|9HC{vxg8-#)v*|dwo;eSNAb^p%`=}&=B60*H%6bk0VctTfI?&fH8vl@2Qc^) zmzzBJ6Mft5=#cQJS$`#crF7se@YjVPFI;T8~9g&POivMy`2`NI%q*=#_Ke6toYM(p1N4ah^qGEjgb416$ zRsG8Pl1)2gg;P_y$&-x5KV3BhX7j!2=Ze^1{9!Bys>D&`N|A3v=bvnnAWrtAGj6n| zYN=MIn#$-0ND&gZSuHHC|8Cb`^di4i&{IAn**u+2YrCx9G?PL$5eGX*WDwW00u}r` zh_Iu+3|26&zzCLITg9gUc26vSgnpYkV(9q#t+exl@a(058qSH*Q!+HM^zMXGb`#snN=3gM*Lzz# zJ7qQ92fWx5{TRZ_&oQ$9+|}T9>=zrqBO{-f5^YU;58a@L-RI-iCrQ*df=sv`iM8du z79V>XUMR`QxAz_wO62JUojN~lf!?kjjj%is!@Ni)mhJL83GWn`4Wzc(D7{xKIiXYEK8pO>V8R%P46LBnW!1!+^{Cj;6#I&Adb+* zGT<)+GM=Av8c5B3co>3tQ7G;|1GrDc-*bfo<%{?1J!?f;C;lI^5pQ7H6$%(z8BLELmoyNTmezv|x)Js+V=L)!pcJ~XgZkbYI+XN< zYbFhSa&H=E>muIQ?x`ilTkanXf%2I+hb99f<`eOlNMePI3(9gwFmY>Wecl3S!Jj(lumQU0v<&d5R&2_R@8_H|2cA%lX?0{*MjRMKimB)+Eeqpt()q&4dkP z;cLKwG;W}Ps8ZH~J(8A|X5ZxY>C$ElJ;=BJ^YX8UEza(K+5yt$bQ*l0q%vgl8Bz|N z-QcmPfdI}Y&DM^C;bTv=qLa~b%x|&V*VISS>I$Zci-YM|zwszoSSMK>lGkYx^V7WA zJYX-_GpF(M*4wpyavPD z$GIr!C6x(BQ3y@=2Az(;>;o0nbxg#%%8JadEf>FbrxHi*kEjWn*b)7~%b$*<-(p$y ze&+0!5mlgD(9O#EmmKlsr{QCL>(Y9*SFc_L1_pk%_mZAIq{dXJZ1j$@T*NCG;}XG$ z?{OGiN%iXiszggLTI=@i<)x1wbIsdNl(!ZM+tTE+akEp7@n?bqiegvs&6_t6@cR(n zAx_gV%z{uO39aXUMu zIn=Glj7-Y6en)HRnSIM(uNWHlk@4+yHVciH3prHp@Lovk{qSC^lPhsAO@#=By#!vo z@}0^JGUYCgty?uwmcWh1wy?ytrV&~tapN*?@5dE!K!)W}`yKqcrmN^z(dmD-n7nXS z{>Il;^w1^f=8Qt<7r}X5|7Ta=yl3Q~*C!F(BXFXht3LmEB+EppV0@N9@S50SIc%Kk z3Fe`Kr)LS~e|_Rn(A6PC0fufp+|f_UX3A;-~bCJ zINg!r%VVEm0%nF2ZJFE+k5RbGF}VOBBbbGWR=alZwuFF$@W(lL%-DW5gqH0mf#Xnb z;QYRW=TkAvH^N#k%>W6Mifu&c3|>^1J0=vO7aNO$`{Tf z{+Wq5lo9=J2cL6W&OXrJbYSYIGI1a9n|t65DwvI)Lu3wk`c0c|&oFzLSE2rSvhAEL z3adO43_T0s+m{16s0JoAJ~8Z8*cU@A{iYoJpuc2RI%HMGp4CC8TV58H-ARm3Wh^6PX|Pj zJ#I_Bf6Haadp9*@hq&MML;68$(zuMRcTctzovhe1ny#OEu47Pg^nP**uSAnNcqDR0 zm#G$9F%(8L81JJEoZWeJtx&c#)VB!-qtl7%UUXR};I>;htyLrGszTc3j_Omd&Y)PU zd$v+v@ZNE}n-w`^WeqATD@~t;GwHb_ zd{4}+$1{?LCs*s_hZUVDtk=4jI?09x#w>Zw^*~m3kkgpeM{wy6u?_9lKIl5@UO343 zW|Q?nl1IG9*{GuA+&C_oH8nE?^_ksOCQX7Sf>+CehFRJQ28WGtN|yuSk`Wnm38<30 z5DL87ONSRxRN?BfDiGxSKULV=E@h#@%!jSGW1Q~HVh~Rb&ul>NA>uxNAj^pWJbO{% zr!rv@f%C#E?WKklfN~WicE6OD39NJ{LCAUMhUk;0#5LtzL;`ECj?K9x7MoC#Z7mbi z9q>`QadF+uj+XtFz6X5=*h!+sP5r%HzNgCIh0RI2^CxeY-y!bwiPF32NAw=^i^{CN z$F-EQc!ROu4WZFrNgwpQc2(tdJZq_2f90-f@9(+&Vd|YD}@-gK0u5+2%zxLWGY^bNu7l;dMRS2@w zUHt-ZO}5T(O(+;Z&Q)kWywL1}T}aQC-CuniSKgC!#X{pt;6`*{MDHH2p88>T=ra?`CXV^KIgB4wHMn`_{T|vcVUJzo()D=t`+uK` zd7;{VR!CyyY)^fX$VR;!bPzBmsgIkRTfrf9zpAR>O;dvK4Uq#A8{2X;n<$fUlkX_Z ziud)tK%`OR3Xz%ZK;B23OZ#{G(8lKH^-kT zYN7r@ok!>mrKF^|?JeOFSu#0s23C!fs_7d)u_HcKxc_Ktt#jXX$bj)S1Dmkg@8IuXW0Z_NBU2dBGBWP# zAM3gpHSsg1Z>f4k8{Mw3x#Ge0fhk^99H);`*)L@)s2bz3bO)# z{D_!ry$hz99!&m`JX=)!yogrSiw$KXLLo~@5J~NS$IV_@j)oG$ThdaCO^FA(Q$YEubXHABT^7r(g zl<9p#$-Ev^l`B!*8p`j(wXu1QcxdSPuh8}7Vm2_h=RC861WG4(==3bl3D7 zcA+~WN7YUVRO;?7Yk4;MA>rim@g}*iG7&am`Fv}iw5sXn>HFN4wWW%BBPnWf?6 zLA#A?EzP^Z_$V>UqT*KCorDDGtFOkY3F#)G3$Ae8f`sS`FRo(Q-&d0u$oH`wd_GV# z&cYS^G`O3e7;tWycXs}sqA!Bj3upS-UoLz_h78S^q=cIN2NE& zX||(aLq?6feZr%#FTJRE3mYH_@2gavFND;ZnzrVgnB2!|t}gmB$@awK92Sd{A+(Ha z49sG#*U2OuCP0sATdIEbJrTaArFHT(&-=@%$Q-?V-$6wA0GCI`i`dy|NesYoq4sUxFW8lE<7{}Wt<}D z@FDNZ2;2=Fwm8O)1^#_k^qGNW{yRr{B^@q^1~BUKrTbAjs6NuIDZZPo*O;z#{lseY zgx8bPS{)RBm*gGl+_GBlk>&N#FC|Yc^*8XXe#N=KHjHfN1BBbZ#6U8a5XXl#8M{BV*W*G)o= z(MTP!7of`(ET8@|T!&V8Ob@~8SRe>< z^?_Sj{p6+NG-qnMym2N~3|=03cVhB&@IS64M;;&Cdf~#pjQ56zoOAAeOJq6>N@ojG zXyGPhIr-6001GR{Ts}JWqNB*f&|R>+Lm)sjPkf|016PAS z21FQ(^}>*zniR`{i;3rpsMNn>$A4GOTNotTZe;_a2${EqPx9GfDmXv<;9-2KhPIJv zHpdqQ@;ToiAs2Oh{dE`Bbcrp`qiGDfSs@g~3|Mwn8pr57qJGRh`k8yD|D|R1XFPgS zk7V=Z*7_pFJMH7k8jw=EU7ok}*@v(XA4Cl=k2d5vuiQ0zn@(?}2yWvURbp&5Tp(fz z?s7wty8W*)rckVz7uIat=7k^mTp^Y*)|2WQ$QndW5zAfH=e*OZv~p(h#=NH?j;0qu zeqw)~_P=Z)g^T0?QX>5ui&wkKo|52n(TF7Y&Jmjj+F-e80Q)}~u{?GIy&g%}ed6+Y z!4Ecn;%{$=H(kuy^LCTn^n)?^c%70;kbjV)?7J=F!9;n#Ri7~1N=4Im&lX$@iV88A znQBnyzeKBBtC7_V6|*WqvQs2YveWn|pJfyjK$P&6xKKxr8U_3W_kZ@ys(D|K2SyEq zW?QfSbHU8h0xaCa=Q0T->SN7jC(4r?nP3nZz=l)Wb1Z)Y%q04HaT2wWLY<^XY7c`5 z0*f1azJlpZv7|22p`)cs3PHw+eGq#*zsd{?USqhmWAN>rKPeyervlF zQ`qLeYMvv;-#*vzWW z3!v-i9f7#zMeI@|8=ZOQYHGi7BvHBeXsX!=S8^I#`G65a=y*rre7FBI!}|5<=#*Q+ zTnvfkRjdsfDKS>-kN!S%a#wW^zBuT1=8^ilM5iN{T^=;$m3$rKAo^_JTooSG zNeH&7$`aq%zfD`b-~aN-$i)vA#^4u})vT|Vp^5`JhksQP{6z?&8O#%QK(r)Jfb>g` z2jeS+VA-55U7E$ujgL_nmRhyfY;c^v3Fh{v0HGkgY`yI0zdkiI`cwoY183?J2YD^Oh*flM>=^tZLmAHTb#E=yaGSo% zkJn>1?;P)CHa}XEw&lyqWrF`gDkX1ix?wo?Gw<7-*yXWuwHuu@CNVTi&;hK5WaF>I?#`+J70+@C=MEvL`N+AFL-)ExC0NVPG zvrXk3IcsirPCeC&4Vmz+A%@+<=FRRczo@7NAvuJ{!kqzIn#*=uINNM|`iyEDew>n; zFl_AWyK~>Kspvu984trvsF}-XT7K?M2tJwfyEe&R_0!Lu2Ukh7mAwnTls7vivGp+G z6J3AUqRua5m z)`GE!f#Y6*QkI}Spmx2&-eNX-93{b`j1H0>Cq!} z(QNBENY|U8Oy}b8+`Br*$wG5H!OT&i(QM&}&$4I|lyFYPM{7`GMGCR9vZi7dtW}ve z#l*79IrQZHMEtTpvX90mhTvl+<=#BL;29RgjOhg_+e2^&7zFAU<}9%r3Erno>9`I6 z&)C3i1=0*4AM+2r{`AS1NAU4!Fb*Eb?h6_F>Mlz(GPGaxXpk{ z=Qf2= zKM0{=0hoAQ!%0w`MMN@Ct)c6oEKpEazm5oh2Y6ul_bkxmD}L|P(b2ioR|=;Fe~E7e z-wONIwJwDj#ttFP({6xw{G%D3R*h9R7dRxA!0ct~Vz4(G;0lWzTAz?0tTP)cCcmlj zeat}4_nv;=T@sNw5TsbWj8oc=XLU)V^!8>WZn*YzhOI>UH)3t^RpQO`?m^04>?xsj zI$UP{%`$hU2BL8(jGNc&UvN&r8FQz*i zz7B+{-oM;E@kr)&h>d!YH@s>oa4`MhoES!OhyD#iH~w)1a;=l3W`4M7@*MRlF|+E` z&gFcs^YZg`Ve25Ur4}-}PZ!_4KaD|B6%M4WX4ST1BA*6!&2j;%C5yb1`5nR(eF>)@ z>w*quFv8yiaUS>+8h4RR+Ht^Ttj7nOb$`X1XqWn%_;n6~@tOjJ-0#Zg-*;#hZ>1nH zuWE)ZeW7!IIsk0xtJPyFBBiT_x?esrxxQ)zsgW6n>g}JMM1bCpqn1uNbDGGzk$A9P zDcV1qi7Y&_lsW_n;D6+Cga{1vj4h+Bbl&)QzgH8Ew8gt(aZnUZCp-sEf0{WiAnV%gVSe`%Hu8 zWUCIXpZ;FpVKOX|wr$?G%bMXCLg?E@c9~xld-9eTDzfKgHiW(fvWa;#0259Url!OZ zTfR=R?v5mnnu{NAAPpnj*LMmR51jqrKB$^^`Ag&(^{}%#omX0l9|ml0DhaC%p`w95 zRy>-0NBT=AOE&z3+7v;!7Y<7fJ%1iKiuzKkZmEY{R0oP3Cs+N$Y#D{Ue6r&|>w8-Y zvIz&LsM;e`G*x=}IGu$s7jXoGIT@yT))je(0~F=H zO^V0Kx_hw*w5OKDV(*3#U^xK3sk;jfivy$Yja#(s|21>q$RtW=NV*ngow-cUDl65Y zSIj{nv{LHvf1OgGlQ|6bV8)|9N1vS` zCCU0<2-}pa>t*#4p55MF(}e#-g$YfD+{AFZzlkphVy8Fn0t4Cm2^Hp2_6{3x3Jces zhzDKMVeCc2tN|OPA-WZ1{S*vXff1}gu+6U7F|e7@i!xesTB8`Y{A*IA!-916we!V= zS{n*l+CZmzBj6W7KylFsq}_9HroBuotqvnOmsR&jQ+oWQjC&SS@+FAkWEgpbf>#<1 ztG~PVLpI`}-XpY!?v8V;r5xkM=+O?IW^=#a1+P>dX2OhDpE&s6(6>d!X_YJ?Vz(`X zx7U;=hq#@DSS(Zb`Y4+F64rhw6b@}0O_O_Q7*iM7@8gg$IX32g3gu%<-1#W|KW4P< zhhuEvv{MLmX6={zyw66ON+)t!`fI=x6@;HCF)~Y;O7)hwRRVIi$io$k%f_K%t$IMC zsi8rP0)ZEIQA}L9t5}Dy_`m#w`ZzZI)pItx~_!rA?Q}D6TN4JW;L_f<| zqZT7#k$Ynoy{&Q?BMmF^Ji=wPQ&Lkm4RK=>)8FGUq$LTy#0UO#c6M5VaX#85KiR|c z7X9@DiR0Dogc}TSv2KktCSJ8+47y1IMU=Y{7E;PzxTUEae9B=*bONo0QOpF0EDt?< zPNLO4o5(oej~o`Kh5jD*X!u!=gl8Wi0}ulYyXU){dCg5O-x5AGixMOF@vDb%`6j-@ z1Z1c2p{D5Hq%8$6qUBrFn?<&7e|Mr4aeO;Wm0%M~XanN9ySp{U;bHa@tiLMvfRZ22 z`AwN!9P{?ZmeMkYKPHSih{}l|Nl)Io%z(8zOfl&2e(cXR&`ia4586B=2K)LI?cTLZ zcN-mPC&x7$j3pY;IgqX}Arm!FkDIRq|NFM*|HJ{IC=S*91te>!v^~DG$`T_15w~Ee@=RF} zjF(t9riH=M!49?2`@7L=64X*$Z{NP>$-JftggRn)ct?1Uc8o1}b(UbN7PKF97NJ@f zz%Gw-cDe^gzX5s8=V6}Iu;>%WPtK!T@$JW2N5BavA~9j(`=4vPX}xJ-XRBtK1z)by zt8>jmP};7eB43}iWllD-uyFfZPbjK!q%{}&1)f(R(}vnm3?4NU@GPmQA6E?ZYhWPr zfu`Nr`H1m=^q;J>3@Ys(8>a;`-gK1kxJkv1uIPVlo(BeAFA;k%V}VY`bpLdkk;XfK zlXJLp$!iebTEi(;GLe{B$WK{ymye7YvJgTO!SsW`ebl1Ls;@j+`}5(4ABAsv`;oAw ze&y1oeJHY6lfKtS{?*E{?9yRM~#HD?gN zl+bFdY(FuJ-~?P7?p#y3UV>_SJI&XyuIsWVPY4Y$rR1FjDDE6h2u=yb1(3l020S!CCxDVE~5fxO0)t<#TW`x7mz3gn| zYIe-^<*{%hEVBAK?vi}FgpM2X-}m@)8osQCgvDUJq>8(l5i$w`>j+1z#2I+SnQWCW z+6p7NCDv1rZKpseE~`n@K_RK2%cT);=6}N@bIG_unI)2g`)~c}16rw6D44K*Ki!G- z8(Yr-@-w;>)yug%H;9VfdwlG$(cnIMmC?yJMXQGSde2NwvfrRzsmOEd-d>6fjr;lv zca$bSoqc^af5Q&mqPDXfdXK3-lQ3vthn^OnUmy*coRqKM@-SMa*e{OBGE0e{!{&;v^5UCDxWuM*-?wewS#pU4)F7AfB zTh|r&w@2fV!kPV)<1Izm4r?(n!}x%WE{mW=7!L3@GBO@l|3~bNTa(wmqD@0(qAAe0?6f^TL%O z80(~GRU+@P#v_-?_iB_=B^sW7W4c=;X5s_+&?n5Zku*DeI7|i-4VYbjUfbnwz15*p zWy7$zPN{s~r%E`#&>ta8#oFJVK&=tXl!&2@SJrI(4F6V^@h~)zxmsE~1h9|tXl%Wj z?rzvw^!Ggf`%f4Hk5RHt$1M((Q>LV(>`Fwy$Q&#?O>MP$Df+tkG~GKNN}~n6q~>ez zlbkJD{!=$OcF)i7(X{LrD?LU;@1JbW+~GFV+i^4M)m2-Jxa;q|oWY2-0#)B63ANXSL1-4lkld%g=>gu<}6jUX+;d zn5pPMj35S}CTP7wj*{_dXW)+`3bF57DV8_a$CMb2_a1Uuv3c3EcT}9a*XFYXc$Wir zs{#W_0HZ&)geU(NFg){BoEY%?mHOC_^FE1^UvI5U;S&4`K{+(ypSGI4i`S z7S+ENw?sdsuh#QdcBqRQH3`$p738~!@fgHa>{3#fq7Of}dvM<+=HC{rJ!nP0_w1tI z&NsbZa55;w=umv?Z`}WQcJ5Q#Vv4BNp@$r=sHV@w|16mPCIy&LE;-k^(J&@1PSKH1 zNNB}&x|1Qp%=Va#eer?h|)6X$-`horqIWHfr1^FXDCo6hP^sI#RW;39kE3VCZ_|DWjPtpvJQ0cJcd`Rqi zX7$KRAgt#cgRq(O^7r=sV$JogpBadBO~oJyC}+!tn1@xPXZ{~$?;Xf>+lCL9Q6eJB zh*HQXl+2JlN+BZ2sH7yx$Vg_BN|K^NMubQyo8oS0$ey7ndlSm`9v2$-^E~hG_r8DJ z^{qR6zn|;6&g(pn<2a8~NI{|T>240~_`Ed|N!QQf!P$99-O`A7!PBIT<;-?8v05>o zoW3^mZg;Ubxx@cYMnj{@6KU@KK;Oy9srK}N9II>pYy-HoZQ+zxmi9Qqozu1%A3fwF z)$j%0X_#&l#x5wTYi1_0l}pBfw@2=Y>4$R*N#usjs9GHIacUgOv6%W(bA&#Q2QIc( zuAS1U4e+A<{&7nQZwx&r?`aCTrkiqSuAx=#{R(OK(I1@7M6wVeLUT4dwQn-&5J6`#>r68 zddo<&oLCZH<&fB61_~^)b&!^0%NkXcGVzZ`A;MC6dyO|nxb7fU*Ep+bYLZ3QiZz|J zLGHfoHMm7Up@gGo2 zJoAr}%+QAqAFdAM!6IU@K~QMu21ph{AT+HrjEKhq=A(g{g!$;V;;d61*_cSxiLW(f ztA#MeL?rU-c{kJh2QL_^dBt@djUAVjtj^;%)m9l|lwO-ZWvUGp&@KpP^RqZd>aRhy z--Pprat2pEn3oXE9>{gf@edy^4;PQ%ZNP#YPJ4>w&NNkwU@hG~wBw^=j-_q?vR=Zd zQ6R87h{WV79y^xMF^r)X8~$2B(0HnGP>E9g=L&XYOoVy-_!KR=dhrJ&@$1k=ejPqx z>;H2hSxcAsj6 z9TVYNau^)9U(k6g01QDE3o!OH{(~z6F*F!Br5n?l$e!U2}8aXx<$5&<3` zxXzSqUqdBX(i<%EFwB1*9#w?ruE^1Fr{F=oVwGj`yu`uQNPd*!)(n+v{(CQr9RXma zvhtB6lL@!qQK!}Sxx;RTP4wCE18cDh&r<8PQXBR<#jU*av}^B)*!|s$!f;(QiTUWf z5Diq?FtgT6Vb9m6!Eav3;HLX>lY_}FR1p~j(;{hT_aYyJpKiNDMzfKF&=88jwmZfe zXQs@vV93=HeMRI3%-FUY8$%VG<1IO*uop(L=jv=$XtHgOLs`m`eG=l_bCMAl`HK5Y z!s^cF^gvGj;Cd_W7t>z)l%J-D;}qVL8H{8I0?Lzc>78(*wDl9_)S<*-rW zX)9TtB?6Ua^4)q+D!riznSi70)ppU;Fzg$LVwrZfX3wt|Sa+bJw%E>OZ)*6}J=+o3 zI~nR5gb<}+5>i1gaa+H{qjv@km!b+&ojU#{amP2LFbGs`Eo#K$@9QBwUrtY# zCIrSQ5cBDbu{T&#-N00uIAC!8w( z`I-ce4uXG}F(JTi;8BxpULV)$+Dy9Y6R2|@Rm!nM)p9hvp{>3PX( z=jK3@CT1Un$*;@G@fI^*G;}fxWzWhonpAv9hU3e`bJcrMPC4uW_N5%Uz*MWK1Z^r! zOgUXrUH4V-z|N3x-k&D5CSn!c_Cm-AZcy*o5b*xw7X^W53eo~qZ*Mf*rsBHi{gO(_ zk&Wtx03*lFl~x~MBE24a0 z{Cp89{ab3iUh3-n%!ulw7aRK;)kU_Zt&Ibz$h*Di5tl}5aLA7jKQC^0K=2LDl;W_| z81K#WewjDbd(r{M8imCD^0<5(Jv9Hkd1FN>s;EbrMalsik6s&V7@L~9XZ_CG+{mnGj6XlxFSQS@oE>c0 zk9fC6>Q2nS5bpy{`u=l=z^FRFej(f6_Xjp0C-sNI!dBQu2J+u?efdKGM>G28Wk*NH z=Gx^C)$_TqUaix~vc;;#ftShJz1baC@>izy2Xo5QiHwO+JtZU{K!bd_{l)51NbY^r zxq+FDKDC-!M^-aiAR=CK%gWD84i%&*7b0@D+&!LbuV?Tw-QQC2>(^J8T453^ zIbD4G7%25zR6pg<&$tv$b4uGOq78}{)P`-T8+{Gw5}fSNP}p%>C1&vs_1T16Z5PsU zrpk}#F{Zkpq+Yi;osjW#9sT?0y{cIKlb}O^#@i5`+ux>&%PFZ|;CmA%6{8_(0uWD&nSXr-VL;tZ9E4diPDx)fqfMBBak@ug)noO1*cSF!i z;Kt-Yp{rsZOE*L=JJg+uIX{06;&AMeaFDI6;u-tf0?aD@V|cyK;UzaiLJDD#YYC*< z0rP&Di`W1LTj^3DnoRRt?-G6TQ1w}l_*k#Oqr)Aa`sk*cOw{sqD8_ZZ_bbToGB-Ae zsmcHO=If>F1__liH$K$y2@Mf%11V{GABCMfs6V-U}~?fh9ksh#;3UZ~UYu#(ax z{d-w(M?5#4wALR|PM6ZBIBLz$l*2;{F`Lp7N&}@rawo%;E`-P&dgNSjNc|V2!v34% ztxe;L=VZnxEUeZ-AbewZxOrg>)J75%-vO+sl$A;*dN}1YPXEYoKoiXl=-6#0EHHrd z>)G)~#S=gKm(}&d`t(*}a`p!r)ADpHqZw=*eliHza#iX*qWpxl9-E6!;^3(>nnyQM z(yE*r7Rj4**av&p!A(g9DI6}JZ2^%+2m3e*_ffVtEqIR!kaP}}c4G8Rm3jO?12p9q zdMvVsl;J6u?84&i{)^C$zQe7gx9rmDxU0B3v+_d4_+`0vW#e_gvV|y$Et`4_*pXynRA3GhUOY z8i%f>i{agp9D15ihIr9*Tv6Y3S3D5KS{hmju)?UCQHqF>YZQV1wdM}&mhMzt|&xcv) z*`$03K6q(-V*$oTZG1HbWYUC3K<5=jvq6vYR!mrR=ZVojxB9cuA$DE1@t;xYkxDsF z@(|D)XylwMwyP^fO@|YM5POEuLKuJ_+jzV#HDOhP734v@ii&wjZNEdZ6^Aa;O!u;U zGg=By=BDXBFZG)fIi9jPodNr)GV@l+EpNck_dDBV|JM>SN{d96Fn6S4-V!;RnX`*H zJmeJ)UV{10+0>-QxMlr=H#;qi@Ipq3QF9mh3&(~|;&4THh1ceh5b8@XIP8pIh@1VJ zFh*(Dfk;d!)g$IvomGjfVo1-{<!OS|4JJ5;{a>mXzN74PYq!&T|Z zchbIc|8su5rrCiCWOq9-kSRpJL_{@NCt_RlKOU%y0}AcC0}8}CerW-Wk6}`#1n+UUR*PYh5c4kd zWzW`5>AT!ITy^hOsV!f)4?XZ|zFU^NYVAtP@IQJL3 zY^O}*wx@pGi?AlhUqgWtu`(QUj8^^sTQ={-Vc$-EWSaY7133#spVS4|wFj+wH^nN2 z`f(!gaLm}$&PXqs*V>Uu-*0c6`u61G7gybB&-iuDD<)#rn;jT=uUJk`WkUtA%keBA z^oMaZEA0meq5_+zZ`K)m7zE^icQ9$k|D)cv{KRb#Diep%hx{E{)QE_qbd~kHHJ(GR&A+E->HK+UfA9OX+ zj+^cU&UBHrW!l!XWYdp16>Yu(g1eCih)E?rQcr(VI}pg3K8PLp+h8$89zRBu}#yehUZ z(pKR{)X^EuV%s2t2?iS<#mltr-#z@~X2uTg8*Du&v&T3*nl{ZPl-20C)FC>m+>HlV z>kRg93STuI_F>@#a4ff=CN9&xub7~9J;L;Q9egB2FnBA2%QnaRrKWOUlF-y!h3U1# zvkkqERFCe#6uyih@yrz`dDhRoqDh=Xcd}9w8g|9oiqU zh{akrYX6MspIY+H1_R^XiJIL z^fPz3u0I62uo703)B#+wN6u4d-`=#g<2FY@ zCTilc5<3f1J^4u8=g0v2n3K{j=yhGBVo~>8xm=emYsG$4Ic&32F>CY;iNnfM=U(H^ z+7uoW8dqcQiJan#0r(}iwr&Qy*=bt=ez7fBJ@=VM4SxtJ^ zq7*4i+2An3^aW`6!jP%8UObJoVH z%s)>PJkR?)CB^allsOW?p?We7E~FeBSq;xYyGR&LXnXFSAU0rJsEm? z-?|Amk zHCeqc6!YoX%O$8rb^v$+5x?L9+Fx9K()wQ2t(Q_6cPhR$J@eT}q^nf7yrRpDUxK?y z(&w^J;LjP)J!aDnb*rbdG#OR~?O^L=8>Bd%>dWdY2Gipx~$)ql!TOQoA^jGLwx*VA|tuqWlIK; znT@2dy!<7H{w65&2AW)=cihwL)s(-GUEJz=E`}N^O+22*6ym#kQ%JB%)N^_e2I za}f}J@Q!Dtth(}f%)I{gor$Mt`rv62Vmu2)n<&rWXS+fr59TC&bpx-El(H+gKw#yx z^TDLR$rrvqMxFEMc$}{N$n1f2+!xl;1)tD9!7;O~{uXNe_Z9*2>oLUfOaCT$O&P)l zdA!TY+wY=cZI_3rVu} z<$pHtJkI@onHUI?*14zEWt>!9kc}ldNr~5#Zj{}XEXT7qS$@l2q`W-u-zTxwQ|hjw zSi&;8_gGreOgGbMr!#@M(@ue$f(pU;_a}bp=H_=bro|aL2@9^k4T)vl*XmQ3M)}f~tzQoGn>@u!`u4S2wq%Zj+*B zL005oUHAjZpn?xbghF868(LdigBN!K&r33UZcOUJJayeI6!wfbR5rV_@>cEFvDQk) zVl+3GOnn~B)SDk6^XHzOeN{$#-F*2Y&WW{6C$K8H@}8+^HteCs9QGc~(+K$b(<}?P z)u=P`b;^9=>Sw_zC0xp`@|nJB4!vK*`{#s_8D zBzPEke0nDeTfJtCc~4G`__}94GZIR)*t5ARjIoK@5Ud9VBf9jPHBZ+gjXAf~IOMtv z1#J+kl}ktI+A-j?WS;USWtx#`Ye3$W{PyAtFQoPA@3%m{vc4itM{2!e!tv+MZ>vP# z5Y}~&TBePl1^cobV#8qMnkFG5*xikJ><9Nk`g#*gPqy18>!U45gHo0l=d3v%+<`b0P&dCuNs$?D)on- zy5FML1h}%0zn_(`F(2#WzYQyORI6wZ<%sR14W)#mm(+9t=f9knen&xmTrtvRhxSuV z@*o;|72LQ7jOPjA-IxSLald?spjZ$Sk5DMMb>P%0BCgMOq8PvN1+ELb@0-G&`35E( zX8pBVv@PxJxcN+5fF~;zWs=#KP#+WG_^@Pv1rOtvg=Po**)1Qfn2J$*VDc#;(4;gf zDy>zj5o4%IsyUxEW9S^e@}{VWw9kc$e&z)~a5O!!>zA-#p&ke2nsuY@+;jV%H)D zTphLdF~xVk&yeC*-Pn3;>F?q~~+M7>bIs?4f%9o`eqzWb|T zmCYddyt-O`s}*j&S$LkAUg(rX!g0leR?^4dxqle;)a4j+Yn*eO)dn4LuIR15Hh5u) z?FPUBlR%XY!v+CQBbb{(N;d06gBA2+oUEl@^%~bW1wp^3@Ro_jm6h(B`ng43o=cL- zL=U*f?%4i`-gJiV6p8if=YJ4M$HRw0 z0BU8ntMz(4iKRu^!JBx9MT`3s$uNbkD0CC2lvW=8eC z@@F0@GI6_tCv#7vhuP~rFf#d`6<3z}I*r1hYMs|7^YsyZf`K|eZ+_r!40%^Qsh99A zk8b;#EoKLHjWd=mp!z2A1m}re?69VPGp|^fzqfMxt4XvHlEIqmw`vClV@TkBarf_M z=|l+6t`(;p&I`o3)?>aFiF~NX7g^djiFTB`9fzHCw&)GjrEd@v3|ze0HJ>Iln^1Hh zDS{B?s@y(iFJdW1Cj#({KIS6F<#*$LIFPoy1WEMmY2IeOg)c$lt_b@H*I!i?gLXm7g?C#C&; z$+H?KNo6ZRnoI-a?S1U*kTo3LI9a+Jzq_zx<#m5aiL#u#|9Fj&xHAhE5B{~_Pf&NB z-$LnA$%3?9;%&GY&)+zlSx$b( zhu_Pb*EdK+i{pVPTe$v?a^xsQ~F!k=l0p*qk z!F)>XK2BES+2*lP82z+fTxEM5y3j9A9nqwUz-a<{;_A#+od9=H#PBbxzO9ka-13sv z>x<<6V(TEjqZ!3FwD~P}7|ZvMTv#c~8lSZC(~G>FFKV$T`h_KwmpGZ3D6vI37Pa*J zgDb$kJ)VYFPw`b>UuHAIKFqQxJxCx|Lb8UrbtTxJWLS8i*q3Ms0c)(Fzq^H%KE2|A z!t;QmH)8V9O`u+}k}IFPgkw{fO7W&i z`cCAeEgy5E?CW>)az(h9+8ncZZmnMLAc`oy?ecSfoCRwucjX@+<8$g3K0{oNQOFcS z{x*<^J>kij zt&3#eXyE?%lcPH^)#iESg+T+Mr>o1jXBTWaCt)*5n(C@z-G1!44)q%!&D~ezeXMgB z9XLoBm{xqQ)R9agmhUW&EynY;sNPQ;EEtA0E>141XWPjx$>= zUw2Khg@Qv{5^nq)vXehD@+2tU_9&OHQ&1ii1{PpaE~2YPSvNf60;tw6_o>&(eR_K9 zI5uV?f=7DXUn20auyD&u>XD&ps}GpZ=Fj~S80@0nX;_}TQ)4w{*>#JlmvpOTjdvwl z0`*j?*8w?>Oz?=i%;6+06zUBinZ$@jz62o-8pKH>s)5gM`T zXWMl0Dh)o#6W>sSkyLIrgecGqOeU{U58O7pZDxNNRU&)GI(a6dR8UyB2)9Z5rOghG zYlgE}!hy-3YcnitXb9M2vucblLAq<0-KUZfG9HP7J7yP|2I{C^TwHeK$Po^GWbzx^ z+nknqx>FBFxzEZo{&%doO^wZNnR}So7?ZBuKVfe)nBP1ij?$P?+es>h7)j(O4OLf!1 ze!fS~+NwQS7r#6*N_jc@?Yiqq3R<3;DWuz1&%|)V9~Hq(D51RQ{*oX$bkLz!KfYj8 zgIPDe{py#uy_E_2E#YD(5|kW}C*A^?$gDLo-A^Z)%Z(8%0S}8cooQJojY6i+%l<%a z>7UX7v;5K{pg4jc;uPr#S)#g1*T}$tk0V?9%#R-Cw&kmdwExrDY2T63Y~sV^5rvB z??mlOj7l*r!S@jYP|8S*cg(+d7HK*+~<*HiB%k|2(m+%ZV zSmRo`6F1)Wv%tZp|0mM}ui3X^wz8CUwK-xmhv{vUK@DCrQ)Yb}C*}ZqZd-~*&xuE5 zM5YAA#$j)wx**a1a(zkyn~xE^fsZGtJvT59N)kWzNuaq=KYR1Z&(bPe#fxt0hgOHo zSO*INk3W;BFJkp*o7Yol2wuqUS?eV#5E6b#h&L}@&r{mLz0NCjho`{9o3AXZM685& zX{I8Itv3#9UVEK$p$D5iATS5mq*hybFS@7j{T2Fo50I3TIp8X@?DBiedha(!c2K2G0a;r85THZnlxEgmli0FdqpgK8$rZhVD=kYa{zB`p)TJor^ z&2ly8avh0%Yqr%S0IjsLP1`xctY{wq(4mi=&Umf}RgCvG+uuodjS%(5u~JVnY(6a? z3R(TjB4%4&PvVn|uNiU%-EXbZGf7t1yQ=W&ftP1#1rxvR7yG>Ba-a1Qgs9_k zR`e8uEbmH6q?F5&rUN1mO5slMJ*F6%B?{JH+tU;VV>;HGtS1z|VnpL%BCrQv{Z4lM zIzkg+BGm^VJLi5>Y>LHDD%pn{?)vvq{KPc3R)B-SM8$tlg_1}9!6FSrJ!SQP)uudF zPXTkNyY9Kf!1dA)-#6->0*9`_o;`;vANzQ5Xg`by(9rKu=6d}6hjBBf6`Fd)tEy|; z@yrg;S;_VM(ix3H)@C7tA@`%!LZXYFb>~sm(scNYlwHrTvxLKgxWLJDVmC639mka@>cG_wy0a3A*m)FBUKUw0(Tkn?37NsHPr3wIm_o{9v2lnZgcVC zM-288k1q@{aqvs&xv8)1mv}t#JM>)jG`)26w~vD`+h~?+lqx^cCG)(F&UPG=hPa`u z48girbp(BBtd11gfFwaiv z1+S8qS7j{mMj-!q-;)DM{?_Z{6~3V;Q;OK?Y|ZZ0XXPrVKl4iqaG!^(CR;j16v2p* zvG)0y3@f7nu%W`c(GJjx3E~pdpJTbNF9nUTUPWHw+WV=`m25}dI!y@qR#f{RrhjAu zp!j#CMMP#89+F!_g}2r*5G0WZ$I`n9NSjw6 zheFNWrnd00X;XVkizf1?s|h$7WZ#Ki^9!kSZ+XX5Ca9pGKsMf*hjE$6%iVuor52tW zYLu_t^dQvs1_b47i4ZzM^&(hsGdOq=nKVRVZRI*UpwB!sU(sOnl6GOq5-8c*FJ4{5 z0+Ee(g(A+;70JTZ{wW#)sUw&rL@>e?UED1n}tTuMZrJx<@F9U^R zJ84$gc+}7m>IdBA0lwQxRLIj_&KD_qS8lZFTtQy*cz=LFS>tDp7yE0tKdFxRHIHgw zZ%ngmxnWtqfbmF{D)2M>4^89jio{&=uKBJd?!IZmhQizMoj*jPQ+VJ`Z5=HwEj51m zfRB!emgAf(Z{z{)WggvVg9H@!Or>lPCdo02&gIL;|5Qw?9K5|7;V zYCLHN_`|E4vY=-ygm}0oa~H?$X@G|u3+J0w+pSaN`IQTWb?EEu<~# z;@c9bOL zO>q%p3;W{ZrT4apG8zw?{rqriLo7s_OjWGAP{q53;|CJWpzk8l>evn$;^fYb22;yM zqRb^0Axu4Nokm?*gXjV*q+BLjE_uThrZo*O(?pwY|YAW=l(BTq%vD5`=2P# zd1jbTh_>WScjsO0HoFW4*{rsT)kyHyhEa?G_REpLQctMV6edENN$b&3m@$_wNJbvW z9%NcJAVWX23X@(iP*DY4)wb+Cd-v@VL{YQ(WiF9to(34cQRU;uw`JK#0fcZdr|7Tc!u|lNaUs)9``@5|I}`m+IvI+5Kn~nwhYN%y8QOx3%J|4HXk}qa5+el zrcC!T(D_4kum%lwHE+*p)y(ZOI{~z0G5k}@`MbPZ;3K_+Tw)Qc%0*);x95GVwzf4} z$uIeED#LqQO&sZ-_`l{(%S>(}%~9QYs)L)rjzchJMM(g)ske~tz~ij2_m|NhU-BZiJhg85Z`#i;mhfEKhFfS zNGtDq7i(n%ImRVD#!r<+=Gz@S0oA+(cZ$HK?dipc5U)8ezp4e zvl{OVV3(SJVGg6yEBdRyPxRVLaJ6~qmtRnt4XL104^fpNJe;aL;i&Z_)EIITNgK_B z`Knwa?ij}YBBdkWhcepyiT?hw(igL$&)zHIVY=O?z!gPZ*MG1x5W;{6E5cb`^)547 zF;(qEKTMplc^;N?E;2@;VeUC*shW5EA_JH8`L*|OjWKa)NbNYK7?r+T81&Ez`&FMv z$CYoK_rmVi6RR7zH`&UpMhNF{mIkg%IQ6Tmq(q|gWgJ^Ug%B~oJBfd68@*jPv;f}>J*AksMW`$p6rMW1uE2IrcL{I6fOG|9FxKyuHQV2$`Oj=YTQao5~ z&5=Avx(>fQzvM=EcyD|cN@(Y<%J(!*y^VQZs(EiYoz9YuHXk;cj(*Rn3`28m6!HD9 z5TA+8YqK-}eI_ z3=T;xOR9)ezU&B{MR;fm%;M*Ybw)p&QMKsTlK8WC4)qQ#ElUDaVAv35(M08}(&}~> z#G1a~G~5cVKx8HK5Uk4>cBydtP(Z9pG@>8C=WRqyeQ;+$(%RFcQ<1d(?~dFHx+RU0 zBjyL<_Xkp=oTo2C*V&z=tL3EQOKfQbDi<9q{RX9I3joY{q5ERf z_=5=1?wO(4R;3#FtbZ6CY<|=A;-0BuRCTj>1G(zO&wlnCykf3tY;3%qwp(fT)bZvUI;OBOJEvx>P@SG1&sEIqJxBOyS~Qf&JK1n#8n{ALaPMp8csml_RLbDx$|*jE#mTf-S*pmmYJrKWx&|e@8Ytq zA-=7oZ;r5ix8Lq<8us6Bi3 z_3v#do2dko zxHSe%My8asl=L;1B=#no2!dI9L7J%~#$!+%WF8f#g5d;fOe+Em#MK4}x)(Y~6MXFZlS6 zgw1LL7EzcUwXnFgXy{mn33@e&`aB2_+K{Tzp*{Qt6wc)(W8j&NPDV)IjntN} z(eUTsLxC!_x;9zM9{a@Zt1@neVLz2F89CTX#83CB)!EV~Oya|-1w3lk70(lmrr$(= z!h&2RO9>PQOP*VR; hqa|-ACLjk7}F*$}Fy2545_GNyBOaL_EK=3P$>$aR7yE5`%f5KjB~)gqKC z+C4~2*6uo7KLeKVRk@GL^A(Krx(QwHzDKoKp?LsYnlv z^3Fr~Tj_;j{%cdrZOT#eCJ-Hx%BmExV3VQ`av^#4GEt$Q6xCW54#Vn1W?}GL3x7%7 zfagyNMgHSRfLhEoRhw;hMOU04XxObxSL3Ft8MEQ<#_r=vx=F8kJ5D)5crf)rIZsbf zaZP&F6M6Zc3Yh=GQ!A#RFrzwp$h(OU`sg-!hc?!eJ3;LPf|)-L0LN?9n)ZVzOkj(%mL{$YY;x)=m|>26gjWCMoKN)t984 z%0rk*E33BVu)-1q(gH8eq&6SR@koXLx?|@q`us+U@r7+VUgP>uaz899LyT1o7Bv^a zJ@`Y4;<@7mz}DG^*sQ34;aYK;Lq}wWiHq)2caT98^!0i|R{|X6{aN zwC^*`e)z!hk~UBbRa?m#fCX#c_ZVnNGA%GyTfZEF@NzoaZnEEwP*85|Z*z{s>EDSr<@`27HVUPHTh!{}rlS1)GA zM$hHTSoPsN7*+}i3B9&mZ4{?;fu$YwnzWP!grox(L5_T3<2Pp4z?IwV8%kji5)yJn z`>wR@y?S9sWd{h(o4Met+=5i2tMe$OPc;Fh4m1ancqG>-?vLJj_saE5Sj z4~vPAB$IB#EdpntQ1Dvw+mg{>Y$42a!g@Re9X@t3Y75S%g_x za^e#O@YvGsF$L+j^%!j?@hn;L9wc-uq$}isaqQ8w7lHWLam$anADQ1vf#frY+`_PR zkSBet^`YJMKOmldtloIa27W+Tqc6<0Pc5%tP~dws+z78~j8vjLjlp3AH2u=eDeRm^ zqJW#L6(^cLbs_2Zkd8kE*Tla*77b5iNw`0!O!<#322;5wNy`+>leX*VVC<&`$yQ#! zDIiZI93{v8qsl__?@o#&sG(6YJae0pyqDFihy~4*w_Dnd+xltWU3-XZ>+%Me!tFrz zQCmdWjk_;wPht8D(K_jqA(leut1;II_EaN{39t9qSgnmz+OXAN@7~$0MX3RBEwMl) z4+ybU`=RB2kpY>-bMJm2HXk>UD1k6R<8w@%=}L$@3>@!8Ph!378%k~4HEZk0;Bjf@ zQdWbJ#!8?zpZefdd~zR#*utpz<-S%Me{X+0AO~ym_Wj82dF|$isVaXhI%xKx_L2}Z zcGkG2y!n?i(f+$TW#zb$!7p37d>1?qNM~5h-dEd?&V7A+5VSniegWZsg&*HL*g2c; z?|gq5Awrd(o=%)ZOQkvpE(oGcKBt=vQgRB~xs=>h1-%6)uQETndLOaC{&j5E)+Id+ zS^C41siBLjkLj-ck0_ZzOZ3>b*`4c~+pHoX{eF+i>ZnX_YDGhxO=J3%#bNHUsi)w} zqi50t#l}sTuCh12TxmSqhz|1%f?w&I`1QTrft@lhI8i)IAfVqpJa|sC3+~B6G{sBV zHsy~lH%*M5bNIqR8c&%R7Gxkngi^?W1Q8tfyu!}^Q>6+#%Yrkv?(&BZJIWH!zB^lI zDhv$``MaDY52Wqqsqo5(uKFA1H@c24n9|74!>Jl~vFY4*o)9=CMMbv&N3S9c=EBe; zFp05+!WR)mK%HOKSbHf_BB!S(oSf3^qihJrc;cHuYU9`O)z&PJoqIk#(?HOAV{2>1 zYQ1vQG5&Lk#oKRHCM8vc)Gy|+bMko)Y#0Dh@ScE1CMtKe(6pjv9$(fiwc{Ilz69;7 zImwCAO!fBkQ#LcZhopzEqZ9~tQUltC{A?NC4y9m|jl0@)0;vRAn=?olsWU|R^wiWOCc zE4qcj;(txW#?PZg19nYdtT_`M;qb9TqSs#7ns%(B0EGza=JUQVaOPOXxTviyLZwC~ zq2_%$ib;}1wq;d`eO_;-Ho=wLAEiEM{T9(pP4r7|TbonV5g&z1buv>r>bc`>7u~Y* zU0w;4&JPed#J>p>y&-wl_NQIrKA?05(@uLBRClG(q9S>uYD0FMtFdApi_(loI9RN~ zsH1tFQ!NnWeLodpl{-P?A*8nn;kFT5wX1(H*5yx3!Yf5yit#rYZjCPJ1}}Ja#;_HT zXD|$Icfk2%UBZnMdV6rq&ui6htVbRmQ|5SJZf#8XQJy8QTF&vyM;%#3G=WxN*k#K9 z(9auOu!J=@KB<)+_ zab&j&EphbnzJ<$E25ue;kQl++YZmAEdxOt^8=pGD*uvV(qO5`X7gnA4Xr^ss+&1oF z!s0)>D)8deG42Domw(8bG^+T2tGcF1Nh=Y*Nt}B#K6i<0TGf@28|L5M?7m+FV$Tn$ zRiHqM|6_uLM}&t9qYqO20UD5u0Fs0)y|-u;kt{`B+WPwm3yX?MDUT5XCVN-zjuRfs zBrfljMP(#_8JdTrq#n~r&`ruzCPzoFGb zq(&YaikPvXvM0*m`D5KcM3Q}Lc#JVbW*RN;hF<>s&*zA|U;m^_2%;Shy?D55@nMGQ zk&n06qLo9;EG7!>HYDYS{DS+s5n(2)L+}63ZYJGOLL7kK2Yu#bU7!94Ok{HUbZE`d z!-v_vp7;4303R*tHZU~g@HyjyQ)+i z%lzj@+>eY@#LfTuca$}?GSbPd>$`wJ@bjfWnfly538Nz|O2n9uLl%89hGb%0`--Q( zfhljrmjprl+QJE-RFCv0>Q7QdPW+(sI{(gnMsb-y0!l*t_j6C%=tWBh-LG`DQ=AIR0D97Y^SU~**>p(-N*AdR-= z8C~b>Lk*`=>`EULa*jG@`1M@idA2Ue!O4K#xt!k&L|I1%Z^W9-J-!K$;j7)Yq!pWxQ`GczdO0FUiB-yur!5FU`5U0+*h4s!hU5Zw8mcuu)Nq3=7$ zm-Dwg~tT}PuyH_5Pb4{=FUC69tTCI5PYKl&R*A<|uu1L11?zNV5WXO175G9rqeOOC?D z2d%H(%6Zo|PF*(2Kh8SpW}ko`a;!WPqN0t5Xqb+bMPIPDUo*Q=r_CbYrSfC3_N&!`CQXhEa|K9~ix0GZ z@7a%rt>x&kA!T;(FHcApigA)?&i*@e?Xnul%e#xCYLvqm$fVVr;&0b4HiI>4)*C`Xe?g8aWuzpDY!aY980{Q1OAc)2};$WDem z1lf=l&L!`khb+8d!)U8}Z;l+?v z@sat1JuWO6W?ZR{Nv`E|xO4Aol56Sc=!C%L&7%B@M`G6LeXkkUl|MAtz%#t*&yT9w z^a5V#JU2x*;qL1GE7yWl;qv;6^|L>wr$;<3>?QTK_W9?M!2RS@ryjecjgfycd_>cT zpKJ_;Qu(+MI2(?)+t9aFUiZppHj!=+Br*r(bDw3zldV?#UoZ|u?i~v~QV5=W6C|AP z=c8Vva}GVg?~983zYFxVEk<-5KgiOFQ0uxK&)tkexbE`oyHa$gcJ-8c@uG6hyLImG zV#wuRW+zu9T$X?)TWslUO|DZprQ%AHNdzU!*R`P{~FIuU0 zjgC?G-5sPef5CE)(|Q^k-?h?=(jG5*W#~Bc)OW3F43y^uuYCRl?-OW%?)jXvcmB5e zeI+t@#6NrZa7WMY4C`1e&jmX7;`#H4yP80q=sK(F=!2r&5^i}3FRu@He)raY`Cf(_ zd$LK!2Zv9lJLy>q7|dIZGt)l{Sk-hHHv!w-@az5oFZY|6_!T8`H~xweEG#TW&i8@x z&vvet$6g7^*s*|27eY?8jmsz-+k5^{G|7;FYjwmA zSy3fY1BlhbgBBixWWln_$xrN*8*V8-Xo_-8j{IiM4iuWl!=~Z)QcjL8V z1{#>KXcZaxCpDrMB{x~*_5X2`O+8V>efi>%{5uQD($XBKXNJBaINn$SNLwp$nQK17`_Lxnpk9R;QXGHps_8io7 zRXCk5ICp(94us{yfcr2|YxNvi@TWw*32gsN@)~hzPZF0E_FEHAwIrmsw}$@1_AK8o zAOF_!mn+ccVd}f{#uucz-UjF{S!dA=TktnWQGIpaiHeSzdw;J@fh9LzP=dJud zEDOSB~)H&?#(Sm2gm5 zGMkR|fdjF%O5RXMyf-fTsLw@aMi-xqFK$}IS*ckXUPZyJg&|t#tNiBKuxA^{tk~}z z6)xC(ksm+l@7Bjnuf3cKv+jZ_q4V+U%Lm!UF953sv zF~`edw3TOvn1`<}A_tRl3Om{DJcuX-G&ij0ztD%+86IHl#HBU&ZoR!lNeX=9-aV0V z?MvDS-?JCvxk^M*!q`&%NrBPd8Hix|Uw0!ox!9*q_5M2d2iCS`0$B)K@~7Ca@7ue5 zyB}u0ycrWH+xu9ma>Vk;Y-DOqqnqq7uxwq<~v+ zW!GPb2}vi;QV~Z8Lf#@B*Sdq`@WVWd`YzTN!A1XYWaoO6*6LV>WOiDPdHruW3E-V7 zij;rt_D$s3FMoHiESHXcUFzM?5E=G1aH$-hxc&;ah$I9V_^)tF&s{0U^d}*4j$nS9 zxXd;=WOBJw0K*BD1wPp{jJigw&sn~>K#KVtG-#%wqJB19>E|jr)YA(0I;dDy*HoRi zpQ>&{0XAEoxaT~(cg{x;pw3qF@T()FHRX~VdFsRUiGa_^MQ&pjH{&zl_?4ouw*a21@r3P(U-ic zR679dr##Qg3ngQyr37ZfJw5Ob1^ebhs-_IDUaTe|MPt$BBXBD@NkYoMfxllndjZ8Z zrh!A?E?E|xr6nqD?TZPJc}Cx1`15dkQ6pOdL7CNi|Bc01dAnGKBNE2 z_-w)^MldS$J?OP>?l(IUYYz9Jc;<CT zuUT0a5*+Njt|?&h#>~>CV|;IgF?^E~EzOEg4rkiE&(BJzmlh0!JI{fGR!vc+G|@rL zXYBXGVL{qDm8d-6l)7m-UwXpY0f2z-K+bg<-}(4)Cy!C_EaHG3Z)(pDzocb~5H#z~ z>(TxzIP{@ipUiW&n3YKv78d#|s)Up9kQg6tS58%O;$Ilr@BKEasiGbbV8b|!bBtd{k^pNXESA^`(*&DT8>B77id@>%RM z{6of6`*iZjOTpJ*jHX2pvvQxPeSfdSulI3{3XCEz$EmjJ=(Q)=+1cmz<2%u@5`pEq zt_|y?q-tmcZRRR!ZLe#o9WrdUl}mIIha}3~oO0yP{LsYU8GjVh9{idrw3N8T+MLE$ z2S(71@p-N`9lxk(jdbsJUGo~J{+a%nX|z?e1jYXh_<0SQ>`fvcXJZRJ>!?Z7rF#Lm z0}3+4r7*6U^kTKthmHK&s$DJp+kp!X>K^Stv0^sdEk#4_hoWxr%+eC1dI|v|9{(=i z7zZxr6F(gUgqs*tSpD?{RU~ZT4^g|};BTj;bOQvirfu*6w6RRbl zk^TPRvHd>|j4|bVa;}C@22Rzxym__@u$>N#4Cl0pE?zzW^;zLw*9p`!D6l{kaXL8< z9Jl`tuQGbRkhbU{*dR-}YZ9*nG8a96x*fmmjUwqU_c53W1EOo5xZ%C2$!78I6IU0$e1C47v#$TWa1qprKaDRZSsh=^T<_s!Cke;< zw~vp6FR;>^;N8*ct3kV@^}^;{UPGy;lDv1*hYP96(<1vuXv3*>pXsVId=2Y=-s>ZE z(ROBCyTfFCIM^vc#QrYa4(cH1)rBA3JYYIX&GW+h1@nz!!PmYUkz7Um<0lD9)P4M_ zyX-doFH2j!V{`*94W*Ry2$j$|*yMBjUfQ`lJLWqMek~+k9^SQVjKNIjX*=o`JUN07 ze%O`8SMEKw@~la;>c$#~A~p9px@UeZ0%iHpk|MKJtJOSUl1X!%Xe=#A?6We8%By6P zA^7z!~&i8Et#pUBGxvM}g%H8_GB zEVSkXk&bvP|1f-!Jj-gpW(kB9N`I5Ac6^Be2*=BqD~82tR&;X|NB$6kwhA+ zVDAyCzV+@SJH8LW-{5#3Z)$4TgBFX2`}+8lTx^v;Ury}){e8kOzNqzqtUMN6ql61| zrX4jtzoMRxWwbp>O6qNX8ch1=7DE%rc1clI;IFrKCU$YPdr=9HW;0K*Ib4lea!ADP zsSP;2cRp63#QB^EWuq+tHTCedRZhcIp!zq1lT+gKvh0Jj=ZX^akHxCK6H1p$-{m^Z zT5mjc;rY!s@9kxn3yyKVEGg`*wYf9iU{KdRF);JOG3ha*b+}^m4$h_1c1G=?=wYA_ zHVWps+)?vxw&=%c^dgX6pO13-(RB_5yz19wAf;`ExzI!oR_1Q&$M*2V!X@cGIh1`d9GT4A35(RZyEpX4#v>?Qai#B~t=KHsaMU;OcwEcHa|vE_P{YlLl`QY8dLy|sbd;nVA;dDl-SqcGH6zJTNyTB#qb%@ zeqH?MrE#s9hraQ4ZK)@UU{Epz(R`Ku8dfF8G*n6A6>Sjfd~o~eG_v9IUwO^uX`Fz5 z#1vV)#lst5(wZ@eqp z*0b9S{E?c#+*Tyru*C6o4sGFX=e2j@gdXG1ta)T-g@-w z5ujHKn!3!>ec z{!5qwjvqBK(Kqw%a%lZY+V7vsTYtVz_wU!nomYw(+@h<$@A72jxKMbhO^fOXPy1wz zkjAuFRoGP6lI+gNYD#vMi{`6B9t#Zbw_{YVM?^M2-+P&*4B-Glb(6HBSb2N$!DK@& z*OAx0RBOM2;nu|uR|YmvwDL;XF%*;)f-8}l6xi@Du0LXsx1)e}Skl&$$wv|{GfXm# z-~OfuUsZ-c9IiG;hX5DXk?)GK55=CRCCbX4A2x{etN!)>*}|m=KtC7`wAysqnK3zJ z#RHbPT3}^7PjmaM3O77vb#9FdC(%>APw491K}A8e72RasVft3O6Rj`lM*q1@tjeaq z1|6$=bbb%`jz_^Zx}f9jlk)plRPVfCMHk7Z2uVy|-{pH2I@vJ&1qG8AOB?CqIbL%o zN|7-QV6VY&(6{o$E!;t8Y2n(nqMFiGn#n*icnqJE^yTk{0*iSoq0ns{Y&Jy&CtspehyelvfFhKA$w+Pd$xOJlXIV*SR)%2d^Wr1g0Kn&0LVj<^c zssp%3e3zQ~-rS?)_lf7uyh~0Noa0l5=ls=&9i3|dU$jGKi;L1pCaHAn5VorNkmo*$70JOJNe`bGPanc+WhfU0I|KaWu6n_HI2`<%o|^hX zIXgGk8xMwDLO8mkoVm7NkB!2HOr$PycgPGynv^~XO7aOo3vxK*Z|}b_Q--{gBI^TS z{DB3nX9Rz6{TpE z$V1Ef`xa)*!;b6PqAkmz5%rhXKCeb9TLXDOAg;_HZ~f|;P?3YxPwCXE;^r5SLtYfp zHLGqN^eU>jBi4~!*T=KQviOO?kRlct?snr{*v`MWXw8f-Re4XUy^In)C?Ck&meN?? zE0l2fORhbHi@;12F#IOPaVJqgyuC6h>EYg;y!dc&E)e(C6(f1oZU}o>L7xI(2-^tD z)>2{BSv)se&LuT?0ih8ygt#wq8)_krfH2so_3y94-yhHG@O2Qu%W2(9sq=|V2JQN5 zbF;cqPtlXI{inU4DzZGUOzNEH`Jm}}=E#lqeZA7o>unZ3RXR6%ucGjdyfgqXs>Q`! zEPse&as}J#tme`P89OTYS&F_&_jkS|Aythz^f=`0XO&p~$^8k`co!^h$vNeD_JRsV zo`uU_Qlp{L`hm&5v}c8GGgtHrzB}=0Os~I?GaCib8D}`PS&g4^5nV%Beb_63_~?tU z6D8k$(Js$y_4|^!-M4pR-byzdVr?Pf+guj98@m-v{n&k&1(5;uAS?WVDEu8e3Edrr zHU8u`HjF&ng?xE*L}jj{pg%u5+@@4100DA6vh^tnNB`?BkU+Vklnt8g!u#s9x$S!+ z`sh?%U4WG(1nFBHpM7&}S?2L}!A{rUWu0Cy6JN$TT@+UU4*E2K1s=txLk!E^DB zkFL#0#tg{8v1ImEf~T<&x%D)*eju|_Is!W?52ep4cX`u~DYbW*QDveEU+bumO>Ys1 z)HT(n;kFbwcg$2uRp2`p9ur`K_F_H(bay1>6#U<6K@uAQ3Y?5AST~z3)an|_>~12= z{RSL3EJ4g=R=3bH)6i9))V3ME^BezH0?wr`?Pk0JTPKfD)fA{?j`j3bjUb^n=O?tD z2UQyT(?my=Tq4sSTUlC$fSY|9fN^4fHndYsWzO=#C=29`k2UdUaSt*W-4v7mxG5%j zgaO0sv=2=MC@v|sK>xe+c)*5~R9iN}4PLE<1b!n43%7r!Chc_f2+(bsKu!2b-fDM0 zJLHOfTZX$KP{ZCsS>)d2YyF6Sy(u!;6j$bA`&?LWwdKZeovuE5tbZrHJ|G7W#>>G> zC7{~Gtm)eT{YbItgI=Ff{RCQaZEMDLb}s{#Jf{XG_e>eBTUp@J^5?0201H!#@9&cS z>#41?Z#^|16UdA!>~&5;gk2mvtN3l+(PRf2vB)?Q61I5e3ijPcT;|U$V(eCXi*G;^UC1ZdZ892mil8I z=1M}}w=fO`o6m4{jm)VsL0%CBYu|NHq=OhKCscLwKO&uv=sUJ6e)e+Bl38t>Yax3+ z9^rT#G$rEIaM!@Q=D+jGD9d}G#8lP9&721{DDZ5<=c!qnbNq^g>UMoBI%p|Iu{vdu+nv1{1B4Xsl`sq3XIbO61_)IijH?@=6}8Cy-Uchez3jEo5noXaxkArb z@5*&HJFyc0(Ba>eRJBb{*|~*@F9-h=Q{>iyKl1tBn?&03)z3n^yTmRd`_M#7jaI(W z*3YEG(ekKJmF{B|nvTzeJRDTeZ0JO-E5ZY7xLw|u#!-TXPYd0>Wy9yX-q%Qs?#&xdMZlfzHp^p zTR%heH(vbXg4Y_B2m$|2Q`zv z(-e9yuVp!neNqNf@C-i2_71c@2@uQ8X&R{p)!NmUVmEh; zRjxlb^0JuiTCrz%eb~2J6?3iqBAcghb=QAe8=lVFy< zV^ul&g&FqE`6Rj`s`=}m0jq_3S7h^X=81KZ=l<2Tolxiv*nXHfX?^RP8ssRBf;S)a zb+1cb^GcmD=PqiEi>`m$$BZiMFRKjy@@ANQ1ITD!gPqx#LBG)bM56u~eCWUPnTJ4d#C_^%ZRNxHy&s<;VZJNrDOqgwPRPjDO0f zn;AzfU~Fic`|f3(=0Q~5O>ZF zrl56)eX_DUtpE?G?UBXRgW=W$U`K{TWw$?)M;3E}zm7no&RL_F(UjShy?~_gyxfV4 zm$4{b3fLQmZl5_%akxRtr!_CW<2_`h3GeD|DzvY*m6Y&x{Szvo7e;fJeCVERWyjl| zaXzkih`Qo{Ay8f@BhlN2F;SB9Wg{+L zRz0Mf$pxj@X@kcqF^1+*tfpDJy}Vli!2McePaaS~=qGky2d<~ghMscAfLN4_cMu8R zT)~yQs0xt_ApKK?yahf0(X0ye^>?I)kNwEpxOwFNakD_@_pNP^$RJC<&=AsFoGaRQ zr>-(!zFH1yPBCgKkH&9KyAv0dEq!q%(4Px7aFYqLF|Kktxj$#dd`gw$F*g@ic+E|6 z)II-4W(8b%E30 zs|33YHfYde;ZjPpBjcsn&*ovu07@KGW0iPTzf14=bC3bTgPdT8qVjpsq~7cVOD>Ri zk&VJ2e1*HXO#&co({bfps_}dRPBzb$$HCkw+e==7SWdIps)cEJ{^KdMdil@>NEC+< zjKoX!AhbItPdizYvs5! z4gO+$#nJ%T(P1eNZX)^V{;#?+CO`!%9Xm{Gc*QsJ(E0u=rSf{q=NJVSDk*GVfXyf9 zW7N;Dp0gh7$PoZ)0ZoXkhrJJ9`x!QdX`=x5-E;{cZqu!x*Yn)v_N`R!@<*TFW_W}ok)x0{N8|Ne zXLLkBsM<1-?s6h1m_G$>^zZu?_X~}wPBsC6Rr8;9G_=24b@a5VkDpvcY8-!{&sW0U zdu+qt!VY_QYWa>;!MUl(I8hH@n>^Tl=BC6(r^ZlaiJhB4hr&J3IWO@$YDVpPR_5gz z7adFG(W{>D!hdG-O3BaBWYQrQ8@=G38;2Az%R7&ryurmYhd^lDr*XG0z)Wd3NoV>p zjwgazcdUO@O_J*#J!Kj0e!vy6;KW}`b6f0oX$JDdgdYBy(F36TQ&JuFzX^eD&nbgk zHh7XHvd|X~pOkohH=f0(_a2KbD7o$~xs@;yTEc~m1>YMz-5g^+aP$tP)I5jZ%6+T$ z(AjL8Gc3IA0Es1{4T}MkGC8}a4J^U-cn~y{QKk_&%F~q8eq`;8XrIer10_a#P|=i3 zzW5sQIzmO3#X>Z^TN2zk%9r~C0x`UM_a9Me+V_g^1|}>NxXNH+dxwq#;*M4#VB-Bv zueqzhtnV@GnG(9m60E=giJ|O@_Zgx9Q!ErfND?bbsl`2$1<#epKp{ee@A2 zkylxQ)!$2FBDx!NtC)8^UaOO>X{QgiL z6IRBX?L`~A*F&92G1RcMMs_;sO*lQOi0OZzS0eL+5{joTTleaJwyBR)s%+#oB2ce$kk}5XrV_Wxc`~-Csnwl4(tKe(*l7)!x zG}`)C#D`(gr^Chnm%Va!gFR zcU=bJ!3tmNsVkJ!a(91%8lUHUoVA(x2fm^Gi3Qr&GdLC{BkH>*so)Jg%sJp;Gi@ka7_2Juoa<_JV2n_F7jqvZp z-bzy;Y;|+tg$UoMWK2q@!sh*CSl+oK@@$3ksp4wM z2wX|2hDR_B)JpMPh!0$dDW`=r;c#T znOfi2|Hzjnj{Y~sY2lAo+YH8B_jygq%cfT_%E)C{AB->}glAHIpR!@X>2C;tv4p8% zXB1p0UPv}S*~Nt_#9IVWb)X(3bR3lxY>E&KIU2&OqGTlTm3px4`+>Ekb>$scto;nM zTa|m+%>Pm?C<7wkM7#*>_0~SGdaTc-37O`Asg0*{b|N7t+gu+SdawT^sR-FSL+yqPu^I>(jZ6O%tJ+deQXF2)kj3gT8 z{`Kz6iyZqRp%;YR=H4Q5p-ma^82{xXvlk`izH?Hp__=M_MqiH}lPxxH*n19IPlVQh z5e+xBUo~Ky7ss=|`FVQnz~MCj{f~+m#N6?dJ7`G4E;Sry{;89-k+j>4aqbslLnnE| z9i}Y*|IgbrWEpL8wKF-!MljNh;WyGh?oR~+2e-wZN8F})4L43fc*}&5BLJA3sBpl| ztBHASA;RSn95&yEG<-uSmcaFF2wXKNC9!KyrPq~@D^2_qJYP@RO!oVjic(gRQJ(}e z45Srb>HkPt`~a=oY2tpGD0iI&fu8cM7+z>Q(4cTfrr5_%nHTpH_le{|z^pxeE08GG z8}<(j!iH2GN71s+r?4dO)fj=!%xGYP?gD2WI>bvZG*HK>hB< zjGS;ZLT~O6$STcZCsh^petx3P@CEGHfIgR+tHz}W48q5VW(~+f#)(KhJ>n(?!t3H+ zLQFpB3H!+rzqWhzQ@2izHgQSz)&`s#@!6NinjFUSe!ad))v)J0LqTEzPq}A)^y3gL z(4L(*xIm~k6679#@vyrqkkex31*H_!HTAOekIE)Zn29`_e9t_SA|q{%S!2n_guun) zy_VerV&W{l9B{>OtfM(gY&PzFYAx)x*9zdnX;*=*pv$%iTQqRf55RR#+S=dKpamC&xEZUFVVa4Z1_NoRn8 zcMUOrK)S6%)(7Jpsi_|KBScKboghAroDr>S7m_T?gGEd~F^UL<3wU^*ST^*7;HOZ_ z-6yAhlz$y6(lfF|u(!;{1`s`!9|r_h-Sq&x9bnwIcnzn~psFuSKLi!h{}HsD&44auf@ zESo$BRCe*%P3YYSZ6;V14R^U+8+l)K5zn@}REGrH3zNR;xys!Gb%)=HK2kD1O-0?w zbE}+eA^hlo%hHmM(5)GFRB|J$fk9Ug*PeMP78IITf56{=M3wHPommU4j!RnuN2h+) zjzC`W%TBjx1?)a;a0EH~RXfer6jok=Wge|hPd#8gVGn+jj%jy8zGjfw)gr|XKP^Oo|)qo=)aQD9P?~> zX2UM~h2*$8pu60tuHc?6ntX>!{#~<#(an7Zl5x;wq zfb&RDt-%N_V;AFeGJ=t6EGo{VYmG7E18?nnw|m%=%9OXjK}oMm(16;MtViezI`K2) znD}VU7*so?^B$aI-R_~VzBX*h?lRQmvFPiek4zk~%%=|}SFM2MUFO4Lh-g*Coi`rC zH0qWVnr^HgyRhe@yeZ^v0ykIQ+QFks;z*C&z} z;pZT&qDZMPl=Q<4VL5bhv>nI?HO818frNViR`&G`+M7|ANa`=&*VLUtD|!936+MQ2@Hk`*YShd(GIKd zm@hk4+Cg4$fXJ;>jt{J}u-Be<)bTw?BPE@2Uxhh02ROTB=^q*2=e4nHg(D<6>{jju zK4mKO`kfzLCO)$O7O!iaiAUcJe%ON`@m^@NnobaB3kZ+zl!tJK1mjv-rOAE?$$|&g zGzD%Mxx?0Pw3S`$=m)#-?6KNN4as)c@{8((h|R@U^%V7=0dQf8;;UyZkL@OmYA0EG zS6wWBeBeYOm|@>Dbf}}bqdvmHgbpQ3hU;=SB>P5wUfOL1un;rCDq*fBozr}>$l)w@jz<4{_v8=_Oa4`0l?+6qqgW+T^{|5 zRlsrLKTCpXpjXH}NRH#ZiwCFnIBQxHko-yxS1B?Bxw-(Bvt2eXGH(|vJ<{`P@#1Yj zUrAOH4Q%f1B)9TsfjC~HDRS3$`&&kBr4L!6u=0Zr)c@d93bNoi;2FPwWnsJfS)pdA zX=CEu1E+Q#HAW*vLYL#12r$dePzavfd6UQ;`N?)pvB%`Alz_PnySV?GLsG6#N{YT5 z&wd&GrkM%b;l8o_{V@=lP6}?UPkHk+b%4Z*?rIMh`J}=s;5*KaRMb6Syr5D&%+6WB zf6Gl`?CMwBqPl4O{9}!M2*0vTqNJb}SLUD^DgsB*60m#^zExeu7S`7dIXkZ0|HX~9 z*^tBUrrQGbe8hm=REkng7nC;*+j1_iW@lG8 zPxTln4{7eKfI#e}*-Ja)SOUJ{$Twm{gyEZ$21Lsld0&{gIhQ~+V*dJ$m$Z5PZp49h zNa8qkKeO`#Q&+jX^Lhms+>W`|53XqR*63LUydAYi4OOo*+E;~)OGI`Uxu@{4sKF&p zpYn(cM-M+g0*~noGD-|NB3jM_B zA&0@ySr{cUx3B+wF0e%~e~kM&`QXZ=Sk>Z5+E0VPgXoIyGbacO2nQUrqkh30$d{*K zT%}jkf?6p2M@wi1b*_v1#hvqx6^Ps06c#qQ3OxpG6f5D~V`)PB^?c)n{seUk5_}*< zMh2P*ZBKb>Q5d|%aPtHVVQ;aaFxYg#@^PzLrLxix$C0Z%1HcnVMbimb;#SW#M@j94 z6#ePioW67|q~Th}=iVH4gua7Afha}cRy)=`PY&E7ETEI{6FD^Q7nM8>wR0p|I~z>N zvUdVJ>E6U2<{Wm!T;Bs5<9OCo6f}#Wkb`x>o@z!8Rlp@yfq>_!$}t(>kJ)W&{r%=Q zkos#mw-P;Fk-J9X_V__ERd=Dy7cDG*4MVOo3v}tz`=Jes=GLw!e^Gs;^qRc)=4$UI zKNJ+k5oOpI$<4Jn^9A`kBCsy-r$l)#Ufv*RO}2R$91q7WQRma zNI%xKD5sG$akO*xza4*a9snE1<)PSS_}!$ZY(Z*SHs7kMe^;-;$6*8T2HGcz3}tXg z9XqX`(i?4nxGSOpwg72WczL$n!SEpi)3N8;M$kG!?V>wyj%w%9SBGxrjwVntQ)}Bb zGUb#E?|)P(hgd+koc7e%V#PhL(cPdgHhhFP*1`ToLy;NQk)yTw! zC{hvJe`&=rq5T8@E(!l|q1~a_{6Mr_Y`QARSz(HJzb%-1@eb+kM^$D(f=*X{sDbsG z-s^}9P(1!KYC>#7ah(#eHre;onQc>$Z=t}35!o9I1U8H^nr}wFeXa61-Y04|Y2z42|Dp`>J z3*8uAf5LG{Zzr2}lq1qs-Aux=; zEw(E~{??uuiKk~>(TXB4^S+}zTqk|WPfp}vGOaYH@*r$RI|NI3c^y}vP>H_f_;ai+ zpaJ{%1|}QY7US6FC5NPB!K5|84P7v9?Dd!n^?;p^vtO(paLbN^b9VT97cQTBUb|#i zuS5TyHbw33(?`>LymYlE-9|JiITxatFl@8=Cgoqq2n+KdFfItfu%G?B1$u_QhAc?@ z4ctA0H@#Nw-KY~>vIFIfZ8lcf;JBN&h;5o13wqX?C_5hboofCM+422NAawD8w^--r z$vEdb{Gqs@1((uK>ib<&p?BL{fPD#1kR_Nh1%WEVC%QeEm2cuuO!YuxTTU*HVD?Iq za+fgTOS8bF`=K_?f(3P5aWwBaEoj5rGS6vGV>N%L-5YqhfiIyk;r(n<;q?mP1W=yF zQM2S0Kxa}(`X*#6M4{8H3QO6v?;fEJ-extkaG`KxxH2m?6e6pap!_&*pqcuI1{f5o~EB~QkB#zl%@KViuy^EiLj-Mc*nd%<><>FU}+qU5X{g9t;Z@vr_aPZ1>{GK zVPux!=%wftDXU+{fz?OM5%NmRv<~g`%VA(awk-;_4+sGjWNPY3;K8dnnpFjyg$|gg zZ*gpVN2q|SjLHe6Km57V957gP8Q(c{5{4cwYwUV+{yzn14xM=q8OOEX09J>xNwrVT z{dlFQ-8b|WF~W0va!79D5DC$y5Lcc)#mE%M7>|6 zTmg#|=SugFGiQ@DG3K6N0yp;f?h{`>Ic+nwQxJxo3F5S2mZ$V8?JVuI2=m-)(3L12 zIH5n*u=>)v>P;}Nk75Bnf+g+@;vzdbjDLM@5#Y)qq3yDW8%R6)H?@F~1srTdyeT{; zxc%DuM7{#;`dP1ClG*rYQ1e>C-rp4H7XPh)Sz-eo&nZo{eX2fG9#c$coPjHk+!ym7 zaZlqBGTq7noJ%e9t5sx>+ z?M_FSv37G*3CgcNv``X_ow(JYT$$t(6=(BH$C2wlbN+)N6e>f|`0&4}QP(VnWvwOP zZ*K|INTCieap6RjH%aUc&TN2_?8=vi<0QLYr%+LI@YpyFNW#8ZwAwXdW%P9)2j?nG zf`?#pOIXuW*xIggMjJHwsY|1sl=K=2$J7h+w0%q`Q8p3_*+d9)#y&4`q9j48&6o3I zZOw&D08@xJ@vI+vl1<*0c3K{dDu`E3dguXAE<-r_(_Pf-t38_FK0wybXIRjKkdve}kQ$`rznjl{O?83Xm(R<{Bk1??W?wHv& zD3*@01SPMtrtc$tA}(DqS>uGRBjy5k>%iZgg`^Uz+zNr) zb#=-XhKr_E1oD{_h&%yKr^5f3Cit8}3{1j0i#$Tns>L4^vg%BtRV_)7s{|zO4FI19 zGhYHi`38^zxEA+=`0X^g7#2|}E@MWkUx#gID_;bja3YbZ@ws*P?bj2UPJj5FCBg90HjHad40(bh1# zi4D2KK!;x1s|?YRfHOX{nh(wJ*_4-nj5i~?sH?VH=?%y}c3!38=6N#}8$ShLm5^`W z34FQ^V@f%ZYhLvP<*Gt~SNJL(LbAG~W(-SC9KpAs*`eXU*+GdW9)a$~gbo*fgZnTN zqWJEB3K{mlMF_!oSCQ7`nVS_1aIVs5xBeUkYdJItgs>ur=!hqa<8WohzZ@5ADz46@ zgHDWoRBMEhuGG_5fL(oJtY4f5JlLM}+LfQ;tVI?y;W`v+;;r@dMIWGWfF0SX` zQXjNhsyX0o`xIy(6%cNydd2EQ1B-H3_rUq$;z~`{?AQg_#WfuyAjq_WqkZy52_viA zFOp7C#la*XAk^#XZHSRw3b`j}uKyo#bStL%P8@uXW;*~|o00B)SPOm&L(p?KmXikL z)X;ClbuKVDMu_E?5fLv<#-O* z?w$TQR@YM!MtG9^B*X$7r{~_Ni9iS2k1!`Z*y|Iidt$>?R14f zObN2_|D1bXXn7C4aP8@PJ4*DS1TZIbL&H#5SEV_<)20X*iM&Z@LLb@>RlCHnPeL)d z-0}Ix$bAi7JF4WgqR903-LydHg(){|rJ#t89zJip=6}2yQ z{2UJglT>1ZvMhHG!x}4$igMtR_({=j50j?dkxxS%)Gbw0&ta|8&~gxJz*j~&|Ji{5 zKl9fei65feFyz#ywhnV%g<3po(ErzDKXo?aS0j7~D zZ{rJL8fuQ_9nK#}iu|al#fNNPA3ZJ0lKmXojgqm9XSEx5zr&tzT;b#DmU>(!_psxj zQe6`hGDt=?|5kb2T=OC?x(Nex_ZCa<#vcN}(aa*^eyFMHdl&?OJBI%yZ(h>9!u}^T zZ`IWyE)(oB(1YDsAN?~zXo(R&)obx(Z~BZWEh8FuRfn?;3=u9#OMi$J)Xg}fjP@MS z184U7qcQ^!qdXiQRz?{ooZ1&~Bkrn2z?ce`O%E^uib*3JhoGCo#%cg;%MdgnW`+gH ztjGU+(-`!oX`oxakgEFds_DoAd#My#o>ssnZ>D-P_(;NSuZ6@Q+ZMPHncOIhLn#Fh zLNP)w@MJ>(AKK%Wz&`B>5v@8E67eGw_{l0Ijzg&c1PSHYnE+)C`~Xhk*u9WSa$0xcb9kx7rWh&76BemDxil>&~G9v z%Q;;NewJum^JxLA=QrVQYrO5QfEuQ{+s;uqDLD%?sh8|b^33WiZfC`)v&s`s00uIA zZxP6q(S5sza&H2Nh8CikEo7Ng7?)m~JOtehZ&xpbI%pYgL#b5rd@nV1J*#76*a`); zzF7Y%e#$C}K;1ki-R}honb$WSaOrwzEJt^S_(n2jF&ri%`~Z?i*=u0%?z{geLF@c8E70j7LKolVR({322-ugj^ z<@8U*PrwZT593(2wx5Cx2pcLA$yY4o3Y3p@B{Q{h`;r8=i{!TPy z#88Z!Q1aiPv_Dx29$p;vlK^AJ!Z2G2XiR2i-BOvCtFIrx1@#MBpzl=MDi;WmP@?bi zNpUMUA_+kMvOk&F$62rBvXALDxAv0|Q~YvJgw~{PO*v|j=tfPYk))i2@5z>W10Xq8 zd}5MSSbqeNrrG(Qm)bMA0bkR)nqx=;a0(CK+v^BU0sfJw>vS?wlEE$?e22*C0X_$W zgAox>@4O1oN?Rg*3rZ-y7D%pXF*0LFiBVi;{6X<^G2 zVo5Ng2dY&nennQ!v076v3lHOtR+6&B*`qO0vXVQ4;*O`@?nU)FlrO^8t*LQq>kAQ} zsa6H9o%uUh>r#PM!i^Of^Nft)xqU6u8>Jz@&1Eaam1vPVn4t)s2sS9Zh+uK zzn_Ph_u|;>*b?xcc`zP8cc?onvGV!||7jpoYI|Yijo^LXA&~K?Fmh~SZ_PY+7`0f} z55N2xjIUO)W94Z~75loW^@WtAPgpgkg^ z8`YW6EGXu^?i6?M)qZ#6D31#IuEb9DtuOY*!tHzw_q2d_TK>@RF4!Yy@b0-V5wtY_ zO@r}aKZ1koP1$$`K@5B|vK0g~&jd|G&NC^T0|ziKHF_9F@gBbLCLBagPYNG8ndP#` z2NDw+>UqQ|U5WfI+tprV-^+UL-s>j6!6LLL=11xHAlMFta&vZqcs_fkb_V!~Tw>j@ zjgj=@v5W6zpq1dZN^}V9ECT9z$yOg6V4bT(Juna3<6GwTD`Aex_Fv9<#ew$B=9G?8 zqG1>~*IU7cBFp~sN+VEQSh6>&9y1N`2Aodi+OG2tUwM9;vun$U0ZQVD_fKRAaWEWs zr)Ad*tvGp%e0&2x9yPRY|q}9mV6us#3JoTX-cw_iBVj#sd9%1K^ z+d3S|2xHlW0>!)Kri%v2=5{1*0q zJTpO(OxFcW$~~azJqwLB&e#KllpO4XAR62EaSLdL;xGbvX0L55R)o2kwq<;WT~OR` z_Cw7IgFgk4B|4_WpHs%AN8e3KABBgo^h$-JpBfq>PUxs(0P2iPIE8`;AbhCW@TEo~ zdv1az8^?Q<+u-SWyplc;1Gh~%2Kh64uboz&lv){iRQ(ZZ0&x~*e?3gr5dcFXSlK-8 zWb?vwI~rUeNxT$Kv56{gY?Tq#5xnXU!eKP|*Sy zB4geSkZ>;6DK(Mq=zjeSL2k^oUcfOIU|qa1LNo9dx)=Iy?aGSU+;N|1E~0@AT4)2P zG1|U!A~IPz#WU~DK`CHlcGXJaz*Pd4TqyZQKcz?U8kL?&kS?!bzCOY-3R|tP9bqa@ zpvjH7YjGUHNPYlhoeQd}d5K#A1S5%`-Mi<$P|kKdLH`}Yh>(*nttJC+m16z;s%wjN zvJJ)Rl?R47X1?@%ga~@ zC!R89l<3eXu>eAHPcH7wAuEzKaCeRdW>W2^3V6N3uzgN!G&PEHfS_cbv1=am71eWa z9$?Q5Mu`HJn9^K*1r#WSw>r*?Hfz+o2MUm)9OgrhK>|HSbO02kw-W(MafG4!<+}4v z9A%`3^k5|cT0xi(ti0+$yL_RK!lF$LQ85J%bH$ep0I#(~t_`qlS-S1)=BZk;t_gx_ zz%_KTK}a80HbEdSO&fGCITzR$8B+sAP9J@|yn8(to4{hyOv9P#O}udp_c~<3K_GV1 zDyd9v>+{YSad0pjF{^V{0AOr_Y$~I@ZfZcVaVWT%Occ;wh)p1rR$`y5nk3puO}(?V zFrFLNKPuo=%-w;`TG>*F^F2}H(+BQ9#C$P{50RwDG6#hQ-+5G^;`GYDFc9n;G|qXG zRRe>{Kd6H%1w@bF@HE<*E%v#Y*;CIRv5mU~cZe?=z{-miMrMQ6+>>wF5?u#^?pqd) znIjksffonV;X<7#{6X4M(+N6nC|uHsrRrf5rR0Wu6R6`lh z?Y2&R_(wvlj4x&oNb~&F_|o@43Fk+ixiZ1>KVl1TRnekSxQEqrd!P7;jt>F@ya@yd zMAe7fuK?;r(gsyFJH}b|UXqdzCKqgwXJM^cePR#z^SQxNK~FML2gejiUS+ej<03DU z1q{rAxB&VR`F=QK#yo_g3o&);80t*MNk62#g3LNvJq#6UW%NYF*wy z+8Kmk9f24T*A&37Y4cpE)2P{Xw;1DwPm>afe%1bhb8P4q5=U<E5 zHFW2bhZ^hOz-WlUAk-3R$u1zG&)ckq{NL=we*jy02v7L|s#w>_! zsizlPpzi)CFak1@3;x9`5(Q9gXTz~pv8#Sl-DPlU4i6AY3!N-|j%DQqjaM{SB?07t zkpBFzUrq~Al#Za1{q@Ijr#(dtkNhy&csmPwBWTix!T(?o%7!4vpWw%Yt_OU})&$}t z1jbx>7EP4P-BVt%A8phQwLm$u71Y>Hq3)+8TrAutstbyrYbvkLzPj#wP)WRmN%%^X zLH`nD_*4jC`EZot#?io<;7f;41EcwwyCDhmh`ot*BhXT$QtyB zJNxxU!u0zynj`i0un|E;#p*S=8}Qdm#KC1bRb9<8R3q{v@!S=GSuhU{E@2jfeL3vK zwNs5=@-Y8NTLhj3p)i;+T^(E0aFj@rr0lrHaL~@yZz>8gilJ0vj^gb`Ob4=*UV!A( zLSI7O6bgKpkUS0Xg@@J0i7M}U=rhv_oND%X!IDkLC z?J*;!jz>vJtcJ~Sg#iiLXWnAX^d#o{7JvaMHS!?jARL@i$=b(OyB{1QL0)lS?1Z^j zHL&If;U;ONL@o8PbD`zL$lV?)Px`B4L7exww&@|KC`do{Nwov-P)}j^=Ra+$e8K~u zkpyYieM<@Er@XZTfq1=^S0ba%(TmR1xE1gFu^3Ot>4hj;TcRzC$fQh=^(uE@Z3?QP z-NCKS00XhIE6hE{sIn{>j8qMoC6}YNI^(m+5vb`Mgw7 z6XFT$GFVU%rWO~4D=AqpvO6BQkL#66WbnM7{V1E$a08nz#%E-*{ovFh6b7T7IxMNnm>J8S8EHv^n&n!xJT?kt>tfijr(2yG!FPzRBc z-Y{nvO{|fs0{6T<69694MD40IL^I(dm)q4|O~2t;131BPi_ag- zmIRJ7XsjbdCL+t?Dx+`>a1M7B2A0c&Ev`?Br(qrAK_Kv5%M8xmZ4AT&uW(X76DWIL zy-)v!771RuTA=7b2imRqsovhR5}#X$!XO1TkqMM(7O(n|@rT3m$#W!JS$IM{NOuKh zYvr#mvENQf#yHD=eb{mzaOV4xupKXZWgS{JvsToyVTobv6>%&Kth1w+h2*!n0K~-& zVG<#h#EQ+)+~3@6$K44DB#2ihSWc%u&I!KFMe;ynI*#89Bh~{8p-H4)H?UoOzsgEfDa$^eIt~u+ADlx*tQn@3evbT{U(T zy%y|MLck%}!8Vb1cCqKsX<+yg_WpdLHU6fJtC(kQ!|sYr{9*KtMu5u2zxqF{i^657 ziT%4x2n1xyZdNsYz%vO<(6dFpSfa<4=+_WsM)%xWW1rgV;Oj&~h11Quk#_K*^AX#v zLI`YE=%Hgne1=zVwwwI=Qx6pGEgco-=uonRb9V7ib0ZEwz*0b#vM%cD~_mLYI+d$*fBH^W5H7`^~PtQc6{G|P<_qJu_zn!2@N=VH~LAN*@PG> z_WG?TzO!YlW4@7yA-Dblg&aH^qCnAqP`qSxDT@KI9W3lH=Fz$)i4EDMNB8?c!!K?l z5ctCw4g2k&=tEv1(eHSk3}~@$yj)+rT6I36rF|+`_whLf%0^+t$7$XlQn%+QJ}*hbYYD7!MY=B>ord z2+D!Yy}CFR3vPd;Yz{MMw3HEwwQ|akX7k2;>;iIxpK$Fir3uXi2#Xw_VnM{BQvzOD zMlC1407N)qFm}OmpN=fc*jXTA(E|zH>?u`%{$$`nTh@tb%Ax+nX`}>$R!J+>7KK2x z9POiq#M?r3(8#6`J_ZQsN`xeKv^K7mz~pHk8+{@SQX#& zMgPN_Oj?t=z-(wZ&ag!x~;^Wg(5$53uU~}z>7C@Z+z*{a2c__V{ zb6^}vAsS)0!2>wJ$Mzdg%av2Q;Y_4blPY2E-q!mV67r>-J=|zxEH|Rtb~f*OLN>c$ z7pH%4IUm5w+1>FwNC?$Ie{h52&DtNn%wG{0-g&Dmc4C7PEHP;^LmvUD_Yn(YM_739e`-t#H9;jJ-)Q84t8;R5i45ik)ojx9Arg?`)zwe zDz_TD4wZLU;xb6cXn(}meG23DpKnEaxmfz3P?R)@`>v>Y>vq5KCxE6|!ZSwwbnYOX zEZTd{e!Rvr6N&*nV_>Fc>5(s^rS4F@x>>(Z_T`b5_LH;laa@D(`x!M2v7u-=CRm8q zahoKHZwH$>4At|^ruKp2B-P?7CUlfQAz(|!lje9Z6Yz7al>6LUkpm_#c5&}FHXLyF zEXtR)An8ZQSwYkLV_b`{Q007ALlR*?J^iw1RhGcGf~~7B2b;HsEazu=hPO!)BE!_V zQ?S8|@>4$(U%QVC7wOC+4X&Ul#N94rd1ZhI0ZtR2Kjf_od0~q$!{)<>hI7!-AQRU% zm&EcN*=4gT?1hq`(KXC@a0w3ja!HWvX={&D$fgts!HIHJ%&Fl^8-x5?;5j)`7_Qk#_2(?#y4zpVOncm3%#A<2ur zGZs*?vqq9(=s3JK{#!F|))VoktQ8&JE!WU*V zY*2mjR6+zuOCj>aA}qU9jx5Dcv3iGi5)0bH$PTSlONk8;YssOe=6tM=SOl2eDVzL; z#e&w?F#Dyzibfd0uCWEXZV`aYpxJr+r27~W*w~%|oYP?-k=f^5 znJ&KiJm^Z7e~{qVDBlTtT{xYT=spqlf<<8i9n+KQRNOFNP22W0dz#QC{k>0+OVF>L zcn^Uq_5eAH{zE8S(J%m!EojB?4Ev}{I4lFU5|sc>ik#et?m^@i)MLl4RCgnE*WLpW%r6c^dv7|*lz+W0qifg2sp_>7=J9gK zN7IPz&cdk^5;q@l@Dh>u2Umgx1qY1%PboP%14Qx~^ocQV&?d$Jn!^;-18i$vH{G>B z3udoXeWbB0yaCLs`pEJ%G;igf0%ietO&yS3S0l26N-i2n!ZSc9c!ge#Fd%*4W?fSn zvUDVR07pQhX8lCBeR_CbUmaJF|1S;;FX*1M%tv8TbXd;sDEp(6p^-3(QU#Kb#{)8D z7Gpi6Vj!uP6@CbhR~UNrs;N~kxeTyh>?^wd^U`QX&Vx6gLOT@`oGSaW8#a}IAd8uO z)IS+m+&Ll2B3Jrk(Eb5nt+vkW4`*jRKzOssAE2ma)X@KbqHMiDZ*aGO|a*49Uof%E(^X>vz3BsB_MJ?sMPw@B2^3IgcL4 z=kt2KuIqYU*Y&)f*Pw^3y_oKOFEP7zgY9z3O5LhH0a}%_5<^+hBO_Vgo9H7BDKL2I zp2>rOS_F?^d%U@Wu~;=0>mmyfmeE-7FE(7Wfq%$ zy8Qh?-@9o78J+|&iM{z*XktyUNGTpdxLg3LzW%`X=0eD?E_Q!A9CVJJm#Zc6wAoIO zDzk0Ol9!=o=L3xNma5#-_$QSRX=q-+Jt%XuV}9 zip~>WR6RtJ_+fK*6I0+khJ)^k4xVft@e!{q6W}M*z=o8h87Wa>^D{W*LtX?Xh{_g( zB^l^*yA~Hf-j7)blhaMW(xOE`Aq?Waxv1b%vAqykbq!R3L)q`A$G5f2Lfbq9{?5l0 zohDl8Nf4O`RYkb|vG$w_#6?&j;1daKZt{>9Fxw=ne{YZ+0=Y=gaB*mCgO zRop`r?`bIljW-hpyVF(blC`e4+rk$3Shr;@gzSTrFA#>h^=SUqM+r(PUlMxPxDyZJ z&y#M>o${W?Daja|J(uN)3P;wNgrDHHB;!PyCYB0iTp4?XcW(9p%=N>SN$-QGIbd6; z=d7cMShyMVYb|3y>A9x61lU-{(F@}YQS0LjvkzoL$UJApPBRL%1bk^K1X<)Tsp|U& zPP=-_y1;=2GSg-O+JB^nU7~~uqXl97|VxE%uxd8j{vZBS^HI=_rS~_wNT*bnE+7kTuM!Y_@|UH%I#CJ98R_TQPu5 zFY0{{)3iu*R#)66DZWMKfsAagd4YI!obSc@fXkdWf`HG5IUeQBk0ZFUIcVA-@k%Dmu3+j@p z;sVuJO@Eg~fK<(bx3Ca4KY;YG?}3k(@9RO|eakI^d(RUKV$_o&)RhMCaPi_NptX5* zlz=|*EFUZc@Qm~(%EJk~G>Qr7mhPuKuYPw?(>1pbKM5`{Gs)VyJkw`;PQ>G0Xi+7* zWB;N&Z&O37DzmyEO*Rh8^G?xil6S-DXM;Pj<^(x5K@$F*q&0ErYU) zEFQF?SD~=QN|q*i&1bWQ*v1*=^YAGc4w2L3l#i!AyPp53Ma`B&or75^ zOGxA-z&JaDn0dXWPYRbCV8AS`2-w>1{N08hZ{MF~_fh32pA$o7$wG8VoI&wXs1^zg zH^TjZ+mY&ZX0cvvUkIEp=YkM)6iuLup$wqN|4i-9!Kaxx$6@^DTB*b$uoQnTv=n8g zELKtjSj}-te)asKhKzpHe$)OROdx9O;3W#9A2&WAn#o`!v;4w+W|T$;Jxd9e^C zJ}^$I+}fD+_TE0>WC0CZ0gwwAloeIjMFW&R+3${|Cr3l$CY>RgZq3`xE2y~MSS;v~ z>F(1f>b(92>n+>+3&%pY9)cK0%n+37i-}TQykkrddiB><>I1M|9kzVKfNusX}Q05|`h+WMF7UGf&OUa#) zg}@N~G4*g!O4TRYJ1S>NnnN!=^Qt{Nl=8JhR`B!PRiAo+oiB6>?)h@y%LNV>4%2gf zY)OXdHn9yR(EdI$5W7yl2VNpF`SaBqw1Q~Se3oxiI0yy;S`0q^r%xGhWsffAlIZR4 zLBP`&Ng@c=Mp`nUI{NGaE?EK zjJumtEtkR^C<#;~s79MJIQ343OG4X-FHjbenYIN_?BFjex)FJJA%TvrS9Z$H%$(X$2+mB!AmmBnIB6gdvc|Jw>c9Kk$?3A$f|*<6=j`U~EYILw!MHGPQv z`cqii@vyo*MvxT(P4Nh-e}0r9YGYuAi?lwV$vfrw?fX1dQix8NSFK^6bBz}^CT+sj z&O-nZ*z6=kMe5DZ5pULyOs2-37TdkB64Ct?F1PNbWkJCf+D~N2{<2<_p&PaSjN9Ch z=o(~tFf4HDKtXsoBf-S)0@84=Mb9c7sG#pt<6f zRbg3;HAQl8>{m$LscVZsE*xxbt}I}X7!lDRS(n*d7JYFCvi*L@(_bQs6QGKUY!umA zXyW+m*$#!l)d`DU&|*cdPDvXVkCvqX*@koB+M$@%9rJ6*wjF@x!o=&5awmyiRK_b1E|kbMaS4q1j3?C_9=WbL^~ zP7`qA>Kfq>v*>et52ulkhx2K%wyUM4Bedif)98=63j8eo97$K+J*L#)@M2L)6gNVI z{?!!~X+9%P6Y>=(@4E6rv9VI=-Ps3m*sbPBih{I3UlPo*;x!t4;|cs0B#K)K?v5U( zaM#^ocU}L(fM7KvL;^Rj>-n0PQBQ{^hfSXhv2JS~>m>*~WPSHdwvc1*SaCBMnX=-K z;@nFq1eRWQ$~osZ=|Biw>hW(@%pYB*2tVFXT|2Ob8h?CD>U^wr=PNS83|!YH5cCOs zl2`$(+4dYg0f%hJ6A0W<92z;gG~J^Iv(n#zoaU`HmtxZ79$!=CXSe+9q1-A#bFh)Y zpNQwQ;91U@HwGqez1Z?shC)!5u{u2uUp~K`iLI$a;&U2ld0Bk|*}rXu+|+MtdaSPhfF= z<@mUEgf-;(*0HV+l(75)5uJB$1x==1qk-mQHTTsMFU+v-OrP4b$j~kK{ksFCCxh%- zfV~`ckWT%BJ<7_?fqVutOn{N{nQXUyx zENBlL5mi(?LN1OSekJe#p4XulH1RPUIKvc4OtaJ@xRU3I=L2YP+-TEIKE%C-8~i}z znj>!$gBH(JNQK$xPKWi4@u=yj^w>fn7Qkd?q-1M9xQG9HErdR|OPutV!*Fk}7hN~v?M8Z&vrlk5d^KMu2n+V@XdX!*v1*z$i4Of$PrY?j3|OviX+*O1Z$Q(?ki zQxM3ov)lf%QpxDC{weON?Rn<~6HpZOJ$ZM?bG(FE1KC(dc$7xO=mu>2^p3hU%D%=J zzpZ``skkCTK)MfZ6uZqoHV6klE~TfZ*NbxhnMBKT8NYei_oqI>gfYK}&9e@nWHbj|}<>4xla4vE43Q(CzoKwCJ$3}9z9AAa{f>P$%F4>2t8#p#@U-eN;cMSW z4Z&4>dfaR#vgCvQDKL=TK25v2xGV7JMQ zPd^=$JIuIPmVT>wCG)B9Y~7}<<-N4=>6qvj!z_D85>Hn>=Hw90bbs$Q;ZQPARP!=1 zI81l?e&5pu&%De->`zMq(bgL`h2iLevkqY3hmkjbS{yhw1iU&uXrP^Ov@nUq`=G3( zL~EIwoqbp)X=J=B3Pbpy>p&$*_Q`{;II9iIv@MeR+Z3djM_)tXa0EIE z;gyxbeN+hDucrF!c&{)NvyrWACmq+Q;oBoU^W@DZU_Y@xQO~7EdTu*DnIL&}pEQG! zUc_i&`gzMalcw7D?=tyE{Ofd*2Pv;HO19_===AcLQK1f9p*e&NXL&ct(ZQhsq{TRp zj$i-dDVTYyIlu!@)KBLL@_9sx2#Dj)Uu3(6s%^e>J93jku?9~qSd2WY&35r#1t)M? z5s8v_GqX7jKYH|LgRdM3L4*aOngaPF*9M09q6X!@1fL@$Flj2MUMy=^`fP16HXIxJ zYR`>`NTOP2CzzCQ5buFLj%rm5-fxj<9EWYJtqI+o%}wBGdp*N@U`qjtDfQT@Q7A56}>68lnm}Er{a^FBM+Ok>*&C= z{pK4vEN0nw6%!Q!#<{yOUI#GQap7=p-60R&l)Sti(hsDTTO6Do+pZ-)5+5s{e$6lw zz>Mr6eN7_*#~)7$7tzv>jS<(%2r@@Db4>=A7#kcqtI*_S2@+iJ@u$8lOm7JMf^dK` zJ^GVNF!m4sOJ4dFB}yZAUOwqjr?AEEQ#U=WqgRaGdFQ`8lbeoNRk4Sa$ml(kz#3T7 zJ4N64dnx5pmZ7VgBuf&BY;0`kqa0FKQ=_+x<204lJi(?=-13%#*4@|Ez*jx+fcG2D zw;WPMjJLolp0bFb#8hn*Ji>{zBrWP%n{c!X+vNjLs`s||;>@bS5*3;_FKu%8H zFyN0oWlz@K5aYKSVsIZ|!MXt!L?V58*-n$9W$I&!a0Wx{DYgH zl?msA=A*rMkg2aG{$w?LULlk)oSI60i*EUK7nrJ^Yl9r)l%m8lC-~G^c z<9qFU7j^5fSq_U%S1~_kPit#4?IRdi%@h#S?WY&pt`K359h&A@3K&Cpt(!k2FNfym z=MUSy?LpiZ1s>wQ0wmDxixMjfGaw+qzFms30cic!Mt+YUj{prL@-cfU6Z31$xHUCO z&_pga1>G*`iPH&T;Jy4q)&}18UpiML!qc*Gp=z>R!^~Jfz(cxa*In(q@Llg!?|?Y3 zpFe+I)6seMIVHrIVKp)`@^P<~rDZJ|QvEmAu{|_oc=M(m+H<|27|qZ-{3H915&hUu z-IMF6xLv1*{uI|9Rl`g=J?Qe4-f?gU(5=kNQ`C2v=8odFQs=jA;NEk#G>@?%$}>RL zC9^?_I2(Jo4#|pEkN_U`Jaqb+mXKz3^s$kVNAkgamDnM&#uuB=V9~DzacJ{KOfG5jVUitbBCa~y6Z>`z!2l55 znH+h4z{A}9+WPwXTlRqFi=GPDN0nSGEG%~YAmQBcp~+=(oYj{p$A%dD!Y)zo1+;cr zq!qT-Y$i;)=@I1c3>2KxjNiR`H~yNfTYUfVT*ueF`_+44(qM<*@_0}k9qeN+O1mJ# z!b6eAufe+PXT9Dqqpb4P!9Bmpj5xk+1jpz0hPB@3&%9*8ZnN4PRz*ji9=5(V4VQBG zYto6|U;8+5{fh(M8=V@B;TPx^rpo$~?b_ln1{7>XQMLZ|w=ZH{#e>NWu4BR4Y!6=b z!L0C6!ZQf?5a_ZpHxEutO?^6+&i4hzrJLpp=@bmzyZLAr%UV8Mx}rd%Gq&|~u+wT# zG2Pn6%uGSbVn2TGe#DTRD0wP- zdO0?B?o|+)^29#sIea3;v8TU93r{{}&$QrMpClNTLDk+qk`rb(t3&lu>s5&)yX!Zh zI`xJah#)3EFHw4S;BxWsG{TaDv!FAPS78YIyyu!s5CP_Cs*Ki{Kq_8#TW5zsU|kB@ zmfXdDIvBvnwSH;;$e-;Vxv?6{vp%gIW@g`gSNl6&(*#c<@!3BYO?3L2i*8VmD z3N*^VW+X4xEyrXv3}7U4?^mJ8-(DCn8G9+wBF~k~g@J$A!iTA|e%U)fW82|z|3Xku z^HrXB$DS`OIK;}>zh0at7s2oqRBh?Rb?3RCLT^Z=fLoN1gJ@G1_5IUs^yFl>G`BQx`pfiKopcrOc`Z zt<58?BB!UPIH`+wGZmT_SWgE(q>nbIKWcb%z?;(J7USCb3kLs!XX{Ok%mnH(F0F>| zdqWR+A5R*+iffLCkf4GA9q5#nmRdKp0*;Qo`wg!Lf`zgEEE0Cl<-8V~j0G**3_%!{ z`!CP?2k!Z*%p~#Szpfuc1-swJ;M?Mwm(>c|wfC3n=cZM>etl^d!u)nJo1sR>G07PI z#>r60hrXQHJ!>;#D0^J)5k}lU8fgX}_e8YK*+qteAOoxUEF$BVy( zzkAl~xJXD{fR1#1uKQBvP%+chJ{Zp?=lct!nZ3U#RANnx5b+OJMcOu->j0un>hCASU_)f2kghBZ6w~tA4Aj*b zEOVToC!v(<1X_I!kZHSQZ#WB{)&iAs^m88pwDZ(rSRAqh-eLTY*!D(WpzN_KBuLrI zx2>X#xfjvyz$5q@3_8ED#mU8W7M=OpPRw4iECjEoIdxl5lln#ApnNu zvqjm%7aO^uVD~| z4>)?9Dn}n7H*lneDG+UeC<06*a85zXtj5l@AK13TK#~cAtsS3=xDnBo=H~($Wls*B zyoo}F70Sx>L@~)G7Rg;Ciu8C@8XpVe8OD1Q9jAxOxGEr?DZ$QaJ$0P$+Cb}h=8qc)hY>N|3 zu49&*N2(N?MXlbBfji;h`(X1FqzQj1QXH6<>9>N|iC~s5lJLagTm8!uKQ>g>EwX#O z8~<{=@`eBjId6~3j!#Y!l#K(=#{}w@oVTv8UN3C!WL(!1utd|UO^mq2l$;L%@>{IIY{+4teS<4ebq_7-*$d#hd3w!ey6gSFC)F)qQn zM-(-+zC}owO*7Mb$A&n%B$eo}d)T|Z?+XEM!#MU3_Y#RB2EJOn760hJ+uN1rHvlBW>oEJQGqmZ1~abrwc zfU&EIk6`b$XJIzawo~tZbL0~8wNR_{Gli!SKOSm5OXuE@M+5brzj|@PxdAI0BzFp% zcH2GnN53E;=Q;Fv3lv>0PozqoatIfMnXIU6|MvrM&Nw6zyT9zFk|8MABvRz}+8C@g zY77IxNszON5RYb#Oj?}cP!upp!JBcRkrcmrmzb&ml0uUm<_ zXcj0qSA8(BRfQ3}bnE-goJw+fQquYeB%d`d_Q)x?eZ3;))!unlvs7=gZ(-~mHL(cI zGi-3=`jbZyFy62%{4J7@0Q}isq4>K4gB3W}(O&vX-GMj^_k1@W$Z65YlV%YUW@?jJ zL9nhPKy9v;dUNPdR4%d89@h5EBEJj6(<5brTRC^`-1#hgMNTe&Rrj@`YMxjj?C`N& z07xL<{smT@$|6kUM%7#<}A>TVIZP!H!gGg!)w<>%#wn5rCBfK?{CYCZO<)Y zqy23gV%I|Heb(tj=%~@767acc1l@UzLC;N^=W~5Kx>~;TI5iW4j#| zw<(S&zBOz32%JGjP?U%|0T(wn_WeWb29<&nw{`D)l(7FEz$@+G;2_q@4|CF5fVu7p zo~|2%XB^b5L&N#=mCzEtS$v0AH~(<|j!g)y2afG~Hcp0{UGByvE$+sJGh@ued^atl z!YL@sBUc+J|5W_JZ{sD3`&_iI^xkhjfa%N@oCz+v!Y(`J_Dwy_KK-gY zN##F1wFi@*Y?b(6f59&$wHQ1Eb?Cf%&aFzdjY$im5J?A4U4ubcw!ff?WP~I^&m#P*Q3e z`9;0gt~|K@Y)kcghy25uh@R6FM(8JHY~GWJo7$Nq^3MokjxfT=WM&f;`uznH@rJLX zYQ^k4S@~}(99L1lwD9>E|GMc+Z6V3c^mBk`>F?S;7I{zUji2W&?xds~QXX^Xj8 zi&w+(_+?+YzFo)K;9W|Mu^cD`oj7sg8~I^@md%{gl#6BeC7Xoss;wsy4BjMs>45eSq(eCufK1Wc3x;szvul))3TZ}7_f80sO^SGq(wP* zB#&l%YX7;%3Yn2_QX{EUWIRNY7pl6i%?9fv2>T}-Q#(qL7v0CxLAd{AL<}`)C=ZgI z-Y_5?uH~2_>v~%(+@4@ zrUDI8~iPCh?LcIjb`3-fiZ@lBV<@h3x&*iui2frfs5q%%k5a%dejdL(xd$ z@c#ixyk>0s_>opkO${ox{h3%!S9dSWGlo>rn6R)-6A;adHbmhk7FQM-RZjM`%&r$enn#$jIHNEP6A!yEf&^DZs=jSmM zY894ROm!7)uY14qUUAa;!UNv=3ZATj#;LMttHUyF>s>YSl~_hlp2Kq zbPc?6x@R`(^xRMB^sn3c{T!>sF}_8Nv7`X_|6HqCsNqggoa(O&%&bH@2+9(wX z^f_J+VUCYW>CNT9aQwB~w_k-nd19&Y06XiRYVj$(bCDiKUix3To|FzXsIPrku(2pV z7};{evs60Q`t|jP;bei^9$r+f++##+3qzBqZ2NnEKo76;$7q869==uupoP?f4uMcb z{M@;VbqynuvWH1uPsTkPZYUPEj}Ki>1fNyGtRYULRLHOuNq&Ah`s{t zg5Sd2+~-~o(!uYp+IHwEb+Ae-KJ9?8Cm5@s++C1c2Zl|KHf(zh)S8~tmcuOAdJfsh zu1l$RgJZs372quP%XLQX!U2;1e>iZ!Qxj?FZ11&nmhlM*3F~3ni4}OwNrZw1~B&YD-do&#W zNWCezb%4Y5jEK>Z?S;8(T5RW?W^^GpsYx??3YldZUw6HTw}Q}8xGu7l3dm03!cG9N ztv~09BEtU;dZSK-PamLzPYFl$X3mBUaV}2t?0eF0mv)n-H6%&gF5p)1+c)gtM6_M2 z{Rg|=t+Wj#YVKCrpbBZJF{o=L_rJ6*dl-in*U(56Brf!!hpn=GV1g(cI#Pt&HEL$Y z7@2{g^>PMLOd6-vlQb>`q{!sF!cbXjbnFOHf7SyCrsLJ#{}r z;nNT^#E)7cl4_RQJoYN02h|R|vC1jAiWJ66U?;rF>8EY?T9L_M$kq)k3W*oXv}M8I zFDNx0fR}jK{J|wPaGIMui4XV8L>kOQR_ZqO{`)-Oc?TEDJ!em~h6HqS~d>H|ilsd)QS!SED0G8285icEog$3Y&mZD6-PN0n}LHy8H}4 z1kV1G9IwT|ee5i4S^MvijE$X-NaGb19xL18=b<{~+$vlEShe~p?|zfv`*4VBZH+x^ z{>ULZy_jPE^gc3>OMEuopt54^FLV!BW4x=F--2kh?OUl(6wJ1g&>8Vw~-jM_i9wqRtzM^&?P`T`8}+oI@_$D#)jbMswEE7c>t)GHCt0VfKx3 zs5yqx5F>LxpG$!-OHH# z-OGRkstMrjUlS;#mtklqQd(B_Znu}=W}MY~?%cUQo$C4OAhvf<2t)Tlc47R!OQ8u4 zz(hnO(!7&%E7vXGXL@|~rffv2Uawb7F|2eG4KY+GVvfcu=2k3}kP&Epuya%SqRYQN5YO zo%HoS7XT8{N?QXX6t#{YWTxnD>u;cd{t3jAkisA`A2kAei`&_~5)u;m?pycLb8~~V zpcy*B9l}h|0(ob*{+g~IcGhTdCG#xfsEpE;eIq)6QH<4(uXri7KvBNWBT+V&IjnB` zm72cIl=Raf6$f(89i6g=&v)v0`-VOAt?@>uRScM4%xavYDqdb3Av~spb%gOM17A$oFWd- ze>!SlYk0ksLDyra@CgVcrdjlTcl$QK>#_g7>9exDEbZb_wrlhe5fQ$L4NYICf0;JL-+Ov?gbDTI-xXBa(k>1k(_zOj>Xhr#R9MM zB}(ldU+3$i@pDZs{$YzpqRvFne;G0+TF2xZ`&gKtVnsvy@L6T$sKe8ZWrm9fzv$stVAp&XIw-t0P^CRGUVJ%JM9fKM>MXdo(f3-o$yY?M8FYc# zpbJXT`5<_Hr~gcXRR*@5oSdApiVEqH>!{O`Ir_Xc(4TOz?C-%8=1DVnC^a>2#xcTKgjc$U>UdiE^u-m;3f_w-=z2VqqkULrB zy0X6muc|W`zCyZ~l195%?gdtZ4rUag;-#1Q*K#h|XH30P8A+?FaMKFgwE4hOM+l*+ zaaO)ZXsX;yy|}40&hE&lo9XDKl1-oVq@1#Qw}blEt;4J*emJ?dipv8@;EZL=eGyA+ zSK&A$m9HrofKD|{A*I5{PWOedI0OwgZ`y{&rZ(4sw>7ykS~HF~;ri6_xThQvhkv!M zejhlNEX7~@ntK0xU(?CSNyf@5bN7j(se6+X6T2*dJ>uoRdSJs+)cU~&WH<=Hh|u_X zNaLN#du-{-u3wQr*bN9L3#oRey~|>-Wqf`oR1lWcDP>+oiB+gyG_5&Kpt;n0E>7kp zs`GCg=Fk%n223&vGM|%GP607nJ^ajU3!jB2xE1VzHFzBPZ@gYkdp-i7>o^|=F>%z! zkKT~#`#anvV(#-F^lWkkeh}2t<=Tcwi=>fS zTyb(mPdr%FXjB3VKqcJPWQ(jpD6kM=<~GkVd^FrO2XVU5hIGUn+Wuq?f19g;7i#|l z>(0>N&#b%u=2A|bI#okt*n<#f=F)5K_fnlW@t-UrqZ+zyjKDB%Nt;ObW&_TZyi4I4 zN~PDg1Y_5s7-0gdKMZRAav-!xy}A6}x~FozGq(}K9cAAH37`~mIjx)TG1V)U8^@Gc z4Y{k9GF!0+4dmBiR+?~p7k~H<4h*81|5&3~Cb@{<6_43${2Gz;sfLRv$JlvRM z1}zkPW*6vE8#QhO5(upHOU(M=UpiKje!!PSF%kc%d2#xXkB4p#10cjF+q52HOTG>y z82Bpkjo3$h&c#>$n1&FAtiGjNO<_+cfO^wRi7iDM@VV6L0BZzh;6V1wX58pX2>+ap zXia%K`0qaJ;)A`qAWDQC-I9ixBv7lMKvM$pa?{d?3%k3z{(DM*D|g;Np3&W#lu_D? zJhuJj5A;iMh;|zrHOJAN>fi0Ur)+IszOaLQwb>le*{S^p`}sEgR%c29Z-iZZm&sjE)ohlr8eBm3W85S@ro zxgn}?B5u~HUT>%WEcMC}dU4)_#A_6>7cR1{e)S8`vtRl|EnX2T-}d%{-a-4i{2R{3 zDo$ccevZgpG&Z~=z9ucQ^+5xhwE%|=S+Hed&h&C6DVc?I#AJ!@8)UYzYXexfb^%ch zPIJ;H5H*4s1n0_l}n?fpzy2veq1U$H@j}ry(&%5?dCc4jKFle%+7&!?z@K28yUxZf zZ~OGbcgL;D%1V9Jo6(AvZl|1Oi>n0KC^_29nQ$%m4+f@AI(4LDkL-szNNEu<*Fe6} zr%I8+Q+aPpK*9a`3T?7#=Y61GN{3GFI=A2$RCKE@?0~&iU8{KDN<@a;9WEn*;ovL7 zu*LA08qmQf3IqQU#M&D`I^A#g-P)~I6^DtH`DcB9t9+=P+r30GaSV&u)#IwHY;B)3Ha6y|K;R+eG8KNfriZqD z#L=z)caE;7=lG`1BXlNF;fH5LNqOea%;bNcM$DcSNx*MZ*VScZG1oy)1T3z(CN6t= zdOl5ONupSqupK`kcphjUcHM^_`}x(byJWfNl9FFmy^36%BuebX_3&TJ@fZT6sZGJE zd4A%pz-+k+d&w}#7-feb+?9ox(q3UX600LJ5Be_wSnR3<+G=gJ&>`31=jZ3tKS!>) z_9H3iNErtbjB5VdV3dJLHuFj)Mb}ZhLN^w|;@MRn7?#+uL92dbpjOnv>a2-CtK8?ZPGQhuiHycmWmqsGt>h@W4WA{%x3V0ZIR zx#e&r)HX^6-wXbNlfg}xsdXF|YGPOEeG9$tZn2SMIxoinBlK&LG|W{j|HQI{Vp$1L zmTPrwdbln}jvw5F`Y#-$@zV{!;5NUQz@Zt8YV>sSApF?DYp#~sO4o1eSTXCNp(=) zKi(5Ke!$NSI80fr^NlN)d9?z2Z@YF#e(w0lQ7qhdHj{THdH6yr-)n#eb~c|2bKLLU z&-(m%e081l+~Z-%N8L@^Dw&C~8`>(7{mZ(q3RVdDE8Tx!fd`VU^TjpMH3UW{qDbpM z$h+`x;^N&8A3i8+Y4O=ZA*15Pg@3Z8KX=AtDb5SI`{pm+6->mu|44b#YVxHB4UOf> z#?}QDwf7BAaz5jt$>n;NRk!+bg8D|dxwx?IFM2CaPSzXCua}4AzvZ}H$@ZiD3<(Zio#J0T1CV*Y#kW$+eb$(7JYjh?y z#fz|a=~h{Jbab@gPz%~Uvg#?AiV3`!bmG#xq5G>@drjOB{GdIEaR%}`%vP*CfxUNn zsyCf@_Ok+-*lJMX=3+5Vz`veG5u18l2MFJY7BOAqb&Sl|jDK{6NCCVUD-=)h?vEVf z;Y5z9v&KzRI}Dt|1og89gJ*&&DFq=xmhBVoj&xYNmta#QjQdO{V ziEpQkIWfnsc!_X*q!N&bLd869ryIdNA{BELsJ`zvZ$nD02B%BMFFwQY*UrVIaQikI4v8YREX8f2?+^L zEtpUVwq?oZcrY>Wfk4xJs6yXP(<7*J7N*Hk00M}mFF6cf7}%aEI0-W~yIqtvN}zcH zKY$H0m1s^zTH0jl^GjuIZT?Wimomk&&Bob!9>FWi%e2@?L52q!B^UgNQN$MvJXFH6a@rKKgL z+MC7jD|k)PeqQU(#G6Hu12)j7TmWX;p&e8<4}`FWsQe*+XtN+-OS9V2ee&6Y`}ImP z?qAGZ;+rxwfT&q$zM4vQG?_W&1Zqz^75}WyZsnHwUQ`(_9IY2$MYYq#v~zd%d-CkX z)GWo8jZG82>npdEatnXus%<-2&q|L0kHq#gJ4)m#_Knt9Hb|G6e+W(6rV2k=fjz;} zT3W{!%Z_Nj312%l>U0XKRuraChFfh z&c0l)@Vk_=eT7&$JC`mfWinmXeC*CvU}}^9o(i^2SfR6#KqgpG;*f5~lft z?z@+GIA;o$WXS!jx-L4qOz(YK>rPj!vevg#d`7psQ8DxNCvMHT9l2#!w9~<|_VMcy z=ga*1qN!jGn#Ju>rR~Cmi!+5{*j+m#I}PhEb|lLLu>oy)23LbZ84JuTV8gYRV!4jrNlP<7i%b<#ojgfaxbpMoTUoW^ z%oLzJ;9=;+qZo?BF;&cecS7H&)O^rz`r`6if6Oh1N3er|v;fd(f3${>rocdPokx+nd`a?tL1{2t5S z7$heqvXkKCy&nlAKALM?ZEeJaCzBc6diEIwo_7e4?i>gfQ4y-IcIntPAVTT6dbdH7D4eS&x zyWuIO$w`P}5r3vtR8%D1q$*f1ziw=7JSX!c3aS>T|I+$6KeBaJUx;s)kodb|f&NK^ z730(Q$h5nJot>R9*~q!;=2li7_X-FIpv}g$Vg`wOSWEyB^{BHlGVmv76NGKMXOZIP zrhpNk#X!N-zQN~D=-ho(E;J$}u5}=8cN8ZkOH}{FsKn1v($JtJtfm0_%C3X@zQlBP zb~Xgm8<79?ZlN$LdDJvTNpakLJNpM-!NNzfu0i9a4WP#W1$AYqb|MgoP6e zu(GicKpY#0fSO@s-pGswUwXhh`tXywcZe_j4vdE1%{3U+sU)~`SaG$ZWQRUQB38+o z#c*&$At!RB?`KtVm#EtAr%HyvVfT7kISNbTVJ`qEgp)|l`hLF?fzgeDB&1o zNF6*sj6GXl6ib^u2}tR&uNaJQ(47a)3?iM*$QW}IbFnO12pXtzOnxQo&~%xUBQC}S z$p1E*348A$(oDl3FYol*{@-PLnt(l%14$Mt|5n6(W!J$di1;*1tXP)nDHTzChvGZ$ zuF{CmV&G4&$4}Tfx(RAmb*~L5nzk20i%>5gjU|X_MQAH%XfZw=T4g!*6_$33OPC%( z`(-FcB`!aC^5pMv3b*sZFr;#sgPFKn&^AN|(|>nU7)t@tXm%!OnhYyj$`-&NFCUZu z!zwoG*IcWaO~dyt%CnsO^>$D8!Y5N3=Z(!$B3p2l;0B5`x1T_#cFjfsCHA}H1ut!l zeTYls>+wUfp}-R~X&NQM8YZ$UXz(J>=KLXJ(^j49Vd!KVVhkx8;b_0Ls$fZe=;LXv0!-rCxGEpv);}R=wFXz=4Zo zMGuNVP^7-52sY*J;84iL#pQFtWEZ3c1|Cr=TfeCaw>~*F)j?s5%9SHmZ+qZraGl#} zB@0BjA1=$#;^VSHWN)|H&U6*`Nt@ef9%l#w# z*G_wE-cmAdP_>0yiipdVV93zqOvUa@FA4i^3PB@bRaXgr`mh zKikPwQn#Ct!pkjfee=q!F*)N~$fU!{#&Gx{4Vj(b%k(R_dM4LRLm-t-Ul@PRlBBO8 zG}!5}EfOs})BVRwavE@m)V*1QU84)#iPA&6G!Dq%^;Y_5^bgBAU-s2d2;7^z5?RMy z-Lzc~C^`76q7TEgvOxxkUiqC}UD6T~@2(->u?R$Ol{R2bKIphwP*chnD=>4*Ij^L>brF+_$P2>Ya#USyLoV_gxqE5IMck+BM{M$gM^AM1~iCtaJ6*x|SGt zV>7rXUFT}@fxzZuYg=Nar}x~t|Fx3e<3|SzkiPxde=NE06`wCC{A#BT=c52mvYbPf zWil(Psd1yv7dV{0y*k?3aEY=YN8tD>_17)pF)GCFA8uw9X@)~aLdQD)!c)gbPMX21 z^n1+xOT>@{9Ax!#N6<$c%n^H1JjYU1&89j&%&x5{84;KB0j#SuR&Fby4*1(EwY9b2 zCBK_w=H^CDOj!ELPA_0yxk56xA(4^@@WaPgeuCz75E&VTbWLE#`t9MDEiLjEwzd>j zmXR+SFZCexGBXPNbLHz zc>oRKtv+n=v~)4ba)~6|`y!^`8B^qu9aHLIGgmlJROq5;>yjh&<#Te?>YIC~96Arl z4_N{B<3SL^yA}_>3AaVk9*JZEckdhUj%|a%&1l*-e zrouJnRe#RRl!v1Mg3{QD7=%`ptPV4v=}XPeGNXj68Ib@ew`r<+(1LtgK>e+qmFi8# zR^sEgE~{hN?^L6(T!rx-z$Kk>&hTG7=`PYcNv?3~CE48yW#TC91=ZtaX*(Y)@v43A zw~mn(5)l%9PVF+bgw()W{tojm&-u$RaZRqG;8t2vSua7v(svoT%?ymnzm)KhvO_<~ zH3ku*v=(R`;vC$Z)>ffdy0Bf8syE|4f2BuwXzJp{xIt5Y-JQ^BbpA zZcT+@RQp_jGDxO+V7qi$tI$IpaGcTa(AgW>M_j0~`kQ?6cah{g`hox}wzsv>umnn> zONEm^eh?S(^6Kd#*}za- z$CvJIvuD~t%v6|U{2xh9bH;T zZy;C7U4%;4=H}Xso?fA+9Tgo&@i;n|fF}5;C)5x01228^@$WzP1?e4efVRyo%?qPW z-_s9M^ZqZTE*f{K%`PdpD;O?5WU6Y=7!mLcALrOPns{#W_^`3Zg!RXvvs67xiHCJI z{9(oN20byZ&lJ=5rZBYxGzp=iRn@4WWtCe14+l!2-$*=DL?Vdl`?m&%j8_;s^3XFyv7ED0!NCFG`#C|+ z@`DNw7So+X8KesL8!e-MqPYlDot?LN{)>(?l#?G$Tz0Vb)x9;W8pW3`I6=4dy&`BN z$D`<)q`?%;ePn_;yH1{{tVPAn?QGThSn7k44znqR)t}-~8_=T;B)O)9eYt!ig~+t0 ztdFEpVHhE{9H*xgqZz>jWDP`07TBJcf{d9%7wk#+;G1#m&Pe7=Z|#sc2Y{Ul?f;8i z0^@vM=Mnr7FeRO~$_nVBjtMWt(YfbX{CTpcirBQQtgPWkh2<|Hs%Gc`i<&3y8-2-> zx0N3m-h@DAs3;bp?GY&0)ziZamDKBU)8GUdpW$CigQ^;BU&lS!9^$IEzU-z)MQC)e zU}m0;%~Vt9_)wi4G0y6Iz=91bSJ+2GS(&82bBGg4Wqdt|;4Oe*lBnL1!w!yqRaFMA z@Ngy-^_d)$4=G6b-p$N>$6h!}uC5^iQw{~i#gE{VgMDVh--H(WE5k_b#qh%iT^UQm ze+^9kzFbZ^fI32E{{??0nI9tIk>@n1=WfC!8}BHb*{>2;_XRy;-3)^Khb4;3`nXR;E%!j9C7wTOh4~c)KovPiLLZ*d zePw$3TVIHF5MTQJ7g@4??}I2KC<3WDzMM*5@3|)%;Y%slcEYet>Xd5m8*|Sibl^&H zR{zhj5vK3kUdbL;Iiqn>i6bsr_vq5RzSTr%U#wN=a%@5#CjwIk7(d`PxhxOZ*iXKa z3rrWp#Fxf;GBzVTIeE$74 zk=oeU*p4q}4{N9pgg_seX+q{19WPv9_5bApRYj3TnU@Pl4nu?H)?SX`Ee?UFGWIky znWZ6iE~AakEAhup^|d#pOYlG5Bfe}J z)W$$n$QS);$mMk0K67vuHc?qy5geIO%fR{B)tykTcpM(i!Ii}5=%Jx6(HWbH`f1HT z0zSX~dhh928s;to(+X|s=c}Fm;7dgm;^Pf}CYFfM#5-}O{IbELFo>hid;XvN>K~Gg zr!5D(8^yOwzkjZ_Mq?<~*_Tc!PHmU%Hy;|OHi&-8kaSn~I2=`WGaI2! ziBB()0aJTWVmULnK&X`(x1RJ|-*652vlNdXVH*OazE_Pvg^WfC%aaQi8RQ3|3!}&8 zcj`|!mwxKnBjXgHDc+|a1MJq@|1&T}``5@_{|aM?V&oi2$;oG5YiMZH0qkMygT;ap z?<5i2|Bzqc-QXZc!?RgV7|5mv?qv2PcM~nVC{ttN}IYH_By#&{FDxziBx; zHB}&&T^D{Bx)48<0ruxh0J?F!QJ8kBAj>Fzkd;oA=f<9F}< zzA^3?-ybgne1UU#_TFpGHP>8ghn~#aTRN3U#<@6X=N$mGbE{I>9*@TD(DTaSdNL_F z`?|J1Ln%4m_+HiisPpXJ^UlN`TPuK%rFRD+m?ah^p18P(CSL_BL0S{#v*GeN!MzS4;!BVh7fItave0Vab!53dzOa$0C#%2l`UWgwMA-a{>-t4oussy72dI(=3~$TWj;qqZt7Eff)gn}JIZh|u2Whx1&OyM_c} zF#iw&;&YYKHjX52r%*Vzf>Il$25#vJN~XR3bu%V{*)suCB2zT zG?iQ3lr5P7y7g6=HDgroyRVblAD^|7tId0$t)gDt!#fn?-=F3xk)rw#`4JdhjAF`h zP&d&goR?Y3f4(Dyx&p*87-Iz8Yjj*Un-nQM562x@9=eYGzqrls+;#5K??22#=h_)v zrG-hl(o_Fqy3+efLuV7#>EX3y7;w2{Z^z zTdOV4`F>r{Bo!d9VAAV_T2MGtsa0?RC+qBW!L$NJ_s72A;KOf{K2J*$fjfMu0eYNM zFr)`Ck+F+Qk=|@H3(a^&i;GLhm{jv~czqvJQ0Ru#>Q>)9I&BGw_i5Gn`9IE5|H~V( zX93{s6mF@4kxL5DMFl*-^HPKb4(K%^uipps9dtk|nmOJNw&3c=r9qu5U{aF!7+LuP z$lU%IN;?0WY5$iBRWC?UPJm+Fn*6@M-GdL$y>(%^b9^h5ZqUz{m^(c~gCdS!85>)` zDTFJka(0Vukk75?iIZIkwN!cOG_Rf(432HEr6O% z>^L9mFatF9kKnfTFMAD=I@vinasX4W>-b-_0J8+}KK<|8gr-XwH>IaZhr?TDK~Zzz z3Gk)A1jYs=FS1*OWVr7lAPeBid+v8oVii$Op6-5R@6&-pZli>0CFXUtf54Z6B`2F$ zUaTqYVKIgpI!@7f`UeUSiF?3d3}y6fz=Vt>EyL8nbz%O3;pILV&C@d};fV}Ns-5f|BEPf>Om2(7FHe{}!Avt@3< z6X26u6UdQ+IuZ#I?go_cSicCpmWQx!YTl}*rJVCNU!nkwHtB^kQpj?oVI`>A4Ntr& z*LLRusmz1aK$hTFvtC>FW&F6>^p?b_>2bV=b8qht=`R;B+6)L&>K+ENXMgks8`#p>61U+=D|3!R- z#soE-XHwrS@eeWqH^eYrBxCdH7GNd!8=tj2i`hXagSXMvrOUj(~09<;0H%E$=VUl~{IEeKe;6=NBGxA9^bmP2#K#$JBK zh31T*(LL|y1OqP=QE>eH{0fg3(|*qy`E(Y>uSHdNUw1l~VSw6tm!$VC!saI&_GI6@ z$hs22sZjR?JuB!qRisN}%pf78QWY3HDiLGkzn{1eRn6;Y6l@x^-?qc+kM9;`kB%_S zv*?kWKDx~I=(X@yQtzeN>^k6Yx&^K$%USN$2gM6;b*b;sz5%2Y`Tjr7?(Z5na%*#9 zL4eByYE0*Vs{tl70@bL#8cYv8gF%%%eqs??z)TDM^a*{R8_25a5YcHH9ut~%gtQ#c z!fFM}t_*;M^%`hf8b~TERPLJ?8#6zbX_nfQz_7gnNr)u%X<_t-1CsRZ2}F`eFb+&V zEbKaaQdSg#Gj4h}9pmt9G9(Dqn|PNW*Ug6tiDqnx%^tbd-(M;YwaCksb>-(zRC1S> z-`G_U)l2gaU3P{_*eT)<`IL5Tp2`NK^+f@RcBD#g^D2q7#~_n|lWrajTUf?@0L1mx z$;nMnzQvQl5z}Y!E!MKIsYA>cJ!jLy0@|1Hs%!uK7T$Mxq07qYo>vZ#h;O+~7g@=^qQj z4!%-;s|FqIuYBGBow(m7F$^*kS4?7TngVtDWumD}auY*UbUzq9_>jhsAjiyAUesd0lloH!qN58hF2mb!WK zw#pr7h7OUIGLj2}K5bJgb8{pY2JWfBU$3<9Nqj+^@BJrv zP^i8+??IH(5_1ykrXaN5)+H6LTPhBJhuS5VXsdT=%vvS;_`r|I-*ImBB1(D%Ea3aI zuhAfGi`&XGBHMwX2aYdN7k0r5GAsVP!Jho(JG;`TEcwZOho2)c2ksas*oPdVbq`U9 zV-(OC{7Y0-RKREmtC#t*yrsZ0HkB9+CQX)=g2pJ&LI^Mb%q)O-IA)m}nI-XLMs}!>^X{_=sb~(MWa`- z%fq>L<4-UwqX(%u$!6i<0Ep406lXE|ZXFvVW61RM^pgZWNaDjd0A%nFDpL2rpj;8nMT&3OZx&`M^50;JQ`p#!PaAB4!PBW_8raMbt?mYGNQu8w z+Wz_++{9U)boM{I*+U0k0Y?TPFxzmAo6FEI=yD9mAHGCtKlJ-C0TVK4tFAHiDHR&Y z8P{d>6TIY&f11@7VY0s2`j&K3_Qb2)K<<*x*5Ri0{NSA%+cM3}CSl3z?@k4qKed&s zfVm14Xx$dS87&H05xUGJ1@8SqJL?fd@zdR!-SRt5_N$z$#`ns>^d4DD@}-YKsNkxF z2+-#`wD$vQ&xFPr&w`;Ja(7=&f!U_Fajr4r$9G3u%g{fbCfWK*iBMP`0gczj1~9G~ z0@@B}zCuiiw;B;-qNDjATM+T}p~N=eTzD!$r@xF&2b99Apw${Gi-o0vvBw;7rn#UA z5F9PW9B*v7v>u=mw#2hE$C%(1m0&zeglZ?i{+N?F?Q?aoAN^T?XbN<~NH5E2XmFEx zf8WxY6+?^xG11h@(y|2Po+{)kBfy%R#H)NAlFb!C9A}+2-p+<3M1Dv@w0`7 zXNTIw+al*@9-S|K9W#j3->iZtUF~DW+;nu0-@IVm97z#4?64Ae49zJi!HL;hG}Sy< zjrDi(AJm4=u-77lP`~xHocMxP=M&BZjK2Vxk1m6yprJMs+&s5J%ZO_cU5PQ1xH3kRlZuCnW`6&9eZay@MW%mk8uks!SL zyn_)d5v~*4_3hZwl@`Q`)YLHc!2lAdthv67gF);65h#E^DBuUbrZ~>!$H85C&-`alUB$*) zmzYQ_mh!Tai4%ESVaoXUc;X%y{|hCl;JmT?J#SoK!rDR#?Al~Bgxb%3qxO?r(iu72 z4b>^($|)~)X2rC?cxj#$U0EuwI?WM=9?J?K~=k77kloO(D%x+FTrXN zgPi3X>1u<^kI*}=aa#{sJMHoDk*c;XBdjvVHe90>?W_y$Ge5CYj(0)UY@d7tvDl~> zv-nW7?(GGy)Kz^Z8$nXUa}-cHxZ|{x9b}!74g_X)*;db)*LC1-)>&{sL z{nJY@BQFV+=pFWO=VUaY=pgk3N%2-obhVoF3$l0w<jgX5O!PPyv%GJ3d)=k67$Y4MMvEN(G8cLw$X>Q)BI;sz64@ z;D)tZ-tz6+x2Xv3Wy_9rV5=Bar;i{91TSh*4*KxlbbDH8MJU7KRDb^pC$ioH_6DRxYia1RR99Emga5`M9<6%DqpxJhC;}c` zrwS~f6>ag=T*jbSv3gdl@ItBaRm*;ZJJ8?n1&TzbuXCwCW>yy!JpgINWdKJ>ZzZI& z0W^_-d&(FeBi)H}GZl;*Q{S5@{XlQbJov)E>6NbT-HLJ`&~`^%!Nv6%Ia1Lzhhl}N zuC{2qjgs+M;qokIm*3fdZcYyrL>wpv+4U((w6b?UB>LEm8DpKj>qG(G=G1B7KI`{j zUE|nwbv)H@DaLf#_5x;U)7g6NORc+xk1Z*@B~ks~u-m9_yu@UZt-Jk@(1xUO51R{r z6F=y~BTf4|4pg@cG4SpZP&*jik8HXi;x_jk{8Cym%XTS;WtQ-zSAz~J zsV>VqIn9cLim}S@*y1ku-r-)3Tg}N)NruB}&NdsC(A3POjSHEvPFB3ElbkBnD6tG#t|_duOBvfI%cX7OwPoL zh8^S;K=LSI5lsLLk#8rRJP?nJi~C4<;6eF8tKqHlwwD)rWK>ke8s>Zy!H~=3kzP4S zHeKzS5UfX)HFqut&^&s5GX65v2Yi@+zi{@#7f|UW!9Rw6>8w8g8vpeLv?$pNCn0dr zA8hH}Ed$i1s9ubsX2zQ@d!Q zIox>R;x?(P+|aKR^}2xSrP!*{GHvLgFL;Z2W0~FbJ$el`!JIYE4GIbY0}BD&s^tju zU6t7_Ew$x6b+s>LUr`kNNWMJ#BD1k8y>PTD)c|!XSSk=FoM*w39cW{-dXrTHqFUd#U(|Z~vm^4gvr3X}UT+>ZEfrtIp4Rv}U$ptE zk&iDq36z2hztUCmp&10&p`qmLH&Lvt}H+zLimi9t;cZYoVvon-cqTV28{H zqQl(8SM%!BZwc#W1^N4v<#WcfZv5ITv$+%68l^V6!p0{3h$N%ET`5bWx=Z~yr5kUx z@B4?_AaN-+8rGgqotz%{IK!rQHF_6*yc6ArCqhFLvam?Nk}57vwhZs97)(}@++n_o zt!6gx;&O?O%J!j8WXbQHA(TLV$=u3{53gZCgnsUG^n^aVCt`-D+bQtZ9FE-TC%12C z$i?K=L{0sPGGKM1tEJXa&p|h@bS7l0J8qP=yP83@bXAh;VBg(N40cfa(5|O+7Dhn3 z@y&gVsrIP)>*qj3!|cUFzgb7GZj!NKwm^J(H;4e0uwZIY7V<-uviUC#E)YoQ~; zC+?+gHl(}3#YzbqUGw|x7jtj$yxI8bzFTzszSfafz9&a zP72|*qspIMct0M2oeGYLT*!r^N;=7Cm-DZoWAh;T0-Sc&CsAK1J~&&f4-LC;I1AiB z&^k3WMPRm_4wD3myUo(Fsb+S2ZjRI|7wsz<8sHFH^fURSDh0liWDd7(dll4e@hf#w zNnqV1B8*8bS6W*;abV{Ksh~t+rZg`GrdYP7y<3hf?v=LsdJmhml@*O_&D48C)(V~T zbVeh>&@rM#LBrOT6l~Zx0#U{3JgUGHoYXj7NLU~LYzxmA$Y#pPJ$e%>;Gz~{-1XSV zbu8a#c(;5&zB!;V!(uPqwMV`*WMS2UUM(Q<;-9D>M5Tf1zGv)yhlq$si8UfC;DGHvbGDt^-{X5)#iXExQig$KwwpGzKi;PdTdpSl55v#o3aIL!mzAO;51`ir{|L%b#zQ z_p}B}*OUjRSB47{shxlQ`W490p3_~tdx5n22anXVH1`G$7fXvu=NGbvvIgf<^@*iraB+Fw_=3fVEK#8M zj&r%`*AyiHBDCM12ra~PiArHe3Qkbtkd0mgE0-iGbq)*&=r4ldFBquSZWtD($h+TA zTVK`vpr)NQpv_$AXKXQVTtC*^`;5FYzz=?t{{hB+Rx{|@Ncv$JYtOuq`?E(s05nh= zfS;UKEsk-XukE^|cq_tMvn{#jX}JpTRflvP{oRQ6g4!x(uK?WUYy4^_Ir8nWz>c9$ zw}DYZH$U*g)X>mp{Dh~)0r5$BHLNaveu-~<^fK*^{QRaNP%223=t0Jr!W9r>VDpOu z8Zri(WzUjBM2Z7zrz^Ggh_EbfL12$OUu3B3j?H|A_V#;>0qV8z{w==RZN9{Kbe=y8 zB*c!jalwq89%Hn*P5hBKVpn}O&D<_;|I7XY(ZTw@f7`O4Ta)Z$bvfMzT))~^c zpk@=&yYAX_x9rRe5!9T{`k8HcY%^K3f_+sY!{lj7gW6=En39?rdz!h6NQmLwPl5AqODs zYcwgf=ie7U$Jn#?5d#RouI4QTjq`wEQy!DX+S=060>z5~AJfEzbZW=qtbySjX_d^| z`ad{$a$r8{L%AOpKo0>YV@lc^KiHLAm}J{`CPi5y<=QVQj6QLUq9$M^pXJRaM0Lw| z6nTM{{w{@zb+se}_I6#@%)=dY@%yqm?~qYY$Ni;2}g9y%BoDzRR}>vlzW zv&?Q&HzlU^JF`$`WlO#?ZqBC-QmtL?Er_S#f5_~kBd7HBYe>gz&d2`w?CoBwr#8x4 zOiW7PwNHHQa;xoNa9P8`o_lwxHqF&K3YL|Z;k3aYG^lTFsz?=OspUS)*$q2se5Cz* zdr)2Q5J5e3)zjMwiD&^=+1^@r>UNpQwsK=K`MW`s0UtF}eudF9oa<^Jn?e$I>5CPw zg+6L%_iBj5gsaLBjc<}g^FBSrlYnWN+eUwW_sa^bBB_K85#`gz-;UVTpOQ#FKdhNN z@pXN6l)yp+z*sva{88~Gl#`!pHuGJrhuibnG(GDNx;i?zQQvh19jFE7y3R6f9nT9+ zl6ZlnZ@w8oJ)c{3m&MrshdrX|tzg5?$!Xs>;+kQXc*>QQYWk(GK&!O0jNWV4ZaCM# zZ+TgL^x(4vHaxv99{YkC(aCmit}k6iS|US?36XLiCoIs=D%bGax7$BPnY84B0yMKr zeozMXDtlpB0I0cKLiQK)wInZiG^q6iZB(1FY*>}gUQ>J}!2kZ;J5wz|EEV(h=_WrA zHP*o$s8_s%o~o-y*sXA~E}89o&#y4%rvUwr0rty9A_*J#5im`8;s*9e|Nbbu3X|NA z%InALZH8ZT=(&wwSmmblb9ynU$JqC0Y0lIAP*kQ0GNVwb<_~=(S886EZ>Y4>XU}N{ zFX}+~6CS8uK12-?M3fm8YTh6x=hwrm!v2F(eN=T9vwp@=%oen4PaffI9cT%Rc@XN- zUvfRHF>c4f=>6=U{UhNVcsd1E|7@5GXj)Fl_M$+4$ggW9bp8Ue#wN)X}7(lrQDr6cXBcZfuYqz!ToK{k6+M? zaxjvx_Q%{{u~~C9(K=NUvqB4EEkBi$?J5C?3p}_(leoru-hbcvdGI@XM|G4QT5wvp z`z^*UBO;S+-?GEy==_2*bxYz zfW2LQFd>c?P|v@-IW#n6QlygC;Bp0 z82DNa7g>`2pnR`P(Be3juSD=hW%+=qCiA(V$9E{95E8|rQn(&0>c!Hbg>xKjSl~!VAENd$*^&?SXj005z)=w>Db>ib;)roKV8!^e<8EHX?Asio<)68~SWjXTk z{L6KbNOZnE=tN$Fob=uHtMx7YQ+wq#c+e`-x0gDsRXO)itxhJ7W-dFDm3*4NkXSFD+#Wee^G^I35fzpo!Jc^bn{27ghkmN`s6 zCwT55yyp?}#-+I)d)CW6%k>~z>rCxY>rtc~X z8=GHeR86k?$@1s#Y^}4_1Noz6+zocP6ufE>UjT!4>-F%WZz(vo)DzRm+zCw-eye8& zSE>D?C0D&II5_S`|2WO~^GglZt676u5w(s#esHB5b@B@Os67=_-jVk@trFM=nULN+ zvF(wo$|^s_1mBx}`10-@84DpG1v1N6giDb!6uv}vLM3uLd5KwOAf){M4h^5){Ogyv z#t)_}8@qmT@Y&n^M@L6yT7vl*Lleo;5sgN&@dzpN>sMPqc!A;%tfnLR47v99_Kv9l z3I3dn`gYNFM6+x(Yq1v{1~D9WUW$kwM2*eTn?WNxMV*|Yd=^9}9=b%E!2Q^j^$1Z= zb`Nl{r(keUakV6+9G80KppG5ED*3`e{R*R6Zhx*oY1TmZE5rIV%_@*VHw z!|{OCiJAx%snzhnjX&j^n5UNU{{*@R=%k4 zbb6SHX0~3l&N9RA!=ES&KrhXZ(eTxP*3`0r1p1iirK<7M6b&$16$om*216?n8(16Nos}&`Hxuq;)e*8S7Ox zz?LfI_(zj6EPS^QA8)Jv5Fp-Ez9*<$)V*7d>JEde){Ch>QOstjOH1@i>6WjRxH`(e zw5mt!K&;c(ORV((out~ppRuRqA1M6pit1XQekij@fP}!8BM>omWJhc^m(JMLR$raC z;KNLargv|E!F_LF^KqjoP67F3lH+TRg5Kb|bIvVG2iCVYxaVmoR*`VR#(eE^mdwM- z{UxjkcyMl;VAd+<3(=n|7#AljFfGKR7iUFN_|zn}F(I*qcQmA+EcPkMj05<{B| zI`3hvPp^fvegXGdc+Y(YF=8n~r9IsN;gF)FB*6Vrj+j^_liD-cm*Ygv$*i4#Staw$ zyA->(mze}4#=bWP-y{ojRF5d*d>YotyL-grJ31PouNxlHoH4l!v8l^%V^MThu`?y35w}=R-o&!5?cz89%(wNJG>Ief zdQ+INpW!>I@~xkw+mI}{!E_*NJJe*oL4%}A;#%vsVgPNF;vHsIvGK6>HVZ5HzNqJe z##*{V%ZU>ya%-x02TRC)haf;VI_c_2V!+@%it~Mt8gKzG?;Y2#+=Dvw!yP3Tzk6>Z zU@l^NpDQiHDPfC|kFR7P_fCj%aKib|cz{^Ig`Z_Rf`*!nPfym7JQJbE#oArNK$BhO zPLy}JhUy_a+2V+T7WFT{mFtx3@$>L7Y;SJ^qwwHHHKp5*;^Cgv>0ZU^?{KtSk~x?_ zzAsnxEHFdp)=}lI5!-G6B>E^S(e(xbVfJT-?m4n4Qi0ucwLsMWpFghuZ1*gtM3Z&C z*Mj5au)d{iU@R#uDY*k4XU%4S)m!1(FHsoc9Q^*`nhP4^g%m;Ky6%%S616jTar%pl zb@(Zib47QhmtMq_ZS#l9@Fu<~wiVD(6i(F@D@=;wD1LY|R

;1A`2u*08ClzR_eFq=fl9v23sgIZ|z(_I!jPJdSza-amUWBu-hY9jF1f!RcyF9DN_N0p@{=FQun=Q6PJ*i1=WMg zd-0uqpwLfTnlBfz$fE0ddO~9O9OSy<1+7+Tf*-eZbokReF*(|=7zhA2=`aJWmE&3O z`%l+MNMb1+YJMa^yFn(A9wO2KUFLdL5aH3;_5g%u*7JAnmwg-T1*SzCtA7X>DXoHZ zt!S~x{YZbCj1m!+WxBxXYW{NTSzi!GwDtAz05YQNmq{Anj($U!QBl@y-~q zB4hZ?)sN^kkEFuC0de3m9-cPP`}`25JiA?|TU~)yxwvB4YYV8oeEXMA!oxFR)dRfh z3}Y(jT>TB51@WSpc~6;xU-ngyn}w09u89iwWlVM}vR)&%vrE^gR-?6Rj(TqK>(|}z z&T7VaT4ENCu+Gr(nA_GolDK$$oVxkWtJ%F~SN?g5b3{`(a-GIfLFX~MR~)e7uMwMq zZUz!H+mnI}n23^?uUz=l*u)+Y6CZ;G-P+3xL-G}xRN2m{jI(Ac#W_wnW98MlI9rn! z2U9CJ-oF9zL_=;H5-i6{zz5RO*B6%dAz}48IeB<_IZx!LPu!eU(9}vbHMNWy#CdLY zI&>1vW)5;Iwohr7t`^jehNa8WQJ}7@zcZEs2otA+e+daD3H@k8lNNRuWrBafr7i#; z5aGm;D~uZ{XEOdW9R~5}=+F>8NHU_MqX7$6T*W5!G_5%g4tV`sn>JJmj*q3A(0AWA z>_{}359|P0H_1uvqmJQed?pdA8iAzsJv z6#8trG$LpfD)Hj8n6rr*@b?DJHhxoi_J z!}}v{-V70Sa8NZHIFu|p^r%02^s|DOlH7%4l7nPU0M24*A&Hn4Q#AXi8~DqXp#`&RGs56(*EJ$pLG(X*I}7?gRfnnhq*lcW`m> zMPzJjxas6>OiWDJ;h___$*uC%%UqZ7<(XfUr)9-KK$Owc(Xpj?XZr1ony@Mo0%}rv zqcBP!1F#S-G8<12P-Z;n|9Qjzd91V5VrXnE0d~ad z+S)r|5Nfo||54FYCL6mwV6C@UVoQO0^FBAXNwHDvQpAI(c}D@Zrd5mihZ?%sFNy<} zLK~GCn!iE222xlZIhi(rrJrMBB1Jz`<_-q}lhJQGZdXh2P1^j;?2-4u|86P3A*Yrh3ar{#xR=5?s2 zcNuuyZGQ>~2v~ym^pTVwTRq3yWlgtiDlBt&8eZ|GJ1!hVxsV%_rCNnKKdvVZbw z4I@J3r4ma^pBl9V{Zk|OCugQ|MFSl3Ay4E{!@J`RcfH{8H?@>sFLh&i-^NC(nUA)) z&t(_=g)pD~Ez{}&MbpKs;7`tIm;U5Uavb12%ilS{x*B)ccnuYWHm|7Y_Iy`t==OZK zw#NdVSNB;e1AjKm<$T;He-d`Ql#9qHjt1T53KZaOankCh{=w2;6FwwzDrnfllNKXI zDM>e>FmSR17{o3Q73$x>_UBc>$s(l#NO3z>&d+yyF|ySVNL|5cLlYAdaR4YrCnxc| zggzrqg99FM=qEATX02%ky+>S#h`)FeBBI48G&DZW0va@cKzbG!0#{dggk7BH*z~fX z#Wic(wMz5lSU#T7)CU0~wx&Po`5963dK0#{*JxBNAb4UL4{F5&%#{MY8K08k(m&q< z1wo|r1!`|V`VZ9P_qbPmG`^k#f1Z4{lxcNiLqnemzdK}irrLoKim$rBw6wmW5hFLZ z>b2^mvDfH`r+dd(S537UBE+PUzv|y(4Ubu-nf1*DQhieZE`zyw3u3E4nKOHF(Avmc zCZxJLB*#G29~84Q#wN`;uH>?%fg1wf`ZxdTBB8DxSl%Y`v>w&gxk_x&w}qHa>^F@z zln=V??0lR5{&ZM>#h|Hdd_nlRzE5}*Y@M8QLw{?B9a*13>fb9(B@@5B0DqSOVjY+o z+VLmZu9C2&Ahp>+LAUbVdi?rys*Rq}`&*d%{;f|OsCRxLWn0{nA{wDTKmBqEJRZmU z${=|=6i7)bsYS)iy)+=*rkzpVVOutJR&xDA@ z%LZ0JEG&Cd*vUB_1KNV|2))n$Wc+nq4-|9%*(B$m*7*>o(JG`hxMt`kq+*x$7r@@A z;bIWa6>9dTCc0)Y+!GLBWu%zbDl{KJPu8Da;&8k%0Pc!tkPIZWBSTOx}5k+4LjNYf>| zwHvd`ORly$YPjLTKNZ@O6+X}m#_>{)QxI5Z(XB1?vq?JH$^ct0^A;yD`TF&1mvCn>XZ!$qaUC8@%uNpf5pRDG;P3xPlK}f>nE*QnHlM(}e!4^Jv`;rM(__g{M*4#t zjTz0dlXkY&b<(@aHOmRF5fh~XnZ$TU3djGGFLd4p;y(KewRIfi7LsE_Iboed3UU;P z3k9>E{@{rjV;Y;=qV!fGN%ca70Hy23Bc~}3%qZtv`!T*vRP0PBTak@zvz-eCa*coo zM?Meu`mLn;Kd<)Brz|uI(g_M)hhxfKqdK1jqIcOZoSfc7?xWeeSXY;Z%%A1VK|(_} z5E@L1+{@_{4Pw9T~>z6|QHvsyXWl8t@c}ryrO4WB{pt68w z>hm-5xB3`t8~cu>Oa6;s_M&|wZG*F|gG2lG?;k!5%=L0&UDa!+#yJ+bR)dCJSxH{J z+A}c$6|kI3N_q=l&PoA)#4-Jc^%wD8Zyd8bN%|(U8FP&9-Ac{svWIc4 z-f@zu?@Bd#Q?b`F%5nsH)&A3ZZ~^r_nk~@*@SoKl&&VUbtgNkp$s}v*ZIIPx3&Z?i7=+=gS3fqnwoM>b8h`hUm39nE>vux=DLXxU+4Dr#y~+glzXubLF5%0e z7NcQ*#eby%KGrqJUk0g1&sLItBC1yaMTX{d9H`)P&zhE`p?Vp2^YW5KZoUE$<7U7u z-&Gm8;!mrBhhJ{1B;-9Z8VUR_X(oI@LXeU2XsB6kO0Kz5j5C_}WGn22g@A>p&`*wU z%o`_c8!iQ!4D;L5-gs(RdeP5IbBYRod0!uC#IZnq4~}O@ixDk2+T`6vO}o*vV3yc? zyQiMnHu-vP1|m}_J&Xq1v`|m~WFn`S9vK(t1q-tTs#$?mDYGm=_Q`kRTMv~YI;9?l z6H27z8SIWQSK2Mj|62_87s|#o+8Kn^Y=!5xfN78;8pcKERwJP)6~ZZ$fl%2atCN1> z!(zc&=6Dy=bwjI;aVmxPbq){dm;`r11P&u6V?u2U4)NwFxloXtqB$0~>IyR7_zpue zKdS7?4YmyIH3Uu%yY;J^fgPS6 zT!SgpyFLu*S&yHdk;X;kPgkNv0a)DeFi#-eb0B>!N`%CuI)+@2 zEu^M^LY7;#?zWDzy08;j27>-QfZG<|*~&kP12E7$AfR7aT_j)#zhWoJI8e^n9$qp1 z?Ol>hqA_B+2^f67NQmDa?)^3N7HCnsFUkScM_@$_j<`NL`T6bFE6F>d=Yf`#6sp&^ zbps|*oTI-hz5~1k(2+g{W%ne9v-o;w8Vf(;hoWymA(}u^WvJo!pjnVL=;7Amp@xc^ zL5M^%kOObG=j0S076qaU;JB`f?iJRpFRp|E^}O&vB@?G}3sKXrJ~qyOe0NIoSpDer zhrjx{ltfbnY`1(CR~K!4-4FR5Q+i*_ixhV{yTJ?;EWM;7z7$n58674}_wo+v+j*?9 zP6wb@7X&2tcD+w?N2K`unJGZJoS5(mmlbu5)zFKyI?5u^J-ZSBpxBK2uV47jT7M|J+gpP|a( z=f@WxX#m~M@qRDrISk-=806FU_V(WA<74VabEl)Ddk%x?fr*ExnBXdl{g(CPu66GH zAC&uG?5Azbv(c3^mBPQ_w!*@%8Co1JZ9p;QDD>by&4<>fj88 zVM63Qowg8E0N zHLxm;aKp~Pf#4PZO;JMPlDlUD-VB}Kjgq{aZ=tP*GaZraz!NDynpbD+u^!t3yLP}I z=?{qRmzT3`1lpbR^Feo<=KLGkZ$NdT*k%_Omo8V%Y~W1LCt8kG{G^D#I|P8Cp00CD>z03(Fc)g?0OKhJrZ9I*fLzl4F@v zYpOQ}#ax&pZRLpTGoKfC>BKmbWKMXkfXN4iW&2vtd(_b#TV`V#1tuvvjCIU-Q%YYl z_s>%?jE0cYEjqE0hj2jn$+%Do zXDl4>gB&~!S(7$|B@tB*`uWC?1sd7t9UsH%e>X-L;lXb(7v_VD?+}e~E=1@^)1Z32 zXFdjO->^{K3uAfFJk9$lzZ%whiWB z+p{FE^!KX{PfT3PEk1k$|C*h$l?wwI@bx8z%IKwa_^ozT`%;GLXaNbgF$G(P@bS#U z<3^dkwx5?#mxgMlK$BRq3L|+v#J(RR;JK$-A+0knzBTf?HagHtI2F!N6^-m9xe5BDVJs z=F;19xEMWWtK$Wte|F@}mGzQ$d=8K!3k0yY!QdaCc3|K8*Fq{a^+SR(TprxT6~HOD zX&)&g4jOQ1QULto0{=!2U~9K<1mUzGj;5tO0bWj;lBn)(N|CLO8$QPOl{%yBc!R)g zaWRMHz>6+_88)JJM5VceNZ0 z0EvVdQd7Jb&pGywYBhxcoomkvq^>-uUbt@(Z-DF(Od_5cE4KlYe4LmlPY_GU8U>L* zH$xhm>rb3nw$m-PIo)*bsBpZ=k};L|9H zsqWL`?pgNuLz!(}b8GoUTP-jcr13n~-*iR8#?w6b!Pc7G3smOthGc2T+dZ&7)ssw9 zQdP@F7$hyzZMc`bD*D zz|pA)1CG;lRd>bFFe&QfkT4wd)U1JO`>dbJu+1*H#f5c2gZ>DJ)&UNI6ck1Ov@Z1N zE9rvjiKfb6gHQ9X5Scq?5cSlf8+DQ|_=I_*^4BZlr{%R(E6l?E;HS4K;#paV#>cxN zZ{ExRS!#ci`~5}N$1eq`Oh5;iCMPFnP9dkhf_oXq^y6XCXYFM$CdnM=sI>QIb)Qa z9?+~lY_29_w*7*1M>>ZV1S*khupMb@a&o1yiI1NB{WJq}Oq67gnZrXI8D~u*OwO1f z@KsKelLrRa**;D*(5;1!ZGjRxsH8)*9Obx`N7w$$sh-g9ynNiKz{~xirz;Soa5}bb ze0=IBK>Go9t0djT=f!KqTD|jkSC>Kp>+7ND^Pd(|f&DA!DAx{{H>!z#bfea4ivlsZ zWx@*wYM9uUjN|zg6S=twvX}!N;8vS*)!5a_WqbToah4M(WyW1G;#;2|p~*LHkdwX?fh++DZq{{@l`MFOLQK7Z>*tfe0kJ>2vFttQhg zxs^Z#%I-!1t=E5k_dlPGaeL6kISR+QZncuG(w}RpQ8-KDvwBN^`HZ%}R4UJ z0sl^JrVj9U{+ympOgl&buavCRdMNzGtg}FKzRO?y(~D^FNQS|LKzi(}AEjx7tu=L+ z!jIGJj^VTYQp4>mALXPI3)$&ocK-UJqU5FqVXK2P&F;s|xy$qBfi#36fw&+PtE@Byxc4!hw(M?gbBbS-$-V8Nmg*z)#SE9-I0R-@yfP5@GX z|8d=froLTzxZ9_^wbLs<=_rA+n{c`xDwX^@UTYBoZNc!TL_!{4@CT5S0UHuor9SAe z$Yvt(BkVS!4epd`u6vEtO~E16pnlc=O_?G1tUAk_o0ZivHD<_7_K!jXUgZczXP7e$1l!Wy+qqh$IU>W*Nr=W>bBsuq~{X1$DIVtp%pHogUUbb zx&CdK;B2No^H}#K%pk1xT~sa9N=5YoBMR={zi&`%q+6nb4c2&TtH9jc+#4)1y6VnA z8NmB!J4XN1v71j*EN(te(edP^W>83LTVj;bpyw8T8`x9fWD!<9Mp#|l^U4>d%a`UP zQu~{(#xQ#fR?Ts2`N+FYS41OzP@V)=?G@+p4?ig*{T)d^--gm|89$;v!IMt9S!ELY z22!eEvB0`fy=05jn z7Bjf#$R00A)*zT?!U`6W(?wZB=>0_W3LiGZz@b@1)Fa+zGqJRa~ zwaJgby2E9vcQzZ-8UDMIyMzEZImyT!Kun#Lcb{p4V8Y9;5C8k)(>tO(X&I zWn;@5!H_zgwC$yZ{YB7~0Z91X#v|hP&!_V|g5Y+A)B_1)sIw<}DGfTvq~rVXcg4F9 zmW~At;Dhy}+sNJMp+*D>fBiS65WjaP6pXoVzlOCIN4|!bwLYpBH7NU+gFYYj2vF!! zu5U=V0%6nILak%=Ac@Ll#Xh!6zUU{Q(JD0pM442seg7(9P+(isn9AG$Y2SOp!eIb! z3kF5VgdJFGq$$bX8hC)|ZH(ZtPZx7ec69{;i)3b~%on+PRc84i&FOvL05;8v_8vU2>76}1rhe@~6-rAh{ z$-^!OUc7CSf4a7SX{T(y&Vj#*KFHOMZ|o7F?rp3z?w`^U_k+FrFP|F$t$RPb{%z(W zFfnxJ%8Ty3hh_>a1{;Pcm>`&bjjR+6{nx8Q{u+V50eJAXdt8aTd=k&nFR^h*6eR>E zzrI(%*HPuvnVAEb3LTBmL5EcA`ms(9zxlgoRE@6U-}R5v2Nf1E62!WgaNVHfK&Ob-roI1waUu@C2bY_4 z?(x9><@W+|+ON;c$50s)8k<|*&S_nFHCF5QGW9e?902-QaV(cMIOwmVqPnkauA`Z2;BidUxHu6Ai zDz?dhen%P@cMNT8l)+7Y|b05!vk5=jhog4xTbw#*xDA$gyns4J1>tA^Ts;Nk((D$< z@gvb~Y6suM)_s2m|LK>rpaTSq1yA;KEqT^@*w?_?T4`>6J~=mc{Xr7%{XM9Dc!vut z1MjsxheMlc0^nwvz^Z&F#^)~7n_x1hV_vfGME_dGP`Fx&p9_Mi$=bSC0tIDINcFZ47 z5Wal-ntu7QUv;E94-BM;&}2zO3+ntDGp{y-Ea=?$kW$~&MD^_1GteZ&;GO`vY}!A% zA~{+@fn1i~0zomtBo972WMT+7L-fdzsQa5pNEn{X7HgRgC*3(Wa#6@XUQl~{3>Mn) zf>xH697mgAe<$Jv52~HY%+JpUC+I#sfjGg;%t6|hc1hKs!5q3Wg$_VnT?JwOnR{9Q z6&a(~R~uMgU%!)#zA$JeV|Ta9%!^xA4d!9Y4p!Z^&0S5-|EpE4JZ(t*_{)fnA=3J- z(HXjMd7n{d?NUW=_8VrZh4AD~)D85MUeq&*NmbTUNc%i&-5 zghzD{_`0h5;OjojJfH`PEWVuRnoWzC*^V<@eyYq$BTbBy#Q_L)hIWLiJ_AZ zoKPG@D~p6_Dw!tt>YFhg=`&5!8L|p5yu>V$yI0_!XH9W=u>JPBv{939tAv)Kk#FGA znZ}c!F&!N(?t$jnRQhuegda8tFP(EPY#8Xj;Mc!oFHPkW!wPXZBERi9Y*BTHz|tw} zE;)7W*z_AEvr7LN~H3X|V` z3KALk@P5a0laBie4)PZrLPfP`J8|CZ`YLtj@MCeX1`-105=IRa+k+Bw+`s>bXkZtP z7|7woP)wYL(F{nngo5AsFLqGpW)tIV;-l*i5T4bd4VRXe1#?+~1DA*!PYQ10zs|iI zCjw^MOXLs2d|0(?cmPlqiFVVGrl6mrDwmHp!omwLW@9Z~6n<6xleM<@%$9KF8ESf??JX*YrxaNKd_nH zwcCu8Yp|{BvEKq)MBSCSnWtM(=+plQre*X?_p>}iBK-}f^51(z{(1=jm>|ZR_SC?@ z46as~%7tiqld@zs@lOqnkB+7)rZc4GpTpVoh9L+tH*W$#%%GA-3GSm0(a*%^M4IY9 z56sf_4KzJI_9Du$@)Pi2?Omr@h`3r1ztp3zM#t7=qrG!TFK7WdQUu*7H6qzbc~VNB z<$XMV=1e^3u+Z}oD1Ahrb}oAET%>$2F%IS>KT!mm0lt{OSpt(167T%vA8f{AGHjq_ z8a#{G#1?3z2p0~u!+)VMfBsbQ=)7tEq@l4-{rWWSPIUSmSE6YMCx3*Aj&3Em=Ec@o zPglIA^6YviL1%YR&!g>%+N7%G?P5AdwcG1=Bzvotxs_C}zCCflna+-C^>wYX|1xUL z`$`fqKe1Zf0pO|Yo{y65gTLL|FO`J3St2!|oHWC=;n^-9c)K~7nEJ6!e^F2Uh#MO- zn_3KELyU%u4c8YOky9VH5slf{8$24X%bYPkbQXfN1U~ ziVzDB&1XYHrAGpQZxG=LCx%OEZf-_|kIIltaGYYe`@8#YWMtoI)rq6OmuO=M24rNr zIX*a)KZWxjqxnaeQVuy0lEECc-^7o^nF59b#ud1#JEibHUrVS4(E&XJ!#8M!%t0rn z%5lFXrcpU1Jxa>HrE7T;a;Y2@ovf-x(bLwhA)BM&C;sh3`x` z+0A`(dR4pSAU1@>_L|yB&cou{w(Yp}$kXucK9`O1Z7Z3uHU<}lEFYW~&=vTSdD-c& znd4Rb-@#VFFR*phg*5MNYmX+1&D_WPNf1Av;r9=Yy(0j=_>*Yt-(Jv;72RM(Kvtx_ zwo_?xGK0|L$2vN3NNiUF!j;OW5{5v~C@Dea($>-8!yicoXQWbKU4QbrIf7KPva`XR z{T|sVQL|Bm_a3By6cO$OhK1%XN*MqBPVhd%G@4H=mk$rS`pUgX_K$$R$<2&Rx50Y^ zbmpx4;!eFbu%1dS#? zOEK$hrV)F-WTlDZRrVwCT3vY&eTxk#L(%@NFq+0jd|^S@_K|=$D8N|5eQ-DP@0bW~ zVj83?pG+gnmORwFssZG&StX~{LVE}=gg@vm;Z6U?woPEEaX;R#ZA{92XFpXDP7m&p z->W7VwL1OCaCqTmo-;Z2Nu0jT6jEl6-TG(xpRCuD zk8n|JJc zw32-FAs2}iVK{VvuU=%iex3Ys4agQJpjAI5JPQ^QrWF)iL8?%%!*5l{>T?afHS6kf z?R)P0ltMJFxq!9G<8-7Tcl*#C6V6k`OrK}&p0B<9B9`3kzy(8kX?el(KTd5j2~x6T zyG`^}d>vCxzr`F=|0)EKgsbN{SZAcJpreArC`_t``Sa4UO%BUv;BUd`!+p z`-fICi#4K6G%Yjf6xQG6B)3;JtD6FjFw@ed*pQ13wHB>?>)P?Hrp~mDSVlt^a-yeL zyiUb-z3g)mhdwzRC}(uSMLDVzZBx*x2awKmC}nia|Dm5TFSM|8$4**Eb5S7k=uSPU zRQylgH6AyAuYHoVj4SJY#TR6BR8rWpPTtc1Kn|B>o+*SLgkvuk_LKy;@Z~Hak~6#2 zT(pN>z!s1wrWEc&uDYG7u(0qtE9-s03#Vw!E!06ieyXMA7EFYYO?qXj0LhZl4r643 z81h}V+k1ArXz`Q-x?pnlyZ$Xaat#YQZ}-feU|(|++aX~C;_N~U$w@2q?N`G{RAqqo*xJmPex=_Ra zJb8DchWd#%LFGIX53gZ|LWK1ELjqTG!9S`wsx_3iU7%zMv#Y8Dhor`l?z3UVYG(=~ z1&tHAW?~)I!;(q41J(2N%bgV2MWYgqKcs$@ckyllIb)#KmsbdE~UXk}R%DOhL z)5gwf)8#<6_4KOPTnQ=9g&CERq6=8ZEU5-YM^S`9k@jPy9tA_Uq(o0z@YB#h0uU50 zLbiLa{s{9kc!}%}G|ahi;7|Sgzr?|P7*V>#N#l_SrefrAA_1WxX*Ea!0wAjO_w@T z^f|Z3>S>Myo*_+v;+M1#=XijCY(yQCRKfh0P_fH*N4RUYI%_Le7&rK(?>PSj&A(_r zsP_2?CkICeQ*gCnlEScSV{dN+Oc-(lDru+h$Y`fE^3Rtj9`nxrF&RQA-Ahy@wz525 ztiWJoEXE#gB`_9l8dh+^1f%FPrp(rRIMQFa}#BDtKt8<4?mbwy~BnPAR<_s@l%_+($K}=@X#@ z`?&+ly^%k0S8voF|EM)~WF7Ei`9pPgYSa_HDkRI^Bt$DTai`8C^<3>G3Rh_N3qbYq zmsmxvTarDlu;rjL)$b%Z^1aELwCaNIL^vuh2jttYAW1<_A(K(je>KVQ2* z7s$WQf+Ld-)D4T|xftBSNJN&9Kv;e$xOqXJJuW(Q zQ4A-@^Y!sIHB}<|1dEiWAfD_Jt%4|ULDizkDyV9X}ZySNU@DD}q5UJ{9IsEI#%A4)`y!mwms40td= zSV-secr5g^BrE5ci=eBHysjQG&DP(q#rB(Anw;i5dMh)J_R2Z%Tvk>|q=yYi@t_&L zoRgEPzU@-wmSb$EOscKnTITu2`kJHm)k4ri53TfW{!L?xH$MkyR>!By!w^Gyo@&=2 zDPHT(4WkK~4Y8!H88r$$)6=AZx2BZmYAzXSuahZE9*VJ&Tqs=1G;IjAI{er7ga_}* z)fq}V18k1{Yy(jFO{4fwv)3mLv%&y~ujNWm39xF1(ZmZRI`;peWUmoRd#HHB>Oi+s za))zCSr5|{-WB@7$kR16MOhRnt5#AjM_@{k!Dydm&zD%cxf1zr zgT+n>k$XMf;+4fbX1GmK`q2aeK(qGeEhC598Cb-~Fd#o%V`d=LIC%w*>_R&c_%mUY zhEuy^@OJWsF^s79YEga9)=-+ZAN-Myc-~x65)yw9Qv7;Vxw)5+=6Xb8xA&@YIfMOv z>Gj_sI$L5kwwMgGCJ>PgWUZXP-+^&F@LNe-T(!mV%w|lBjC4m4n99RU0|N#t zrsNW#XP!9#s>U3L4^tvHIIk1v47V!r0Bez~DjNcLkeGd9Dbg?CR(ssCGjnC;h{572hx9XNkp^basak zCM!c)C|UKIWLErkCliPT?<8wwGJq`*uLOCLnGFvm+@E4LUhI23>>s|W5sX`yV(Yh1 zIK*;O;?$p6y$Qw}qhxDgZ;adx_q1ICc^OCD&yc=a2pTD^8CA}{WBhtSsQo&5w zVpf;C<@=u~`c;v;jaIxnFTHKv!GpoGN})X%&O>+Z<$-5r7An`j9lWqf*BHZJ`lVJaum59=!@<_`w$ldgTmm6oImdi z2?C%6WVTp~RLA6`p@NFaDMUZ|r8ZI{o`uBhduY5blo-x?<;a4b0|)N|OBFPr34Q&T zlR1cW=7UA~$dw{_Ay-OJ`gJ!^#ee#gaNps=vuBc_`e++oqYA?126dok>&DmzUoMLv zp;M>C&8;{~pXotIy!(DkuoO7X$#MSSt;O-5p)@SR-r7?+W?w_^41qbj1y2_#8QGMb zdm3~ZsR;iCi-v}V)|9H&LU#@%=o@dwrlu_KRs&avOo>`-U=6RWYHs%bQ;3sTrDW~77;S21N}@oUSighg)7%D#Ed`juvG2U=P@8nHgMOhR#Px5%(j9V zNahfKxMp^+LVS zU~>MH_u}Fr#V0P9nLYQ6cjZ|U?wdWQfQR)Qk`GoFmSead_17R8?Dbooc)rsfNWz z76r1iS2}%I`8F6)StTu)I@~OVcXT^&FQr7;(EFM3Lddjx{J8hZlmw!3;T7U;vb36L zXGk9VdEkS5uwGMgRHoc##SRRMjCr7#`=8zQv^v$Na>^#ywqPhJ2tQBmj7oXk8{Q?@=E$tpJfQ>7_Bpg+pIXF!G@_Te_tPo6Jz6PULjci_F?30`G2qe)E zFPr;g{OERd%Rp7a`fE&yggasnHiwM^!psW>`x*TNWqIe{5>5tT(0iubI{@F1@YM|d zrGHKyv0naOLMs#m{dHjA8KIJ^MDCcUzQj)iY<7M50d-4_5S>#eAS$>};5J180PS48 z$nn?D+6fa4LI~!(%=1<VL z`=C5nT#HbzP8+)0XW~ZXgP(xJ7iQ9+V(14fM@RC2xJKP>bC0)R`eNPeF@^@;Zf(O6O)s> zA)_v}@vJBNP-}Ns9KSiVBg1!?Un7z;(yl?Kqf&6ix>r8>p{rD-rviwZaVGS$X(UuhW^Fo*Nv zi>yt4NNrL>An`m+qnH^6@g%ACW+7Hl8;n z15*v6xsr!>+Rz<^mB{$fS*r41lfC1TPFi2R0ZinD%8?`Oy zhN>b_X-fH<-H>k$RZ2uCCfX*1~g&1^9VW9c-Gi8>_NNl!D zvbcF+GEpsRm3DaE13~vtnNpaK&7+PfECk(JgT2lc0Lk;gSHF!hrGR~98%~XcTp#w# znym>{gdBw?Zz|`ODWjvwe@c6yIV9A~z~DO?INmL1BywjjeGbaD-FX|thwi;W0zOQp zYdq)4t|g>w5V7TuSUXtXQRb;$B9pzu>`k{q}gY!_( zCG%gxw$fX@f!bkS9md5fphU8#q}_CWj|_w~U7Bc{!4TUOqa48Myo!L$V-Mv?9ypY3 zv}kU*Z#iB|OFjAHg9=u`gR4|(Mbqq&XC#Mf9R!zfun2>&VV-iGxYWVFQOz3YDPQ?h zd15^4ZMBMaAmF~v#6$r_X4jsEeympr#EjHLLrgtxq=Fy*%=RRZ9G-ZKzw;M+E(>B7 zOmCKHU>qGAGsVDIJb(6Vnj*|8mT*{N3>1I4&ovO?U;^Dt@TaJfTF5Qb$^h&#LKtbq zJ~gdm(uP5}wI?aWsgs_%u*z`o_RlwDB|$2SnJ^MT$FK7emxJ7eqa|4xO&J9bF|sfZ zfb@G!IU%>MNWc1`m67hb>CSkTfC3xIaBjNLT3i3{1*F;J1}4(Ohbf}kQ zqFvqO7Q!WIZ{kXR&zRJBxjIFq{mkOADspa{vH}EQj~)B zZnTDrW5dY<%JsyY6`soAr(!lJ8GOcBL7AI1$i*p7Hg?;RDTI zZq$ER#89E0U?wOfhED9B7w@!(&L=XY>^o`YHBuLqw4T10<7TBGabUMJ ztD}c(Q8o%lErK&Lm$>y5BxaiJaSs)m?QV>PYc{n!P_Ad35GrLh+?u%=;+G z1st{B>FTAbY1H)VnIlms?lf}m4HvJ{EOwnpKCA@BLI*0!mLdsOrN&XDPIWKNrwA%veAD(DaeOno;M~ zdImcS)yAUgqFddoRY4Imk*;UMYK!il-sXNRfq^%|bpW5xvODBjpFUCVf$gB1`Mu`V zBsCM|7K^x!uSxz`yh)Fv#HLM#+h^Ct)iG}u7V%Nux4h)ZJ8Ek2j4IVoVvTs;yGJqO z32|h3xR^CL+ZPojrNo8pAN2BP)J|vWUO2b2KnaVi4*Ouz7G|szYOP^zOs=?nqlw(k zu2M`@U6oIi+9$N6{Pama^b)!Vr#~1!uC3d`N%PX!Iy253udV$?Q#>3wbA+5+JLbbe z;bOe-D=N`vy_n6k)rU21qQ8qw+39}Dw2PgUGJ4r%gc3$`ON*w7i41fkgk&>A1lHHr z2b|tQpWP|5{An$@cvSuWaLD+C-2o9FWxWI#XaYxdMTSg?zl|z}X6c5@a~aL~ji|PE z8=cPdw33{jw@fVlx5MV@oqwXX{X~tM)5jWBOj!YQpnFiN&3TQjZ`qgBulSsdzx(ck zUA%dU!RMoke&1Hv7dw}1#!_NhG;i%Ld&c0n8F8Vwci|TA!Y$bOXG&Vp(X(Y~)6vo0 zj4l4^O*sNbYZfK9aJ(gEqsPMb?YqR-v^C zM@?1N_U)(ZiZm_ZfPV3k{-9a-dZ%;8#@6(<<7#gBdahBC#njHqFsCp)#OxcsA5Gi} z897x{qM^o3M!KD>LrL4ooAmXo=cdgH?a6Zo!1fLJPoLO(_B%FO;&2dVn5DrC9LVM* zL+@VJ#3yE;r+1^fc<9|E!2@`REaUm;kNsmj|7Y#Z^pjxY;x8=0U+mrTuV1y(@ojl8 z*;&Bp-i!< z)+giN`T3m~(5L6~b?@|eZR;a8hR$h~lD>GcQEj5|1+~FQe$Aiu_)}u}Xy$XTF!%Pf z?K%b32M!J@Fn3VX*tpg$di#D3kYX_Bv{#Vt$)XC%r(`V|?JP>xj8@F*3@{R>SqY+k zt-by25S^BA-W0zp><)d*G8gcsc%TTw+4*UCx`N1SPcib~Lg{9X6bTKkP$CJPxO{3d z1H#N|PVF>Hqjmemma*sCj;AROVm)W%ds;d({N&{GD8`)F+M!UkPQ!T?^t?uCmM+&zuNN-{MNv7o6cGc7aI`I~xI? zU!F;}DikZ4ac2AqYP{O7AoYpU_}RC+FYvL$!Ge;pT5n?cP4;>^dneRJw#z`vR`w|3 z6HyNG{*~dBHy>_3nmMRXSroPtXny^hBP5Yg)*z-zOiUEcRJ2%wG1kb;R+yO9M||=? zv(=^q#{c~Mke3rGQc$est)bx!&54ZqDtZRr&)Z$|uY7U$vTRe~-8{LhKIb*j!Hp1G zqjj#umLKD37r`tXF@&W@gxq*k=;09Mq^A9hJdW6t%#4%HRJpq1!@$1o7W7jrh^xfP zOtdq7^zPxp8Vg5wmt_niGwJTT`u&w~h(CezkvP5Q;@lZ4D}{){i4hMcw(2duy+Hgg zFN_cKx3EkWfAiQ|Un}!@$(I;Whz=O z9)EcbLsKsxE01dWJS*38*G{LVahsYgDsquoZ$uSswenceY%G@nR3Nhl-~#vXitNz= zl9^j!Pq0+OkmoZkCY@8v7=_YRN_W%Gs0tFeN|YZUewD~)VCJKUY@9+F$i3ei2<59* z*-Ea@ciV)tyzE6W9>d;yNO8nhYsD;-G8Hj#_P#VjUjkk$4o(e?$ySEdILWtvq8yA? z4xRLhohBS~o+kgB@i_?tOgrbM`v3`@e6=_rQ&Lb>^#`?S?nDpq@bIvvg~h$wLPB=U zm&Vv?Aa0)dzrc~XfX;y8fteZps86>mk4WH`74f9g2;Dw=J4G#ezRmFA#&QYENxq1A zFu7J6vo9}F6e1c(5Vj9ym9U$4Q zULODQ`W$q!nd&aIKYb;Ia>|g6f2&5h7?mK|F=3<6>N-Sx$08+EDVju1zn&qObm08_ z#D|9I=hGO@6cp4VkyC+fZE))!5m$kJKV8%%j5jPgUYYXlJf{pb#M}et_ug@#8rx;w z?eEa65?k~?qo%)qzulRHS?&U zc-A{V6<6Cs#$YQ05xellc;`H(lcZe<>DjaR60&jYpao`XHxysyJ|H0+XLmgiEMIDH zrjGIw7Yv`2rMIo1wu!tK>o(2YZ*FTk$8X*|XsV8nujgI<=!Y*0hi9gmdloGvZDaW} zXdR`bS=hf7>XVVwG*|q@W%*w(rK&WO4ouiEG!AvJEDx5I9i4B~Il(fXLmijlb4Tla zY^d9nOx#}p-|*nZ(>vMKw9C)XH^#S)iI@!go;%(iL!I?o-e9a3mR`|DIZ%6UO%Rs} zxwT>e=2RyreY^v3uw!$kiDA?N8dFFEm#`CM6>jDzB0RkvW*c#^}{<=CK|({x|`YIbYQ$};D$e*)AF*jCPUu< zthD&_>1Mi=q$Edl*Am|im4$~A;&FDc7osfAK|MA$3VRf%qoYPATlZi=PMF!F0e=P;&CGQ>uYCk&)2m?(c@s_u*~+3j>d~fjtI(yX0^>X8=R0(=a;azwjFzA87v|_ z@>W|eG^{o(`?i@5KH*{O661PiGsV59M#g-=@zW({TZUIVhuwn4_wfUJnduQ#ZtCf+ z=HchOcqdmU(zWN(mc9Q8;LG>CE@!@q1s@xsjq&nx)D=d?s&C(3-c7hS>`=Q86P8|y z#rDtwM$mc#XbVYw;g)8GBigX!dkm=sICm_xkOE@j^mi7k{gujOc!o}rRcicCOPf*Q zdxkJIy}s2)<>D&AbFORMs8i?k&Leu<1u(wANT#O6& ziMw+Olf+1#FofVWz4q@LH(X(xxWWkz8nakF5|UL@LHWz z@sUMPFxJ1Vwe@j#)>hbCY6Okow`}t+df$ufqC>9Y)&Fu8-c^GF$gy&Aj>EBLEOxMQ zY@QKcw&v=-`ZtdcD=I~_Y!$sT<0_K#Abtv8(OQz{a=a*NsC-r;e@VZd$a#Zm?a1t9 zzn80J)~e=By20GW)kAd~k=$MS!_9FOO^O*+)F)#!!c;8QLa&+)SNo_W2aEZHNc#|; zz!lT7%zDV&gUt$WuQv$Xq;+*fNb)=e$K-+H;^H1?+;yIRH}or1op z%8A7s6`={mrqwr#!(HKM z39`S=&SRvmXW!YVo8g)H-DNin?cF=_Ti96+A;^JZ9~#sfpAWFw+DtiyA|s#cVUrs0 z<3%yyYqcODj&LAYN#mT0?7 z0rHD^!5fsvqq6RKdd7hG(77X9f-6Cgo8iKFWt{~7s+t1A8s$^BZsChjPE7_?PcO+78(b}43Y^FOVoHom8{2o0BprQC+c7T?2@6r^3_{`ZSB|A0_T!R)FJ zb`H0DmR{|W@#gzk1UnROe|IZbBNmaC=RH$Ua@H+{=GmW<@I2K&r&Hb2Fn%I2Ir-bj zh)#>0`S@|<;5X3Ax zpJ(WlfQlh%y|q;yzTx_8&fS`tcOkXG^52GI^aS&h?M=x6+qqWi(T}AjF!)yrLpF%N zx#@lW9Mj-k_!^)Zv?=nkvwLw`O})6FV$og^maPSMCIesk5y}~*`Px=FQ7}Idccr_a zeSY$T`{#bGq5_+_Z%gOHY6ri*c$-`Eh%&G$FzrGnk5^ToWmnrTOKPW)j=wwL6Tb1z zzJbl*&N{<4zuo_n>?3l|6#aWaF?Q?I^NkMUm6ympZ%?Lp46;n4sQ<-234eFP4=(#% zcRL#3?@vkOZb8HE*?9`QFm=$sc;VlD1z79ksgaBgs-WVF!PP;#zHLeG_Of2kvY?ej zcPp))5z+7Gq`fG(>dLu3czjOA`Fw}2CoUb$g z%@Zu_5vR}xnu0!1dP4EOoAmk_o|9=qjHx@mP2cgXUD(;`NNUAWb5m_CMG6+zx+r$6YXLCD-#pKG0D}Gv>8K9 zh$9X@vX-|RAI>x_Pda0f+@GhN{yq_R?!6@HYx>ylZn%!bNju!(J_%~WWOX5ymp**; zyY|4T6l*~{hVS?O!vj$9l=xb_wFaEsMpvxofD+GRI(y$2ufdB`uwFcNWG~}G+xy6M zCm0R^lj(h7HN|jf_4n^zVF(_~5wd6tT^^eJ2u9xh-x@jWR-r+RJT>*&o{?)_KU2>g zP{s19okk&EcyK^K)M`pNmuNA^de|dAyQ(Rj$;-Nv^3toOD3bg!uqynjN0iAeUkAn8 zJQTy>fAXggWK%paF(D33@H=iANw56Und%F7j8t&=t2^-+^UX+>l%}92vAz<1qyofUu7E)4@tgqT*?TI2QwkCW0*$RFh zA7R`zfh~CUK?e&e}}?*LBc!~ zQKk?Dkl=|o8>TwF@Xd1W(>&$#pkm5{ZnV+sY7`VOUi|)1 zNL`vrLwM&9NKNYgnKBvo+-wfy94{?Z252HA4mE!7&x5VmY_Hn2g?$cjkhB-NTIWkJ zspRAL<&G^}lu5s*|9@N-9NybDc<%GZBi9dX z2F5t%PdGs- zwQ3dZ^{6*HoWs|6y-w@zJp4r*0Ye8i0UUN>@lH01~5zww!Gi zhdQn;Q>VUTF@8NHjrey9Hf*dU+S!#twYbHx3zc??EYl&xdwy6afUnW<)9Av&T@qMF zWwSOKJ590D7|TPIV%wC^E?8!<>*h;hkvRXXZ!gYo9AQX zg#es)2kK7|?)~_DWbFq-{fj9R{Gpqq%HytKyS?pQlB%8fgq+dhA&o02#&IDCzL-h&0@R%4;OY|!k>^7CDM zl@?>8J}El)%0qImuBecdesu2D|AgZD0|}1SE4iTo<&OnO>tJM3i+5#{P<~P(_Uu%* zUqdh(qkvqf>_}PolmnX)^DF8~l_yEEV##u(ET7aJLVL=etlD=|>M!^-%B*9wEp)OnmYOWi~Kl zq5FI_FJ+x$-oU^ZmwVyOMqBu1pu$}=Jr0-eG=io*a`y}J0Yw_3-79m=n=)Z!Rx9B= zD6*beI!f9^wtmcJvL5o?nqOVlK^f(qNh6b~6L41}49G-j=mNYJ1(z=39={4g*F`gOKv?pYK(~aEqsG=E}vQQ;pW@d8@1X z#sW(JfmIedRgWp2-}=fyFEjBl1=&+mjuh8bH8G1ED&SV2AUa`T7ibZI89Etu&9ZVdEsd zntiD0iK{NIwsRMFd}JVD-g@doJ?}V3r#5PTX$;xy#I@9_@zBdeW%m!op>dfS_Fm-X zSqiQ7LCs}HdqvEYq0$&rwp%A>WXM?$0$tzw{Ob9gZ{sI?F}7y(f>QY`;#EsrOJLLh z(kB2LfYO)u36l`d^!k_vG-Lnlzh>;;6jQd(o2f*fBOxKG7BlVt@)|(x$dpwBrBz;d zcY)2tys?Flpd+`Ii_Wo~g(&_3A#WFpIeGi7VdJI1a7{3zves>AwR~fcw_Yi= zX9+61UlTfgpaCQpwu*2W+}xX;1voQLdd~GcGhn4Vbm$PWrSqyDsl$uL;?~4)6Ty52 zOLIcEBlZ$ga#x5XN_oMMEG;buK$}#c6EMlj9dLF9u`^bQcX8NwlV}pN07y(S5IRIt zxW&jK^9)tphgvVgy!eI+O4{?M-!zs#cS9mb%Qq0~WQOj8E>X;$)NVhxUhuhX=sCX;=|HnX|4@B8S#Jock9W+l}sM zHXr`8t9x*@U~#~eA?T{EsbPJkN`6b?GO1_9=Tnm$5~jq?7|wp8pEu4ub}(=1%CiuI zLeyt>%QmB`;rXFW30|#sny>GNyW89G_g&Og;Vpchh^s^d@zv?Kxmi)tC3G!OB3IbC zt^~Fz5KN}YX=h`mMlk**CF1I}>hx97MQ9P~w(gY`Yv4tgZ$9J*^@qv;WOjWO6<*dQ zDN;+r7xKNgpkFgcz(qBq%CZ9xeOxdp(M)>gXV)|2_T1Q*l}@S}p{LTrgO4fM>RxVD2X_>PFrn?MFK? zbm0w}BPQUPl-4|&I>aafH8oqk zZ{_dq)ijPxWRVdq2*Tq@Rssubq5rnZAqmBFkKi=A<2QUNh1Jx;ZLEz6pDl^#c^Bqe z4K55-9|flK5L;lP#W4iiATOpzLt;n{iFNBCp`mVht1L&acau3xvg@+)-Xxi!i;V^9 znQ8ukketdJo;902>j8A4bJV_{%`w`3&L_OU_zeiq=Gt3|Slgdzo9|xH6Z925+Pk9W z?Y6{?P2v;iqRleuvE0^YV@TlsBFoxORbTz)49&j7{)4FPDG)KVTw5;ZOOZlAi~qkk z!#|Undzys{%}ua2M?9@?C%4xr@_2MzcP=C+N`=PN)$6NTl#QrpjCbWj0CZiD%j?YD zWNg>Wp9B}xSnV0Ogq?<2nfdE}tZ7pp{TN_KjZUZmZ*<;wVc?ycWm`ge$5Z(_1m))# zK^0#$n|65o22}AmjlLx_Kd7cou3R$BRW2K$8W^9R4gjtM@r)LhL~chmfIj>RHq;1b z4Fhi^ct!;C4YGAv%`E0M-b2`$weH-Qd4yoiR3tAwY*Ui*OPmrX$lc7%!fJVO?2zLX zAFoFYG_&GE06(bo4BKn=*aIx2WQFFHYz9uwiyIiPF_rj8@mzxTp8BE#w_VYP&liB! zfnpEsB^(6Cw!*6OPYn=7!1DG_mDQdW;s3}VlQZtf=oDZG-#f)5jtWj_d{0P7xX!_G zc6I&XlcbY~APgz-AUd=E|AH{YxY%L)vZlR#0g}VPAP7sH>s*lEA?^sSPUJ94k1*I4 z_@i&Lv#Hkg3>}6+>jGUHZ*{}Tf_oml4EkD!(6Xl~Q6hsY^3XUg!g_kw6%8?hq}&@4 z(`yg?GHZC6(JT?(d*JiS8i(7xV6YM|enZ{dO`WKGP`8l~of9-{03x{{Nkl}sKDmm} z9sFTWTieO%)2d)eYkVoiSH_UL8@-jY3m&z2Z?y3$x6L;hoOs67E9WjK0yR86^*%sI z0A%BRZQiu#1S~r7hJn5cm9#@s?Zg_s1x%i$RL{zB)#beP!)vV?o|P56TeBlQe^3aZ zgGYorQi|>wnA_6|#G_1ZsV+*Rko&^js8_LRNcp?`anH^K6d%B-L}Z+;hDI5?;|)jtuccgt4r9iI)72>b!)Wn0J*%%cjfDAXuWV3 zQTfwfIbJq)j_QpM_Y=Gds*%~-Jab;2A)d$p5ZDm1{B>MydrjCQ;O343q>c`ez!|@{ z*^}W+Z_l~dcZoAQUh4~BT^h{aZum#|IPwnk1c5ndJ%QP8dV(6e@O|~tIQu!H6-Okd zInaUX<3APL>Nuf9yHulW7P}iG;rZ1Qk)cE~hKKWR$BJMwR(bxUas8s{;2m^yU`mC( z&}JT~{TJ$x9NVpQOTCdOt_U)F2j{r4R6pP{c0XKuAgzGn=9hEtjNhzcLr^od)tmEr zJMiqjc@ySnbhtL61S=&ZyZP|#nldN;L9SjQ^|OjRFRZzYyeDHCMiH!fXacssK}S1# zbXT()gHYdr?WM^M)5nj=7VGc9BuVidCoRGBG+_sORB5GOhY|1lHPMG}j@Z{@yt;ME zJ1pq>wL1`V^_j472(aD89jNh%zbVEVUSp)jzezi?UrPg;lm=#}ZefNCk=O`?IV>sI zAY<`iO;QBcFnjH29{W$19r!lFd;r25o5p@Ud^R|o5v6_kZ1L&YNZ#%Mwym?+IOD~q zpwza7qIre3S6HZvW&UH$=gp7B`7;obdlkc?200HXnZjkAz{QoA!bd`##P+7b zj>lBG9>|PM@f!?Z75lIwCDrxtMMMXQhKkzwgnCoKpKkiZmNV$9I4I#AMN<&J<=Y^B ztdMB)JCe9v7lpli7IB*!z{J7MT#MWJh7pRd%MWcqQ^F$@N^LAUL6QJ>jPaE%;<-sO z&^WRRW*kB@`p#Vz1yv(=8GoM&4Pe`j)+@xG`KfWuZPek5I&oFzv~Od(@^%Dqxyvsj zj6G`J&Uj<9dwMfWWMOlyrMReJ^bGF~kD)?=&a(G)r?vEjd?XH%)CjT0xLv$H=b}dS zPtrH@_BA8Qy3-iQ<;DDtBRLz1g_uo#!k+QfO$JsBF7)LQ|Ie2fTRFYZBhHfh0EEua z=M1zO?1>AhH$vjgSGBbCbPCx0gU}Q~8PYzu=wn0lPIwDww2&tx}I$Z_2?DTt{77rLHu;TUBMff;B z0p8fHt=H$+;BRgy=vb4sv7H}shV(4B@rdBQ;ZF`#2S@b`uF0##VjqqE7;jQItvLjC zKX9NY*mEuSakW(4D%N$a-(>0k55e0o@61R0MQaY^GK6@7188{GV*aTy0?xQ>ad-@T z7T?|9yCQA{?K?pCZ*R^B{l8_d|Ezf1%b(q0;|NkG>V=ZcdmCnuA;A3iZy?~5@H7$fB1=GBJuSUBPGysIHaE)3;Yx+Q2k+2)PKf9LEe+y9g7LL1c#} zSR0cRFT3evRK@&os2D7}RbTkAa1u0HK``ULQd~;xdVr5PeLJYVD}Kk3y;4uq9?uO6 zFz#m4Im&3U29}2(gp<$OAl4*t@?U`CKXcRrjBZOC$}J-c+E*pA0>r7I zR1uAh!&ns)xoD}BCF>^ew3KXB3}F8*+LzcquDIg@J7DA$jGBM>D~+YS89C$w3gXsW z(E?@aVT+)Mx|)mqUun$3jHyX*`EMeWQExlKI8VL{`PhJAT{4|}IFgI?zAK7Ve*+Y0c0PQh7 zf-pS2_9(?_(zVNhBqx@{$dk@4GH!hev!6CL$R1m`V5h43V6rt{qxTmKfM1nlVjCD4 zeS_IDu&?1pZ6L%Iq_K-?{s-2%g8|r3Qku?(zN7!TO(BzSRZM^!NV0wZkzHI&>UK%G z{~Vjq+edE@UP0;2fg_^QwB(guCr9&5`uPhI8NS{?N9*U8fZ4sem}^N1N9|e8ETD%6 zIjgJerAwq2M3C~kdDA_2K_7Ez9~|n#M|PTPSezbm`CW(6Vu9r@XA5of3x4@gnGzC^ zKf1YLLrN#Pe)pxI&m-y0i8ShS%oahub?!llAEn=bP(?&9uAZ}5r{zooP)`!#$}a+% z1V6pL_7@?w{-o<68{ni#@Gy6*+ zx`gXNXBh&y)7JZclga(*0ug}*fH}KD&YyZW=K_v>QAY;Ir$a*^R6Fh_0IUWD3JBub zeJ6EV^cDI{ykM)gh0J(0X?#QB{UVETf1SBcYa<`rOV7v6PD~`CUB`!}55h6zV^)!{ zYAtH%(%`&o&G4Xsew8naZN=G1jzuxF5N-*%F&v{;2OuY&q2PPU&nvnxss|}?zO8MF zxfya}plu{e$;qb+x+)b-(xI6m>C^w&TO_>#QIt-aDa`RFwP3iYKD|Xhjn3|79qR8{ zQTgg`NwWs~fnP=6SE~r-xX+31faf3Ydh5kgLU$B!RRxr9Kz!`8AQMgP1TB5R&FG52 zUrq)qLkRI+?E7kKHr};A8AKP@HQSW&CW=e3~uk4g$1pIs|hqw zC^}Xx1-drzF#Oj+#<%ojJSXQSJF*9Rd-(uOG2ob8-65mU^(h&)>O16vbIxhax=Kwi zgV?_KNqzB?yM3JCcVH}b;<%vG&QmTzI#}G@B)gC{Ahz16rYZWbha8hrYAMU;x(e+s zs@^AlBmgGq^K~W->YvLiP{>67)~}}(o3c|h&z|{KUIzVB_RA?<{%ULen}3h~dmqqF@e$^e z9X)z9Ej9IL!S70@Ol@i97&>%^%j^G|ErJf0qu#}jXajwB)M-!`RLQ)1ef9d;LCRTE zKkH5P%6FsFFD((O=sXFDDCDw&4^P1AOidWHkCruzy|)o#hD)pQfO+TrPRA`$t{|)-*VZ%IxHhQQA|fC@pDEG-#*2)82c}-}O4DalAj{@%jG#J95VTy7u+F z#vR_IcyRwGvV(NWAN(-JO@|K?PEUWyzL3dw0HnCk3jm|_3$?NF`@!*#4Cno>+;3l4 z{UEgpuWaSzEkQ1#PeNbx9h`3W_71!kQg(avnP^TUPrXsH_xaUt_s?WR#ZO3FXj*%3 z#b^A*s|{&Mox75snPapz2H3CpC+J_IrJD;(>sT}ETRKy|`YnC~FVTpudkb!b0J!O3 zk@g}z6+}b67pI9_0^8uj!-x8AHz9!5ipj${6BktR40Ib8MXsK z{0LZ$$(&!M!xUMhpzu9+mKz)1?n1X#NIL)^As4FDYZ1S?CxShCj93vYmv+FM2^)+33-Y;Cshg1?G!MMyaC^2G-gmRrzGlmapDP|Dp#K0)XmxB&rgS!wC*_6|<8VpmB@-NfWi z-k-$;7e>a@VIO(Fg)-Xrk^0=ArG>V7BzTXtLPy>n;S+jkUm9DCp|ucJ3ROx#`}=mJ zg1&J&Rt#~W7BJB2Bq_L4vVZ)T6=kxyKNh+X%2zuVg_d2zmk2bethR@LyV29DW30~I z_m<X`Rsg8DJ#u`n_9;LJCi1}L-7dofkw_q#Woo0~4f{j0Xz5PA{+r_vINvY*C>Qpm61CMk!WhMX1rpM-3mTW5%+v9(32l{N zqD!%B7yaZhcr~lBM03B__ly_YF}p}CzSS%%kJHps>UWUT@bd6nx_Pi*ay36mKIXYO zW|Y204h_*}JNH{x`%F3-RA~;9EvRfHY5Gxb7MTzx6@KDZ*1B}s=V^xcxhsDL)2sW= zPcCcITc$r8%PnlZtRn4>@u8&zRT?b>BcxAWUzJ1k+tCVY}T=M9!V&5_R zS4e85x-|g~V7y7@hI0~(RBAG;Y(dBCfZN(^p0a$oZA>@w^PiwY~81 z9XGMszNfw_9Hk1%q+a+$tGgz8TTocUAuxCqwy-1j=!8HyZ}c z>-;4CYbdz^gAtQ%?aQ$)D;{Ww+&{Si^klA5CDE2UXWy$OG+?q;ZmB7U(9 z;}`$`Soi1snNNP@bkh+f67OjufqA2InT}>!fY*Kh-ZPPi>08w`lz^EOK)1c`Lzk7J zX~uE5W5mB4!J@{$=8mbrUVrG&MJH@eg`3b`K>E5)l0(b2q$p1&%`ed!%so~GsjPQm7C0juWb zye4ad;r#c|O1Sx!dhHwa4Ylz2?Wu|GRwPWN?&lT|W(;2odx>WDnzC5fs*lT=(qgx2 z3Fchd*a;d`hRdvCSzErn0LeM$(#eUkHkU;t9sdW*x6^Tf0IZ7itSD5Zk=>;$rs@xo z(H}_0MgNOQ#sob(Rq0kpgTF8E*#5qYbN&LfxI$om>-?uiOaobEnjEZ~ak%G@1BFhF zBS%=fUYs7CwR$IU{uAsR0Itdf5IPmG2TBGl3giJ0n-2IDN32^{2y0Na=xoMP+2Hrp zk8(?`mmnye0)*shxUAKv2I}e4eYNXQIyoHGl;m(elU+tjU;ltQdPw=7imHAuIeXk2e_9ruZ{ z73fK_baTjC&PeZf3O6s9>g>5?xq?qf+j~}Zb0NN;_i61Cp_d!=vbW=$uv+T%RN^as~c zvyLto3Ok$Jf3fjp(NRt)$B8U(TGW6BQoraHEv8+zz^lRr?43~bH==LTp=%^X+9~ns z&t%SkG|a10-$-MD1U(~CZxtdM>g4&oQnDXc0hxknJC%hT--X5EwrZ1(%l$A`_aG+rJj_=Gd~{QpY%t)hL6To> zl6bKkM-6f>@qw;S4Lx&>%-~`?D!$6xCdJHe!rA~XW;9&XaRCIuB;@V{!<#~AEf~tr z$!UY1#R(0mI?eC~MtK(jg95PW>zFMg2AAa^gIzw#I_oJ-^qo!guq>a&%_g{uO~2r} z>ygp6Cm|Vkos6md)qh>C$8`%*W8)NZrb?^gPh`z7tk`W37m48CkE~fNL#`J8bccnm z6Y*;Ov*DY_ebCl}9+rw2LVs08;H#(N!_ldl>uMSri*H$PHf&A}w-n?22+Uk-*_=)m zVD)z|QV;Ws^LAL3zfJox#!@J9uHgLJrSItR=$*7o1U6`3CF}sKF6tY#fLTD%VFUCRq>vy6I!o2f)(u>8A^Ix%80)_^_zw z=D~!2xQuyM$~xay69S7TFe2+Cj7L2vbd07i+ec zhX>S?V;&T!F87IEOv{6LBnE%OTKoshz6WXBi1=A?FD?;h<>C{=7*iQ@xHuQJ6HCCK8ECT(t8?7=O=@Z?4?iq}DgDUUbrx>0DE7W6nPq>y<4c zDe62LUiC9W@bZbk_Zt}ne11*2n}I*w=?(Y&!A>ByIk<-08MISI>2TrF-dST+-*t2N zdEq_;YoUfo_f3VlhvDG2##8eX8B_8d)V)Lgo)O!!hN#h_JOTp8Ix;dcD6c1V&8zvQ ziYi05t*ljeNC*sqfbYnFRKgA%RvTir{2+j{)9i}Ic>CD!x{wQi;?EmjscMVM2lL3D zRu8e951*khIt8SJa!IpCYMJ1E5*~hj(hklac2PqR+gb40eh{JaIoiRQlL?*Kpwf4% zs*2h;Ez|u*qjZ}S8&~dv%T%}+DTf9FVoqt*;X2K`uH=4j($E%$4zarQ&+&XMEE+AI zVbOGV>p!?@JP~7^jwBzy?=E++i+66W;=_+nTsFJ2-Q4*7I3hP zzrr_$!#i!m;6`cEnIO1b8dX*Oa%#g^LH7nDV|lT?%P&kG;e@&sLW^c9a5gmz<_0sPGnK~7Zw&i9>Q!Q2=-ey0VHjD0j>sS z&?HNfA{{EOGa%K0IzS#DGhPc!@f683)WYmWMc7B*yrFpli%y04yKcx>hM{vYl( zed;EERZiXAY3R8xBCKmq>X6@yCU0w#YtY!^P+qta3by=pO}HVehc16G7;gb)HN@NZ$&ad@9$>NxB!R&Iw; zHV9-R8G_+rD8j{5 zbSW2j=3F^&N{I$0fhM5o3)u|hy@=Q8>*A@9od$o6d2ca`h6nGLfpoVOrP*Ey-; ze0Y6AaQgSXW!L4TcP(%4lqZqT;j9Q!I=0DMC?pa3L{q{?6AE(~vtK#N1|wr0v3g9zZse=7X;ir)b`6<)N!EKj`jSPK z#b0dk>iZf{`>WKK_UUJdoPA5&0hg0v!X+q2^L7zFcj#C7eC*)a{xACVkC@4AZKE9> zA8JRl80IO)_S2~DCYpUUHTKG*BbZ30;m2%Bd6=)>M>!G#*YhMKGO>_NQkCW^DVj6{ z@FLyi=o&&zIZoaTp)~YFXe^g0mH{`ZJGnckH>O_pyV8dZ^(XCJ#4G_V+(!U?0%rMM z&V#dk(*>b~8{de9>Fp8l&V|?r&9l7}ORLwiiY)`3zI(e9!@xPu^IeFr%rlF%635-e_ zv4vHJ!RV0FslMEV5u;U()H;FlL8-KCJo*-X`d__9%M(tr430r8}r(#H7^wpi}h2)yug9ml|M=R%e$k-j2s0tJlE8kz2*No?975{U9V&C>t zLuQ^gM<~5LbTN>m+|mYN>&z|9Bcor22W&8bp+1sc9ME%`{hw_H0OW~ksrBI8*|k> z=1X5Cim)b3UbiJXL4m}61z1_SkDjjXb>!Ai6O$uCxL1*lvrEUo;DHJP+%a7 zR%t6QEsas*6(UP$`dF%w$H4DR-g@)!_!lJXpDTJ+gm<7y=ljJrV-@P>l?&#|EiY2; zNLV%Dx}W51(at5SG*{n_A9og32!V)o2jNPacD@5MYN0&WTDH21N(`_cI&;(0{YIAu zB^^>+bVEr?>S2Z6k7T`ar$dfPH*_32a`BqVezzNXn%lzgWo=r`|hL|_=9r0PypGuGp(-Lk7S~>&t zCP=moA6C{KVTlX9Q$rdTjv?{-U^~URytx0#P)U8i>Jjv;+7q4M{qzeA^2TggrRC+Y zf`zjy=`@4JcQxmy9LK)s^-~zW6TPbK`mCtVKpqzPu(3A!ZbuK>9VJ{qrW%p%heo#~ zmUH!)nj)#l4d-ptiGMl#hm16rrj5+!P z{$sRZTzI2|!hX!?K$7zlVxgNr-I{%BUx2#mYd`(_qQcAZGJ8A6$_PK_@lLjLVm`U; zoUsG8pSz7WL!G$iMwJ0aN)nm}JJI1OlMSwZ+{5$$49K!ox1Y!UmMwQ6GKdfR#%k5O zIE{|wIfq*6xzEMntl$k=HT6A(eG~had#zSZd$*c+A2AWPUn}yPfC>eKtpEV<&8~?o`xhFLDf5{ zutwi8@ReQ+@h4Zx(PPOX#WG36Ll1Xr$l4kT7RFm$&Tzj>s8gzYz7fzdZ>9cAzJoQt z&@dS!F5LOBlXRg(z|tT4M7w8uT=?{0NN4tW^cF{2KbVCZCGAte2x24~tkJQ^Mx;k_ zX7K##toNQr1bd$z+Um|{CIw?xuFuN`4?CrW0|Utn)YNVz5=f(@`Wwau`cn@B9503X z6?IdplJD8>1&AmzVjJLDfPIgso&Ms#$fZUH*#yx-$5NGlU!Njb_@WlQL2v9$+2-qG zjB0T{pw@mw0_O@==cbQOWG@QA4v#$U!FJ>jJ5MDlgFTIrxxGsHCKH)k=iy6daSg$O z3N6MqVHYiPNk|{UR6qxI#H~c{X z+5dq)yiC#9{K6H=&0Y~QXZz8;6S)~eh-PwjwIhHI9Kr+Ap#D{)v+>zEtSzml3U|h2 z)p+*x#lfyWaPoT^&#NnUIhkFnGJ{F6j?N`I*f>o&TcM6A2X(_T19fOZLziBcHk)(E zFZ?Q-k!?2BG)$}zIc%Gp`HAqYa3sUt-hcp6nbFG^xk}H4R^W25bu$mUXAIp`!MQ2A z@@!ww@pIx)nIQy~lhL(LAL;L{to|r>yY}tJ3c30eV&VV>-4|I3woL_b0VNZGL1FoK z?lvVpGE7j;$zI4PfPQq_A5Uxz3#11n6ciyfu$ zr|Dg|f}cx7Mai9{_L^ZUE1jNx^;DRD%5v~`^jnp*i)Ez~cjF^R3(aQ+NhkG4pQgaC z!}q~45*WJ)r4xcx`Ol&M=|M8JXLK#P?iOFK@W$L{o^zo=oA<55t~~wDx3AiAg;uCA z+p^0}V0C4+7j-&nw%ut}ZDMcZ!mqSYAYi%grhJa{W$&SHh*-hi^nj z8nt}6K+R8dirzU4K%c<>K){#N)9b5@>OXJcdHT47tvPcMdQ5hx8c6e)hpcUMTlR0h zk~wXw)-OCkPfhP4H0{jAcH-9dd1|t8^1JJ^v(nhn=dHQ0mngaM`U*w-?YFCSsoMQL zRjrarvC6>2A1A9sf1N;|3C&Vm{I5wO=56UGx>D)sJfrZ|1(w?%zT?ZziHb^PFDnHq z@t-@gF;-X|>P9Z!ROTx;-kfLgz=}yjjaSimQVeZY5$*2QMb&YV zg&gi0>h)3#B9%TxUm(1smPNW8=_4Iy#VFiv-qZe2`;s3<%4tL*toJ*9`($ltq=$am z2syZ)Bq4Opty+ytb2+N+T*KWQUL!%D1JBdQ62={GIy|0_X=)r)2^(nM9N;c6UyN8t7vM8ImpxhM`hrSjT&>WG+g_5}SwtA8G524i%qX@XIirw8BXY1xqfAYUQ=zYG{ zse+BI!@Nf=Px}%p^1cdbk*D8V>?uHdQ7;JGC%dSlQ&KLRwqkOj>aQ*>(e7D)_~fF{ zf|C1q=js05rHKyT#V9-{F18ql;@3)6Wel}x+G0#XW&%rtu9m5;-;}mFglarKwLiA8 zG9mORTE?#vfM}%ub}{QLYc~7!DJ}Hti&O(yrE3*@lD;4ClnQ*iNLiPg{hIGGHC%~I zi=EqJWHi_`f!623hsbk9Jz#?K>LNp#I$ByZ(3}``CvIaiNl;Lbjf3OZu+^gl!ptWk zq#!AcTm&OIY4Z;=TIy}v&h?JujK9^(p+$=g`%&4hP*-m@G|RSR9t>9PX?|_TuKW}H z73MQpEf;*Ri$`$?QT6M*^XlzAisxd}Jy;ce>s*@Q-FIFc@d{#1sNTM6`p#;)13C!h zBb;$g9&4;_tblI~@E7S9&b<{)zqv1W?Rou-i#s)sf>8JzDB-w6H|oM>^|fWCjqsN< ztLtbot`0@%{4$6YYvL$%Wy2;Q7875R!c|D)lODpnn*o{Aob)g(Gd>tL>3;H9m11&! z6Mg^Q#!Wjj)7&MOb*8Z9B;G%26L7C{l~^Sw_G1QwiU@^!b#`|C?6b?r-;kGhw+lMXXBMwL^Y@XrU<_C*&s_4#vyKLvUIY5u|<{gUyYy)#;}~!6)j{9PK|y^PHihCJ!~cYQ>^SMOr$O zHRHgF$cz4wy@wA5pCgI{NfG&hyRb2)$F{Q6%LeAkc_u4mye<5pq+JOGBD*gIr9+IG z4={=T^E@;)zY+bDdBiGYE4EgCKDMN1VqoO<$1C@oX4K=AeSm_?RF8&B(~B0Ided5M z+A4eZHm2XoI!wByC?IMzTBx^g-wutAx?O!QYuztNNBZN(kGGY&l&1pw@#{525YqHh zG}w;zwvMF6O{PD35#An-rGVR(Vf$>CG*Q!e4pAI@C(({DIIV15qRev3ur$eu7lUPa zJ?u$3sJrF6WQBncqk2ZVKoySY!p`tbf$JHjUQT$P{nwxMS054bE4A{cJQilt>f><` zT*ZmHcfrSx?MMoW?pS88C%-nRM_Flqxj*;4XIIan8Aiz14Hr2tCkZ(jI4;k}7QZeI zomai@$8IFcb1V4(k?8`S(&W-~V&;(iaN)RWvJuuEE_@^OZkRJvEPoKkE22 zy(aHpZ~LC2D)GvnL+{D-mDq&~SB4#IizbQI&z8*5g)DZ!wR85QLED0We(IM{K3pCe zANNpWQM^mMaUrDbhkL zDSo{SSpJokpg<5?DTYhINBWSc!%doxAqIjoqq53_uXhAm#0S6KvRZH&Do1owj#J+l zj;@Dq&bstK6<*m#l;IHC>erk?G|+{r%qzE`fB$gizytasM9?(Nf{t@sP?Oy_$I|Ie zlsv)U*CUuAOhUa??p+6iwNcY3ma>LY}rl@WBg{P+VTaU<gDtjZFb@5l=l|%PX2oS z@}S-02K6f#nUVxImrV{NyCe)sc>(PQl&>EMsjXewvY8FbcKfc*P_o7;R|t>DsRrhbu3Xu4IFP?EZoPItt0-^3dv5XR@%=FrUL|@Cuip-pERP7MKsA=I|2tv6w z6nMsmCNg`zTjfqK5A*!_nE2uV1aQ}~^zxKa;SSN+QnzXUb6zDYlP2NwRBC4T;X_m{ zKk+fL$pW@%+}mK4RwRN7I=_S-IXIm2FTWcqw5^DW>#JWM72TJ{Av^;CyPfrXM@caK z1F`vz5BCk7%^{$#u5Q?(8H6LM48aQ+-&QLz%Ja1tedw{e)AUv6#YH~A zXZ4N2ysPpT*oZ^0bq%)+_6;tM$6HaeQqvcNFFovO&ayL)UbRcp$(pq*?J4%P>|fNt zPyms$G6>8$)}3UXFD0n3fA?}(>z#hVo%>B{%N2?~!&4%k;JRUbeXVIz?}6If*zxHc zJ!aDzsaFplBxmVjSGIpot+n0{?P?jef+xA1bBK$l14SQJs3H1C&e?=coluR(K%pvg zTaWL>nI}I%LE)O8pMT-l!Mo(;_b;;v2%Ktpn7;8%2~~&#lEtEiQ*TRNm9MO*&}H0M zQP0IP-_iOg$OCOQXq82rxPP^f^6zjOUU)MmZ@v}Re%O?Ffr`g-vh_Hg3zvDK(elg< zhzcZySor=t8&b;`p!4kCes(Y_Cy#N7Q;CA$LxB2@-_vWdOLO?DMr(mf+3M43YbKRD z!$O_*-AdySfgWyq{Cvlb1gR8~hs4NKryIBR1XerhzVq_YEca*iqF(F9GjBDB<+OI* z51fEwoje2X@%n}8haP(2$rqdlKle}P$Y@d(I1PMJO8ac2OJ1e@?!fcZLY2qw38(jr zcGli*x9K9CX6@>r(rnLVU+`isENOdqlPEb|`Ma?{`tc>fNR2Lln=2dx&|Uk`p+iO8 z-CA&sTpao0++0yjjqHcI;T9TQGR$qA3Uu1sA0#ciVw;`c}uLrIR* z$8d*@p7_IESpZp5NA>7<6(cd2kmqElVE=lN9;r^YAG2G%d8ydomC|3~GP$PeY)A$y z@&yuXNrik-$nsG3gzl3al+m@dTi(@kVq!?`3oT;vgKf3wwjDFEKEYm1Gd_!xrg`&? z;I#zrcN1zZeQ(Y**SW(kF!TBPmbe^+z{+yq%ek{v{PyN+D-5@KdFh!w2Sajc!)(jE zd30|M=HfI)m}xj#7i7v2C2tI+teZE<*c7WTPI|JMI-j+fpiWxtWSU|GZOx|KQYM1E z^XiK@z!+VYH`RlTE?qw5{c##Vyo(-$tE;Q)9n}@e9uN0IDm@?>xng2D5Zx&U?m#t@ zcL02+r@*TxXdZApMF@BQ%AvmqCyc5srB0e-3B*Jf7L(q9PkfrY#0v?Y+Um_#Hu&8j zdGL(`6>cBlK(gE@NT;JXxX7Yid;1pggc!YCQ&L_fCJw26y}*~99oZY9vphSqJd;(1 z31_!RsLPaWGv3;qeDBAZ-lV*RR=dTVF2P>=LzqM);R1-<{Ri{)z8$_Vo)3oR(q0yi z?J*FKja*Y+u67l-78hUs)nuRn!f%^DI=^Ssuhh-FX+5VWUbyA7?S`GAK&Iu_tTQSC zd?0mpPmI0LPmEzo5TU!fyC__AaqQspI7_|DfhVY_JRfqY&ZbG-h-d+eA1ruHzE{3W zN+DmDGGS%nVX-%_h7)(7Jt-$V`;PwXyigI-vMTjF(Urkk0_J>SKZ)ui9BWQF2hKCZZt5Fe>_gq! zNHOzj!NnrWu-TA4)89wXCn`L(9}JdwCSC659QK}f$2)vuo{KY&xIb{?(=o6WXOglm z`Cac*`aLXVY0$+bmmrG#wz8HGQL;%FKb3H6wy^VD^Tv|-vR(H&5p@fB+oH!lle36_ zk-Pj1%c6_O6CQIbK5^p2D|ECgaaU)2c-Zy*`}g3=rSizX9sTGJ!j*TQQaEYFq_@&2 z59BaGEZ9;AWD+Ac{|t2@zhJ@9$*~pxq~wkWQZHWU=)XWZ2IRuvq8^K+66(t~k5Q0N z?@$NxqQ)D?w!>O6x9>bF?|swiM~$i4bum(MCJIAnNCUT34no!O0BZ*m1(e0LU#xi> zUw^zWzoR7aD=B4^IvC{$&{>3KZHuyjbhCN4(mXhu5OnU(0Jinv zYYu#j$w4b1`aAT+QR+E1#aV-4l&a|u1HY`hvF7s#%?4JBLdehmrI{Uh*VnAacuH++ z2a=t@39*1hc;Tb^X7@0tXRt~DTL_~jc7%|i#rG0Hy&@!~`T4r^@W9`IFB1g+AmUb7 zr*s3P0ZA7;2+B(tCd(@imWNA)FbXp&n~2zTpE!NmSNFM(mrS1KPbuYKA2*qC3c>{_doNO2ne9c}*OFn3YEzh>B!Izc%4Au80n zM{=m#7$@zlCP*{Ak-zDlH*2`%<+ZpzVV;^@qJ=qb1Sb-PvM1SyJuYm=omqCATUfZx z;vdg<<)UvK2g}&xvf~h))E2b@%cU4W`o4ZTdICf3Owh(3znE`e#j)uB z0Cc8*KX7RISR2jOAGY429|D1AW#uDSKsfE2mU1=zfiV;REf+Iwr4LGnAfH%a=1jC#h7y35f(^DY_$oAY2FZH~&J5opb<=j0I z_(3ogpA#TpgP?aMadqn;0mQOh)~vgdvn$53Mi4L>F&hf~&DEL`Boxf(o9xKpF0*kX zXANt^O~fSn%(ThYi%O>!Gd44x+uUaaW3I1(@_g02+*JL#->qyi7h}{>Hh?4=FY*^| z5D;G=b7Q-7i5S{CCZ1fNXU4|(@?2X50M@VxN$7P{_+S6r8_sr3h zgNO*=PzBpOakp=au@C-i9gu(zQG@z z2>c4K0?5YlF4vlXSf3kgk4<^zItBtBhR=7L`8UoNzg`7m5f!%}ED!(plK|v6LGIAI zyt!0oU-?HHp&ZYb!u3hV>mg|ut@}`zP;Kio5&NO#3p*U;AKNi0%ont7;f^5LHML?Y z9IG45qxvH5-@mpiooIH?9|I63EPDVz7(~4>;)VTUfYz*PezDZaYa+ZZjRlcX)H%Ws zml5x!1=VVS8Ig0?AE|kQm78^_Z)?Aor>7^`vE=hO^Pv9;Nl7ihGYGG#{&AMSe?6iT zL1k5&*Z%(V?lB8L?-!c$Fs^lJW7TsCB}C4lrHe!TsNZ!umQ{^2_1kqcbiGZu=eV#4 zATlY5_2BcX4eC35ZM&M2ejLXGF-)H`8bIHKfv*eYS*Njp#eboV{#Ot_53&p@Y)WAh z!b}t;SbRIgX56bMr9(&jlo6V^71G_A57eh;3+!|u%&C~T8ig&qZ?v$Wfau$wMxP^4 zvvav${1sxqL_GEl*MgI zC+0^BWTSm>>oYDs?M=uWZ?=#y{wLxfGSqiaUpAn<-8JNIspAM0NyAH*h`wD{%s_(? zM;Zzohu6_C5-C1Cl$dfKmq`NtPAk&A)UTe>-%;%z&2X5T{C2d+P0z)1j7wyp4{Ujx65ytK1q#C-k(05f#w<$JbV12#|JoC0g^} z=h79&5VkNEEXSafJ`Q9pl7H1E-z#A7*=2rzy7Evn6>*3atSt=aakC00-L`uH@Ht+B zE#Inwft{y-ZwqE))W)3QFUG%lh@3xExb|RjR1y@aP;^@9e2;!RY&+8lFv6ymfNi9309XiMe=ftaJ8 zjKGE$KqImf8E!%v&)=WWCDDrUK9ATQ37O&n1}bP3YXeoqL=~DQGU%UO@EUc zBR6KrU_kyQ+KbSIz~Byh*)_VAGR1rnR+r^+9Y;q)y_opK?2DbShq%G?9y|K$P;^H# zCngf6t5}79)7Ii6p7R%J=EQOOk~Yn+7wu7Q0T{i~4?yCokIbTvMMD39W5n-73d4M2 z_f&9jG)8pL|@*IcXu*J9AFyzECwu*N{bji5y~(BT`|mwK^iH(F z3F#XA{@W>OZvc<~gEpc+CsNduG3MH}JX9U|QT%-y3aI?@xKheT_Wb1m_MUc9a(QfH zBvMYW>qx9kw*x_8K0ZDblT9vBnqOcFZdQdgLx}Ybju-VI0lV2^$LwyuDEtEnoR#S= z&9>RQ^%qxCrOnXs>6hWom(0xx5w-9jR{Gs>)OKTfc=~&tKbJPI5%z~8-eV`8*@2QU z?*H;!a3vQ9i`!9}OO~J-fvOZYAB(I48PheZ#A3wWgLD<2~HM=VxCEY$QMg(ts z6cHCMJ@Xc}Vm_O=-n{kZRKm4!MQS%xl0fU&z1K**c=)(dd&AMq)i083{(4NNL)m0o zKG_?bR`A-X*1aeN^4$c$JhQ-MWG5Uosp+*gi|Jw%(!ItFGz%VHtAx)G!0LCxK|;A7W3S1tblAE^kmm?Ra9xhtHn)CP5Uge;wYtds@UUQdIiXis2|AR)jQW^^u;L>xW}Y31u|1_r$BBlUs=X_QOFHentus>q}v!g4R!WO!7EL#5s6L!A7wKGJJgvDiVMHw-EkUPuTwD zjzXbMoI2$WsE@VIPG?27HJ1rJZmTSq&%-_@<+%6)=OOym-D0^R)Js$e#VoOvV4KF5 zl%cM+xbJV_rIBSvob8TrL-FFTwN)<`#S8m-iQ9Tq{xS52@1hCBkOqk`SHrc_Z8Jp><|CV zYWAxmb&%wQ3z%;%M0RIu|893)^x*%ORWG8aDpTW6AGTbesyjt0Y(yS+74DZEzdiwRKrlQaT%3x*SNz7oI^d&@zgqE~I9A|z>9gCL5{$x!j4!_OVt2UDyW}%H~ zuhLN4PF{LZK+B;G9ZQ^Jax z^tn;u)J_~Gxzic(DNpO4`H%9PNacD z_Z{6|?!6NCy10069b8|jX5DZXDV_&a^4mwwRtpQ8Wd3=vwYR7pL9+u9X?#vE3%wCD4y)b?05OIha^$Q1>887fm$)uCpr|I=^#-6NdCle<1 z?5~#{9UTRnp&zB#k6G2zZW-9!L%lgo-RpgeCD7dG`!YyOquumKd{Se?)T_YDhj-?tDl zz5%&rekZBALkInf2r?LFMN1ceNO$M7r)^QJ(EO(#C5~{DTxn~XQEx+%v$X)gvz}3& zd~qYqf({Esd5fKtP>&?nUSFAiX{@9aHVHS&-p^esW(|8==Xmm1r$sq4p#R(tP9Hv~ zS7d@znMTgO=@L5W@3cZb-4H&OSiVMwB-PCOHD=}>OuIIPoeYf1G2%_g+BPnCL9RPa zz#~fl5b)tPH(XJD*S})Ne9N6tez^w_ddT-_<-S8-!C7#M*SDPFNk}l-ZWtS3BS>4C zuTKPNblbUVo12dduYgO>KEM5gkPC`K$$F%>wJdd_HI1=zeZsaB5$(7(fz7qqUiHaG zin%ksN$%0N{HtDTmwKL_uHIa$p3ZPt%}6nBOTU(wH}##6QR*mi$10V1Cx}LS^1W-( zSv`IJ2cC=5aw8|OCeLKZ5bWG2ei@Z)n>%5ojQlb6I1gj!>jIZz*II{(*DV@`0$WO< zRRv78jIlhk7l-}WZlH)D#TpHDb+B^t+FR*ZJ)Zb`z}hGB>PD9+?+17cd#SU_4Z)CfC+2W_b zq+Kb0&*a9$r1o@A@vMqYwj1Y#&y)$SU|cVmqDpN%inCcaE;qW^uI3J226znD@JzCW zx!zj;BH-ZW&^g@mz#Uhdg8JCs13oa8FW%6bM z%)&dB-UcEWc=;z}SZ%k?p``-qWAU`&dUs;Z#OpMprjlz!f*k!{jx#D0t#)m0*ukxA z$2vMXQUhm_b;@0S%{FG_bLNAdNK;tO{Gu;S$ml#tu4Z;cs__$->w)LiQJjd+H>5Kg zgkrNy!IatBRP8!vaGfY(Qawb(Mb#EY#Y@vzh1sNeB=o+wG*jHd2zI?RJgbeL?pYD7 zIFV&?d{|&5_Fnd*gby0*;Q7+R#0LbdP!VymZM+Y)x`Q4;|{a2 zjV5$Af-DgDoTUX-W(A6@dnD}GQh0$H#-+0Fd%NT#yzB#pA>Zt0GLd8VJ}@V_QM#1` z^khp-F6&L1V_(XTEPLDmM`7L%TVoX!olbt!mmLb<55G!#O)K}<^ry*(UXVkPERRS1 z!dH0*g8fWn;oW(2oylKs1+8!18Y`v4VjIn+GvG3!)Skj+qDb6)JSH}l9+s+~4V8Wh z$yQ+q2+N@4;8oxq*aUtV5&wUO=ZHk?M}i&{Z7b6DfPd#Wl;1qAJQh(!@;^U=41-;o z;0E1@P^rteu=Ce=WU%%_cWz%6YaLBgZV5T1Bk7)qBABhsB%*uvs2_N_dLE(LVFJ9+ zXU2l!OqjNNro>-vG8iWGwH-$7hqfVg5yxAk`iL9gx|KPOjVWwdKET&cg6-=-e|1e9 z*uHc_-Ep@)C<7#>eTrosM6~|k0?fWPO}%M;h=@prpeMw#3aP%^Rau6~XLQ4jT9abX z;IKCJQaI#G2@EH;WG?l%jebeoeNL8SnCWnwf{<=Y?sCkvnY|wO@cXpZE~Ui^_t4U9WZ_Hv{2X&=o@nl-GkzVmppoWcp|_ zwAX|BXGv8ke{2OOROS>l>>pTJTUR=G{E$jEYD%oc33@ zQC4qkj7T;a=0NoK@R z(X7Rc8q=?r61r9rc!odtM5bD<9AHB-9ZsXhqVbF_p$D#T#Y4$RLwu~GLc*Cpl0A=F z$~DOPjl2XGngb7UBhx6jCz)iO-^{OgwDr75GW953^yId)E9^_!%(1F#Kw&)X~42jm8MmJ- zaMb>6zwbB&pcX7VQ#B}C>kymkbhF5w?%oqdMlhCFJvu{JplAsV-mcA3&mIyn=6WgxYDZUF5(%wr#BTIy`p!~i$ z%n>o+p2$AxS}K5tGW@B!jdE z)B9-&FU_i~&?z?NK$jAr?;`6;3~6(!p3G-h+mGb43_mnhe*2dctd$oaNo?2G$H;V0 z=io#~PIznipwMDg=Gsho>{vqkhv{)sm(_RGeEj^qLs4FyGqrArMtd`uZKi8G_NhM4 zWLUHlNOxcg3`P*6R1zK&WyRbx4wBJqf0W~Ir)D>9HJw0{6(F!&s@IFIMcD7hs_oLi zLPBsl;#|STol>vhsv{gccq4@AU&BGgSNq#N(GoAhh!{c(n{iB+CPuq;5iM?Er$u*c z1jK{zTl!ie-3tgsYKKX;<7!ZS1Pmpmk0rRuujW&LrOgJUqRN8LS~xBU*u6MR$yEdi zP^_cle1l>sOdZxuC_-BXy6t;iCwi&EWy0O7L;h9LMxUaT(I9txJfPlWD9;&FK0za8 zHRmgQEHDnkG;-0UXFD&ukq-|sW2ujaJHm|4b;q|XzW?YB@;iT|bPayxqZ_DN%mpJoaL?=s+|)B-}GqAzH<`fNM7<26g#`)kyIC<~B4ar9SC@7tT>}9gG;4 zN(qU+3AnX^DzBkkjm8Q?-rPqjo*{y0FHmTOBx}N8e{LZO+~sku?TJ^g068;}e7NpyOThHw6FS# zshh@JKI zQZk#FUIGlX+%O4xj0KBbm~24hYBy(+y_Dm|Ynp(6iB6rSY4{O$3zvlw&LiLK> zAH`0i4e(@Ef6O!SVs8^Ef>A~j$lG?vAEb^|zjz;W`;$cP_l=E>p`ocw*~&1P>7z8V z)bN-qnL)mV50N~RQFq5?AeyuDMfml%k-i|qAhh`lx(WZ#EqkE^;u!!6cQ`72x3LyJ zhV=7IDRTd4P}DpPsm}x^m%SvWb?Q|5PA@>0KEnh|fi1N!+Lj~;Hy>?Va3?)065)wc z$~?+f^Uh3cPQcQhY2>$TlxKSkR>Kd?6|9n%Vf{|2gHB)ZDgv=M!E=m`8rk6BfyKPq_+yc?@OMekAl$8r1(e%GP@bOGC?7#TIPQe# z&v{}PXq=8y%)3bSXy`pdT>)f3UdWxhmMRw2VS>nHSLSpH<)GDipIc*+Cbd!H=TCgq zjR~rZgC+&*3k-wYTR^bQ__n!quZ=M497Y2HDjHf31$rjJ$30bDlG%l3!})v2`LC-w zS99HnIEoXSIOe&zV8qDiLEtj#{-Cy@<<;GU?RxKx7od)pXJ{pG<}sq3$c~xO3Ec%1 zt7R*Y$y-q%3>}}-6H{&AJ)N{?4TeUj{m`bTK6MO#CxL?Za*QUJqO7tpn0WQU9*Gpp>8j1VCjwh-BSk2I9M$|hyc?9J~y5YOK4*Zci> ze{Z+ne^2Y-dR*7J&UHWcb3f-gZ`yh8PP^mlg?kDkkw_rm_TWhSd}G=2I$(@pc-hTg z?@K4MOxI2*kh*D$-?+Pp)^uNx3)TIs%{~^!oNNlaQkkv(<#a7k({AeXCf-0F z0WGwPHKZ1ek08roXzG?ZbEONYpH%K8#lu-SP4Ek~<0|z7)?WMrlm8Skxr-s;j5j|o;(Mm|h`CDx#B?e{-GEumD^fKB+ z37tQ$Y}YvR+Bof1R{UJOFppW`V5q>_L^gGn5}aR^CflzIvLgsD+6(SHbev=@{sr|G z4BBi^rJ}ge3?!1sporSmmnubT+ zdOqE7bgG$J9s{P&SiDE`o~nSdQP7Xa61QOxNU8x6Eda4FX1Fwwju)>_zuwX8qkH`AJ@ES0k89@kiru!qo+`$! zzjaxC`~N@~I8HnC`L>lU=FYsK-!QBeLFnIK=9@$2vB}BCT5}BpxII!Gz;aK9cs`~SF})Z5a5iXU>T3Ii z$GZgQ_SoH6$oHei>+DKfM7aBT@=FgHIbrf)3qzbRB!(}n(sxZV^dmYjMRRZqB+GN+ zTXV}l@Wch~jE$$4i~Vn*BhYACn8gn!eez2uO)Xlk@n3s2TuI2|7iL~2ST8bHYgZ>8 z4E%QZZkvNfIn01bs^oL*>&njZ>%%-tpO&ERMcm%R#ZPHku~F{aqPl_G*_I@Mt$SB~ zfjS_Grp6_dXDo5TPMC>{*$i_?buM_Q57t|p}Z8aow6Yvv=1)w2ISmYnKffz{3L6i0jM#$tkeDS6> zD`4E5XxL1dA{H}J7+KuWSYD)P=U4YxqwAsHTB#|W+0dTyofa+OasK_KY*{Vl*eV5G zM}v0~=3pL$L7d`DcrZoP9Pv4(k{|c3Fqh_#lA|pMFcc^>e67i~ulbbL+perg%!fBD z_2@Eo290lZeh)fzw|_=gOd#p5(pMaaYXbQxoXX7dv5+D93?IKAYqdt(s3b2vDuA#j zL&)52iz-^hG@Wlx<3Lmrz!`d~~(ZBg9G zoxp|YL0Zj+2Q{OFm@G(&Uv}t z_7`>EG41uAl*EMtSoF)Nl3%pb-cwUkujKfXEda*()a)=8&>Lw(Z}79n+OH~jclrFu zfGBdGnU?CP*@jQ;XWu#Wm$;7GS`;?@I6itaJWz|wAVE=nQ!SS*(qbak_WO*l?)8;Z z%G(V$w&Sf(L~NL3-FY<(J-dH&554CVKA%L`Pl$U#zELU;l@wvZfq(eWA$CY+s0g;9 zn-^q+VYtxvXnVfH;fwPITGBEg9fkdnayDahk7Vye*ssp>3s#-Wnh$%(fz*DAh&-wn zIFwVo%U6@jeIO=MEwP;m9}?@9fz-IKaatkE=H~R)<}=1|xH$*N-kYV?8YEXTg3X7QsTZV6C++PRY=Gl^+K-L6PU z=uV(H#sVw|zd9+Y;Y(1!q_jrDwYf-7nkyLly8915v$o@~oCI(jzvkZUE5%_%>TnSE_gnt=T! z6`Jh0v&wLF!#TR}?#CIk&N3?Tr*u|Ic3i=>p&5bwOc7#!r9fjJFYG{}l^0k_Yr~=) z#gwAR8X=X=Q~lX*%DQSFrnUc>=&xX?P^yYlFaOzkC}X5(P<OUYUS|`sOUpR#tOLdZ#huh(mBak*rDh{Yw1oUZ)rZZyv{f z!jKEzOT7-$Ic;sp5;}GpzT8EI)t#(Sj+TxC%=AxG%4S2FIywZ$oeFoy@>1*ewBf0| zX{Rim{>9RABQ4d<6v))$`G@ch&N)=+K}fymFGq7l(YaSCT&?QECr#SSf@0=SYk3-B z4)GV_+CgL9Q}zD$uy~@hG7ILC?z#^qxL@R1`PMKv^oWCkcG+$;c(~fwZhxC4dVnQy zk=04u*7;MAQq4DGl)hJMM#+Lo-aePy)Igi?hmqT{Sv=tJdI%8H+A-mSB%l!9&XR&U z{Nd#TE;E!aM+O^8w|@Z@1l#ti<>E&}&z3Go3Dq6?$dmeOgriE`bf;_7{tNx1iYBS=skFdU! zcdwi+GXn+pw*El);Z*p8)ZM&Xz_9=fbQ9dOMMT@bU$o0ExleEU>Z1$&(Fe5W6QVJY z^X5RuQRLAX4DiK{@J`hb*jh>bhR#lTX7f(HSCDV8uvBpr_j>69{c+AIR?>Lao*fEc;1Rv{{AgLeMKKHwOj2 zY3&CJeCJIm|9J}b9})co_MFMjfrH!mg`txGnoE{%U3Ep>K(?jP&_^`-86}MWJZu&2 zChGHnD4=lGpy;!6OW`fseh-V8Pn1>TT1!O&8}luon9=+0H)pCKkolv*Wpl3DJqD>J zM_T)MO^kwsEKTIr*dDvFKa}lBW+B|y-IKHY!T$|Ohvl{_hS7Y={(}B_#LLo(i(<2Z zwsT>9B7>Dkvg3aXbzUk*tN+TsktF>T%ABAh(R4X<6mx&k2f`>lNE~F|sR>0=?21ElK&Y5JHG!)^mjD9`&2i5U_MyR zn#Y=%qLuS`t$8Tyn9snAg`CCI+Yk2#u`?O~*5KP2(7&xJfS7)LZ#D8w1#S3Zn}x-G zeG}Xk%Qe4@z4tiE1N}0e$j1=5c<@83F(!rQi@6dF_h2h68e)pGz)VOK)rKfGm?2#rqL~5>G@jgklw^0xT^q0O1 z0x~Y1p%xRQpj&I1cI++Ib-^W1$Da&KGv%=F8s&8`~%>&m!nk@E!vLY-ix-? zISu}3?RJ*!uYbP#i0BLOzrG)AK8O6TMkF_PUh^_GD0G>T6YOyo-~ag*+Yb^5|69Fo zqq-Lm;i_xfjgvRJXyC6Vo`Nmc*4N&hzVN( zuiszD=Qs{7A3J6GQID;;$gLCkFWya!jh!rYY?xc_E{qv#6^v5s(X=UQNZ)Ph&QOT$ zV&$M3K( z^qJb6Y(seW%4KN^hUn9Q7X$K`U0sbaFbOnUGMUkPL+?J}ua?vM-+RocpsLCyC@6U2 z#tmJyD;F+!l97?g-o1PG*hL)`^iJHUy$eVF<&$?_1;DI0DX+s?UiaG4B1Za7?X+?} zk#zvWNz8{Ue^0#Lq1t>SFD?b z6O+_kA#iJMzVWrDCOAp)txwMqtD2fxP*fDp=;-LBCIca)!}H&Nd60p`31jHt8}r&D zy9=@V2GApmK#+g?dqGOBu9f<lLSj(pr7~;n~Qt zNNc#R^cWHJ{%jVm!3B-5Z}QH_TeF zvB)S9`i!0kt$+icSw-6^SLKaHuF=WWhr3<#pKzj3I!pm{rtqF^nAl`R33?a*3=D*;?^>=BH1ef+8ZgWMpJQpI*Ik z{509lLLXIwiIb)i?SyNH(qP@JuT}Y@o8yiL|7)(1QRxeublB?)u=CnK z(4FyU(B2|G*}uN{-yVbZpH2i;7(_X-Jf-5B9lP$1OC-G|x3j?+0%2HJ2b$hY!sMyD zx=OZLPr$;W{VCeC|NC&6Zv4G7<1g(krqJF0=^@Ybk&V~k+DyA`T$YFjJ`Rg|5*gQ5 zowqIfPvIp=yqOf#cYT6l;8V094d%bC1DgBr_CZ-#Wa=9jT)BQd@Xp4)d-qrhPV@7t zq?Cz2x%?(^=MJvF9{~SydBg1TVc`hmBIZBOauYZLdruDc(cK+;xdkig9ejfk^WX^p z#2!yhU(PwQ_uC9d5Z}w>Pi2b!h`k^0Jl0JCn}Cq;BrEI1%a<>6KCJoj<=LZ0m|tsa z55=qJ?cC#t_WzMTt_V3$-e>dav)uk%z$cV6wm*#^)56%dxp&DRuK54SmmynRpPY@0 zE2N}EeD%JLnOT~ulXj3F%RD~%$aY7|AchSK?C6J; ziteu5TFGF6`Kltcki#_z@o4ORXPm(KizBvp3hhNXvtax)Vpt^Xvj02iihEDVp ztB9?RFbi6bD6BA2oik(r9x4>-Llru6?lA-Gu-sf3z5;|W^S47|{{%mFU(yYml8u`? zbYjBf6gzv?#3gn0*ZACUwPwiW5cZR{=J&+@i`DEqvw|bbpl^-8@RUlc7<3n!|Etgb z7+>*(lvN*g-5K*P2PrPkXm4rpi}xR&kiucd zqfhxjR?KY+@+P4U)1@lFY?sc~_du>acDN2yv|R;Lzkvsf2TDuJ^y>4xDn8DqQvc!v zcE1#NtE`m#`t@sIP|(pU5q^G$PO-6_CYFfZf3yGc31vj6ntD;hY1P{3O``*1Zf=h$ z!TS5Xo*iazb)|;&?McieMw`GH*55!W)>MaZ+^KwwAN$_8KU8~bwe5`U@7u?Dbsx7T zDYABtvFp14Jbf&xbNDh!r}7Xv(LZ{z18xK);8ysE*AcJM&PDd6x;kim-SLvVe7Y-z zr7XJj|3`cF=0~=LskGttAW#O&@9=JxC)C`V*vmGSZQl zSHDpAzwMm{IOaajjWq6DMVSv@6@}=DgMT!H7b3M^HWb;LbrcQZMUFr71&1G2A# zADXqEL$-@A1y>LVNn|FEH6^}utu#zzk&l40vq81_uZxlP=gvDErK1xwFfbr{>C%%s z@%sQjP?(L6@t=Gc38S0phBLopT|Cua%fT>;UBGjth%sJj`)!#dQH*afFb~GX!Nxx& zIAKk-xA7TpF*9GvZmkSQ-GOa=#;BF8hVEX*?Y1<{c!&d=OYE!!=W5R)`);snY!eE= zQwAvlInc%7+6M|^V|WBwT>Y*Nc;I_ry!KzyjatSO zGJxv2C|Nk>P5R0-#Wt7q&Kkrn1>G4;$*w;$$0fAYoOS0)Q(-Vzz@=QHZRlo@N+5wA2S2!xZ>E{~nl$xC+Q*6CR0Da&ZQLE1 zdR`N~rClZFwq*t*4l=(!KaH31r5KVp4Kl7!Xu|U{Hvo(AhjesK&du4`S^crrB+J$L zdo`L_+rdiITpK=H*S}d8c-))bC{E{c4My5u(SN_(BdDYJe0;V=UfeEs5oj?NnLXHc z9f3!!fp2;smW7*8JalF<&+Zw}0n9wi%w@M=ZtKbBcwgd5-OAb+;lQsJG zZqW9*b^lDz$t(KM=XDmei_4Szw<`|3;??t1`4aQ1n&Y+>%9#OV<8 zHxeFLc!$rWyD1EvNIk53hdybpZz(sv-^X5LV^TU%W>`@rUCXqWzm>Dqvdc98>oZ2F zTCBV8RMP3jrtUfvx21$t&zH)$lP#1^_;92>tF66C`tkC!Sn9fuU2k_#|22VhrAvQ; zv{SSfUfVKX@kMhWe~z41QtwaG4;AG@=>GN*^*C?|ci$IKoDb)^i>)jE@9WdF3F~u= zPS7&0He9eJnTSeG0M3)q&fMg2nMdYjD+%+^UfVh|&7i4>kbodgugV{vcQz@{TZ9q! zq(2p`3k?zOwZBhEb_J9>e!f``yS&&+9HQ3I_m(|j0l>;8Kji7p9L|JITC>h7TWvuPUn^(DCQ%jEMk_<0gg>U5_$?$S`WmDQB- zM$;j-)&tGOQ$@)o)NrteibG#9AgV%cYjSKobkZz^!oM@d-#N<#Z&roanj}hikg{BT z2BG$2k+;-eU7PgLfJ##vn?K`=>3!Kr)Tl zw7FqcvJ|!Wx8ov*U|mNh;6T+jmjdZB438#-csXnmZwKV0aP~H2*xMJVM+DMqcyh|V ztszF$&rhAv{pg)R>64~as%}3&!dMkRzeMF0Bjr^Mr&_%I!kC5lnWQXlktsYTIciyy zPWa(Nb&`J_%DQX{w{CHQ9|-;gmm3Wxu4Z`Q(K{-DZ#%hKE_JFOm(1w*PipfLM!nB| zfx)cu)zD8M?ou#|L>Ov&$}78@#W!b&?Uwt!uKiYx^Nn1x-}JDbG8T>{S4d39F>UiZ zezv+ec`iCa$i}jDqvfqU63yU`ca=F6zi|pTi<6TVnR#q?|0xW^Jx-l%wMHT) zS*TkjP&6tS<-|Pr9FE&BkbU2uTwMYvLbxFGaBAY)vT~~W*V)0E`0H;j=sc`8(=i5x z$eoU?JI&D&?im3`CJAon9I?wG3NCcUBnY;%9{oFtB~e95y*zKyep~YM{AS~&ru*Uz zE6*V1q}6LTOv_qa-Se=IEBkI;P?62JP^T6@0c&(4zb(?C0-H=IbgP^w*v{-!Yn$uz zipxYhZ%6S%a|I>-;WA~aRM$$L^7R|=XDm?N&1*_Lm^%aLChP$bz1S^Ck%mP(nK#9H z!1BL!EQYg4(7ya@T^*l<2b>!O_J?{HLNPq-Me-$l{DnST;3aQt@Bt7?T69loJNk%; z3|1yA((6<`{Pz_8qdmjK;WOW#DjcqEdULU~(_#6yPE)z!4rZ6Vi9iCI0YiScn(ic+ zx2ofWAKFBF;Mcz7{lMwDzzIDUf(;_=E*O1JIUe|+6nc>ag@g>Mw1KF8u+upG=@;Hu zwM%Dsm;w?s-nCvs>Adqhw}HlLfiaihoO_uX9bHkIYV{pA8C>TJ->EusDfnsL}fkh zp<@g}^h$3oJbf-0A7o>8NgK<)>@bahfz6O5qe39=z;9-LjofYhpB8HW2Qy5K(8r;?Z^#KcV(iD;i3o);_NB=TxtSgK zfsEz$<9mPzU@z%1v{5z{GOCAf;&#=v{kwkcHW$5BPiv_rE-240U^S z?e)1pi(j9=A_A}*#|AU?ss=zb5?p9Ctgh~Vj8`(!_9uRlR%y|j_uk5=m}x2P1oC0b#Y|AEp&j^*q}7Z3C{vkODoO4k@OAQl#_hz2idGhK)-xvK~HT z4()JMva-sBKZD*#=CRWuRQku+zSw{>j@2_J|1oA5-N82W$9Z8|Eo@Z084pC`DerVC)P|tMh>o z!Vgz1488ku?zIdQji(V(9i;d&`!>os2ui8tKKs*-Q{Md^ErA6aE#wxo4Z2Q!7?Xhc zVwj)Rl~t+{KmsKofpS(5wVyv7a|iw;jYFDf7OF-f;aK=Q-QD)P;pCN2SwLL0-)Nt@ zN)l1F-o9Y!MPb^4z-iOY9Q^hK{$5+DqKDJmUc~f^U1qI`*AvRsUxdZ#xCXOaJF~Sy z@phUrkbo`6sQmjz^A(=2zXHU$g$#?2rTB%WX6^&woJ?&VEmW5?oHy({05O(;I48l$Ai^dz z$E2f~Q=x1$ASxt8_I!T$|+8G?^$0wxzrvIy`Z z1T10)1_ukrf|Z<DY}sRn^2 z+Qh3=-!p3=a)u-J67adVXuTr-S_L=(+T%?&XCkx-h6f(53~14ux|PGc#{44VKB{%<_mU$cO?UxrgiV!%fyj&$2sKq)Yp zdLc@Qy~4}^$jqneaX05jzvz? z1%?-Xh(@51hemGgO^joJ*lHqDZ*AxTiqP|~PU}3vXU`E*aaU$wDzdc)%e~vQ0yy%A zK4o?t9B|A5BD3E39EbS*Bq*O43qT@XvcvCggo(&8(+JUDKh^g#m&`C$6 zqg`-c=i`Um3CXH+AFp8j4-m4{Aj-e8eH7 zkcAE7PRB}x;jxM$o-|f#I?!}VF+mn!6KB_44Nv(?yYIYupO-fr;)ZWeJ|bC&VWy&L z%hbE5k*$hNHuj!)$6xXiJIrt~U+{az8fnWkQCJquic9^N6LNn>bSbgJSv^anRLx;K zd0ob~hQsK?-@~CF-yk@aI>0I6+Fw6MiQwO|Zjy;L9ux81T-;B8{GW03cAPfRZ0`WTz4YvL{w^Vtm+U=oAtq+^Ie%`1(dX?zE#a%IH&+7ad$C3`AjgI4n*CBKs9s zJt-;{B9E3&EmW$BgJ{m5`UW^0L93yc&&m`e32G{7FGAP=)g%N-ll`jpQ){q$eYtPa zi#ZnjQV?y}$epA*pA?!zrI6@JoJ}+9ik)5$`~G< z?m(VgPS+|O0EeVS3$c4-3DlrlLQ5drX*P|ISCRDGJl|Vl;VgDIiHEwY>*}6X4_~hp zs&@Og4UYt8zmIq?PCvx>m(2P>&iinN+oiTOr!GzPaO`KO*#Tb1`qNBaIN+86-r|sN zK*YXRAju&2{zM!O^e`73!WoC+TYd{}09Y%!1XNrc5FEVJskVpNXkdG}20GoBj#C0MVhux(C#w<3GOyGHVeI=F%1&TZi6~ zsir;9oGMCxeR)P}OU&Y@boQ5?YnktO+A-~jz_;e5w)KbSl$v;vvdXR@Q~55I1`f6z4qf=_dvxb!_UL$KRtA|W76hbSi|^ZR9qnJArt|A z3AB8$1ioNppd|2&q5hS{2byga<%qvL#y3d6ng zLXG)|>~`oqWgzzh;<$UgC50)fnUdCYb?-i&iB_P$6|1)j3gi_&nPIQ_jWl5V0~2Dz zC^0=eJXlWLY~Vv(`f}LFe^%&hDocAo&7%CaUdp=nqNAjZzvycN5GfkYm>Q8NevIk8`}`-Bi~r zE=hg1j+yQ*w8COCJlKQ8H~GEx$p}J-?e|cg^nD)J^@Fnbm&Ui@6Ml6@jC0Ufhq=gb zX?fFGd7kJl-_0u;gukRsb8)RT-`E$bua-NQFiI@5e@`fPs@H9Zq- zh;_3=6c_88OXZZB*rn!uVjVg6f*m1Pa`sAuU8IIu1zPk=g&S7s>YHCZWlj$FI&+db zrr{e|cWNjg3G?iZqQlY@SGGYzC>Slygs&(2c3fGzI6v2&1Sbf@l6NF|Tbi4t8=Bw> zomZdwKt7z4qTN+&*u(^S9?eEYg)S1dXPFbX%KJU3Pl$^4G^yItwSKiDAN|B{M)C16 zDV&yP9!#i0^D6_+(i$ydA-drxm$Hr_I1|pLKMCPb>5ROnm~b`l2=fJ!8^`83?;?o( z#}<;|+I;n6&lG<<$P#V4^FY8h(Rg&WC@sesnRNDrGPP+dB${b4Bgi6{twO2OQizmcdbIh3C{0)x9@Hk(v0T=@$j#FB6dhMq#PblPx95&`4gskO-i$2BIXx{)UGYb@ZDBkB> zla3omEIHa3g{{Z_{dScj0>+FIA)XOVi_&X%=ROk{QB&0UG& zZ-wch$a#uS%JTBZ#lBd=pOoCURO#Lswvo^V0G059hlQt0uF4V|;Tgk5hMMS4S-*@= zYeVY8R6JNTT7mLpL%5(KLOqIO5goJzwk=*hN-R$&M0{gDSTKM^E}YHYY^^Nv+(}e#i}*|?oL;9T%|a**Sf4t{S8-|jPV&v9 zqu++0&xp$1ss2ZV+$5#bCf`%kDheNGeHPg0<Kr-5CS1$ZL}G4M)c_&b~F}>XO4^ zU5GghC5HZxQ_w&#^IW;8Z@p8}61mDPouRUMH(FqJ?k0L2Wd&4q8pnz4!|KBL9RPHL zrcHPUMs5K{Hm#N7o!2?6l&nltNEF!qoj8|dDjz1>5hOKy}j%?IR{B{-?Xv`kD$;WyWa+KB;}Z^ z4qO}8LJaJnH%i@f0o%^gPP_v$@`;dT4mAdLB_fI96nlW?v>CXufyAS3l39RdwE0G- zd7>NKUbd?GmO1q0{h%H_VvSMS^7#TYn8afJYrZRhfgW-DY=Ys|R{jEKWY4E2H3M$22<9|INrLM7=~WTu?ggo>Piah*Z#gTfKmf!Ub{(XZ zog>1B?Ki;!vWM1lHEwDt$CN2s2j)lI$-*Nux-a#DTXS8KosUz3GF030>q&pDP_M(Z zL5MH=jnfd@|B~tka%1bog^VLecwG%H!Bcz{oNrO7+#L+#)0lT& zgw7*;{T4Io;m&69lBk&ado&P!)lwVYFYE2|x?xQxJIr^EGaanR{~-~~fN8T`P!*lS zRj8k#$dMyTpb@pt>9!k=z!-LWq&%SOE`2E2S05g8aa6>!UhR45=5Dqk^flZW=ljYy zLc}hstz6jYiQPMlx8xUvq7|eL zo_m%KE=vMyvbxiD;LDNGw)7}%1`UX9?<`JqjU^$01D`(zXOKpA|kuU{mj zXkF|933=A-_n3l9-B#{FM(zDr#3BqlWy`T`c~q{6F>Vn5%_U1wc7xAq;rzM8X+~q? zV)_A~-v%b1JC2yqu}J?{1_iNU=V2vUv1uWD(V3q9l|~_P`p8(C-l!U!eoOfJp0$Rm+IZF!!g5F0g;%esWfIF{WASA-dNSZPmaYfr!pK}yX|S~)R*Y(S~*>|R$m)3_CZ6$ zw_b6~!5H*{Ir2xww(?Q;?j_;3wk}pAv$`7vqf8*B>X*vDlOOShVjqu8iy`nlBnGgj{#DmhN!IwApGd1krWrgd zVe)y+9MStfP^4E?-pbKd*$8T)rgJJ~VgCyB09h9YSVJ!Cb{+>O=W}k&B3mwzbhJ1} z{reqCg~XWqyP!nS=mQjC-=kYGyKP&aWfBOw!zGHN+s3&@qRwjgW%mURy>_@x!o85rBMcEMO@G z;M)sN%ctd8C%ncemDjl4eixRY%@oU-M4w@+X(1gHt-6= z$uvt>RI8xcw7rf64oBfSCRJM6k_gCMwXQX~3Oc!@LrPGFk88vt!rAPk6)L7TpZNHh zk34MLY+9~Fl6LKGO0vBL7QY5m%2z}DsQ9XF&RT03)`ts%B6YOr@LORzxafMScv`I7 zjy8g_2~P`1*{*v^ygl52N}y#>AhhFrkuTopE@b`29gnzLbg7$}-to7aN|gH~r6NOs zyOK1jAF@2Uk~1vwkrUr0ZHdcL5_x^TTlo6=)=8Ns;Dn84#2CLnnb5op=Mr@>Sp+qB?s7OIPo{nV*IL|7yt;nsE+vVWAC(I!e0m;_RqjVAex1;D^$nRJ}F*BI@lC}+2^&~}o8 zqsDj*_1@8bYD7|{fu!g@P0itTXh|Vk?z~ab*#rVrwL;7ITX2kMxwN4$HLsTIRUJ+* zH#Qs~;_v=ZFnx!*6Niok7R~e0VS2Idn#)y6RgA#A>r3_YL;Q9I!vikRRbSbpnvYHo z^rsardKZk4k8U>+jpTZu|KKB4bPrOot7&rf(#|mq#QzmA{L|W5X4tiCZA!RlD_Lfk zXZ}5Qq?H7ugw8Ix0D~5(@&cB4bm@SX&q3jADla7G2iM$7kUlb3g7$j`pOvLHMW&6# zR|ZHsvJC=8%c;~upncMp=J+e|aAIM|V^ zP6AP&L11ODcHjunsarrwoHOedc=tA@r~77!m-Pkq=tg!~`6?}XY+aR!UjBKv)2q({ zY&pmmUVm%53lzn=01_!TwH-TIgek=H4x?l>(p(8B-&z_?&PNJwx)hCeSO>u6nc?z& zw~~LoO^{yHbLMxhXGT!@F0}v4ir~nm0{4>eS)k<#oO29twK?0>+#_$x4>CZ1LAC+u z{U8&?z=tgtF2INg*n!voz}eVaWMeE#+t!!~IptS4QwR<$NN>TJm!l^6>RJh&=F1}- z!Z={C<%p+qBb2^`;pWu6It!DN-_C{E(9rM#NW(S@YPm>}Q;@AYDq3dHB-6B-PsL{> zkXB<9XcK+A>}xP<%}ZXrk}=}8>l|xve8j`4mt{39mtK@=k|IgIP{Xd7lD3pqxIwPGajc7)MLYW{<`ux=qkC{9X$03{!? zp~z3(Cbvv5z4Z71SNUb5NO`eWEs76NKaE=lFpX zUouYfoRkk2XcFm;2FH^J*Ij|~2>t*GJ3D|r?|YzLF6PaokSXj^z1UP34KYoP_Yvke zxdkH+5({Cp)Iz;Q}q&*Tc~miBPUER4UcSk?JEB zexd69q|~J#KfkG+vqpiNq-N!#zP>!-W`bw@;^hC?G+gk?xJ z{M6TCR6zBs8AO}}?*6E$BBVL6BClvW&UhT6K7Um??bc{+M1Af()kx1LGRf^8U$``j zTCvqW8$Y>;(gFEJH?-@D9ez&1O5F2O+@;J;*4NzPY!oG7pARI`2+RGJtT-t2j;88C z-H)$l&X}F)t~#K;uqa~7U%4xZayuw@vnBB!bkr4@=WjNZMeKmt>AV8{A0I)og*mgi z*0W3*=kb?mplmUrR@!Ui14{G?oC^B|RsS8_Rwy$xIsdqM;|3F;m0%A-%GZsRkdD?^ zye745-9L{+oLvv|2}dT`{ow3zb}mEG326Db$+Z#P-IXL3Zge0{$=Qz>8B73Zw zf57MiImY9d1aOTEGo)D;vzpgbA_5A4JEWx!HC=`?&)ajIs=S`Mkf?CknsvN&X`oRVdB`n$Ps~XyNH9}*znfcDJ_+jXd9QV4tJbd*l6^Zz{y`ar(77iuIULvX zwqXS6Am^KiKZ}SOz&<*;R2(=i_%Hg)8AiZYd(w386aW-~3vnP8m4&t?1f=@WhAe+< z1ChF(7$Xk1p4o(Sf)T|uk5);0a}PhN{vk86hPZ-&ln}u+q@6!6tXP8vrVy~RKIoLR zY}&t3U-FgZ3O{%<`Zoju3D9J3;(lwNf=(($*u&9g846BS2FQ_~|JK2kr3HsJ1$n)ovYN$FITD25`^@*8x3x^obegLEy?+sjx)d{a!4FJ4jcj~m1Hazh zz*B*nR}Z%`@kh1wFFo7I7FUvItd-bUPE$^0GG~@|33^Bqv#o=K@C4KZA&Bi$qKm_P z(?!rPurto7<0R#p^{7J?A6 zBA9k!m1K(MRj{5{_O%n31gX7P)`t*3<9aQ-Xwi50b_=pc?E`MK-=}9kT5lKZw+1Db zua`a|b%XUi8X+kuoM$OtKvzgV?A;0iH*frpmSViRPyOHc9PJ2L=@AeLG~DIyAT(fh zlit`c^RpEI75t84-ag$)Y+%ve$kk$On*=)wm^lK}@H2qRGcYa6>&F@~cY<03JPnY^ z&eIEk#H*UK8B(DcZL#-gxikezA55w@k(^2X`2eG|=M{-OY&Xb*_rze6X}N4He9nUd zj4@(8P+j22<${Qi4MgJE^r>4#(?&^lGQYFkD6`O9L3&@FGp+OqK}pa>BdzOpu5H&P zS(!M>zJlXz3ZE6+lv7k0AMD3sXxZz)I>%j-kC&sbswTM*{DK%kSvtBVeKiYz1H0(xVyw;xs z*~5y3dnlvTs@S#Hj4g;O`n2i+w+N{?3c1He%_v7R=O@F0;j~nc#U$vmx4;!jpQt<- zJHN;(CrKA;V=^WKm3^d*;~@wu3ns1)&N)`+Jm5PHVpTH;4?qEZ6JRgvs#emL3mj9- z1o8bBfrCGXQAVXcVdqFRUkaI-c<`;3kSLY~H9&3VnAgFC1`|4h!EKK7*o1 zdY2K+JW-iA_503OgQI*^X{aNp5VOh9$bOL(TU0 z4~aquN^3=58ob(3N(d%DPBp=q-@M_HlD=ezINlGBBRWN;5328Mq@$O9fL{KtnBuVQ z!q!w@d0(xmk>Gerc5V0T`s}e>&1B`&TYbUOMq*84!j~uAo$->b+^MoQp;g7p#c{sP zJ1;a@p!kBQc!OLt!E7BfSFO&PTQk*KZVf3Hc*xNuKRm|+-mUPb4~H~X)q;osh}fkP zysK6;C#9pU$|t&$w9CtK%=>!RAMRGMNKJ_g@418|wr7XR`>uVmc+-IHC!UF5!o@qG zpdz=kG3>AO_@5L7Qs_sKYbDGz;Za({&D~A4O*flE)A&$n#T* zali~Yds!EcbfryVlWn>jXC-6}_j4)0@F+SaN%>_A^Vxl0uvX2-$%G4S>Dtpa0+L^kr32kS>Zl-JXjGBdZjsAR(@CX3pUd`fU zyRo-c2#7ua?(~{$td=~&T^Lgm3AFw|y&Z&9LKeiGr0g4@Q4GO5E7YUi6*pP9wYe4# zPEGEiukU0xr?-tm1l5Sp1mufC;hg>o2k?5OT1xah4Gjp{Z$Z11w8}Fza5dHZC}YH0 zCxz%VAIT*wVP@Lay1<#qWt14`Ag-X(&r< zB^^^poV!Esyc}cFne(+a{$6yBfrYLvpLt9h4&=aWXjj*u2jJ@l-G*2RYS2TUm1qv+ zUOiz+Bsj-K72XUdhb%p}?t)r}@bT;{T-c60EGNuo2fhxP63kbSH+YVVf%tc3>`JNq zf=19ma-!+St5rX<~BvSY!=o=2&+W8Y_2>z1VI}Ml!Klr?~~1$tD3o; z`L`+rEmTF_I0&j4vJbMX+h>|15}ZDV-Txd(HJ$W|klPo=pU9{HQi=z*{t+T}PL9As zesl4e>b1#ThmM`D;G_YF=oyuOL>?bveaS!qOP5~p;?5Fep_Zr8tAUCKqOW&HU<1EzWqD3?1Yu# z9wuX_piu6z`x+@@HkMj4x--|*!fcMzKgK7mb%{Kwx~$8_7_6SI1QilxMY(sN2nWT` ziCLto^nuD6qYqRynzY=|k^xCz)d15yY_tUzoqYkcB-0lx#YM#u6T?bnc1#YX0~Mxz z)ckVubLYB2B~O}tOjVqoUFjW}nGJ78U!6e~5UR!F8NyQqAFxTsTw+4EmIJmBaf@WV z=+dpK;;}~tMEGsSC-aBP=xXaSY59YQfQWJvAkDBRCO$b(`%V~}Y_$PLsWrp+{xqVS z1RZW5bPI}_h3x+|>#3{sV=+44-F$-QDvZo7Nxg@D_gZb5c|~+j?k-34tWcRuy|=+* z&e4M%j-=f2xtmDLxnhoK&}xi(w>qjm_O4ETlJ8n+E1u6ZN&j_qo=$#R$rt)iBwvGy zh^0y@$0)WX)4=%{Zp6j94IF92bA}s^njOUx+N#Wa>J1PXF2Y__5&wke>2@smJ8N%M zU=hE>EA~*g_O}Hhycw82g@>sblRe9J=g%LVTfGBau4BY1WXkiQQ;?BDuiqVqx4$Teu6vq-UdC;GDA3JZ3%L@h|ND{+H2AV1p?KZTz>jyCkLvvuw1uoFY-rZD ztI9f0+$eHb?NR-0+AgLP4*;dSui@i!XC$eQ zmG4^|V^Su&o3a#FD zu`Exvfqtavzz<+|WK!x4l?R5-BDlXnY%K-9@q6^u{K4-U<{_Xj^6tdrfZ`I%fiD^U z!*+f^pN6^Cf&?B{_}mthr5`+z<67261nRV8;(oCaH`huZe707S;6F;oIR>1KTf`KS z$3_Nhs1-)$?dzu6;ljJK#P00{7H^WrD-^V!DH97f37xyGCdKJ8Gh%f)n^zRk4t$%2fF&rmi>sY_3^Jh_jH zQ@gCY_WI+w->=S8NQX59v{xu1ximEAx6j?7BoQ}A@EBgQjd^gaiOZQtSbRE`0 zq8yvC)|uq=XQ^OyM$f@o?;3M`&->{N^GtqR6Fp&y(ouN-rFuYHEzgGX8MJ7o3+$o=Jx; zb($@3Kw*tStnazVoq7vauKEMt08g*RGOy;GcPttV)ws&wOEvar90Vs{VNFdc55cK8 zm{|BNSHEMi$W|%c)TZ0%N{8Ls;f<3oZruxAqvKrhJ3bO)lC? zLl3;deGU>H2|eW~jrUU$Jwe?h5$RGOJ55wRbu^ggk}Q zk8utA*w+EnP@A`J&K}{L1;~!yL}-zL2>O8d=OebxWT$$Wgz@Uh{U{3EwhkJE0Al!r zJ>RKSuH8Dllv0h5QJ|c3a;*mT()@hWxEc|QHZb**;R#x8puuMvp;3tgGq;6O`n(bM z8OVSU)sXaV|iIdFQydF+1zOb^nHa!Tu+qoqhWtpmCfVD$Z&2#n_ zhrcgPbm$GWEo`>G6Ckq7F>>G>ewaIncbMk1j?Z-IQlz%Zd`VLu%`I5V*z(cuNNWds z^VlUHqub<0?I7cu({un~yy8U9o}}@e%0Kbt`C?ltp%j`=PqxML6Zm7ZW^x8$lX(;2lP~0zJlT5 zD$0babWZcvo3@bi{f0)0l z7=TJhHz?Ab(q~?%kNCcO@3YVM{bO$*ajpBlt{G#FF(#bxkdtkD;mwmA!Wo#Yh^9@6 zmc9a^DZj_s&ABU=3T&@`G=X;Qn)-%XMJIH&_p39vfBL-+Vl@9*#8-UM*? z$8W$1)exv30OLTra&HPcd4z6;*D*;vu^Q`utLD>H9{B#!#?~Pv!J>fCtJ^Fy;ty{l8y?j-ukxAF<%oNSs z^E@TiY^~>f>(+3irOthFp_^f1;a6PqW?B75Ko$^l4}w`4Kb%I|CR9 zT~1`WD(qqwYvPVNjN`j%^-jePTSG>mS-jHcOZj~IhK?UYim?QY7N3JaxoWE8H2u=WqLZU0C>%?2V3JLpW!G1Zmp*CwI+BPY zU{6J{Q5_4l%aE3O%Fb|~yW!nsMOUbhM1frLIY?)&crkI$tADtd&N%PVlRYeBNN%!N zLd4YxQAN;$ECmLR9@I4Ev_Zz#+q^hGEniT*$*V=j~K0=LO3qo%gB1}CHfwUFXO0sj~ z_?tQF45jqd1?ophN_gBLGbtFqu2lu$TUmC=#fEjbGxe5fioI4tpTfQkZ*UKv3z1>e z=ssg;X!vaU2T<#19^#U>4pB9?{!(N%QO?Lxr{aEGOsk7*z^)nBAbH>w$)7cVXk)SO zpkVZ{^A7iCcLJ!1!e2B_6+3J!C&ow3nnl+h8;vk1rWf{b>}4Mu7~K#s$(2| zd0=qxc}SFgFl$7{h>bwX0OZr9j*}V*Vcjxj!of#>Gxtu>^WLQ6khWDL3(LI+D7vNq z_Lzp(ouL`~M@-1c;yJ~58uLJ?H3?{cY@f7Rpx}#tNw#3JVTqUAxxS96 zE+d?XoZ(qW7dW_9WJwhMxa4~qcp6BOr^*#MC%s{b(80cZ^O~q_Zbt z&e?0;hp0Z*2Z{(&TzZU$Uj|T%9b+I#9GZhfIFx%qS2t?dXArX757p}qYgq4oHH!v2 zy}D>%=-yn<)+HXMK95H9Ib>U(^w1+OkDd|N@qy~lk`4>{ko~E(yOs7nPtmo5Ug{&S zN?{7!`grrS5P4LiXjt#spzv(6-g?a~07X<8YAF_Crx4BTng;@Nt|(r>6&kaEsXq=%1bkykf($=AhFj^6}zzyO&1?=lj>pLCraIA@oR zv%D@>DeT~(l1}Tnx!Surp|=})3(9)7wT|w@esSLTbanD1`HL5w)Y)8r3dn)hTLkM^(#E;U7Kzvo(JSnq;6$=Qr4FSr33E%)>(OJzaWi=i*=ibD}{57qz)Jjznr@kwL0I+_a-3 z1pYCp%b*Gx{1*xZ9>ChI59V#9hqJJ13`1@8$@n-}38Lu%3G3zUre<^?hW8F7dT2lL z<=s(@_>_GNH~CGz95QLkh#AXlD31cjs0PH?YojE2ufGNE_u!Mh#lSRl1?JU;6%=)% zLriBT#9ZbRhVj3GRsX8Rlwpjw4mKv76_jF&XCdLs?Yw^SLWVy_HOQR|gcxGu^(dB~ z(CfK15Rk)sw7uck=xGMG#5Zn$JTG)|)K~mKShL**l5nglgz>*4m{3X8Y`(;Z&)i$> zya1?`W&jl-8ST7z#B-QBZfD;RZ$wH%wFIEsM^LYR&n@A3 z*|gM*mTJ8`+*ZP2#_sK7GJoP4=v?D^qvX;Hpx<3=6k887c3MWekAwOk*&-{acn^vcoD@U6Zl2~uSWo^B)_$~zSJw+x|3lN1>lbm zU3d{~1*D2H$adngjyWQj9eU`cqhF*;Htf4khTPn#(Fq?DnM_!y_J#P&utS9ESuNZ_ zAYCdU=xZ4=V2v=@Vm)bhJ%BhviT3wCTG({b<$q{gx99l|Oqoz-amSmgFDR$$%cqQW zh}(3^3Ui&ZqIJziDz)Z2N>g4t8=wC*miC}jxV5+%pyTx&I4_2iJAt-mUZ%{}g1YP> z2FYbGmOc;7afPUkvTbixT@1M0%{^}_SkQ12dUZ(Xr|nq+l{eBFg2aAeK_XdKd{{OI zsA1Z<=h8neh@Y>UYWHzJLz}pK$|9p%TNhWWp_4qixPlcbX$fAk{;IS-&a#)vm_@R! zTHhfu?acxJrqt`TjcFp6O*`zXQO zK<{tqjkh5kQt^bg-z)ujS%1g+2dK&3bGvEF)04^y;XIu|^(^4_06VVyj~fiK{!T1A z@Q-j(P3#w0Uz@8NBpze9eO0wqY>XRmn&%-j*|6eTUMyJl6t{CP_5e*puelH_v(rqV z>5vk#LD>cDCo5`;^bLu&iXk*O&Y^9#u&0To&><7)d6C8Nng~l>I{N+ZAcOJLd4%jvSeWcXPRpXtYfezn1{2YvvCVfjLk5VD`MuBg z&yC5f10nwTriJP@=P5#F=OV(Y!fU8w05`BFcsqJMH%myZ8hP1jI%3=ru)jrdlu8Tr zXYj_y(Nu?p#%(uyXtw7b%RU2~5yc*F;@y9M*?9R@01IX2%A;KtH1=QwW)2L53! zdwD^=Y;X;E!opA!AFOEK)tag`lwTI5C8oHAJDG%07@ocTKIj`CiE-RDL@O+0^aJy>v9 zgctJ>;yG{O&EBNp4XfgrfRRn8f{a9ofEmO;bsRyDte5ejDJX?ZD+lIvFJ`&A1Mb}1 znotNte*+hhBb(W!^rp|oV1dN z?5yn5WYuK4*?K<)mqfNlU~V)ob}uU3<(Rl}J$?)6y;I}!u@UVEqLg86Rq#ZD`NCJy zh78fVJ+%)0jY4gNQlq|y<|TU-h8=O&h@bn`FXsU&aYDbbn}}wmN2<%dQQ`3XxAE*c z$MdSCk=?7OGn~UiDCeh`?RDn?MM9E2&o8Ud>blNyX~nbWKPFw3eC zyNk*>-?BO*y7t_tuQiF2d_coX%B>h&iode{RC2y%DaO8^$g@+TNjBX|@ML|GA45jG zgNA!+vS+?aeNbq_#oT2Lj>9qL)YZiGes=rmM%U7smzX-N+=K2$WoO>Bmf@^55B?3n z9`Z$2S>d}B=kZWm@Xs(H=t()~ByY5YIMeAk#r)hYVOFgQ^B#lmT-$D$%Dr31H_mi`nNxEDa$snCq(exjvT zKjMkFPtH~y9K0Z0S6-%1)?Im}7%_y9nP0C=2EQ+VqW?VC4BP|YEnZEmW>vfWHa@AH^_SyxPcFD3)-EJ z{gr_qH^&dvA6DuF79ug&={yEK-AxO+;4MPG;>KcrS$lx8`1(nEv;B$d2Xb+3)f$@2 zN85%RGCVX1*+&-qqo{$9L;)&x3tTI6VTkGnh4eRWOXMvS8{i&Jb(R({QmUbT9r$6c z4x*0&kNaJd!^WH_fnvU)D;uUAt!BjJPu)O(_!4^jTCUl)nn~%MifMQ$gHI|*FEovv zD{1M1Nrt*qMM;{qPwdhnbZ(EqkTw0Y!=#LF142AFnnilvTTUV)^Ban>u)Gs*%ob)L zj9dx*0xK(a)a413MfGFcy`snB-L3B|Op#BpP{a<&ym({n#Z0gzAyd4fle?mKr&pFE{ITi9#PIIys^uEiYjsWtu+gdx_au~HFu$cnc!25 z3ohzqQ_WMF3#+cZV|E-%jB>ko&T+}4)!qeYDjpZ$Yd0Vm#b;glGuxnJ@%DUKYlCs$sn z+`mCJnr41~tCg;|?t(+;Y7svr&fu3qwp4KhiqcS^74#(E1}D$wV_bDtX&(*`Xdcq& zOp)w72-aKCsw-Dg$-D$ZOn|?T=e606jm1F zet{bwECKBuh2#zzf9Bz=t42uC!$mage*)eC0Rk7}hHyRNOH;kQWR>T{BSr{&&sUy= z6=OVQJK?9n8bhqM*Tf2q0S_#>d|Dt>dpEI^-F? z8l`7L)UZ?Tb;=dn&J!g8U&i?BU|IVKpg20Z-yU%KVALeU$71o;8#cgo&A{gyGP2u! zY~7%gXS81KH6WxmszX2kXBQT3C3x+pDX^xn`eI^QN1*SJ$Olul?0^kaxgTya2Jt4F z;5pB=^cXp01vJ{6EZ!mfFj)R88%{|)H8@+?s>i%yxk9vcyS&NPaHOaKmX^!!^$J+^ z(?q%jJBlp{E1t&{8(ZDS7Rl1mbrMSvi5yKCr|atL(1`UoC1;c5@K*=&aJRLY4|1}K z-A~|GJQo95L6_Pa4|F}Tc*%8T#t%nw?+4UJfgj}ez|6w9`Z4cc#$O|aqQUgMPe5eo zJTY|`Hw|TB7E*&}T@Nl^U+jz}v-9<*A~Ln-j1Ei_-|x-b%^h?XIIW>_>2C@XTB|{Y zcHa#aG=C2#N?HQ{pC>?*4z!7Q{qhtgFDS5s7WJlL97<0Qsh#v4Szvu5X$SK*cL0IEfGVs#!@&1N-QHe`55V5X zXr`OlmrBd_pZtj0RGAK>E(>|jp`;WQS_e$JimX5iW4?^W*txwc83ZM2bKGJ}f(8`? ziJG}djY-;8OHi@}*WMa-NU&-kmPXz*27z8E2&gg(dP`>7ftKsHUhIH&HfYDW#V`0jzo9#V# zQ+8jge$PL0>_gFB&9Y*#?H|EHvKn*~Xox?>_u%>kK}5!rNuK4?=5QGJiZH*cO#Eli=f&Wq=Now>=z9VWt`4~*ysL~%06{{Yj5TRdMW zoo3t>0~OVIrGDi5rb``_-iX_Qnp_e;&S^Ni&-wNzTZd95+ZHc_$X%XQ z5g3s*OJSfuU0$2!R_hd%tSS@EAyaNKqviPCmU->4{<-w*2<>a4iOTslt6^VrHqKfM zA#@ZMZ_KUl&`8H@RN`S{+imc@3+O%6cV2GFeHD|seC?bBx|iI90!(R;`j8r?ta5bq zWzSF-JShX>dnVsq%3i(s0IxPHBARqDY-})F=Dryu7SG;7hh((qOuFFOZb!O=-7e9R zAR8=Gm@ieGdK_7+%q(jAmf-t{hZ?e0%0dj_9n`>jR!Zp1H*G$T$l0DhD);+5r=va2 zF4Xj!3qXsUPuFUTfMEZ8n#TRv>699f#eXgiYF@YoHVq1AV>$z=hKpq&g@0ctLRZHO z)@(98btD}Mf@&w(3zG%s8i1z}xyfOwhpr^XW;e;{jId?Bp`P23HH z6y15g^G|op3U21>-m3tP8q-Nl^_J-&dQkR{wiEJ-^u&6O?bneTc`(t=QOb6~w@}BM z?zT$LJJWd?R^n`#nRn*btxcDvkTYns0%gbqY$JQ`j~rxH(r6LYF@&MJ5V*QEZ(f_y z?XyT)+_=A~{k7@{G3`e4gGNHpvwJQbNwinxVS7qb;20`$;1=<@GwP%x)vsi@8dM)l zvOm_6OQh~*61Ay$@%8LxtCM71M{4e3gxTkh9j@uQOGd@IB~f#2cK41Cy57lMe10u` zCUOz1%!b-IA7R&xy9;w8oqC)hjtKTL_I>N;FQ`7$3C8WM1yIRo6*;C--$~5a=`ZoN zE)y!^D^NK`WV~op6hD@8J&82T(lXL*wz`(c;q#P6-=ogKi44ZuG%7y;`RPPci}d2z z+tiwSPXv8_g@Rz%#Xu(8vlXvs9Iyr{Cpu_yY`>q6-^3iPU-j=4skz)5li>rbbE7qP z9}J?l-NZ}B-SttiC1bH)W!8QKI9hiRNTC@eTI70qEykcqp7!Oa}FJK@;Tw#k>FikRYdy|Q=*y_E86se-QwpT8UbvR+HR>N*CmJ_C}S_{4{&kaES? zNWgQ;x~BhVRmKUaxzl5E^g8yJN_R*Q#&iQATG7ieY4y^A9_6e0N`fyEO44J>i50z< z328<(zxZpuU$KSt-vvbYMC3a2-=0qHO*H_HWh<$-eRy=?VTshWhZlG*rjku()K7x!X z)@xSpF1J=_%tJ`?{-n>z9d#d1Q-+2E!6w`B4NS^T1V#VbOG%RlaIF6^Mc1uL(jSRi z3^*H!@JS3K;Sa6|AF?O;rzgQZBYEvks;yW0YZVWQ=Rc^co_NU+zB!`AUP_~iH7K;E z5x(NTY#bU_;iPzS<`l=BLePiQ#=R5nb!()NWYqiO&%Vr9;v>cNDWEMw`eHjwAVcpG z>PsxPT~pM*Uby3M{_aak-+>!o2#N3554;zI2;2EcFdyQxvA)^~JlK=2alK*Pg$~(p z%v+r^WVxp$mk9{*k#TU?V-6=aI`cl3JZADHaqO9R7K~sz^KgL=Y+uK z+7le;l;1=^JCXrI&kitO8qcqO^ua`)R$J_P&7((C`}iBpMl&iYKGMHWU_Il%c}y$s z)A2@G<>DH!Gy0k{v`|XzscTSr zj={wMzOl>^{4d>U(o5tRyjI!ic|BkoM+ByDCP2R~{2AkgIh;+wz#$R$PnxCSD|CPi#1l_A5GWH9tJiX1> z&lc;&EAqXZwL$I|TvTO>XHgFUCudI2ZQqF-ckVKYX4S7u=H1Ez+{6)pWN~R>gJ7)^ zO!K9TyISY+!<55!JEZw|(7cUh4FjtNzUv93c>aTa0*KO1qdlOCxw>-<7+&~hQl9tXzGMffoYE!EHt0Dl09+~6p~k`d}G8iyX+znidA zH3*1wCOmjlKLZ$si}0Rb7Ww5!spI+q#kGVo?-RY41Xyy9HM|HkVz!KKr8$+j#j2W~*~W>X=t?$$F53oNLG_KCM%)Dk;vj5U}w zN(QZU8%!I`O44Dz9lye^FuM ze>S02orcpBe)ok;^sj$^3oXPQf&;4Y`%Im`2jPg_D5c;sjF6BW=?IEGzt8d;l4-(t zADdTdzs?Tl6@1HZ7UErd`}`u-`YAm*Y3`t680JbTetGFl$QaC&1$(;Ws~Wb`?f0 z9f);|s*EG2&V_3#6Ow!ezh|(Zpy*H>Bm-qM6j!po4Bp_jmpp2I36KUQ4jbnVEv9JNv~w zu_PrM{@kd&*DYQjBBbH6zPJ=YM#o(xT#K1#m3Z8{3btG>_2obfy$XTlV{&7itlq;9 zlAWzi3mFGehe|Vq8B8>z`*3B9|0r(<(BP#&%yu3;i4LHO^Va>)ZGUf?1{S)?Q~WwWSk^)> zExvPbGR(p8!m(bOSk9{a3^_>ZbI}k;#`fwfKo=FCU4Wv@ttn(P1m^L;W3G(A;(k2j z!odaIZ(m{l@FVD&Q$g0HR%ky`?rt-8H|vd%HSvLnkVNu#20|H0ofx-5@Me_Ndjk?s zP`rAO4w<=uY{IhBb8}s`b<8n#uoGqg*APov0@~hXiiS0{vj!l!do}9*>_QfE|hhMoal*Qh@^sxjqHM-F{Gy&s_J^L9M^LtnQ_c=b9v|DN>M1y|xG z=$bT}U;Y7Od|~%Ao=*=G7v9=09ZyV4grmg+sSjZAuWoO83Ii`cbADFum|yfiAPBGFCEG$F@f;@?M;N~aJ0*?d%a1D*PzdYDkB1kAS_ zfjAprsSmXLxOlz|Fd5+|v;^UH-Dpdy84}7zY%=NrHRT2bt3*(<3-tHWo_&G4$0s+z zr84bLuX|Rc`zUT2=zN&~j)}iHHzUV*l2%)z!1jB2w^Bw8fQylvUoSi&&+``F-R~!F z!AVi%tvYcZc*!c*VD+F+%uZbfkk@bH9~@zltK&G=;7FO=?tw#rwVGy z25y(5O&M;rsI#1amV&Z*0+>c^AhIz+m6x)j=sPZz1gfMc@Gv)3VRJ#0Kna+-<=yzq zz@c)i^z}Lm_i#owU$nT#+RPcFlTogdqQIw%Ow}zB;|+;{7hOBW=TAOrcL%=*tmvNB zX_pbF7mg;m>DflYD4806BJaslE*xJAHpS|`)W+))DBGM3{72ORQci)wu+}XB+NOj$ z@|eRHgF@%qtsy-tw)t0-uM^3<7k(8|0%*6`8$W}J(_hChdz5}ameqs?djd|EP15w- zG7rI}Qe~PwSy$g-IIwmg=v$VN`H~x>&*C1XZk#)4^{m_`_d#{5IgA%mU_xCxHr#gY zelEyJI}HMvZ$KPWa1veHqKBhgWlal@X@5jr=Sne?9?hvVhm4H=4=Za)fn`8rE(gAe zC7M1lI}bdlT}hJ+EOKZ0+~jig(L&=x8l{`ye9{4a2-NQ-bk4dJK7An5oa=nN+ug!e z!tz>l@L19FB}{v(#3|deF)dDD=Jx1b$e(JS{j}HA)RSj1gEHG98J6_|%n3ey@s82j zI-q|}Ye+J5*Ms1HZ}mIO{WF(C6S~`FUg{yeU|?kd{^M$p36w|xfw!x`J`|oQ@TUOr zXL{UXP7Hr3Xw4fz0d5YSP`kQ_(-%wdF|-K@*h<)3C=_#S-*6cpqqC|J$h{)?9{<>L z-Pb}th=jw?R5mku2V;I-te>n0Xd+u+mZnwa;U49MZweYWXS0S_IiK4?HlEn z$Mi7hC9^>=(FpF`bf#UsZgUFaVDGhOuynsKiR`;X_;%P@%&^ldnRS|HDR9e6mk+b; zy+|L|7wk(Z*+zOw8TV91kM_3oGxb^uac1Fc6r^FEV2LueJH+T(qX)3rtOH)_oEy!o zJA5edbZV#Li+yIsglhmVCyL7e>-r_g`T^bZLnO|1VxYb|dmY?&Z zRqAL@6JL4&!|B#c!^EK1HdiWc_QO@IM{fw%6-zju<(Nr@bY&iY7x?Az2|G zd>M=^2K*o~iXNA@-}5j3-ku{k>vH(W;X+jJpMo5z1ExSJAW-(!L07wIWi&aGJY(h+ z_|ggiA0DRWYNcY`_=LI6YT+Az#?U5EVtDs~H#y{$W4+G0c>airkX>M1{g9n>2t)yg zdk1yPBCmjj0kz9BrZ2F+#X~xuJOxt>9PxX(7M(4;1$JG~{zodIZ9^Opt#JyB@udR_ ziO6jm^@#m#oc%!LD~kRCt!+U)s*~Z4wl-wD7}PHD!IB`oMI~d23iZ z(E{WIxA&HmxLeFLhxBM{;DF}2u~=o^Kl6%mHgYsD?)(5{&QU=y#Jpu}d~ zy3n^H)$BcSeDWSCvv9eB(lMdImCg#}EKN{Cd`z=$zlb$1`w5dCkdL{lXSU^vUsda` z`jzkqZfY4E9oSNo!aPxx~y}&F#2k+{vTg1PyL?h(x%bihrV~tq`?ez00 z7UQ`j8Isk7v8TMxtiab-(ONr<|N3lmJ}7w`2diPIduWZ@c>m?x^xJT6Jz>^slsyTU zY*f8R8+qhdYkEFXM1ax2gDK4y<{1kTRQp8ussh?H>@35jD=qa^BQd#dpsJVm4)eJE zeiO2iwU8hOv8;LJikq2T z>VJ@R{ty(fI3m2;a0B+{#m-<25p9@LT0nhF`r)X)V!tnNZ|73ZFs_M#;~TGrVmMxI z!z=*s3hjX^6CV`kF`R3Vdlm3*gFUgC3}c7+DiC3`;4OdA^&IyiXB29Kwsp*0!~Q4? z)$`%IHswf*gkOjgqB+xE&b8nD${^4Zg9VWLNFg=Vuuvf6-Rm7GVxZ~w>sJzq?SSx< zIb|^0Q7i$ZRJ1?^mUNC#;(Gr)FCJvCeD-eC=1SPJ$ z-!;~p{M6_vys5L;{;9VhrnYHG?Y_;z^OBg5oj%nU{_W=0n`2!_7b^stC5_gbQjPDf zL9wV+cKj3R~ z%y9}Sm4TF71soJQeVU)43NZ#}H(`rsK=&{zy*8f>F)6-_roeS+3p+aU=~w}*6n;yp zq@tuh^5xas`bnMDOzL8M%z~XoA*^@1PzpXlZ<8gBL%+gA!vbPSRF?j5?T76?Ey(s3xuI$jm+4gjB$XmL3Vfp6ablUgby(d4XtDw6ptWl zzyJA#u#=dVE+lt-I>1qds0bWa6wIz$$_;_d=SM{mt=W|)_oe`5Kz*WPpEt3~f$OFc z54NZ5ryXrK zA#ZkoueQ17lFBml8WW(Xbf{P_mRW|aNBZEjF28S@PPq-HJPXi`L*qSwX$03jBm)By z&5b7=`lkO@zRZic>esdDbECHTGhfWLOwd|-Z>zk3OM z^s~{W1nP6d+(U8zhKx|9$d?L^6A0f_18(T}KDVb)Tcwa!=|J8+$rWRxdnT6eo({~k zJ}UuJq^NJ{Hp&^-fdv|2GtHG)aoj->?&R#C4ltlo<-_Ir*q z6sKQxu3u2QxI+vn68`652vNodPEx`w?n7Av9Rp^ds zC7m_1rIeTtX@(*2t21#e)TEqik)jCD6;wzyLVmW66{H+(Y=KN)Rgwb7(G0xyd7;k_ zA*-GUzBtX*E@)US05K!oOmpbi!baDE;W{%n_R`E#9nL4_92v?iNM9Ijt2Bl{@I9sb zXoNB)&gQ7}M(G1(tG)JgziW>m&hjOvgZQ@p!)W*t9EKpT2&98%4S5U!_y)+vj}TCC zqOF`{n$K4L6u{?`cIa7iB_6DE_=S37r76xpFJu`uPtyg%>kt7NS+|y`lPjvL;hKxIp!kmy}fys zwSRAY_*E*hUSZWaYoK&IHM|X&_2+uvJK+@d3p$JCqzo{ty0@1u`KidBZ7j{Cn#MRJ<6eB1@;0lj0u-t-BvyW z=Q>5kALBjN4x8}+(dF06h>pHZBcGt)3)4(cFc-2%JmkSC5uYJ90U~f7(sj0gH}p}x z(&FqRv(SND91irM28?cC$oBU5Gt}ZTDv5Y*xUGKMzd0;&Oe2Fe#P_{Eplx7=CVo_> zFlWl{#iyblrv)|z-(!jnMbryR5&tVutRc1!T7x{W2@xB+ra6VtqB$EcMj^Fkt*2`E zGd>nH!VswnH4MMuxOAKIlAL)@dgCwdM^XA1*n81@qSwngogA3?=%{*aE;+b1#A@`a zp6!R-Fke$XoC#Yktisz#Bw`Ra8}sL?4$$|l7Jb6}RZrlI3FoI{LF_0ILyf@wD%rcY z7i)|WlMahJ1it$k56?uKoxu^HAAN|UFZ`)!IF;~9`&4knDqFV&vID!eK9Ir};ZTaP znbn<~yJK~CCxu8kR2_W;^UOAHcbC@LiSxAtBAzig(>%=Mv7k|5{R#kKq=Iip(B8v1 zCGsOLZJ;Y$1drlQ;OaHzrS2SUO}7FCf|JY$1qc?4?Q~s6*u}xgXV2(sU~5hQ1eOD> z{c2JXCq)fh6|kYhFd)LDLbPOeYrtq5(`#Tzjf1R$;vJpiWOAm)Y6q1z^!G{=c6}WLxbqha$0AndLM}P3CJr}Yt1zAik^e6?23h6&z6mey(dt`F%DiF~+ z!T)%_@rKb?!3&5)xfNTnJfzd>-P#PtR{kU<_>*@iI(-#M(x%?}+<5+<;4N`JfGG8( z%3vt=&*geTJ{s_w3jl=*uLvzZzryd|eTyE!6y|`2);7{0nH6-$B~TL|whDcL-%f*d zf&lz1s;~|EuRDR0NBAb8Q?-%7JmuA!%qer8yJ2JwexL(6=?cjme6Ce&fdhB1Jo2j0 zV21`a64_5Fc7nT12J|l+=^nYM3K4~tgj6hcpN^{mLgi+616utS@CDE+v^VLgqZvq; zt{mvAFn?|x)}h2Ch~x9%Hhc}+B(yTG%9Vffa}p`o1MW!RiZOn| zs6Y zKGGgkL)~I>SPDQ3@d*1Z5Dxl!5>7)dz=LkV$T8y- zg*g3|Q{4a4bN#>k$JqDqZ+zOzPP_F&4Icn#az$XTJ*=+5T!iaiksZhWR{;N)Pw>C` zj(^_GZRA(|&PJu+@Yb)!$As+!Yr+En4RAlGtd;vGk(1E)U=uIDn$4XBb{ehBM}fZq z?LV33Km3HPriLuUZuP0)>h|wZ#p4Y%tp=dJ)MBbdjuwr-m!DRtLgi)WFfa_uPfwv8 z4}11={P{qveMidaBc3t+j-3Awhn^;CM{n=3lV+NK@9^y+fT5-#aCOfAxCAJH!ap0F z|1(nJ6%W5%8l~7ru>7(=316E=}Z{psI8bLNMtR_5; z#yvLMe_IT}4*2v_l*y)3%zQ*1voA=wa02FL3gY_`9HKfk<68l6Jx`eFWm z^9#_eK18wxqt+ZqkB8Q@-~`G4muF`OzCULMER@$Oamg(K;}OK&>IAeHH9F1`sG=1# zZ>vYc8&ruzI(C@EN$I|pAkU{NQc(W=EdZiMW^=HkO~e(j-QYC_+)hET@Fa7Vo0uiv9?#EKuX5^H3FEoz)>%Smj zZ*53aeClWJc>w+55InPkGd6!cGMbEXpdwl<&wht%CIEV=u!*jM6H37tu5oZ|LpUs# zTFTyGZHm+77y5t)lb@zj)B&CD9tvkzf|df-DdqovGab7koQple1KLRKpoC1rxOZ26 zk~;u?4iE-E{gq6BGeAf!2_sQQ*eBl(^}|6YWUej*CM{;oNsk}%=!n_6t0-j=^3y8) zfS}k8MItH@9AS;yBXgBBmcQ=wpY!xz{$z{b^9UA6F?6R``+zpZrVKKU^Dp6pvfH*5 z7;ihRS(<%d!V=hRT}hs2-CqH|(=aI(0)I{MKChkpy27+in7ri)Xe>MPK7$I+5VmY( z!qS*Fn8RG4U{(LC(ENR-wqLma{QtdE>_g*13@z6oZFP9L|9#lpN+|2&0D{PJ`+K<| z$4az`-W|XnlT@(gu>ctAlenU{72uKQU3FomInm=f1-C2dmBf>s5GI56D7{8RM%dST zmqkL%D(e2e69Eu#82-ae-4@M$AMD*Ipq#=g{^@VfJK|?8FS$ZQm57htBWp5B%}M>Z z6L4-cAYjlv5t#V%s~!p9(KYzqeO*BcJRMkBvXBi%)P+&nFnof58XgJDmK;AF|A5A* zv&{M6N&;r~zKAsxrxR>_iO_U})}<`GWzlT_PayG;m!ad(L*;`@bJzK&Jmehz;bA<2KCVnlhB)fu z_F;y1%AUR+?&JX9+O2PBh+GGDZMhB%M8WAR5WX>w+>3r5WL9AUjZ;ffiWm+R<4)Kj zqO+4Qw|y-x+%gM3sFpv5)=j+_D6mCf=Y`@-+R!eRlWnl-%Lq{ybvTF*uzEw^h9)i4 zIs0Ie0ha8)-wT{O>?I6PP&p7v$+31!4XM~0*Ds(^0Td3@$eQ&AM1iL zG@}gxWIEAkj*bA{TYzhzT8WFpChdD>#LEo_ z(G+ZBUz8XK-w>Mr);4b_C;6RT3}1bMEu6z#LWM4#QJ^XJR>u#{rir3x)=r;P}4VUTmOg zrkI0%-TM0KO859zzdlV_Xj2gU;b9C;WJvNrmT6#lw0O9U$Rd0DNWuAGQPbRLn-! zmw9>?!3KJHX`f5CWia6SWeD^4kLn6=oh$(DQG+g?Q^A@Czmzb`-8XxRtRK7mwQvgI z`x(eF)_kSGr&l}Bspm5wIUp*%c;iDFBBNwwxAHix{(0retoARXBa0#iEezF|6nX(EM&_ z>a&F-ovl-lCnHGDU=8|38vijo-}V)^zk2xYa97ZldqXMk0Izsw;u*aW`4gVU@*q}b z@Qc&YyRob&<31ow{Odf)V9<(dm-%6X3r~3z5~Rc54Z#vMcylCIvR6$I1;k;ptG&t6 ztzaAeW0WJoC0nk*+9@n@!gTwiSDJ;OeMe}pfCZm^V)R912^3n4V)hBhmLxY8R_JL# zN=b!r@>XmH+#f7WZJj@$`QQAjW{9e7U|MA{*;SC|zUtUo$iL2a$5>rg#As-xD+1O% zWP=R~xQ=RygvR^j!(h+}vO+BZJ1)aI!sO?=(SL&EUtjXq$-&)(hoN!u!{cOByq`yp zgWY+)z>(m52Kw>lbbYj+^}dOID5)Y9ra-!NQKp2L z$=XX|b8W5#in=>_XRedHCN*3oGCEDZ{ezrGF}&gZ$yV9ESRMDAZ2DuGhTz$f4;kyQ zic0nk1x9hl6lz5cute{@ix#xi7S;jQ8%6>>xaZdnZ!2Ux5E{I)4Ap?R|n5_8c8_)ram&w%)^%q8|w;C7=EV7txX0 zjWPbV4C%!J$a175#<0KiGqCF6PN=e$pi)u?oC-<9n{r3o!Qn;Glaqud2b*VQ%`-d} z@3HMMQdLQA-HUT+f#q^VE7L|(u!97y(sdxn$|sx}6976+7EHkclGdOs$zGlt5+6GW z3HoBf3%DwIAW#4hSvR3jG|`&y{3ruvs@h+?u1oXdVgb4xpLYMd*s%QtY`y>6@mQ!8 zEg+nc(&xhx);~?J9E1Wpo2FsdeR2w(1Rp;e?e?dMCGm!x&An*9LgRkAuOHzgn1cZW zSmqQ^<;F?LO@RB2L)_;{5JzN1T33+P?iYe8AacX->A}UxZnJ2QmBb@Irc?8K_$^p< zb4^uy9zd&`4R$~x7O-BS1MukSdW-c|&-JGW8FzL7c}{!W=PbA-pI3z!&P<>U6T0pT zs;Fb3et)wZkGKuMup#rwGN2izf+_)leUDn#0J3X`k>mtSUmZuAaud<+E>XR2@n&KJ zA+m0r?r^YLa?RAWvJB7lh{7lrMcl(pGe8sQ0NSi2qGTatvQFh&3sf}_0q;hFO|@g2 zLEsg@Ax?Jo0;RaoN~aR21m>Vg{6xl4-xhK6>n%n7S3bv&0&{Fc%zpM)7kf70gN1B% zbU@ep);eb<-`^*2>#-8hvhF&Xpz(DEmL<+1%Hc$WUG2G>L4GZ~s5k@X>T}Lz(P?u2 zG(DgOsAW*H4TIf{ChJF#~qf22Ha<+&P8J+6)}_RvT< zV3g0bP)J2v2ub@rb;&uqE-oC&;VT&KfX$zl;CTL-Y;GflZJM7js?C9kq&P2CL-J*RI%Me`K*4M}dd3#*A4Y;ZFhz^Z(^GgZkO{;ZL@RJ3 zJX@#}$iRl(U1u?8$yQ#sA3+aY`SE-PwrM4_T+xSbPj7kBT>6u zHSp_g+*U)gsZ^=dZI}`yH2|7IoVSvjQWt6vEf3Z#fWrYVTub-kHdNa(v^U`opHSg; zv|$d`&*j0I`$J5~mNOiZLo>{VGMo$|ajfM~=t8+)X%1f^CR`QUhi2Z$+gB%09or8) ztSJI^*yYs#<=#k9DWK9Fh)xQM+srn%ZfFy9KqI&a-V`foXNbWAxB>Y;z?0OhnM0DJ zL=9kDX2GD2$aEF917^c6zdCyfE|~pigZoECz^;7{r_O05a;f$8L%SC#`RJII(6qxa z0X}9YIWzJ0Z;pLJg5Cftyn#v7q8M4ovH8!)Q8LtfT8^2%(X#Qxo`%k50;alTIftp^ zENXA@pl9BYUSC4g6H0cz#j~Vca*2dA5ZpdMEA+Sc9{?OE(G=kr&W z90CCN0dqA@^=mi-X*3lW22zF3kl6|GaXz1On);FI6}4Y`ZxmtkcNT@e^92yuV`B}{nPL~$9=C2sKzU=zTe z+Ef7AOsK1FaYPxzEj{5sViFo8q*n0QEUn-@4Nt;mGP%Z#-1?XIEbcbCt*PW|;E$bT zCLwkMXuXGt)4$S<3xnf=vhjE5UG^v{dwn~{5ow4l1~zo?ZX=l_u%Fv=SI~t_QcE>` zs^fU2;`ppm^!z)|eTzCgwCB%_+URHmRdN}|)WDPi|8gg32nZy~ldFx+x>kN{~r zA`$u((z+l!RgSfAp~IXhq2w47JqD(~O7Y$3h!FwF(ON3qOU9qhG*WhKUl^yRY`rW$ z!VX|%I&PwDbHfn`7M-AMGz5iw-6`s(OJ4ZxEPK?Ip4PhBN>{I>3wI8&;Q4QS;IDZA zWVD8F+5}m8eW)m6zwo3DCZt2lOR?r)S&ad-D%i<|(#hUoAcF-|XS7r%xvcFMj&XwB}S$bJME}^r+(-2Lc+U zuBg$kZ2j26KS@>x;H)=&+M>Cgwa%% zI;78WW=5BQe=>eZCyE}fYh6OY!^22)lCxWaG1zCd&}nLtK{4U36!eu@Fs}{kd~HU( z1}ac}WHt@<3Ws~Y3tDtEq0QmRKnJY3aFK#jUQ)x`!*jK>HwEO$wQ;LIVkJ2rSwZ~? z9QEgZ(MN*Pp|W(w3&1d_8215>E}Zw}#c)N?5s(#)#D$wf+*n=-=Q&#ryMhWH0{N*I zvgfEDH5>+^{tp=UVi2fUz?Svp_pxvd^AKtT<}`NqPBvY1Rsnu!3=5%RDVn;9SF?yI zeRBtYUYC1;tq!jVX%4GD1dt$mX5q|Vf~WGbEz*706sNiDl6Yo@i+{c_5Xv-jj19oi zF_YPKJk!~Gm~eLhE!l*6eR0LA6cFx<`J5M!s2_|lPH+tCExoH=vhYZ6OPwk-ViL7A z)TJ%t*Z{mKQpr8_T!It=vpmuyhso|kg0`;Ms3dTuIfaecFC~$KrKBV2u<{o%J|;_f zB8XShX)kDr#ySFeXX>AO3w5SzWFDBJxtrcXm1Fs^s|S2$BBren>P?+x3sv8;MOOX& zMmHb>r$-Q9Huf-E`?epmJ6zeN&v!6C?VUwQRq8iOvl}ySL@;7EL9dMj2eo4N2W`gj zD4$o(2J2B{#Dzm!aq}*bke(aWQr6%@*RFnoqVDOm?u$ShvsJvFAvk;$8uJXTDG+*uc2t+b6{r--3`uu0*0R`Qz*z+xO)T)Xc&Hx!YvLz~+8m~}0i zL-+-pxIi0rscEidu`EHHHGolZtU}#Os2nYoB>w(&@AAkAJb#ZzYs}P!y3T|IqXsvD z*p>tQ#Z@zbx0lK`R+8t0ow~E%(o0d|$Qrb7N%?zK^eMA~gQzKF=u}{2e=bn}%|pSy zLEHwP>{mCocVyV|^m0URv#NVisu%N*Uso`i%6v^|Fak9mH-rg88LnSA%g=l6h0j|u z|1euxyufhmbTs6)_oN)!P}<5or5!*8q!{C~HFLghNDX)QOZC9!z>uj92*u~)(?ERf zVj73xd^7|-O61~^%a}y)p9?>7r##hoF2&~nt=a?Axnd!FB%&jo4d(4APQ8mMY_&f+ zf{zI#XS@NdP2qMIS__{i25xPqW;17>fvZF|o2cqchnFKvD#RGI0O+J17K2La$Bpv- zZ52Cf$npQf*n5C;y}$qC2=Oi>y(P&^A=%kmvRBC76j|AOj}Vy^vLz$3rR=0cW@a|Y z9@(4!{py_a`E)+l_kUf#>vGQZKAjTYujli=@5j3R;b+2+Ns7!jR_}ZqZlS_e`ShTl zOHSWGVspI6yBe52KViL16@OTL zoAXA~Uk8Dlp}}EQ5p8_b@ZNb2rlNA_M+d>BIx(!g(}l|ExrL8@o4|Tj8PiZF@S+fe zsgZG>oBQ2e!>01{3w=k`pK11}JlCc^bz~n-XL|ZUH{rCnJJ{q+mCehU$?YzpIG}Ar@6fWK@TvgXuXr>jJ5=ox%9Dn}C^nAG?^kSrr zq9SuOs_Dmw>T!`cf)4c3xz~P;RKgy7Nr&L* zYjBD;ruN>9afZnPy4bW;EgPRiLD#~TtNME|f*}FMN*9g2?i7E7Cba<8>iQQ3`ZYRD z{nwg=oJvlXbYj<0bLPzlFnIXqYpQs`*12T62Efp!Q>bswNgQbbN8=bpdhMMY0SKgq z@x27VXX6#3=??EYu`M_?I*z=$j&rGD>U7pS46+C&@DHcHVPiBP5I97@75Q4;iWE{q zD;_AQ;hZcELJFKf#v@d1IBCkui6W4jUil^T4d2#^FmC}_d!Fq442(n5R;!OFZ0-7$ z18_ASEx5giE9cpSaZAuq7L(EV@p#D^icx5->3j-CG!#FMdmAnOG{&>pnqnEBZ+)O{ zS=8}SD10}CV(`jdEm#(NQPi&#Sw^wlwoiFh?Vx%GT<*=y=c1 zo(jrMZ20i-K5XHl9A^Lad`i`IJ`QnrhKYV&nZ=jDi$MN*ZEKV8EY`UAB$ z4PS@!?s7n|c$DgjJSkiyN;yEO~PB2Z;^y-|q?%PP&K_AH0 z)>zruXza)bq=%F3qHrDA{(&VpqB33EUgB1!dmef3Lrgp8DDNFkX1G7?luQKL3~PM(If{DqkgS3cPhm&8suQG;)H+G4~zFr`cv>`(il^{(y{vG!6;c^ zyk+>|0!mf=-HWv1FAXV5jsg3Ks??l-&f3n*>%5L~Y>_5=l5RsQGX5iL?1dq<;Q^a+ zQ3`-++F-CrHvQP4Sm`J6hMZ}dO@Yt2;0KhsRH$Lzsg7Jdn8DDNRv-ilfWO~{_V~p< zczAR^xx^Y@$O3afEfqiks*I~vB_j71b`;jR4=Of22IU2A75&!kYE!^^DV^5qBQu#; zZc+R*313!EqXhd_zXGirRC|_L7Bje+dRzLy_gU-kH)YpBwv+EX;=kIOI_ zG%)kMhFiE}HW#rlrX6oGjQct=w=pY`n&<&z+qfjxUw&K6cryb}u&4%Ww&$o;P%aA8 za?9A?7bgSOUeOFkd>fy#V+Ckh>W=ZM&O=Y=;Zhoor@5~S=Su7p{Jr|=&tdvEsGbfW z7!JA)%$v}kmQ8uI=#e2$rx*uf%Sa$%j|a*63f#S%Tz~%2^kN(bFr7g#T6X&;swwN9)w#+uGYUrPpF#NHI1N2SL1DrbPVA6IkqGiV$@$fqX`Gy_UZ@AYfE?>xH%D zOE65iyipUkMqRb~Ui#{{_mcxvqiN_wv_wjiOUxU*9gKh48i3Pfz_<~&)d0iREuu4X zgC#G|v%e~?h9R~UL2?-id_jA)w_g-3VRK;>@RU}+*kW2cOBGB@ZnfOI0pY{TBX%MhkJt` z7I$C)HFj0>yFh2f(ym)nQv<aK$cSUR#{-4-kgQ|!X|~Z-l)C2 zbvcqi*S9o3ZTAMHqLeReDwN{SJL_L?&dzi9`LPJ37YbRyE!@A^^X7_i;B@JJCY?(p zVuYZ|nDOa9<#V*9Ia?>SL%4Q(hY-GOH~*T{5rb2fx@p?Zh7#g5x;Ql}HnufuQnTD> z&o5$cx6^$7r0@T1ii~kKkFuv%A0jK(lmwT{i|;y6{Z&YABMPmU^&UQ;&7T1*bo;7u z^&d_1Q$2q@6l2X+zi!HtA8S_?5)9TYd?8UzsX=_5TBjWIH7wRDjQhwEtxQWa)Rte2 zUw@k-2sX3^1hp><3@E=d@S+&HSt#}q{G(TIQeI0(!fLYFCQdVuI8Ye# zEPCRw>~lCJoglWdQFiL4K+`|^GqH3td_><3V_Buwjtfep3e*>GAS%z@UvZ5SL0e(z zaRWXnvinT9`*6hYLEsile5Rmh(XaDBN4vpRyFrLRSQ#QpDF#26C)o5Ab|hQGm3&Sm zL#>$-%sO~D>PiKJSK&au%6k9;$LFOT(&Mr6&~LN5NQ%Ic%;|D;=`@bwH8PysQAlc5 z`VtT@KJs|w>rY;nC9MIP0#N-Ag62#3sJ8!_dQKxo;8WkDMMI^~K?rDzcGamm&Cx^% zij-O|<(kH(k^KxKew=^@sj0vbf%;%8$gYaUdq;rhZvD+1v{#N8XJxuT@DCR4(k6Us zr=(%jlFFco!SD$yArq`vL%rNea> zuW)#`IkHcv>mXu(zCQ|LnT8+%Q+WKP6T!Z4&cJQ2ZqKC8*ZMBbxu!^9agRZeg)HBA zr)S*2zO&bDSny-FAPOF;joOo3@1xZI#k(1=TCR>(n}coB6d_*#yBGeR`1sj7?!vl|2`6bJpO+*H0d;r(;>Pf zDqm`T_ibP9AuOT$=A0k|9wIE^O-hD8!1id>1{>2{o_nfoGo5Lx*0zeQNB|)`2kcg% zHCVglVIU>7HC?LQKo-)Jk$Zqe89yfr_1baxGS!UyqA_m{gQ4!VQz*6IOCE_;HfYux zM1UA{I7R;HE&{y+*bGDFkEJnn)^2AQqlOTj!jNY_82SV;jbXU(vm!gfMpxnZ<&}c} zjn8-+D(Z&w9twj3nmFNur84|xXr1SkLi;m9s1~%9t7zDQZ_U>u>1a#g{nlv7LBQ3$ zCQ+zFsG`Z{#F~Q#);N_+Vl4dVJD6uc>X-&GX@l|O;MPs%#;v5`+j9mBsI$l+xOkN8 z68b5o85gV72?UEYR4|4xK-0x%VIfi>~Jx zqOVxJtFs~RQ=}^Mvhk|gGf*|z621LJ5s@o0FD$;HL+b>MrR>~&@gn1FPs87?_MNQh z^j0(Z_8(9}hVkPReA2oPG7U})Xk!dkiBLOg>=9{eQCreSD!M4{2(}mUIt=}b18;5s z0}G;%q+c(Yi)y~%N6YP`QVBkQ60YQC=^$fV)s+li*uK6eWNz!i&D4YY(g$eGj@8QP z0l!AttxJ$EFAgaXi=FZkVv`jtL=_v0ciXFdj_$qMXxn;wlyefqdB%|hGuXJ7@3j9C zncYFnGiIWg$0YReM_0}lhCs{b7%s}(TJvovNMb8)^@r2L+|CGbs^a(+xlMPCw0x&1 z3PbeeBBK?~d#zdd0clrMvaoE&*?yI6YhKW}s{>zxg{ZtXKgicv64l1ig>KSMhE7a98-e+)KwW;$Zx zJ_!Abm#nJN2kCh!zB?4TtE5!f$JxX5ZyNHDgd@1)Mg5MOv>dQ_mInrs;n_Y_jW>u*ON|Ql^dMQVmePO2V8?zZ_!8kCt%OK$GBnygX zoxU>$ld)2x-nT)f!*358vI5%BsF=$lNH~rbOx0e>fqw@+rLc43SV%E})~oJ(TW&)m zl1OHE7qWc{^_{wJ?*+^UV2^ydJ)iF0S}MT*PS6Hvco*wMqG5&8|`5Hpbd{SHH^o{8wUo96pJT>ZPN!sa4>8@ zdC*~Xvo6ash506UOW7*GN6tJcR4YYVMZP%%@bMKj_MEZ&Df!pj;+5{X13ii6sq;zd ztBa_cytE5Jn*Is*>yXixtD7VGd6Ey@yBMU;Nl8##r_*BI%fTG423ea`fZ zSLC7hTHq58pR(1G&L)5Ge@Mg#AcBs{PTlX@JF;vQjN%U8c}WuIVc%0X<;xCcoO26h zcfHoC#>2kpR(js_=0S#vQzuXOuM%0j}O;quTUILxBMnJY{g-;_Aogu2mTX>jRxMFu?AE^kVEY zaBHte5^c}~4YpLx_76T_g)6Z`le&gbHGK@Kyp{L<=GxJaO8R$TRM&B;UY+sWTnG#x zgG`?5N&JrY8)<<>3;{fladI3QBJK+)?R;=`(L}L3su8%s(}8cH?yW4cmsJ6oOBt*4 z{RU2UgMJc~&Pi~3hLMdAK)B(X?ZQL&ef)Tv*Zhf$Gvi+gzJ70G*7ZU4CN~f ze)fHapvWIJm9TwEXfhf>4jsFekE8~?`?bxlUQSy%;_Kr+1`q9L9;0c)W zL8g}4=&jSs6`FJ9D|w{R2&RyJ*Fgv?n03M>D$aKKO_!i-;NQ=kY5*mEE|={N?~A5OU)9Bo2MMN(dGi=dgTEIjiy5ag zHGlZ;IRS5oIA#&M_h>${ZpL4C9VMhtDXU}r0P^mdtk&QkP(Br2htB)e5J)J%Xeb&mrisJ|@px=nFkrLR zNp5YR8s*6h_<`&Pt+b(pp{lSLEqMcr7wco+f@8$U4A~Wyh-LM>9T~OXMW@V4i|$4w zS>Fnhb%uUP!?m>?5X5tBN7?Ekiuf*{0SGRZihPgqH|mK5mx_aqk%!YpaBd`GYuJWB z?t>R(&=mt@i1V`&pd`LUO#~3*+krvUr5~?WZm=Q^ly*ZPWlI^b_PR6o%3#n;aRJLUYbJx|rMZJ0}5fD%!7EPw;ZJ-A$kxZ;jyJ+?g5#j^qwnXxFVsszY za!-B(mBUJnFG3pz*zK!97*@BLAig4J(S8NEnU>n$fpaIUY zdZ!M1o;PFjz3*GwmfSMP?biK36?7B2K ziOm7d(lY$Jx3|>p*&6#VY=Db)DfeZh!U( zHG+jgR%<8m*=IguGZe8CMRy@4AO<^DsK{EfgB8?8J0$J?u)IcKL%7WuyY5#s1GYEO zdXK`L4y!G;Hi1lCx7QL@K%U)SgmuACO}aZ@g$R7MS-Fi*WS?L3aJ(+NcpM-=>=jdc zLdK=;tQSld+3U{g9}?+qZJl7dJodl>l^YH}wf_>@u6hT5qw?);evkRo;H>(Qp?96e zV9{eASBQkOcY*%s#meyJc#JgFJhSxxbNOJ@5~9vS%}27?rmbaS&s6o1^{b|l0jFq% z=vb0F5B3X+7B#!g`HooD;3w_MlRtkF<(=B;B$lyX;=HJlLsV3MT!mB_1f!`st)^+(U4@4KjHL#g3@uoWW342;!Z^rb1^!o0CkJ<+(9rLcE z)W<`2i+?~skp*HH-4ltiEnINUJQy^MN1JIlZb z@s6Lu#ZnZC^2{tAkHZ_{`8#MqMHM-GvXt(HkUaTcoU;@G?5!^m?Eh9@N8`iD$dw@j zR`X~_=wW6?qPva%_}vZ$4OVIne=EEYK`45T+p_F&BSDAIhQh4;@xF;CVqdA4e=B~A zBFw;R?Y4QKSTBqaC#Xk9Q{ID$3oSn`+%FS>2f|!*_Fu{}Ex2Oz0lmb}k;dm&y*)(i z({eSQZ}Ln@EHH@16i60fExby_=cS%Z%}grWL-=lyutQ14f~{A2`=C zxGlNvhQ{dTniQmYus~$jC-PgtkJMoq!^`*yo}J8^%6kHXuioLJ;bfHy54|irD)CCJ zSsQt`Y)(;ZWGdA>@bDfsdjHuJAGU*h!?G~Hb?z9LvmU5rGyK^0{YML+Py!mDhPMyL zS12UXMA2{aJ2mpKT)8Oe;hU(Tm!>j zuzqldWK#_1dy@oT?PoBH3yF?XOo*^BmecT&|C=?w;EE(}p^dy@DZ@%?8b>;JG~ zjFt#j>w#@SjT|hR9;ElzdAbiT8IayT%s4adkDdlC=>Ja$u-CxW-vb+J(i8>(k}PS}#9MpDwrr-#Ck%N33^j!<%krCm z$EA$~95wo^iL_qZ+OWM0! zG>me$c5X2g8rF|NDp9nG^y|8Jqdmd+S)mWRzG@Q*s)UL;;CmR(UyvApNkt7~>W(xe zX-ns#5BKQ4f8$3ax4G+Wn%K?ChBicO3-ltLzI0a{DJ$sbGGj~BiG+CgJ zs74AyMnFvr*27;xX%CdH7q1cd?%wJu#1R;?vAy|lYy^KqolmNZ#L4#af~#)e<0`6| z?YbD-F=_3C?+BDsYxE%ihv|DcYc7ArPNF&yC%z^1Pq#|@aDmgc{cC9JOqy?uKYfp9 zeV4{hjw_M1BHO^s2#7PoQH?~BKW!3}UhiBBPKdb3(dfSXiW#Ndy>FW>!N5h@M6&3b zy~tdM8Ju#7(xg`Tbt?NvrBMC%vBRklC$)4j1jzF*R%ODv%^Dr%C*i%ld7l0Gib>MV z2UFPDDNf&H3o|AX31h#$h5skgy}AecqOyrTEG|Vaat^@I4`PW2M zXsGqgfV%}r*@w%rw+kbNwVO2re$NOx2VAnD2&?+X*`w| zSAvei+46WO&Z{KIP~D63@KOY9{=CY?2m6lyauWxkf1wgoX>_BggeCedw?WXoXZYFDT4qq}*qgj2=7Pp8*Z;{v?6B}IY^78<0nhUFi~;dgW->MdYecM@d!;S# z)W}@pqiB%beoDLr{d#*okN#XB)&yEI*C_8**I+i?vgrjp(OOOBDm zEc`8G;((A&3DghyYco&N&e(a{+)ktrpS@oYWng>>HG`)+vabqeP!QNP7r?h#*e9ib z5R)^LJG8C)T0L(HJ7w<7H;6+n1w+ewT-?1kY;E>9Yjraf7s$-B6^8Z3OZX6QzjDFrHRbNsO?CBdNev0L!h$0(%i$~6RXAtPY6(Sq7ooB z%oIuPTIS9d`vS_#uIrkG$=`JReX4ePeD8#dm@XLHNy9(F?s-3|Ht%(G0E%8!m*!;y zy@5U^ED@u zOsM$29i7;L5Y;}!A7KA~`2*nL22cAOL`{NIS2W{bUpMLhb%@56z(dO0-qa4_oU(I) zx6KA_Ht17p5tGhO=xm&ME?WKY_Ue`zXo+Lc1-1Fc@pmh=dLBZ!y6C#dI^sNMNS`KH zszLr&*yB6AdCit*e&79@MciPd{BN7*-*$$PxDd>R+weSGQ%_}`VA59}S@Bg1&YYJI z4Bor?K|RA2=iKyFwn|UCqV(|eooBW?Wr%WMgFV;@^NjIl)!D2wv}$&KSU1oK#D)l& z*G$L%MY)-geF?F`;N54MJFT2meY~3nLa||dwu>TOZgo9qvvnX{Utk$eg%9Yc2-MRY z$vIogo?(3Y>2K4G-MyBkp{T~|+-!k>L%L=I{RZqAZ}oJn{8IES!j@i@oPr$o@qxoO zn_wRVTIpD9Vcz7r5s@}-=+X5gz-a2DN?>_TG0l^V;~uLq08;K zWvuyCba#0{jY#p`$nbOTd-9^+&zZgB^0)!L=Fcon>{2NjORm}7YZsU9i=*Tjp0V=$6DU$uko34`f zetLe3|IU%h#2ToCIZ)*h>7ulFoT|!py;U6oxktw^W04K{3sDN*DV@M z)VbT_-)^I6kI7q!Nxsnp(Q`^(Wo=Pl;Flojm^|4p@Z5Pov`D@)HoN5Q)xY?&uhzV% zB6ZcHXiMloyVGFtNCvw8Q|!SWdhUdNJ^@ST)_GUaZI`=qJHWM*e{iepK;Y@8)jik? z_>GYC%L+SD$mMcaqkFv*p4^{6U8q@+Mhr@55nyIL%j!Dux|L?u{^Bky%Bg(n1|u{5 zZb-?aSz$x3wP3``tqSo@)ReDtc_r_{*QTi3W`G4?Ipp z8n(!>9BbSfPY&TOeJ!**5g5y$Oyyh%=U@Jl5n8aneB#w}5croJ9Z892*r>P3V9|^H z|9nJ8;u#fD8BqO58S~!J-zt^6MnZ#$e1uA2q0v&NMI84@X#CUhRa7qCl+gH}&oU8< zalsj&^qWSQyAYXvZ=%6}sC|`{=+$H6k2n{SU;3PsJI$+BeE%f+1mcN}u6P0kbr1U5 zSY}Pufyp_ZOD{QZm^}nl$6Esw%DW`U@@27F40dLEde>H$x%l5-$X{O$jXw?E#rE4v zc&TlKi|Q)5Nl`f6H@;>n;RJtJ7Zb~4VJv6vE)F1#FjC%8J$)sHnrG>j8HUmrKAk_J zT)Ez+esDQfLWYT^_?L2(R^TMrW2xJ()4LS3EGtK)IvX2V7$v=;<3NRet3@_K@`}c? zUjw$o6W|;SXg=YYKc*L-1ax57(se^vc@`Oo~eH>~0Ixolwix zxs1y4hTLSs38wwj(keq^f;U&#Lc9htpB_%Bm!9&Wn|Ag1EgA|65yrwHMARDf{Hzeo zM?>V}8g!%c#{9~;KK-o3*>@20rIg}lH!4Fe)YW+_)? zKn=kjP3DF&D0ZGhE*rCb${pWS5pSKXJs3w$`OJdNQCJClHI(BI-N+hh&w9`x}I zwCo*7TK#-)UR-DRnOd$Xz2+gz5#E3(-};GEWWz!BRWN@&mc;A|GaQBE}7w?@qQy0&oI}`Y+onm&`j#~%s1Y(Kv+Dj@&U3A5`1;Xeq z!p_|iLVW7ULg5UNjD-u!th4M5Tk;4MoL+TyNn<9pC5Go z7&F~KM8|`1buY+N^Osrgqs?oK$vieMVimFDoE+_}k3)j!-t~J8}HMLmZQ;c!6XgcU53qnE^mn4yyz+h8&gAHEFRKcvJA`*`=eX zo`L2^`%{Dq$QuRcwihRszn4kNDuLg2abpb(Mo%Le1aUsH@ z2?~qpwwair#7!&?WeC{Fg1a~t7?h7zaXqVSp>D)v`@|BozQz$LV)^QMbO<3hL0*0b zwKeF-?85Pwtrj1(82>nab`ib(U?qt{`O9PK&cXR_#XmFB*{vM7O!3KyF0&5G2MF^V)Ui3{$EEzu#GZL zJumj>u9&FN*pw*SHoBYy{i0>jV`qg0vDRG8k`e|-aiY`enD9g$37y=RJ`+<@%F%~z zoVOZqsla@eo3}}_2cMn|j%Xa>jhfZcs2B9)JS|vQL-aa{VaibuOUYMdLJ)%O$=Qwk zI;DYFiUA+SgR9R|Bc!ab?4llf?g90z70G4Be#z>-)fpjDik3C@(~Vr=@UuHD!|)`a zapaR>=q`YY%p#zmuk5=ZQ@1A6VyK#%MCPeEHzTrrxVI7DD9b?R1!A*jW;a19^4$Iw z8oyh}K)}x`$F-3Q9l^}C_AW7I;jOuuw`iE@Zj}T%1`XFe>~io zkr-rr-xo`XL=RO`p`!Y=WsEH)MMtMkV}FfjBjW3a{)=|7Eq$>JbWyS)gp^Q?xkY{^ zv+nP(dQCOqS70{yzQpr!bBB)oEH8q0i<%2+prR5>hz_yQ^rM1VPsl3mH*Wwr_hjuur3A zxzM320QWG0j7Y6iqIf6X;3D^hQdOBdORZwb5@(v7S@`+2pSa2-E=AasnddP^m8gJ# z-anxn(iG&*BK7x{e`NPgyqb81>k>@baUe*?uZshFJ(F9vf{95c%ZNs2>Mh~zkx>1% zOR=fg!Q_(Dqi?VNe5+^Aq$uLbB&*Xt$}HRR;GmHKg|bOeYLmpea~q?POXkO~&N!NZ z&pV-%9H}b?%K#b^ANs^|m`V-bpi%GvJs5VqxQ8l8QlI&ejps`-X~pQ#ecRRHBSlGxn5>fi;5ZG zEvfYTc9?xW_3fby>(Qz?N3$jL6Z3;-p-^c?dMYIwUbGr(S>UzP_mg51XnJ^rI8uz0 zXet6R9)i_V#C=KTwevHH*HOFn$DRyjTR30L>6<^6QG~8J%(Oi?c#)BR#v7qYhYLT- z?BcDB0lG?$D5tANMw&CDiZ3+!3PEtS20lZ3Q_8Wp(byw%f`ps{hA(H%)pv8@y%e$A zFI6ZGJX-?xR1sP&{2ga8+Al#Wy@LG9^1~?|5&!ASh7PdnjFL|U{_A#!L!}yzks3Dj zJ=fI(8qHIw&$4aRg)aSlvfeR>iD!7a+>phhC;kMbC}OI^bnZW&5nh~A^b3&i9CbWC zbgwvf52$cV9N@`W5jXr$=sATdx3$cnP&m~5d$brHJ|C&V zci~OwmwK~pWr&4Z6cTdII|iw-oA(orCU-ocZ#uXrMfV2grM!6RU51>ER=agU@BMNR z$zPkeea58;Z4s~3@85oa?0SZaE;oBIh={n_3kW#}NLA1!tcJS8`2A6R0gYbL`jh=0 z8G&5SV=Qg_EIcTlCDw-Q2zz#ww&?|qJ__oPp!h8%d}CTO zSLCXW9VN#Rloh-w8s6^!4OTOzrN08yr_!^MS*azH&$Pn$D60#dS3h1tuRU04 zW2tY=OsRiQiK1ne7B~K)Qc6b>dJ#*&-Wk-zX29=ZWhCGIrr&7@5x^frnPn?oM?+7M z`~oJJ(O(~0vuB57s&mK|arOwK+YS#{7;lnvh-aRCq(mR(XGSkxMuJ|*T8bW++%Xy1 z5l~E^CZH5hZzrpXyIUQB9V{STb5{XmDAoJzmv+R)@ONwysFPk9H9zwm6~N3%+QVLH zhCN*ij>?4mJpE{+;|EV6g6InLlf*JNgzK#wmHRidcaLQo~3cDQ8jXyy^9u2&jjNXW7sl}>X%2=}rizJ`}*o%xcYH-~> zn@c@fbc_ip)AHJj$INHy2g3CHSA9U*#IlVlpDO)-@$J`vTi2gCXg!cz>6ph8Gw(!Tk{laI9!3Nz&8VDP687N&Ybk5ud|; z*U;j_3tO%AU+_LG;MLzdP7g55~mvrwpWG+CFC zt8&;@h3=fttqmo&F&^l8KE}rdEqsqkN~i5d^1T4WkpN2hg39gUMzOMlMtglh_w}rD zn_-QL7Qy+ZYk(%i!kRbiP$gPYf1#Hkbcu|)BrNZE-NN3%NQQw{5?Po26T(mk87*B5 zLOhoENvpLgxZuc)KX8smw;x3vZhh;s`sT2$9B`8DoSDx4#?!Bv!N2Q#mv^(k`>4LK zR|N(^7g{y2nPcUx#3$hm$$}m!z>`4P;Y#7gQ*IKf7x*Y=2cO$VTO~Lpkr&B06J`&;jvW}9|EY!rz{7C@ijFfxwSn~pQOz!Z)?I#)>3qjBF`c8+o zTj&X|R*N?>e-;qPc6XuF+Z)$dk=71;OzYBR@%Z0Ql@T0|^ z62ogbfyc(N|9leYtt2TiS?x;4?}6MS9+uHCe!#lmdXNKMLMw#jOrIRDo><#BN$)}B zX@TSi?C=feq|lh=mPT*u#?RRg^t}d)4?smE3tr-Sp&0&4$pV22C5#)bA;?2U%13iW~F(38^(0GX5!E1-e_0^5D zc$^>p9s++}e+piYyjdM2%u8+$@aY!ljd41Ig_vS-g56lTVb98P85=Vr@h1W*A+410 zv6j~zD#8aPk2{w(f`YL9r*S)RYtNf`1H$3R!p|X?-DCAQWqZDGqlzQ6%4rtkm?}bD z(v>h>DMx}x&}OUi)c0x7#+))RGIg;z3nR;Qml8{Jk8@~^I^mEA+iB0$_2X^q#3P#k z(g5|nm&^iTR_xQruNGym5-mF)KYUE!qBn06c$PT8 zWp!GTBsXqqIOZT&A~M%1Du$kT_6YRU>C065zOY|Uxg8U}L8}~jwe`6U%7`LB5#*6* zzoIldr>>KGE*{k3v)2HWTIW}1|1qQ%6BFJ0fcl;Ho?o})*_;jXHbvl%uEL5#CF39~ z;ssa{5ShekLjIqVa2`vq{_CXpANTWb!0CaqUqEB|>M5ze;3r}oI4F;vGgw`L*_;HK z&1I!I6#nx`kQXwu*u@+cV1TeJE4|bBXc=6EXL#6=UG>NWnN~ndPJithif5#5qeD=K zJUyoYc$GIibU!;%hA(J0g($KPDx}AuGh^Z0ye3$RzGl|?RJOR`vO^O%a}*HdPM9!K z6t2SxT7yc)j%s3@;5xip6?X>PvsaCv&UOdq+`A~4s>lR664enDysS4UMr{Z@?$iBK z$^OC?LS?W7)-bW0>H2@!UgR0Nl^eyMJ}_UVU97?JKDKcbD7tBagcRh@l7lnBF;H1JvO<5`aRq5Q>o znG0xKN1sLPE5w#Jbl)lnD|5-&rXIPa5*@=hDTntSVj8v#Lj`Gw9O9hp6ZEf)UjA4e zvGO9Ia}x`~ohn70UQ0Gd$@(!5IleGW<7mBay%-%f6(B?L0Lf|T`xc~TEbJ~~ zKe?}}&THCPFrM;Qzu>b9oB<^Z9lh2IZK>ZzzRC_{^(EJ?&;B%H#?D*k#|h30NIXsJ zuTJYn7ACF)z}CYp!Ayq9PsY2frOX#@l2p7D85y3qs{SsgNc;KI_lf^#0m`yT3PbjT z)jvF*9ziWd2(+}UK9_1-fG{rHHs+MGsFa3rsJEVBS|DicI}+&cu2Pg*FGYqw{zBII z6{3;Wo`{*tF1xsbg-$j-qbEQ3KfsnKdJr+yU%fwC`|^A8h}tB4wk)u{m%<8xG*dBj zm}iSoY+*f(aWW)nnSl%^-Ewr#`1$w^p0e@hB|caim)n$o2|7ZeS-^w)V%j-7B~>m- zDRC}=pM;1y3x9PACicAve+9ctEuVy*2%HzR=X*bs*q7rel)*II5;wfFfb?>9<}uUIi*L7-8|ngLtri83xI$P z_eb3sm#u}n-)lW9J3rIClq<%(%qba#*U|q$5<9jLI`@`n+FNI@2D>auh*)1tfC5Uw zI`mzO;`Qy-j3En?^6#H5OgV-M&L1S&;PU(+hjBsaHi^`7!#H5Z^n+dZpGO2y9N!yp zu;;{0jQ{uKL$i-}F)aHMk995&*R7yQdh)R1f@xi-Wp_8UeZx+`rH!4mvfSoa`=jZ; zW79Z5nts39v-G6as-GQE^4W>u9~~OrG`i;J#8q^23bB7ZfSd+XA3xlAte^|W-c#xZ z59l8>dDTljxrEsm0<5AqJ}cM#HVe-=^7bdRF62Dc31XLsDJZO}MP5cA0T7p>z@WI^ zVsfprf&bA(5HrN!vveFg@O2|;r>u#v-2rU96RQSUx`lHde)NIxjNQSvQY)!_Y) z=LMy6)jg$0b2zil4vQocvA+L&a{rTetMBg7>iTwe+`_}3XGZC5m}layn4dh~6I7C) zGSt+k{%RwRLXF0n@{@#K#)_T-Kv7w?f%fr6o$_aIhLvWTZ3j-*?yy%*EUen4StJ~Y ze@GQV^|l&sGBkJhkuR-!K44ABlmvd89hA2`k3|5YRYx`Y4oZ@lLi29kTA_SsVpw-gb_7W}gfBw{8FYstFWiUi{Cr(|(6mpoB!LzzhQG%V6fvnB; z;1J>AM^4j1b2PBT|MllHs`nk?F*y7C@qY z0}@fb`&G)mH4)8y`hOP=8D4CVH5E$AA8*V6FY%hcXjHLna5u`GlV|aOfqCzFj2(*N zHSI}5wi^R}(h)2>MbqGx$0m@6)z~emths?o5qqiumeeQ?)AMe~UGX8lKdD8G&nGK& zNHg4Uxf~#WrPn>JH8cbTP@->w*76mrkd-#%Pm<8^$=;Wc>)imGY+lLy=1-C_j$}T2 zi7>*WR~Dh<5uhV6xwsA%;%8k1bhlThofOE0Px)OwW&eyx?Q_nt3>n%)s20N8BB!aq z01yrRI<8*P(ieqMk%Ml09D5rHZw$7u6WN6vDCHVV!Xn*po+d#37Rk{5n>dw81a4+I~kCBJvOiyFVoz@;;GwS=52x9X%S_QtB$Mt;GNqf}wF zz>8`LG`i}?XH|M)CTynx17+)7CI4K)9Y&W~rPNYlyGh7wY6{DUON*8Rgkxa zv?J0#WB36D(`l`W@X`EOYXUeXW!Wz@A7CLQxkV5T`HB!4xk&%Tz+pxUm(E;@m$uWZ zAnO^c#}+J%V4ur6)Y$Ost$>Q`pD?ToY4R>M&TLT?9rtB<(@MJ)3(G)QCwqZaj}eI~ z5Tncx8TUEfGxxWkwkc1td-L(|bgB0jRqzhq0FcKeJl{)c#q{GJVmBW1!P5Q5bgn=LA-vDhUj;KIeiXbn2g=V3i zb{Kx~9?z{uC$`pSuNimmf(GFyLV^XKSDV%lrQ`p8SJB6K zMoRwt8*tTlUkYiTO)wV%B{T^cnfZ`i+dPu>{cf;RP9?MzqEp{UhLN%A{o=nc;|?+O z=d96{T&F`UN+21o;Z(pyHP>;XGL5qw1jOHSDm1ifO1ug-gEfARsW zZ+^78aG{+e?p}F9~nhab~N$ zk4Yv{rMOYI<;#Pf50EIwH=&GBPQhrTo&)s%3bb4C@Xvvl+0~;>vwqY~+qT_)`iB(}*AL`YOAvD<-gu3ma}KS6LCS^VN!EM#MCbPDx(Yb@e_ATxwZ~ z^)=ejBTE3*T}Uhi=WmnXZsZ!7`iHGtO{C@+BVGIb~KN1)fxS{vD3MIt#y|z zD!;yujg+l(fa$V|?ZZaH^`RH04pSrdnwy=}03GG+)p~?Y7vdL_PFznmMYZRDn##^A zYUu&x3hA0~z~0kt*JScASis0k&OXDxYg=~UXrH_Vu3RlOFIuPMr;g$Le+UK${DE`r!>mako@tL-Khh8wiv#k%Q zk!mbMe&#gfT*t6a;VHBN({lHh-=4Jl{2<)gdvtMa345n#Od+KylHUb4R6}m`*`zL4yW7scIEPx%ztV=^M9zp_H zHXZL33t}t@2frts8Vm(0^pIa(@YiRII-*C4wb`jIX)bL-S; z!n4E)85l$UWTz5Z=G!CF*W;u^jWu#yP1+n=|%ZQ<+KBT=sZo>rZ2~-LIMlX zEFlei@A)*M*);&su{#eVu)X<;XnA3#v1*_tJ^)={7O=Y6ohW-BR)ZR?l#t^e^RS#v z^IJWXB66M&b33e((HW}wLL|4!fmS};thpmGe@HfONFi%Qb;sq{6;9U8f zN6XkG=#$Fm8Ge{AhuIR#Bt_90o=^C-|H|werJsJCA>52~+~Kowd$4txE@kF~;kJ;Q z@`okPk_YxSwVH87UpekQ@9!$*1yWRlWUw^$TBL{&VO{M}_k)X9t;Fube@B zkY=Fpf^0!-Q+UxUOJIL;(k)Hhf~3@hjmRiiYIohk&2gwvIa~>MN6joid_oND5C3p? z`dxeoJ9-VxsM1g#se*?J6z&wp%;pu($kBJ(#wZc(f8iF}=k@7sa~bi!QL;afJg9hC zm zMAE~$J9PXHTk2iitLgZeW8!m^nlLlS6VPl1Lcyo8wWP4#7?~7TCkoNhiUUdhM$)1R zn;-Esy@GM)x@{J0DM0b;BKvS3(bYk6(^qins*hJI5^Dq6qJtnVZ?IxToAk34$SXrs zej+KbKt+X5+6TNI$!Fi6h7=`@buvNx&NZ4O{}ELNp_`Y65h<4O_{8@u7NE{Ba<(A*v%!x-k3ft$nW$(#NeRTS6jsE4;`--$X7|D$vRJj97ICt zXcaaufK&s|IHH4P6DKPkphr3WN;_g9-zNJf9c=j`o7k5u#c8K+BkYO?|6>OCLZWva zKR!Ku*KtcV!0Hmffa;)&MY!nl0EN{+Z~_+o=U4o{&=}EQ|LA>YMf#Ts*UME* z29^flD=YIHm3xp^eYQTZeW83~G-$p12IC>*{5~Tl*g=gkY1xzG1fO&{d7h9gz2l@9 zh7RLSsr#zu;6JeHPTw*9Mh3EGdzNYw(z{f5itd|ODhu<1j;Tju#5a(+P%vTRqOdN< zBDG+rkObTsU-xOqh_kJi-xK4oGz zi5pfO+}vYafwhm`uZ{e_TF*aZ2Y=xwbWcHiaNDAp{l@<>GRiPu(|p_o8EO{DQ6F)# z3n~U%p#DF`z5|}izk5HcjL0fvXYVL0duEeF_ADW?_Z}HZHkFWwh>UC^*_5K}JtI4N z{?Fa|R^RXQd;MR}>v^6J86WrkzR$VNIoEZaGt9T567(f#=SriA`$Jy~o#1QfND{mZ zd55Yk?ZSOYupWtZJ>JR2M)2eVivQi$E!S7oz81036#3)y^?}XNWSo}4hwDyf!tyVi zGIIuwz-7r=Tl%wz341K862G*r87;{aC-D>+!Z2Jv8$Bjnn2L`RKzk~v?49*#5%-sb zqKyp=ec7lcbue&gh9j=@mHg4IGEv9HLG4;z;+8yN%qHyORQ#E7=$Eqq>%_rJ-@)Fx z)&+IgggOjkEb^FS1xn}{v#{ZwYt8a@HrLypl8kA~>kX~tP|82meSPneuu46rbN_i4 zUH|rhcq68qC&C5XWa&;Bo}DG?qAjEox%hjT55%~b+QM(Cv}B4gT*w}X+1|bol;Qo% zHKkCMYmf^cZ-)t!kX9k^?4<;ni+i@4OFX*+=;cX{Z?6bn4}#KKP+e0F^_fDglE&?& zHIN>#_El0^6vouj$`6C22-^P&2uv90JS#*v3El3p7u2GjWvUK85SBxybR5ZMOPSbf zv86Rwp(GFPl4x^Xq3BUqonCVHh8UUrC7&b?@z|0VW$IZD-s-i`1w zZM2jCUyHM&{&US z=H>n{T&)ANQ8RGcp3AsbF*zx^0g!QS3JrKy1y1_;mI;S56$cGpsWG`kR^69)Dn!p# z$o7RY#I8T~IeLPM9VtFG-m4_D^o2h9+0Yx6Wq@fXzhy_!&|iQpN_zAz|FD`>T~!x2 z=CS>EtK!e>f=r8;VOkXSKEn9wUq24{F!CKHlI7w3Pbk!Vg03nVI50+U8(*$q5*(`c z0bj=Z2%}7`ZwT|nm!r+iY8PQVYP}vErDP1~Zxv`QnEf;7lMy2x9?Or+ENZE~Ur3W| z7*Ak`g=oGvxM|;Xh$rLUy8s#W6ZQv3^-!Y-EH{j~Kxwr7(_!c=qoBcOhPpKlD!IyR z!ZR^Il$QgK8U0750*F)fr@DSb%B7|f;u*!x9N3ItNXrlTrR^W_H14J15kk>MDkP&p zm2U|4iySN?;GvTalLi#0U`b#E}lxcG5m7TqkXLX~k;SLFg zy8NEQLtEwGvaA~)(iGAMe(=B)oWObZvLu1r{cys(F?=S(X5E@JnLz1oYJI?)GvOR0rFMe>O*)eNL~;y2e{!T4Cxc)F8OEwv2mMkh*A$)D-bOP9 zS;btWv6pjtC>BXxb4?Frf|ewAk2EVKH%0;t%l4?5DzDNxdpoDllay98~Y@ zPJt##4!VzZr^pwtEsNatXo0-Y22J!E?kQFbM^0$clPDOUp5`j!T1%EfO^@2c@gE0Q zAna+2VHQ$t(zy>qhKXKHbEKfGkpdGyF73k4L1km7X`b2DM0NX1=D#UJ60g_U@$CkX zHF(xfF{vhNY+49t31e~L)q18vJE){Wf)z=p1P*;%&M(DL_WZM79i9vK&%lEGEV`A*vg`&c{ zwS#y)luLnvOnEWTH^0*HA1Bln4IhF!d1_1_ti}8t{riOg^ToK{g#hh) zW6V|#D!Jg=#7F-DaO7$eA(q=Y{?%3Z{$ctll#q1T-oOFc;HMnrx-cEQ2FBK%Km;sz zU$^wtt9u3+=^a?%vW1fHg$NO#i2~c22i)6`*%h7N{kU{fU{5LBTn8Af>x|JaeB(V0 zki<0KbHj|?{p%Y>Cm+8s?d3=tuyZhwXQj2tr&BEoT&Xz@oq#x)O0@wm-!rT?Dy&cB zwvTA|6u=T}X-wxGWfzK@^TDzC@K8j9nDOuQ! zy-m6z6~7LjiAOnHImC)4wB;fHi)*`1WHdd#8klMFMOI<=2alp+hGzifwgs&2rkL^8 zXom+QzS2M!qNMG%lv;=tne;_uyK!`Dzo6KlfvyNHhEKSMoXmWL2WAS*rz52xY0A`7 zy<0EsY?g`8#ehZ1{5tiKvxf_Dqj9OtP&)KH)boubbiEQ!*QLr45H~h7%4M={XY^IO zF$ADIs;|jw4dha72B2@fa<28`m)E)(@_o9VqKh^2se86_Y1gVto6MsmoN%zD!(=Vi zUlpxx^e|0zw6(;q_q71ciBh3m3iX4;kr6ulaY^amB|26ALDEfp{Mo0nk`#$t)gUiW zn4h7#3rq19c8xpnBr8hCpIc((~zS0NGcth&In}%{b$sZJWY`dJ1^Y zEb9;8*?2WU?KVlk>r*{-YGjF-E%~)~^65?TD5$nZ#C57cGD~b$v0bQQ7Q!8{5(Q*7 z-7=>c%tdAJmAahUKB$?&c`j(x(}7G z{O}<7y+qD`|0W9E_kY3UfZ{=_EvEP{3L|pJYjKr*aguC4FPcyMPOtniC!$ft6sIZy zk>l&zGw=vvp?meNg)494%Y4eZBn3lquHL;QUMtO$bgklNj>_L=Du+|uDm4w94?b<+ ze;~9Oq?t%Ndj~w9?y)*tl5ny=>cyyz?R+mcius<@h+B%TfHx47KNh2;bpr5G z03o&B-3(hSY?1J@c(kl~1tviqPPj8@jg*0ks=_OQqg9v<<)ebbz!ZI&ClBaYe%4{h z0e>IQ&%)EQszk{A?E?mIWLnuiUU$0LX(9Y1=4m2A!jHTtBX&lkKFYPldq5o37;%Uk zHW7AzwKQ))tS&`L9G-P;u>}2oDaUIYhvQ%4Y8DvX@>FPBQs|2KI-Cf$x0=rJe0_L% zwda$S1SOYN_f_rQ$1m%z?_CTio9VgJx%nobBW@2$kP)CwV#JyXB#H9b~#E) zh0r2aO69N+AQ#VkOR#=%%LbT!BcH0xz!&zTS1(vBJU+-#guG=uLnYX2cMSt~!V7F~ zd0+~r77x#?xp~(S02?+_lDLve=*JFaX0;-VgnGEDE*)6;ldFf;C9x+y~2>I7D8>;~a z&(>zF;dtUbSEi7UhOl&FffOrF?fqpp=D98rpEtaiJu2<`iNB_>l8U}xT>k6H^d*M^ zzv6Kt&-Yvxr38I8xkbuG+5fAP{%_=FfL_OOndastp3sB+-ONsT9fQM)gLuhlQ=EcSL&I3y$ zwJ@*6p7cNPmnqt!-L@I7Dv!qTh$hE+l*w*p1*K9nuugXa&AS=t7GGoc-gRZyuh4)e z>nSBQe^@I1-C(`btMkwS%gA>HpQ1_F@}*wrHPq`&_aacv7R(b-&Zt)rqxdVeUmK#Y z$9=|U;OeMOFT9j z*$x{)Bxwyoe6OEHCzLBi_a`70y(*lr_RQ_!U=pup8xzZR1$*!I!*G^d2`cM@esa+}ODRg`liN`AEub1u*+d`M09b$7V zNa>E9J$GkBj`^D`f#rgbuqaU1d4!Q&?LEu)aIr&fLVJyl1ZmnwTUrNuv~@sv;yE)x8ZzasfGfe zr)etVZ4G{=C?Zt7?QTFGwgdjc-zji(d}HGk4S#jTzw?uR{_kwm5rgxeV5MuyQ?Q-q z9>vg@Em*kueXcCNLk}5zXI`u1znXHt!pGk)zLQw19%aV}m7SCc1cCv16ZQo117sF=t zd@ajBZEo=7t*br5fi;uGyiJq!9s4NUXnPH#@##%gnorsW?H0{CGH}_ z!tekN7d$;N(4xCwk`H4?iw|i*^Fx*V8F~>Ef@WZTiEsm~^dUhw)Tjb(<1g2Pz8wu3 z(*=YqT2;0P9Ktsx_s{;ti2t)9mnK4J1tUrI;^{g3Pa&q2-4gY{1uz(+y% zk8_k3CpyCy2v?NNtrp3CCa}ODj4+xQ*5H~u9b#(L_@dV5R167(E)#$jQ8c{hwqo+cX;h&i%wQO1eB{wTuZ7v6_f z(_jbKW`o3pkUXd6-Hw*$%D9YEiip#kM#?={rNt_6TZQm;ctyD?)L&BpCqyH`ds@5k z1g#-3n$6%4h`QO`JAt*0I1TGGLFzDr-tQ{-P#RTb zP{zpVV-E-Q@JZb-~UFb`@|GDFC>if^a7gIq7R#ZH20TIN~7&pp}H7SAGkaHZH+*kI#SOX{=zx{;R7 z`NM?*FFg&^(Ir=u#me zaaxkLp`^*NWSDO9#b!y=9<@6i=x{(N{PA7~%}`sU#OB?#k!Cs>HPZRALSegu1X@sH z$%d2CCR(`?O8Y^SiJ3|E;GDWedJM9546>6i_X17I{XoL?30KhPza(b=>~U}W8Rk?^ zu5PBZJD5A+Wg*&Dg{=GM%vIiyN-;3kql5?EkqINdG{cc+czs6f-6MD?Sj)3~JkAco zo?H5|qo1S6B_8*=MRb5NJw2IC-gIx3Oj?DbTxHPAcLvpveG4VgXkwy~hGarS~ z2kxJ2s=v>g_zi@icsk;sn4X>>TOU+${~)a%M`K2vd8_58gK}@@Q+HO z&c^y)mKbZzT#919Z-RP5ymxai%y4paDWOi1mCy93+Y5abu)@Bquy4+35LfbbLw>b* zc~8^d^sa@5QrOf79J0e(8>;pjFX(j_GE(24V!VFFFN-cU#=0+R&h2#AuBjZhllp~8 zKaE@%|G+Q1Na_rsiUA{F`FQkMegDK;;Fb6^x&VB$SZ0TSUxAwKoAq2el#r$H1)>Xr zC7r0NWGyHnWS3L{5oG{;nNtPb>=ka);i*T^K05=Zit1?`x5n;M#&1451h+wkXpv3zYR%ox#^4^)O-m17CB&A7o%SA#5;9QWFrw^u2h4c zfm8o zOp^@?;`lp9<&yty6Q=ng;~pt4ZrT6B@qRZL;n(aA2TYEF^d|}eQkydWP&%uB5^Bwo z)cHc_g`~9ZuFXD4XR))hTRhPkeMy4m>WZYs`Dh6cP7v9$GM^_}(698?kDuSszwWb} zqo<6Qdp`ftec{!cxdWbZHT&x<+qRpt`R{$^7ll`q*8QWE=}hZUPB0yCz}V#0ZZBX1 z*@kd>{441GCy5!M?FvdHj7>$^Xvs|R2j?x)0cbE zrpLfTndVZF0*JAXHV3ZmX+>1Q?5W15QUAC*zw+9vaX07ATD0VBB$FW0pSNd(rn5OsZ=-hEXb4wrAeB zVQjNbKGn3BjK%#HjG)3C_{#p1QMQO>yPx-6pP=Gzb8d)^BK^8j%|9+k7ky{rU9-3BPhR5=w zuHo0o7NUbAuIPUp0@w(oH%g51s22ZkF#atncJe}M9dhB4rU5(bSj3rIQ@z5`Lc7fN zG06Ad@o=4!7DK|<2UTAV8oy@7Q4O__Zt;?ygI9aev;nWIGv66TaT`*^A=P;Liom-n z=L~wEh<29seK$dEowt2#2{Cy=lcdQCt4LM;iGzJ}c*C$SkMpsYl$a=e(?QwIzfMP5 zLFAXmRC1++L_`6WK42RaE6wJzI9M{*2g_m|=RV5AFx~Qj&t!8H&&}Sm)>I*_=Ix2J zkYAkGk5z3Bdt8I1ZtA%@ynVpex00Ym4X8S9h+;ZnYiV{Eb+S>=8G)3U}NasH$)hap7aps4+!Hpu*VJs9w z=;zF9n%_U6F7Vvk2mB%fE*J_qeome99UW0Yrj$+f>qlqSp*_10Xq0$t!U+txEgx0K zedZ#Sc+BJr)!nl)u#7S7u|w#9!>$v!Zliw3ZZ5H5{yaiM`|LkmPx6o>WaaqlewAXqQ@l!?If3#eE_2ICXB@lo8ghWqjZj;%8#ps<1{t+0w(z>(B zrVqTy*^qq?wGD2b7S0<@GVbB z9GysaNoGxzvh<~}K6 zpJKmp$B-F4NC3Y+OQ6)iV}ZBJDgkU1Mqs9C@i8mPdOI^SLaoCpAorfXq^?xN{T~lC zO*&*7{&WFtvY)N@UpMX73*QhmwPs^+R8A~CFwLk|UWc6Qs2yO(U1hh9@K0`uynF~3 zSdrCpyYo&e{+$t+wY}h*LJT`^-#Up$E#Lh!wIL@E|1Bs_Ssr-YEBGZ0{5{i2qd{B= z_`)!B)Z_3p=##a{cr9bi;Ifnj6gYmw$JDIC=f%C2N{^p`K8TI|VX!yxI4A%_E%aGZ zc19{3cRF&QYscN^G_I-@sP?7OTCvr-{2&TPzrtO)zkP_!$3VsTN~X_aR6!m#6qEb@ zjU&ptKD&wrx*mEF$@>GJxLKGTgYE{&(Trn(ySf~#ik%|LB!8Cdf&q4N8eC$H4_aVk z26K18G=q?N`AC0b%9~J>Wk>`ZMT-BH1TI6h=eEe`qZLL+p9=O2StBOI+2g^dsB1!8 z!*y@V4p#lDngP*4)F}=iI;%z&4It`xm>yu%Q?SBQUJKoxHW*iBnDoJROYy{DbZ=x9 zfRKZLqLgM5cK7a;l-X|VN&;eclOy*)gx7#tAf8r=Ob_hRGP#z(s{-+B(8$qL2D=me z^=tW(5{Ch|(_X3FWjjZM{|T2uK#fr~nIh5}-Z`Rb*AiYhB}q=ZDNPNIz~AN?DPNDx zm;+Hi9b_VwgTUzRjY@n^bg>LQ=)iOxSbA|VGkNB)2}-`Xoe6n?U>M}9z?X*+vP*_Q zzf!X@OXHOqbd`?<84=j|iye$z8275K-@aQ04@B-em(o4Es-K7PqSU?nKglfg$YG=> z)yDci4kHPi4?zmKS_~BS?phN9%)z?2Fk+T7U>kY~$9*AV+70B}(X>yC1qYH$PdeE_NGU>)WhpTc?STlQ70#z8Z^u zCehbM-!$L94%3EH3e-#RhC}dCFG$eRXTm8VnU690OIr88{-O|1h`_Sm)ZBHLbVou5 z0L@-o9(~>4yd2;LU7;!1&!T?I_wD_2nH`N7v4#6fv>;T1+>7r9WO<8auvnrtsaK5ESDh?)U0x(r#+p^)={M>+G_=%S2ufFaJ%4+B zUcgzR(Z@|s<6(WD9-m(#9nK|G~IIhX=7*n;eMJc-ed~YRO zY1p;SDdBa@?qs!F#$}j?^@*orZjXI@q1sZhZ>~?>R6_%PT~aM!ax`g}pSyV_dxt>f z6cQHm`uk-_=YnJQ^u>Xh)qjG(fBY)#+!^b^vP%`t3#^A=pNBeU0D7E{u)|L#mp%GV3ioek?T_2?@6XrX6{i7K z%-JG36yZacLZu-`_3j69M+|S-2P4$_Ser6${q%j0UHY-QlUn+w7n94}N3`8`zr-Mt zOs%G~tU$t*vn%95Of0|_B?_EX+dz}8ZZdx74&74^QcUE5NY_OLra=@8ja$J{ExEJt zDDLTIaJ=RM3my5=h3jwrx*q@iA&m$D@X|%r83zcRQ6rC)-0V|#hQ*2w&p`pz3K5B( zkB={l6!vd&LhE=_8}l|c)MUt*2e3m~>YVx5G9sw2^3)dk|9VLOxp1|2FVax>OP*-> z{Sh*9p{S&p=%$LPuZi|tjctB-})L(1n| z3_5PyHor1}DV#og$4Fl^R8Un#GAcjVip_!?#(p(l9DF>cQyIOw!7CPNts?|CQ8I{9 zj1YAbps$YZbzBo)6u$rVJr1kiP0&K9P|0}EZ63hBCHJ-SzES^I)TqT(pwZ}X0bL6# z(qH77IPWeB3*5Yr8mhjjX(aV!-%Td;Iu>SWimhuCD}|+U3E3F*icd+cZy?o^`Kg5j zMXeVZo(HX_G8vX1l2{I@Yi>KbABrI|52m*<;Jat$_{2oL;JtFceNUcYLPn)eg5U^a z^HjP|#L{OPJ|1weK_e`R6elJW_+ejx>yx9V6@<||Y z*-B$@#(-i=0gN)zL7foMdgfd*7Qp3qnG};DU^nBUM}mV+_`aI>IuvY{UU)zIXn`>x zoEl4StLUOcsp2m2IXnULM_?Qh}hoFTo53|f`;0&mf4>g?ncpZ=!G##5vZ$ zh?ijmC7VuHv&0Vx;&u9FuJFQl4Os6Fx0=!mgxFEeIy?`r(W65s(KsU*C`trAi{}k1>D`fH1%?p ziYgAdC!Ht#($nd;q1W~4=w}E93SeKQx(obI5r((de&GVl6MkyKBe<$&&%b@sKQV@8 z5PkWk4YVqFOzJWHnmH3=kpTi+y$ski8x0_C;L0Vn8{~00;lOgP^4u;&hS3V~>?}Y6 zxBujQ>ichyLrS}+lpa)q*jS@?y`a3=4BdmLnji${(V*T#-hG&3b$;g-7OC&I7K9%N$P zRnTki(JY2eSKZ`=%jdDNVJ99Z!bw9WeXBsPYx^-!$|K9C`4+*&`w^j%b=lXfOA6vl z%r}8W&<>Pq@J&4#t%*1=ai9yiE~i##H$9wyzA_gKCSyPe6D*dd>HU)nFiHBHUKkPe zp3v%6_>Fpjua8t`6+3r6{9lpFH`sSzrV?&M94x+V0q!LFojXp?y!UsIp*6@(nQRGd zm1v4Ff-uj5>1St+Gi`Z|9Nx*LcN0FXqbF-HWnB*_?q4&gRF9^8Bc|Nvw5RIk-M|$w za2qvidPH@QcFLw&K5hgO#`b2#M6@laQg;SVrTwJlGudt}!y=))M@Dy_Xs4x~UEPf) zK;Er*Izc`gGX{`k!IC&5M>RbF0R;f#Rm zveBDvtt>SO2o+$dLXr{#zr%Sc8jDx0Qo~WI7bO-|APW063g0R&Hjd+Jq;@y_pVx@)9Auc|xyh z6)a}b!)ars$d@&h!s$NVJ)|a@*gfhlWXFBtH)tVlhChm$+5GvKtOV+7!rmhDd+vUy z)7`*1K2dTZ{y%g*-^&_QRGZ`_Dj{bjWH2h^JpaA~pKCg>Y`M=o<)r@pCEp-g*&}{0 z@rm6Z=xEBms?u$4!Ow_}l3D8$}S(VVwfO8>6AT^6PcVRzF$z8v2M6&%M3XHJ_J>n) z%pYcu7MGU|;;L+EirRP?nfu%Shl4V{FiKVWkq(rzH8t+**@(m%89szf23%}A{ZDfF zH913|!w|vMYWL!outxe_vPh1#E>H?TxuXc1nw;@7!c2$fNNiEK?_A67f+9;)DifuE zm%KZ>D83RAT^c(^5WxrBo*3X|>cFUH>@tfUk!=d1VEgdedwYsxwkz}AH>)K*u!1rJ z4Zc0Z;rrbeX&=!IMx48>_L-Cn=Q}(O41vd*7PZCF<~ZtAwV`qc^W2-cPG7otU@e&< zi(Z*rkd9g+EbKz!`vXsCo4t1KO*Ej7USf zOlD=&FrR?*4|sS44wMuj*i1@^G!P_sUw#O_48yIG^Vazft4%~oP_sx;WPmaMiLHUJVxjIsa z^fm`3;3F0tQTJJT396W3Y;ZvEwH76C}8Nn_3y4 z-=s2CB|^O#i(yr!(tnJFLNtIl4|IXIP0DQ1sT3r}Ai967N2`SX6R@)8bDbQpjp z0==nfb0)NxNW1M&us&w(e4db*sNQ&B!*=@PBK7m7A~JrQgo zUL&616JIypBYPzMW0@2Gf6|Guze49J4;bv+sumX;ZD$chQ@Etd+-S_p@aT>W1qx_CWd z?U^;iAqNPe#oS()21C$6n85@D>?n}Irk@wnAe4BH<;R8kMXZX*lLlZ$6@lGF>4z-L z;UP9g_{d*oD+QTr`S!ds--WBFSXfxA$oiR(&GxyVL|pX}#O*5d0BkVn3knKe-;P!n z)$o@*^u^yqZ2EqfGwgVJF7)# z2YnwslG&g@r}0I~^4PNkCer$0*ClBCMWO$Dgqx-xhTxaU1ntvf!FEN%2Fs!zCf6)5 zrw2J<0u-E8wq7EM7rl3k-*1 zXCq)!_&ec!lg1*&e#ae>E}4nGkPsStEdRRa_f5<`Tz0`Z_#zKtDY>T2L%NopwHX=d zS_gb|j37KC?(fvOUqAPMzep!Qd8C^Tvk6o~X;94gM_2-BytBr~C&e8p6JaiF{obhv z)ddOx$ELd(--E9|qOn*$uKfnu6JP?$@#?nHTV+=D^bqm>4M<*Dhp=;eL_^ZtDclwl zyTH}6GhH5WIOuxwLbp^UbO8t?8E=D2V56u6)+Ucxv(eRrs4W7|vt$+E$XR^tiMAT% zb+F8cg!8oZG8gC+3OkYfw+wRfRJZrQ0b%vyWmOjK$1eWo@ndR%w(+3*WOyn*IX*s& zCcMxhQ2ZJ|&5s_fkQ3+*`xwv74p&!F2-?>(?ZOPt3|jrPCp~l;-gNwq(~^kZ4}B%K zzUpKkqzLCc{U}ORWGdu>RiM}(qrh`eY47@#t#*?)1h4|`Zl?0B@h~^N|tGuUkaeSVl&YEUN`=$Icto_ z9E}^=T7Q45-`c8!XEastm~cUT7KF^L7ppf7URU6|iX!7f&>uOf<9@vziQtdGmhA7h zi~<7fdjihuj3|bvFoB8(GG8my-5C6iuhU`Gj+*>IvgS5-d(i&yjJm$KpSQ{oUJ#(D)R(9qe$Bp{<}j_2^1hpI!WN9aWq9Sp-u7v#JpT6 zvt)PV!xZGl$yd9JMfxP?eveP-q9~lU3SQH~XRrbTYN6`NfF>SN*`~~KUmSsTWs~jq z&rt;b?;K_!C%);)FDhz)K+U_^wqtd3n_f@WDJ*)4sV+Pskh797*1H*wWXQ?Z(+?## z1~cf9X#qWhp(_7N7G@=W{T8^m|3Xy|9(`drwRv>jk?(s$?0XCtz0Gjsm=s`i45|~V zt<$dR~TmS1dva5@U+ z*qM7|giW9_k_Ws>X}5Vx47&!{46SWxijN7u&A>pOfZ-M3vo!*2rPUR8UzS0t6T-{B z;+|NVK&<1lPKjD-d>(n(dAYULybv-wa8d8f4_6CaQ}PuXm#soLSH!Fu&G93RmomA* z3=A$sDl(gq5K4ZF$AN;mgCIVH=nvaVS+iP^`UCOyf918S0Q3Rto|BdmV=!+*4E;)O zRXkNq$s6BLjl`9F5RCD~xf6)-pOn7>;`Azfs4SXxMGZ7->;Oe$pwQWRdD6!NR=Uyy z{&0@mok#_3=r*Q)m1_=zRtI4$YSgYQJR9RjnLi2i`e(UM6r(Oi$w`L3uTS9l8ug zopOCR^J@h*e_y@haefJRqJr;)e6XjCv?sf1M~``0!jAsU71E$*DAV60ANzcCy9WI|ZJeyXc>NR({kGkVL zDmo@Ujkxa-l%FsBb_kiL8)Dk7C-J?^Br!ZyNuB;Gz5RL`2BT1FzSjVM!==iq45NOn zz44Z^jRP^2^D;6`L~2(r89XBTsoyb1N30SQgwwCtpO0fp=_ z{1UJ(WHPK1&ZXKyGk)a>^?p`5|KJRRV`g~@?32}gZ(P8^l`HZ{NVDIC;~4SNhnp9v z+~^fg)j)TnkbE2#I)5nuR~lkPOb$Pk78x zIpd3%K2e*FLZK?PXD4qq!OyFyk?D)8@~NCN`^;G?zqP0NCp<^dqC``22i5TpB#u#@ zPquj^_3Hxve4&nJX|;D{iY zSGC$IC&*=5tb@a>G=jU^z~xQQMCqaj^oJY8-qxbqEvk(&B>J)BzS_@x>tbK8!WR^z ze=+W(hgIJD0xR`IMYate{7-7()VIJHzJZT|5`Ggk$%j&3NN zWT26VPaxT$G28s*fhKQ4Rb(Sj;?H#kKf~o8%)jdY>4FUpDmJy}@@s)nU$k*xXsxgI zHxb#Fuhyryj)x{fFMAM{KDYVAdNb|p?2LOYd5l;J3f}+uu%+6g@(Emdr`dtdC`E%Y z3;q@74BTfk{KxovjRIW3I2)r`wK0t}=I7V{y1BG|S1^d5@;7X5Y{akCwPD9)4;&>* zgj-u(`rwq|&hnua%|S28ZX|gF3++#naU7la9D?YZ=0zPG1U+dqU~-@lxL%W$0DvydN4JH9B>4Yj@;O zbaxYaA{P+ivA?OhY;#!2Ezb$^2`j^0ovH=vTjZB?ur>E)ReeqyZq<=!R&J~jcE9jm z&!MyA3=S5Bxb+?r6&0J@IPPtMCA#|Z5YSYjgH9eyGKsOU=AXqV)Q5{{_g>=I-Rx+G4c|;Zig;iEb3IKVM$B<>T?ZdgJf_w@Obqhg_|!@A^X-?B}{w zt}Cn28*n0!lMLHrIkofkv9Im*b$Fj5G4!-Uo>b{s5J0BMx|naX`0Pzk7mZdDUr*#w*StBqwKo242)g11u=T@Ph z*P=tp9aw+MquZsii5%AX{&IM$;}5Lz$O^n+o2d!~7+Dr{>sZBoiS9XpL^D}&apO1a z#i5!{#1;HreaWjt;!~?64(zAd9^~nIy9Sdj>Ls@h57|eukeu#dW$2biR{7Sg1b)2B zbkkONCfrFx!&H3C>P7V_@Ta~R-+K@&wnqyG(@O1-n9my&Zizya&`J4I6{mX{&cncJ(Qt2+^|YtwRY zBPsmE6jdMD(E66D+CutBtb%bw>USqVwz$OP3i$I$}#-$Q2QX`Z-FZ;phGIeEX{&Gn`l-Ubk;7e|3Eh#M?yPH> zYK>s5Cf4bavbs@8{{k5D7hnVQQ-4tvNEULmfmV6t*KJ0M3DveBhVrU_%Ks)OTM6-E z!Ul?UVGCo9-YNuSD8U=JWOZ^*4uI^44&&hNNk{Fu^?Z}Vn%$wL@pCEL?ICtuZC5U1 zP61TEm@6_>=y|w1at}h)<58D0Q_1Peb;O^aAs18J0>XykSNrpRVVLRUhlhI|hwV1Y z(A-EAl2u4mk+6L-G^k}NZmdAB${#^)Q)c|ZW&(?xqC?R1oZ63u~zD|FoStJD%X#fE?%Q%hSk$Ub{nIAqAcbsqoGX6AxJ{> zAky4hA1}t|k+;?(W7^dRl9S zL2NL*@~+pj|8P-t`-{~Z?k{r}CsetPD>GhfXgsBMm0O4MU$E>Czz5;y2}aAwb4J)U ziV*GhCYdJasJG=1Y&PNUckP*<;}Z;Go07ik1u!m`qYX1HhW3g;jvJtUI=q1&$-VW} zF)wA0MMrqEH5eFzI|Js*{uMH;DzAl)PQlQ{q;rfO-4_bOvUS-a{YY9CKM`xb_4IWAWyb6KA|T$$Mw{Ec3Msj+rayW2HE#}I(3`698y2<>Ge#vU(wG&jUiCmdi9n+EUBdGA->=-?}S=g8w|G|N7a;KV4yoEM(>UfqStf`xH1f0J7fjoK-YzMMdqo zYI-+Km5Vph=A~~Pbhw06gjedX!V={sie1ooy^E+^uEW8JQ1rXQ63B_spPRiu#pM(F z?%lhx@i4Y{>Wb`}5jt4Ra5Ts-lBRz_5G)&uFVEC4h1c4L`?G~5tWO;Ep7p#beD&4d zs?)Vc&jHBPOj>$NFR58`zX0?zuq8|P5n6jMrR8L?_3g?%r=dxGKP8^pir#rwr2jNI^wJ1v)x@V4NSrfvgBYe?X$oGvkR!0zA(;nik;(@pTuW>;ELzU6-T zlGk$zfwH%J1NED)Hmi{)_Tn}~)iRhVm4V5_MASv9P%w6)>D+wX$$RPfLSaiLd#od6 z`eA&r$*Lmq^sReASMfS+7+VQQD;glsDh@ZTA=yoIe^#{#qFHfOB?v|ZIA3jz%Spta zM*bFJCYscI0j7(*Wwl7Tv-SFfHyAPlO;grx~nQ7IM*HYWT000Zq7-hZ|!p|2K(Q7vPt>}VCBR;L#tYQ$y z@Av2i|F{o+6(r5Ob(R%NpZ*AhzaA_jdkg^UX-}Te4LIuE{OVbHm8I#5x)C6KkLjBy z$yKa%M016FzRn2bEN#XmO{Z@HdhARPNBR3U``O|2)(F{LU?TjLZnJd#z@~{7JZFh5 zYUElK<+X`3UdF20K@++OR(F=S+Lo!K&B7i81>AdW&>l4Dzvn@^?~QZ1ax{jc&;c|BaUECAiBKTD1N~q=H#@)=H%G~ z86edFO5N@@g$Ea9zCl5xU^#`r%^ zSncX7-?|#8n?LmRk!k7K$@n=ipkhO9{w@)ay)b}-@`Mk0m5Zk<7fN)ipm6R$Jvy@0 z$lv(I@Nnl6S9vHNbGxFjYC|q-Z~kD-xt(7RUKSM!c~Z7Hhlb>@>;BsdKl(_6`ulu? z&Mq!ZH~azs68DKF#y#|v>`~*zRFV}Rv+%G!Rav!w+m`7wx#>+=v%f@=BikzN4|v&1H>xP2 zLR;5JJCYQglw(xxv!xuYWlqe99d6qPfZXpJjJ;uF!|AT9B@7+HAnoL~u!Y;?HX--C z=1nK&I^>Z;RvHS~yJd6JdWE&OyuUu2$6cMh?$Ln6#Osg!;%=~wq-SuW6HkvQ%`g}< zy(qA$ZPF=Pxh*p7g(+vTJUi_MIGloe5^AiHrwIHANul)K8nXl6j>e}CZFD-P)?vSP zW2Wf(GsKoYJ_Qz|4m1?^7(B$F`1Y{e*;b2o$)$pNjT@YXueuC5DQ1tn{&l#Exr_oX zFT8k6_6v;u_Ciez6%7sT!|<@ENk>~D86Pk2wbwzjG7(nK3mQX0ahRW{SUrw6=OIH0 zx@F+AAmEVlcEXxGk35F^!0dT~Z(WNTKjR<45q@;4FzUuqeA6YaU+)0@v<%I{F*o>w z#!Cil+EzJjs@J<+;SqAktm#q_YPh1Me^S@F_*0f}#?j8HYYwpwMxyzzKO>{d=oU%N ze+6+d9ZGxZ1(BHLff`$xruwx>iU;QSUSvW_BZZ8Lz|P@>UsDh z6K}1rNw5KAFcHXV+?hh(K*t$L@yBhx;smHj6+q$KYuxv?D{P@CW&h^hgxG`@jUGkf8?@~>xSf@Im# zWzYHDZ@H0X{GQLADKZXwCpeSjf41Tp9|Da$p#Y-fMSB8;fjZjGYIoL0?zRsXtI>&H zK`f54cT~q8qdr&;-um>y`((}b+gpWdbrAE++YLh@`7{>sx%Eghc=KOQR@BncVyNh5 zf}=2}4z-#-XO4WFE=`6{=EJGDTRhOor4kVlS%EZ@|6D5KRGABO)0*IBCJA*T&l|hO z()7byoLk%3lIyGf_=?IHs%WCHK*J6cYmG2(OSo49!pZB8UA`fEQ3Tm9B(0&`fN_D$ zz3TbeDzk;PqAS|*r`5KKUwCn-2u{WN@2I=)@%HEu>E0}mX>rOVf?9zT!J-1CiR62Z zb*^aGU#mKo8c+Cay>W#mk5HaldQ2w?y?WZV&*7#|6SOB!A!$24`>>?6+Pd9w-|oa` z>7Q*Eze3F3QH@iwkAB_I-(MIFe(MiVB|sxj&ck383PatJnT+ysx9xi(Bb5B*L~QJk zf9q-wyQ+QbLhbml|MdtFq9!kCN?u9%?GaKP1vvJOhf3YKF^pqMSPvd^?P)0kHr_}T z@v)i)K#=O0l`tJ>f-2DPth9%RM?1h5zWy6e3UT+_3=eqlARoEz ztu*26DBUUGO=X4VtaE>tQqcZc}k~y~e?JZ8^=qgK`#z z1EgM`H3muj7lX};=ogtVeicN(Z5aY+-*HSI^?6CYVRHPk@967e)D&U>W^fvn z4V?uRUUr$hF|JpYRjOr#`@lXV)e?M(L;q zhFN8)?FFc73b^S+Y69FSK`a52O=1Ujo{-@0!Pq6vvkz$J98Xmqowg9`EYwu;mVeeG zHK!|X+|o9I4o6EV#MieD%a~721hWb*f?YdzQ+;X8{sKXl{KJx(olh^W^K0e|bPB-S zC_zx0o0Pm`8MJRGxnMEy1OuwO0I_~t4|v}QB0;*t9oGOklsz>25U(m-}WAd$(gr-d>M@etmr0Apnh-80WusLm->a9XRX`REt->Tw; z;2YM~x#mY5a-`tg5fx>jVly@NG5>Su|LaDQzNke!01tOw9G>1Baxny`;}Z(OOt{Tm zV7V5pX<#bk>+Rs+2|zq|K%Mkz)ZFx%YV2t_Cg`J}1a%01RdRH4YG6pvQ|~M;c!MWY ze@t}QZyw_r0!x!1x?yN@HcTc!%PJieQ0Pk~JVtTf+CZ%T$Jv($LfLlzmnch%?4_cJ zlu+4|rV=F~gd{{ok|k00rqX6>MOhj2nU_EEm*V!F~GRMwq`S51}Kb@*?xcq06x^(5+pYv=2^%I(I*_ ztJur!)71{WSNz1?`@JG_vU4gHFl*m#h6X##OssAVEredlxi;zQtjE5qt%2*+kG`71s4$OdHfX zeYt#5q+q_*8?Jk{s~FhNr?1WU1>y#|whNox=E;-JOUBMc6t|Y*R$+Gy@65%sa^**9 zjtdtqsQe9bF*N16HqZ2$XCR(8kLbfrwOetJR3WYEn%;76J$~`L%^ktP za4mKF&#iy5IIac`KZ*;Czx!KMOR)etILNdGgX2ukp(`EU2Q>mW*fkXFif!K_Sdm$v z3DmD?Z2YTRfJQ4jkA6qK>E)pv1I62f1;hIacx}AzYb2W<1qMiQ=#I>|x>u!0QoJJMyy{Ly6~sMRnG=45CnQrEu3tHCWOQJc&(6OUei5mu4r*7jmbqPD?Wk{P z`-Y)Eqb}RJ*#7REl?Q$h+JD{_x6 z#aQ!8^YDe7JgZg>M*S%~Mi)s77dePYNJ!kyexdL)=mXMj4Xr=6Z{P0Ka$U3Y#8bU1 zfTRhZssI(|{qoRU2aF;c5=Qtelid5Ax3@~wLI$VbaeG@9WX437D)bTb5!4*Uw85K4 zL*KY1;_FEl*Us&&h3Axi8?-nF+ZC*6U^%E~E%H*JqiPj+vjXnJ6@KoLa2re7#WI%` zcAJaucyDDG6>RI&TChobtyA5wg}vx=+eJjM?+8Rb{+@?~eFRbJVsD0B&X;Sv${~&! zb{aCLzUStSW#)Qu7^b~h$Sc~~74o)ea=de=N(PhKaVwGYn1B)tI^-6X2jd@96xqPZRen=eWiZ~xo%=z$eG0&Aqsf;X-LKEp zA5UMv%m;YW2>eWO-3{^hQJI{6pOHLa#VrvnoL(MK{Ll{R5cgn*+9Q!hJBxgc5C8n0 zmZjAH)fTz^b-zD4ZrU?d=P{x8WLct!m3_x6{v^oFvDvcy%2;kKs1K6A!yu6&zHj__V?b+4gx55`*asZ;&6OTbPRo$5?pfi(SsozsLhHS#3g4#dLub=F{O#bv z+V|k+AH6#P?Duvc0*T5-8_xGUT>{LJ)vue*9oRJ`V&8GV_^%dZiRJg!{e?SL^Pzl! zF94vr-}YLLA0W8lg{$UxQp5V=4z{EoyV+L~A>4~YI_CpSCxeyI8`5ttRs)D-c8$X~ zvT=Fzlkf~!9vPRaiRZf;R_+Lw_!3qA;rx|{+|~i^q1Lt+z=GAEuR6G`2hu5dNCwvN z+d}8evb*^^hn{J0#uYx_J^5RaK5E{z^8;BRZoc}hM2}?0P<0>x<-a=a?w+r`M8s{p z#Kzs;QH{CZ1rn3%YR-Wx;vKW2q~9UY&!v_rP&Klp$lj+oz|n;aC;ilB9vsHU0jtv(}GQ*m#UJ?i*@`@%95x z?rSX(n>GW!RO+f+gTLeh8WWCdF%KB5UF|auU$7kI!Y6M(P_&QsSJZgQz3r=;uhlBf zZR89v>1+2jOe`!mxGZq~yZx_4nQ`N%PZ814(ND~`hW7f~_zdb8ffnr;S`{xdx1z_b zr&nl=zxrH}5_jl?5kOD!Aispe_Ok+c2nX^*-}rsx)Vv5DhSDdQ6w_ZHQK~Bq`XmH&`g6G4K^I9O zH}d3K?;?XJI_7}_gXX>xFqm73z?PiwROi%qm}vXg1$-m8tbveTQGbFR$cx2An9dRp zrg=PxIA*N_LD_n0&TDLpojRj}lFvsDO#aFBc<|-wch~uz%f++$b_YWiI5t&i`_B|@ zLx-BfM>LI?D~`U1@4m9@*?iAuy~xi{9BU{OTXt4IshgI ziGFyyw!kuS{o_Q}(&vg2aT}i`Rm(jkyd#ndCja&XI6rSEubU8b#){sLlM%#kWE*+M zH^~ZxZ^ep{iS?C5^W5PFx`(1vX%qX5)lYHGa!Sq>C!Lv&8=bMV{CJrKDfdq{$)*Jl>_U^A^OSO_RLq!x12|1?pDmu0UY6R%@Qgi=QY> za^&SHpAS4Ddbr~)2EmS>PT!d<8v7xu+YF5_Ojg+v=J$g+cOE_7X!Y`-D}-J}IXk5d zHVrxNn|KnCf>LrN=UjEIpbXaCwcpEFG=2EZn>Vt6K))P+zws}eNbcxx+1}Lj}gr^B0X5Hx6j?Sm7uOfZrH~K;!6m{nEyLX^qjk^#?LH zt5Kq{!m((nn#gCA5Oov?=9bpKdFidBW5$Y|-vZ6nHGlAh(qie&h=lSUVy`toNiI?B ziu!z=^Qh_@4IzM>hGX_T;T?utm=F=zl^o1s*FAYBhxLx7Dqm7TqkqY!nwKrkJwC5_ zJIN_QwIm4r*%w>O(jDGVUQw|e8VUWp@p1Bd*rdo(xv#f3#_1zWiF8fo2T~&ZnP?@8-~l z20Y+8ZMOz}eVOhx@GjDzYfU?p3wRVBw=R-*2DAmWbU}zc=imh7kEJL3`KGoMz5H+n z>HQ8TZ_aW(UxXI?&C&Uk`O@?N-dSYa2DG7J2gALsI}*UF66HP7p<8(A?Y2@`Go`-P z=eOM8lE-$i=p_l)A2dGytxSZkr%=?^-|y$4P$`uY7u6%*5pI+gE}tqLo( z7Oa{QlX5b^eVKYL#^S3cQwULW-NwY02MZ=Enb^UDD{z4vbu#Y&B#R`+TJuxRhdk+7 z@3}qh;)39S-8o?EPRcX`gpeP2EP9zY7z8ISFy6+lO)pa~<6My-A3 zs)wdN>gBM(JwHw_qUC(aA)+e1mF@Gpo|+5s`#JW730LvCRq|FrYTfTPbTiv$1zFX5 z`XP_WT7k6pslpPHlK0QD0fzvAftPFEiw;O%gRfJ!{7g+t z%NoD{PF9TF($f8K0TR8k-7%5p2JCe?H3PZ71{xJ-fCuS)`hKztIoS7Y1iNVlcb~q1 zG*x1y?CL&XiJi>lgI={_vXfvHy&z1B`>GHYvCx4Pq_|rLAf^zpxYGwik=+S&YnX&Q zr4Pz-0{Yr|LgqR!5$k_PR4y3-XP*xlQ!~Jey*bvrMu`@Ptaki*%fR9L)DD4~kn?OH_vm&h$sq(>Zz7jS*X^5dRSf={BwRTPG* zwGoPa!v1LTiT$s*is)FYt~aG{Ar^xvpui<;qk5ez?Cq25&#fb!T-*^5rHw3+>WtM{ zgxv9>+&n~VHeK_5oAF-(ooC{wVw_lMg8_O*Z*3D36aGq}FDY;25~Tp(4SEL_LvQS% zS7&Csp)Y|Y2vTe?NJNv@*0eKK7eLs}wdD1gF z>n`&8z%{q|3V+;=q%5HB9GvL_a4RIgIo$v24oU7v03C|fA9=C9J<;vwnLEj*%40?b z8KEAdMaBhSO@dBN#?=o4n1Yg~rjU?&)?#e+05o(2iOKA>7w1AwZfY%e%=ckKVf*j zVlO&Tesao@;Bj3=zS7d|Ka!TO0Sc}cN(tU=fILX4D5FGn;2`wNFD`W=<`)JYmbnV~ zdvb}5y$5ojFPG87T18LYHQ;RDNw~?mXVRbHFmwkUvTHaQz*+t1b>C@?Ds&Y{f-vA9xeiA1`M^yer*?UQ2j2Yq%(({qGk=oBTt5HiGe5XO{6uN5b6sW| z(2Lw0KcORCgW&%6ml;@;g9E+=O}c?3ZGN8^N@S2}BAaiSe$apRo)GdFpU9kw+8MJ0 z@OXv=3E9J+(4nh`!zcWdbvBk~sfJwPjEszR*WZc&L$jCKV)#nf zet&D}&~5Y_zA7bhw04NMo7EX*Jq6Bh#iSQuwCKTWK6oRB@?>H2kfR~pC#GIg`dzgPTLay*7YkrEx zX_TF%6W6oe-kW9rq{jrTGs9y~ksmgIf=8C_bPEvmT;2j2#cX9Wz#4Z7^wYBeKROcr z8B)S3SC{zT3jO8`cWo&Hl)Gz#*|P~*#9Hy$2tf29y_T$5LQBu6qyHJAI4`8R@-`sX zbn@bZx6D!`FM>RI{1oRt8+8p{)2g7n0RwGqZ7#$5hKAEsaV*itiLs~FKgoA6ok;Od zWO{(*kjbxPon=-WxJ4l6_k%VA5M4lquD_0~Z1`SWmJxB1f3pMSn;6hmRgMW83ygdHx_!?S~# z?vnw(D*++5)b1Lr%tj$wKyOcY6dy?sv3<+b5b%ADb<1TM92(lIqN4IdCccxB=g~bu zzfu+H1ySIS%#|IW=pZC`8`lC>ES?AaR@ML`=hIC9daPge0u0>=yd%P;tyx6 zd3c#=89@DUwSe-j)0?V3PT~Tv3>C#XAh+yqF!bk(nGg!&c=T|RH$EyXD(T&ePtX!- zHS(8EWpC^}IU(4~G0zDCz&vH%vpUsHtCi3kM&TeITQSG#G3uy&hFg$tA@`xbv32s5 ziT9w&bLc9y-G$`=HD?pPZE*ts`0?Ye?(UC9-)^@|hSYr`Mzq3@f`WJ-er)0eZvoa4 z*nt1AmLj)@LlppKtY~fJLl(%aB4TepB=r%CSX<)9Sj(TBde?!Hm%T$j;B52*(`6g* z6yxn4)ZUoySrm>K0ivwv?s7jU*Lx3DLtzsZP;yPgnZbwRM%lAnUF}LBqwN1n!~#>SVxF^+%sOoT=3$B!SyEviO^-$t$1I}ByZ!JDQxnTi`$$|Ai8TUjBKzx>;JH8`k1`VHMUG5`_Np_!+*Pm^aI;kw z?Xr7uUu4UJXTfJNZ1c-5#GY7te;4(kL_-Ys1?^W`Vfu(By%%T&L<%7n25*gUX9gq4 zj@5%?m;pNv%+4z4=I8JOB)#Tn(nsof!U}M*0X%;zAt9mb*Dv2MU%oUQnh|vu->rvA z2AXf)l~#X7=QY*isXv}KwP{H5eZYpK=A%Y@joA*CH_hdOY4!`oxDtUm*A8ngAggoo z2Pi9H)zmlcIW0|nL`}39tZX0YxL;w9amFJt2Lsa{HZ>Y%2F$&~#))*ZY)JyFkC4#z8$V2Lc({5X?T28GsRXLqlj zrRiaeNp~+@+8sboUbz4MVWS|#bsWcz9jj<=Uj6RfyY$GE)YQEi8XAyh(Tibjp7Z^) zrEBIV8`Qyhw^R51uViw{w{iPt=pJi;M3df$qJcM_At51KfF5`EucO3&A3BXdLVOAA z9V#SnuH_+x4Hg!dr8m4z;Wb=y-0C#P_EJk7We)T3-AFKx^*mnrZ{M=ipYie{GBdYB zYS7-ivbL6M#?O1V?Xdj+W!AVI%rNQqhoyx7?d3v-GdeL6F~5I2efm^1E$QXUZBNx6 zZv8T};`Xc~iJ9oEaS@(_N=Z=tP{za>a{!OZ8D2n1<>hqb?rw4WJD3rixStF(u?B9y zXNgxYUA9c4y02gs5Qw&l@Py=oEMB_wTWxt|C0llOcEzVp@~5SIrII=`52uUXnp3n0 z8_|JSe`f)WOb~~>;SdPrO_Qalk?-0dqaB@7KUoib+Gv*ZUSdQeO=CMB!!-_8l^7fkLg#f!SBA9hLACmU=12NMd*@UqCI}N0W2P(u<*a?&3|6)& z0(gJnLTK;uwu;;(jXiuJX#VGK_G)j%Z{*x({9`9@e@y`chHM|IxY2u{`&^XfnNY9? z?CE54&gSOkEeZ<3+b3SVdesG7>u=w_J^Iq``udc=>SM~=G4tC1utOcg9Cq~*E**ts zM|C`4W3R&c&6+0e3NwHs;|Erq`>?hjV2YD;XW~cPcqz@KXueGnfRCGO5iJzVA&dOMu^W}SXNVIEuSM(l>DIG=dB7V2;1U5i zr0)iTBAsn_Nx4@f!{llz#mYUG?o=N%T0d4Ttm(80u zS2Q;EtY^tLktY(}s9!+ZK+3>zApoJqy=0JD4Re!BgH>FZJ5MbP7YW+Us``P94b_xm z81N{%vU|%U?7d;A-ptPjVx=Umr{T&}e@66qX2|jxnVDu6FUnpxHC-LW4p}b;NqcRw zoMVhDWmKebhU>#2%~zcUQ7w0YHrX6^cO?_+hD;b}@;%z+xPOq}t->SVnBz@UP9*Rb zb+9E~hD{A8kCH#X1;Tvb)|1R?G}DU@Tj zrH?qI>KR_{Y`Y<_ZX$C!EU`QYV~vjwbcV5dp|MJIUnNay`e6{-{K=hivwB$~jVkvz zy^-EVm4jvgHpbM~%LCnn`Wu2+vw53rHg!$rJT|k|RWgl$NJO$02Ze+?vzI=GlGFSnU}j{*|K|J0SIaIsAeu~;)l6P@YpjAw~Yu~ zu^aj>NV{ZUqc9?n(iub%fY|PYQ|`Y;txH57?4DDHYw~{{O<=iW9FWSGn2+auP}qWS120p;a`v zvy8w4L*%x>KYu#8nyRbsGjKaPIx4pelz$35>HYu`YQMXmgYG zlWE|pK1+g-vH??nF=zYf!G+l0;_%?PauYq*>U$ur)1}1W7BG#y+Hw@718$?V?1Q`x z6FT}^9BI&l$_#?gm3D*I6$c-u!C~|Ik$;@L52O`xoe9fPJu}amHKjXZD8}4+#g5ka z#we*RG_xa$ezzf{DCLb!s>s}PP%NkDD<>naER~N5Z&|QRL89@(6QZMoH(&=}@XV&C zMs)Na*MUfgddpLEjKprjLSb(3Jsz;hLOU&#V-U#51-zskbXa_K(qCGm-%aJMJ*60e z9aC|_YsxP4fZ3m25DJ^E%!b54x6u$Q4yW*lJ=gInZ6z+On>P8pkV;71 zaj53smJi-?p~+6g6+kC3o(lk~`~3R|X?7oJ;~~UzuBfRYId?~GfayFqIH+WAZ(mcU zf~S(u+25b}iB1^oY4=ffPIRCNBcLjMTT35;Z->&GD~%MQ$aB+4B|x_(uzE619{)W#pp3EduJ6!rd8MJ` zdh$fE;>Ex24}*e{#IH)M5EAlO;CNoN7eMYkt^=&ME^11^sPh8WY@^YtC~_27opMP$ zsdn(U$gCn&_~J3f#Y>j#1<(CFD%&w8Se-fJ6&e}}1uqF+asg(rR{nbq@y)r7fhfQc zZh=-{7&Y{PJq?KZZM~DfdYRp!3TOM?zZa$}DytvCzzmLV8Ditt6EHmW5PL?!AHGhmNZp}nFm-h~v9`@@QwLUEF*EZMO_$8jBFpMg? z(7BEdgZ`q!NCa?B$SosQ(o_ec!*EohW6pSvEd5}K4q@Fd@WB7XkRz^BzH^NqD{M0l zqVlta4gr8J*v9(^Sf^kiWSxeUu|C9{E8oF}Mf{SHTbH2VocA;wvH&uT4wp$`M{(g+mz)95I^BIcGZlSa=z~N?)to4ETNFN2xkt)%&Qg?4N zP>flB{`}d{Ii6KZ(y;}gorN+D+vn6{)USi}Dd&fg@Sb>l5K+;VAm42l*C$B`oL~vN zZc(PFxdLFUae%S(PDy0LKukFBkmwRYtgf`LcMmZ8$^w-FH5_WAO$}AY%$&><;sPJe zP!6V){JX-9;23MSS- zK~QJ+=xy{#h5)Kn0a5xDP*2ZPeU$*912-g3y`e6Rt2z@}E##r<1ypQsuz1fM8sQ_f zVh?W$cLc>pGUJT~Wzl}se%hm;EYGy<5;_7t^M0dw@33nRnVe7{;0FS45C0xm^Q->N z1678E%GU_+xee$6;PFKOltM%>3L^V~%!~Ll$2Lbjze07y7+HDtV1Uj)F=U|gcc{kO z%|v-(9{LC@+H{m;8%edh_N6ch!KX5 zLn)8G?Y@Mo02%jsdXArB>+T{B&&?vxUyxu&SxqX@qWNtYC5l$+(JUvZ2)@z zn0R90a()SLD|Xi{q<)0ODm;8;6VN)8)kbaz_}&0=Uy2<+ZMsq?9c#CvrguLm+Z#8R zTRBU6fpwN*dcyw}nwQxq?T6i{(Rpe>96s(4+H=!$5TXqskfKGm+Ld}57r z?SVt0@K@264sS_Z0L=7axMv);GPf7FM`k2C$O@;R3qAR76fl2DtHLn9ib1LA)ZA;Q zh|0|aypAqa&_`>LEB-Y(NRn!m0N|RbGg$T57DV(tG^FM=d_PDnkl-=Tuq{xkV`&Q| z)zP27;tq{2`%gn#k4(Vw;x9;1X{N$9$2y+oP`-p)4+GQH(rYCvFdxy+Uz`LIbJE#k zmf!&>+hh$L$uFBC77D`p1a^p*W2TED+02hHR#v=3w$Q{aLR~*unZw(AKr-Cak4eNX|vYG;t;^~l|%L>XV zlYl53P=$dqrE%57QbSRF{@|of-0E)Ri4s>r2Afp0w=z7lFN|OoZX31c6uWho zX2LUqhB6U*`Q@lkQg*>UNaAk^h4yckD=Pm4l96aUcI`=cvaHxCk6%v5wBm%K&ftz zX-=v%Q`v=SWONR}z~=&z)&ufLI$xj)hEOInG*y!r)5L|s0@n=KO9M)Y_*Kf>>J8)t zJB+IFYsBwBmVAT{ZyxF-1U)edXpL#$JR0z9^$Rk1=0vw~t5PT|TLRJAV8=lm?SGI4 zSh>!ON1U+iE79>6NXU?5=Vt{54n28n^cxX`deU%6l`=Kb0)*>gQ(@8NB!v!GX}&?o zh0V1;N3Ew-%B%sg^0TIw)v34~XBDT?GBF6u`S`b+h_4DkBKu3;5#F2gpc(?4)xBPi z|3{4>N`Yc?`9O@H$>rB}j2|Rg3W{*dr5DkuD_}#le{E1)xiN4MItdDpk^KEgN5=ab zfI}Jt<4iu(jm#uvt>$<(2R59BBb=a4#zVI4`0rmOkFVO30F2}{aBs)OH-?h~JEyk& zoAi?(K=pxe4ii2bJ|H_~kbT}wW~k5JY^t9)2!IS*`&TN#jL8KTxbQ7V8Gp+NlKLNu zqN9!pzAjMm7quTbAJ4_=m}`aAkjmPBSq9l14AzI+jEVJ(6GF^1~PG~lCu6NLf5 zqWwR=Pg+w|u0ZI}qX0ZYhR)4Lk_G>5YdDChl&c>sjQ1(e<@n%!Vtpm`r{riktMhuQ zxaQ7n;2yizR;tY*ajX`i0K@Q}$u7aLVe#EuL`o45Mj7_D0Jr|mOM6<^1tM3&lyN9*$i&<9`W2l ztk-!G*-fim_lYe~+qnQyAa>l*EP|h(aT=;7_ny@(LCrP?x?`Zct!DWM@M%|}W|Ml+ zES(SrVq_Gbv7Ck&_XVF$dAQSHy=5ZG=H)C&W3CF*7jkUT83O zHPve9HBRu4W89D@eF9>5&Cu~4+0F*eLtL(T4PY?U(vUlen#zb}bVUHeD6p@82@M|o zoJ5dAWRTZ!uJ;J)S&sBWY036AQk|reK_#0ezkwVQGA^Y_83c^R zp9yt+@&e}K>v>5|O^g z%iK1=rAMWg(^2cPe>+0Nr*>=cdu}Ecb0P+K-Z*NTJJQsts24CGEkbPtl9EYj2WVC% zrcQR2p%!>Pjp$Ggicn;ZduM{)7wJ zn~W}g@ua%}6tPinWNiRet8IoQ`cG&98Q6{q-;|CHVv4^7n%Ds&GW%SmXR5Uu_Ct#Bt<+TjDw zDZD{Em*?)akDzW?dfP)ao!FWWr(V7*4ws=`M64#Dnrty*QO5-a6IC1&E30PUB}ll= zr<>r074y(0V&@c-jz7+k_WR=|)Nr9nIv#@ZbU&qX5 zZNY$|y-`{>&&wb5raX&k7_*MZx(Geo7gXY$=v)&!Li{ye366kT^*Is$d3bmD_#@zn z9rcbA`dC)k7jLnHY_?TZ7vKgfd!gX|Iv|)- zf1&RU*J|8Jt{|GvS;L`z51K@%S~$DX2&%>?5UH`0k`L23H$6&0G|FlJI|q%DHwsVr zb}?($5dN!U=0Q{}bCb(LI*8c62Q|T1;TC6nelv1dfdR0Q@I{l z(74nWH7u{_$@M7GirG)285QUa;&tz3|4VVBp;v|>2D^r}sLCrM~s&_Gk04&y%o$!3{jMUyDYx7^NiUA7$-; zK}&+N2`Fd~B_H5O;m`AN)oIh2A#@4gFp_c@1FQoMV@;`z&D z)7OFua>P4luMu}DJb+T~VHMq=(8s&RtvfzGc&8q|lWH71ba>rZ&L}OBj~(Yy8h?ha z?l_koqqFgjdi3>hv(3fIgvno4_k(A*eMi>q4Lb<(MQTc+34NT^r4^9@~vS0>&cYV2q~A@ zTY{!b&uG=0A!Rfe!JQ8!VO+7g@wX6OS1Q}?t)G7GB;9j8a>e8HbdquLx?Z~G;jJX(G-7ig9!9>>Il}+fd)hVBZBNPGS+nXmvRtD4G z=b4WO@L0eXYDkgU=0**#8PCV<#jF}5>%L@MY|SmLXB-{p2!VJ7m8E^eEV>z>)RU|6yum1yj5` zyuw0BG0e|7yJg^q&c>Q0VxnALTj0WHujL_g9&oG_kd9F{z5K4LP_;AaZF~%>2T}F+ z{OQQyCdA}{F&$c9LMGs2z4-oi^1J8$KnQ21oMit%@=31dss?v;uD8=J7Cw*h{AEV* z^sjeU3^8v|EB-A)EI4sTje{HUp#Q<+mAzY(aGJC;`1Pzjyu6L4{2ep1>9++@DcPBa z<69Y14B|IDP(36TVCFJrpKd6&@qy}-s?)ag8Fa%Jni**aF|96;aydcmeDkQoCcR5f z$N=|%umRbX{KIwI=F_bWFF!~*EGBmJ3ZGMC6O?J0MzG`(NAb#$%ST-myN8_14ro2o zkx5I^7ad;wB8FkEA_K-Ki|E96MZ4Jvlf#$o~QMEXMiWC9>M~3>)9ilPj^7P9P4?#ykscG{Xlhn<@do#Zi@mX#`@NSSh6ye zj5We<9kj86YGO-|S|Or}zMYZbsI#(s;&$RWz}*FuQRsAPwdy5YD|{UGsl-vF;uQD` zh2Toel3JC)zt@SqO#{7pcPf zwTscCqK*iyXG-!Rp+)7TbDRdsnV~#n9^MHKR0{>OrnM`dD7$2a;x zr44FFgY7C-mT}{7dYWT#jrA! zY@=SMa~mIYFXVR2Ihk2E+2m_(yAUr7YBd9u_Rbc@+iIYX3#k*R62Y*^*X@rvApGSJ zvPQRJ69ChMCbL15%^w&0ep{xwvyntB1JNBnM+iN}SDb-L!5Q!c3S8MV+rP1Ax7jW7uZ zv;Ld7ZUZCn^%^{v@QMyvYg|M<+C6@}cwfEgA_P*QK~l+Up;vI$UA#$~PVS}}^gKLE- zc{rr{5_SnmgJjib1HnD;)`|@~hyNQiGM`RE%oeW4KuxDJOZF36Z=zd$`l*Z=fsuvm zT17Tn|GEEx6czg=-LL&e?J9x7hea38>JSy8J>r|mh?}$XO9-N)c4BQRjpbz%L|xq8 z!zG>VaDi0dp&{+CztxD6ZU+2YHBA{+vgSYw0@w=004#kt6AdE+@K-9H25|Y$>NUKK zE=2sX8+1wCX;xjA{mY?QJlf%(b*mhsA@C=f%Wt;s1nZ1iTm`?|r%pZ0+Q@Q%T|igs2O@*eitr{O26t%#ktq3MSpR>Fya6mF_{InMHuE z89k!{)Wz-oLmS~G8PdUhgt)k4nbPP2suk-|*B!gDpReDeUGLafl0|g_lISs5*vlvk z7Pda-!<j_oL4s1wPSNkVq=UC9tl%XF=6ZM;E1xIw6?g zDREo4AEDro%Icv;7Wt_O*RjUdHK^B^x1V2`JHI&<@PUheU)Q#4Nt-uRFsNMi32fHF+G36XXZiX4RFc$*>)PrDb+5FwmD z3W3L67`n>21}SnK94yruTLZci;37*%(s>NXc(B9mJm#iwtGN#}xY;=QRb%pmg>w}n zR@E^Ub6BJr9NPFG!cgq}a89`Z2@3V`11zn+^%$4qJ*qj9j+Dt87&Et;1(j_cJcvL? zsCjcPxajdW+GAx;U1D$Js+rK>04l^6xnBY>9RYmdRcY%FkxL*5w~>L}$EC#!G5t*f zBsJPV`THPDq2T@dfD`KXs1}Y;iSYu}LrF@&`Dfy0xBOG94uiUk%=rD6oZ}&DQhpr& zcNqA+oXg!9YLrPJ3YvRrr#WB`}6Rv%YnY)NO?+FkH+Ju1%^Rm)HoU~E39$qEn%rQul~-p-lL_qp^Nwm7Jb(JJ0)k-ouW}uY z#mN8SED%+^@j3?d8o2n9o}-lQ<7sf<1K{Uh3^ba_i?Z1F(xTvnbKdNbyGb=l z>wZy(#p}i$EM%lp-1zY+p}y^~9?eTJZzVsJb>IJY%uHqU$j|m#JB?H!*GMWEhO{|D_zl3vpA`p!@i6dLzPnwn9gW2>wue(?=U#6ZS$d@YE`2X~2i+Ch}3ZQZbhlBXrTpY$B` zWaI^tj-pCt^RMrBNYU0BC-HgzV@*g8;!#G3M%r_f@E}+qL<1TSOTnYT2>*UPkLt9} zp4i}CiW_C0g+ZWd1LS7a+syv@%ulWWz1z00R%tI9v&2pq(15)?kXD!w4%Ww@qe!KI zW<6#h4s(DWk@n=rJe}CNnNzbKz{skvhCn&g;0@gb=_MEcg6O({lLb%!(a91-1*ud3 z|9%dHJ3am3m>H6%JDoG8UUQR7$~mfUnbaeD$Ab9(58wjy4PfUXhsvS-8#^jFS`@wS z0dXq$BcS4g8SZKWfS`zW6AK{mAY6M%fqcwtV9cbS9s^$Nr+^nlT!~u+*!2U!0(p+6 zlj|3$WUy#GXrJ`2C0K72f0hA838uN#+Zc>aG#VX_4VF-dm{ccRhL2)_7MksN9cfJQdxA&%?l{<#-#!XnpeA}4jsL+UO4-u{x8csWT8-V z+1}1p4|~*)?~o{$3b%46W~S!eYYM)j+y!0l#h0=GS?AQ~iAJYvYfa z>%4?37F0SfWd6$NnWyuN%9w!DhDE^4*~tjl3F&8+|LP6s>kvhLWMsr!&JkLOcV+#W z-the>^YVm9j&Xx`k_vts{p>+)0kO6-ZJ=*#DhVx-T#j&6}j~_eYMp-ES+dA`mtL|o;>qhz+-wLVqE_d+L1;Gyaeoa0@wrnO$^j5** z^OHqDhMn-JF)R|$`s9;Ei@gw$8&QHF%O_w%Ph|tQvr+k9PR>LN-{w~9D>3120n4_u zM9Y$j;GSm$)Ww_u=UTcc>Cd(OsE4Mb~$!ijAL`oLdfw zfp+Ni>w{YKZc{*Fp9b|5?Q&&KOR3u{b*-h~;or%CNQVmG2OyC%_yMgb;MXpP=?Jns zTY{O+HDwfLLOo$=SM)&fr$1+pK!7^_IgxNvafpE6e5LS(Z_flLw>n@i>a&+AMXOxx~Nb@3$Fh{Nh zK8q_**iT7r3b&Q4H41=P@}NEw?@}H)uf>_vg3>iV*g=c5n_Oa0ob2~|pV=~$AdA!h z+_-eJR3=W2>QyFBDdHe}kQ7saFZ*sTo+{b5^3 zbXOh;qNVQW8{&hkGeqF{zfQZyL)BY@iIjXC_*s?hOY+{Wc5l}qQ()#E17%WF=_!IM zobFP+QU`iQK~yso5unjeDVb z4rux9XB6BI6sYO=b&f?Eu>l+NYa!-PGA{N9#rDQvSq=Jg!Z=cRWhIAU+fZ-nx7?be z+iE12s>rGA%YaX@m1yT6XJ1q)xj7Z-IMpV>eu9`X}iA`Fgu1L!H>WrbN+sVUx2exqF zl^~aMaPHFldHCf>7r*sNbij;hp;kCh2O+3h1D##Vaka4{ZdMo2v%rY zl?&x4!M%Y0?m}S$+Kz7DzU_I!qR!88`qW}u{aA!7;RX??U-*+D~4$=sE~tl8m;(A zr*ZHv&S-0cCF5n7&em~*F2=Ed$2 zd;G5@CagT@!qO{g8!eH<;?q%zJvMNFiIs;Le!l00_altYM^r4|HURe42DQLmkW`Z~ z8cq%<>9>r=T04_b>U2NXT@nCgl(V}8gH4k*X>Nrju(_`?AV(5zbhRmw81b7L@uT>` z5U2;FW$$qELe{#$x^sNw7iPSlN>!f}Cmb_tP9QR={P zK{a5B!3$~2N*C&zv={jFcX~{AzHp!TyW%i3QGa0vjog>ocBo?i7^g&`zmb!{T-d|XM`KItbD2(^f%kDnxB^x_knv3%Q|6{nW$^yJ2Co1f@Oxw=P%FY`E0k24(xU9zJ0}e4)ez5Ey$Id*~ar2YM{oGIAYa zA&+~3$ma!d3#R*m5^NZNYL4=mjW^KMc&I_(ZLAq2Br}n7!e%affkmX0u=vpsAt8xk zkd5n34nuP}K?DiJbof?N!;2C0{T!ghjqFRLwyAP!2If7`0m2=<@=?&67KypPn7mO% z;hkg7K4jG-nhd!Ou4x*RemMB+3akK~`XG`>!9IvteEEPY@&bZ;aodFfO$AF9e*o_ zO&4-l19F2d!YY8K>6W?I^aoO;z-CDST~UnxXG1(~wpE!$zXj6n%v2Jd&E| z+Oerw;oj@)pJ!Q0nVqVFS~!4Q(&6pAlgJ?-8eJ14W8;hN`f66YSK4_Q0Ih_2^Dwt> z+k<j0O|@jwFvzU?8suI30%99X*XhdJ(er+7c4-J>%+ zZo-T_TH^a7yu@zZi;p|Kn8XE8mp{$gRZT11tX(3u?QktI+|Q6x(z{f?D|`gl>fCS| zg|J~KK8FPe#J^tSWPrhFHjVwus($@UWtA*~7rV z8}~F6u?N6i0TlM83 zGQ3q)RV?aCVcL6Uw`MHf6Fv}cO{83J0{bL}yERUW3M5kU%yii$a3rG+S+3M3eTDzNhEbbJ19LVa-e-S1m)S7(jE5PI zSkZ7f^0L7nCx^Ogh<8(#!tEFrzikT}eKO>Ra>={(D8I>+gGEKTbs`H1xym>+#zs zp{S#FAfhR6eYMj;^eg1P8S&M??zqfk;YXi{h1e3<)ny;UA<7=?Pp9Xw zZc2N>t9B7}QU+_?_r%`ghZ&z2oZ5yAiU9B&fmEi?kIFN_^YB+$6yO@&7tmbm>V?Oy zSdVG{G%(-oKHspDH^gN%8JOR-@z`d1WvImZ^+7DV0PA~_w8_3SlztNr|Bd-24-Zi| zw}Fsc1VrxDQSFTnZXw^lA9`Sl!&PIsp`9V;EBD*M6;;I}&3n0zafH;ltcL6Drdmoi z!UY~V15daTol4HM!L8XVc*H%CGAGy~)DaOn@sBz5Ut1rGGtPCtp6yWi9$Em55bxAF zdzsmsU2_EW+Cgn?e=RyC&sW)6QSmU5-K3sr-+78n;5m$zrTb$KIcrG@v-(;w=S4JR zk>vI%zE?!2SI{3!hab$g!%hYYaOXHNTpPh5etbCrLwdPH@L)k*Bz;l)&+UQ@r=vsK zVDrz)#Ps=1cKMwO-r0ERE!>2czP)a!&N%nosJ!s`&_b6AFC)oV`;cia0~f?aw#3Jp z6f!_5wCTBX=V}L#&))yqW9)Tv_*=LGDbuC-9s`HtWhU|L!AjK7=@h5hNYHM$8r08$ zy%58gS3UxvKxEcmXY446!KM=Mh#edW6BL<{3ejojjX%N+k;(e|RhT2e zFTp~T0O%Sh?X@jTHfkXB2O!D5h-qX5x4AkRHy*-$fsDpVP}Gy7VN*`E1FP)vmN$0} ztRg<&WB7+Jew2^kJOrW1dM>{q^I*BPmOos$}**fN?_1hd}W{uh-X5pSM^ z%dvTQrL6+1>e<=Zw@kYm_8FzLnLe7hnr9&ZnKf=E$%bNx57MATVjMX07}U;j*~gq4 z&$#$80o}riu5SC*)BqR1tU$MB{*g;RAeMfjU;we&}cyPeJ~YdC9D ziK_Jb!R)%0N~KQ=U@@X}yLK3=K$Ru)`L|5l*Ln`f>BbvyZqiHeHlFC#+a?A^uelvu zg3z+t6;Af3M!l@ZfSYpUv8s+VS^h$dRG)n^!|?mzel&$DOF_H0RFhr&obatAhOKAAzoj8weD4X((!gOA@~YC(fXM`UpPq>`I5C-IJVw z!NK0oo;^Dm|9IPIUY?SghQ@nH<|Wrf{14uYXcVQoturNL(dJlGsL>E5T4eZN$4xo) z5UjM&g~8p_=q|$dS&6-!o^W4!9V8rv?Dv80M=t$p@NS#d-T`hqj}CsQr|vxnpMQ3i zACRK^e_hCRY3R`;Eh}Uku}!bYxHXLAO-v~DW`XK`<&Hmz0BZY zH`%+}7en|K|MQ~7KzsjZ0l)k`L401<*G!b;?rfPDxDpgL(y*hmZYN*!C*P8ze15|2 zuRJCOZtk?P9O~?SzNuiHZsIDhkP9olYMycCPRb4Eo!O+9C-&?`y;~>ei}&3jrhXxM zd5`+x|1}!pP9@!(FFM7x=Ud0><~=G6^O(piO`UE(DscmoXze&jQj?2bxJrS!7DUd} znmPA)PG`y$kFK%`9SH8s^Mgx-Pl^n~Ev~-M*SLh6LEI~cSWOLZs|yHb9?Q%I-~F?N zvcX^NgDBm{p^^{)c1=CyV=#iQL<01vak7#1ZD|-^k4C7;x;VX`9L&2(37^dxEwS<4R;IA+R=%mnLMga=YR~#4r!(7s zxm-Nc^z!%_$u*V^R~S7in<^(7Mmx=yy^65+HS4E$G)|4+)g1{Uye%!;Sr01^q@M2{ z;xTN<>GCt9s*Tg+1o8}cu!B%r(epu*M`;S2{1^5-vFRUTpwP{#rJ#6sd%2{tGf%MCAQ#zUk50f#}d zk&uv(sk5^@qW4;VpYZ||}&V@HM z;=)0n{G9KHU$lniF5Nsnlsok$G`4NoA2m2m3Lmyw{<-Q7&SKJz8F*g4m&tiGnT~V= z<+30tMwQFLtOOue6U|h}6+B9b4w=UG#L4bNe~VYbPe;c)ck)3sOw--c(_4w2T3;rL zOqW5Z?nyW()68)l`c~W>I`_qsyFes5IQhiDLrT3EP75k}?oT7=^bky-UY?-dexTs> zl;KEL=MM24P+0{ZA8hKB4u1*h01Lcv>L3#-{z?JE00S#?IFC;8%22JTI#!&eG{rh(wK0f2YbTu0L1_H)G>>H^#@@AQ} zb}5N0wJ1sriaR70;Y*G-_FqwF{F3;N(c|J&WPjLB4@vh*tG&I95ps)vRX^tJQeyuF z$L0;pf3oYD20fSKWtOn9ln}Ea)i<7dGW%A7w)%=;TDfSDsW*y!(&tQ8&XvrXORYe4 z#|Q+VTpq*b93UBhD~bPg~mKJ7hC26Ws2;ly<%bztf5 zr@MFW-WDmTREzZ*YHE3dgXf{*Lj2RJg|ikIoIhx6xVp^km%Z7*w~}qDP0%i@9fay8 z^iFipJfO#ozq8!pwZO0O#Lag0?RU~frxYqDMkickRC@aFtS#@l#1!{Qq>Vwtc(r(; z_xl5(axD{1pYxsrg(7M910v#%X&2Ke&bh;vl$Ms9^X`ke=FSgIU7&NM8ww@7J`Ln^ z8?VYYwfldhy>~p-@BcskN;G(tWK{}fZEaz|&%Ec07vG|*V*Ss&nzXS@L>52ht!RE(M`TmYh z?yoKXhU8ZZOVXUH&3hDG!ZbFtG$HF4GbU@?@>xvT@JC>S@=uB*IKl}U?U zgrcV#pq36j*X9Vy?;sP;Oxf3-V_+qG*ZlggC#LM*s_BilIe!DGJ~FIR{j1K1fH4t) z!}NSk^>&xT5|3OM5)g9ZTBcPXTfwZr!2Dj$m7%<>nrN**C~nq$vdeq1lgAtmTj}%r z^qK4u!7wU629xs!XG(3{ktcpXKdX3 z&l8(02?d^hoXb4WiS*Io+G7_oZsadS;w_IrMfmXNJ^_GP{bH=KGc=kn{h83Yw9v%V z8rGg=VD*lEq%i*;Bh1&(gtQVgQ ziy+soZ?M3_WO~qqSgvI}IMQ&EWw-vuOz`yT8J{*$z&V`dn*-(<0Po)QrXN9&a!7F5 zG>WuLob7>wwe*Xst~)Tx*>7oaUh+#HmZ8hizuP|F#AH!jsM&k)k^Gi_6Y>v-CLLl8 z6Qo~i$jvIEsBYt_m$d#eDini;Jh+oEz57e>SUQEZ4v};90e=HK;5!2l%bL<%#Le!(3K1>}aj#YvzkN}cUS!?hy7?fn*XEH+|w zjc;7&kzy78^V02=6%i9E7@eTiXKN8Fm+|x!2&n*BIyIc7R z*llz|MMpR9qXH<_$Yb3>IQ(NsuXHTdK!Oa`na5`SF~_rHY4O{=8UJSClIfAwy(Y(? zr6jG#ePDZ&gY{mE{P?jqy@NDMR$k_$Pv4E%-Q~vmT7cP!lJ`^WUbO3MpgA}8NsfcC(axzJdKk@u| zg?nWW0K&XX47N)IOJ|zCUuU*ll{Y=UYV!@G*G%GhiJ;W*k`YpaGip~P(6frnhhp@l z)=cZeI*u??z1LsMQf?6B;?uW0&c?9K8N3;jogZP4+^d^ma(w>h`}z+-|1iF#BFc&^ z>3q8owuNgx`Hqd7L7W^<@w*;$pXZa_$W<3z9-~*kw1OM_k&<`#tvko#f3Ufb2n=yW zyEgwUN4wIOUBpjl^6KYF zyF&#DvlX8*W*ha-ljKg&&Q|IEASeZ{d}=Ao3EIkFaDxNf%Mq8EnBn-hJow=;7WPV)x7w4%JWjDxv0u*AO7pcjHmy<{{gr|$su@BY{tZCKRnEz8Z zhAVZQV57CDJZvI`cl8YkUg*t`IL~9aIMXDlRGmgZag0{;UY`EGox6@ej` zqkDglVlLv`@y6$V;3CeiMIcJIapj*u$BA+1HoZR7_g86r`RuJ24Z(7`Wy2@3+mLKG zsK_@4mx`{y=_jo5Uz1=eHHlQqWnmH`G?|KwdsUk)(TNn*?Il`o|L&=FKx$dyZ`Qg) zH{1F+gjXL%h29({VqzclHi-9V$kX#=7hmES``N1M5q`@LGBFwg%$MJ)vn~9b1l$4y z8?|1tu<`@}iV+M_^r$&mac$9;OSJ?-Txz&ss)OULmOFcDNYhB3#RSy1{m$!IS{{O` z{8jD3wQ6Q;g2nIDT=)+Mt{*$G&P)cA#~*XQJWgoMIC#Xx29l<1BB)S#95GLu$vR#; z)(;*OMoOAvs^Agv;(@kDbc^c2d6LPmW`ya14M2QF@Ercz3e{M#P_<2<6G*@E(NA5~ zd@!-J1SB*?2PQ(6Pm>=2f4`k|3-W{-Ed}bXc7YlDw60z*Za#I+~ZLxjB5}~YObJUCHX=~DrEIz|#SB(7mAEqB!JFoO@%4{7^ z)k-B}AJQbw&U(wPMa1GIuw{#v=6)}=j3cStbJ9%mBUY^R;`E+8ANROd1x$!mzwF&x zEjqEZmCuTF{xc{Q04EePjM`xscAVpXxuDB<($d|vcwfE0Eop{04GDyxzO>a zKACN}0Z)t`2Wp78W{N`BbSD2ifUiyb}zk zCeF>VcbRX{Of5ASZlR6{xbWk(;7!?MB*O9i4{B9;+#n?_DmnkLvqErR;?-HoqjzGC z_&7CxTX-TRMRBZ=KE_+QplV9()2DhWuLa-yg3p8B{waLJSQyPzDwqDP?X$vQqYFEI zy90abU;yt3L%Y~M&*1=%=;MkU4a`14-o#A`%ONAouC+R zuZX!`mA%eHU_+6+aGOZ)sv|<4m1D9}@fPMB37``qfv`Eqs%ju1PZH^Limr4lmVHGj z>CA1PEIiir!<+oN=S?#~41;-YOJe$8n=?~lI6WaV?m zf>R=2?meV7D@U}cf!ECQ%22@d1pQ*x>7KS|wqx6U!jQ!|nX}S2N$itqm86ym;x4XE z^)D|Cvh};D@%n1vpr>$6a+Ny89oVl1@k=H*c#TeXbiWV=Mrh(g9Lk)&7Yz;BL7@AR zjZLa4d6^5tzTLYYf*zT|)N4Z`;y@7PF}2F6Tn{V;^v6KT-^~6yE1jM`k=%tcGz&$! z-FsfFh1_MP5J?8n2nE$oq|(8FNjM?s8TY%RmMJi_z;OO zj*X3F9;YUCwKV?*a=>*CI>|M&Ie^corvmD5!G`my1P5rE!`yr+(~w6?^Yc5x9hiQle>jENfHmBW7H<}jCNi#n{q>g-zI@qB0xP} ztfugY=RjT}kECtG@D6zq|9Z;a<^$#L?^KIBNNYJyJsQuQ4yLyFT7HF1_*TpzmeCKx zeKT!^n>(|}8Q4dB!on2&4t*&+OC2h)^_!?JvF4L`uZUqowCStW}s>%5G?_;X0+p&>h29w=?KH(A6B6m<= zBe%4qbnDG8`llB44!6}lolQC-=b%}1?(_in<{eX^wbpid2QJvA8lmu~ z$2VV`f4*?g*>1^a=op#OiMax@3ndKMcE_{77o6Xww&6Iefe{ji1EAQ>G+S9VnR@KG z@^;?6ps|~p%6#a{-(PFp%MG1wZdV+QDpFx}s;EO{i7vpoEuMXP8BpC2cP+EwvQEGx zi^kCo$SxkEIW{S?D{E$O-F52cU+*uA8-DD9W+KN@NgC>eT7cZzR%N-N>nSJo$%lhI zrk%UTW*TQao&}h=hwf=ACXsNpo66808?;g_O0JTd(oTGH?)4cacTMY9=69oFVIXs=-?jO4zO=NaHnz-OMtt63?yuW1A ze)A-v!^sr3W}>^=+p?ENt8>$P$D%(BNClWsSX4^&d3=izE>Sl+a@y|`^tHdM=q;b0 zOOB4&@tEhz?3-z~Z{L1WQ*%6ze|bfJ+4P^=fzlF29-__L_dX!wO=m>FMk@_sFjsOke zg2adtxj05=^3A0{`;x<*Z>x+I5tO(TPxtmYS|P0ext;4KDrg^c(o zOz9=@@B>Ybca^$*BCMPFH%r$6TB6dzP;cOdC zBQH%8PIG>su6ZK+XIV_=7ytN&s=7%+LR$_RRVZG5szN57ZIroJ#jcCordW8m-M?Rh z?u=ITqp6vJhG0s*JVR@ydxwD$Z8xQ?^WpLQd<<0N>f^Fk@06>the4^)a>#ltiKbfo;?99fTJr-S(h^wf1zkd{@h-4Ytgl(EyBJzBmcWY!H91OGQ>20I`ck zM@PkFtn5QkSiLyF(+td!sYTenm&bWEEA_kHTp3FUP2qK(6CHTj*!t=EP~ZK0IkJ|y z8I}2BDTR{K65Aq=jfdG5a=CS8M#8cr@9El{r1HCxcoVqn_;vAy-d&xS@~zc3@z<|k z7nftI9Fbz4ts(Dg^1J|+)0`H0u zB8DOiLQ=bdmRaxX+dh0B+iaRm#s&?f#OT~#Cq)xUwdy=-XrEDT5lYO9o)EH1I_6OH z(OKU*du-f#3`L0hhAM+wwTM6Sc-{40Z1uD$UYZ%Mni+R;VA{%UQaqG&*uS)W;p0WE z^xT?9Lwz8?RY(Md^-t~X3^3VXtq3fIqUq+KIo`kEddO?t^{p;uy%&0kD987UwOI38 zgxk<@mq-da?&U}C%Bks3+92oxLZzuCUL-k6Bt<*Z?=<&f$>nrW{GG!*(c`Z|kIm2Z z#(Nqq%Gt*1X2h~M)KOtik{UNzu}hA%?z1RNOD(;V6-g(0gR?{Rv+4U=J72WzgV5Alr^r58mAP(Ea}*FkJcir;kBxNsjUNS+KPYqLJxX7;$mY0@!!y zzl+V#$s99)mc85I=rf1eMigzU0tK-cxPH_UJHLY>mBe0>5xjAH&qkUA{> z@Ie-|*v$!2vULvO?*|OOFnJhWG?!~sTt@~Dy~RV!&I;V5O&ZK;Uc%%qEsT@`K7SKu zDbeOAgD!WMIrEm8LT#R?QKf2aiV>%>K8wk7s%ctgdk#QTL9VL7VC3t*k=gOCvBJ{r zywY3UgnEs_a)lOu3HF}QZ+fAquLsFKBY`KiU5nv`qKg&PD1fGr;Eb%hhPB6lbC~&K z$axC)u)w{cJ^kxD9|gmR!PTpg^P!i+v@YSMo-UDi=NZ0f){Xw6@ugav*oGnaq03Za<=9Z=T<=)?Pu*Wn zYmao@;ge$0aeks#@H4@WG@0FNKI(OKONQ8DAuYYzW}Bx;jmKI8!}6|OnNJX=xl}nm z<<}?2L_E$+V5(_DtEL*nM)SL3#(!QtFVUiIp9lzz#z!bIhwF z376xwD4k)KULz`$&}aVnp%M=LI$@3-N|JSK8}6l)mKl|0K=-~)RdT-C=pro?Ou~yv zAU>xF2?;r4raPzMcFqv*pg*Kz#&0FrP+kZdlRl3ac>`SG^HD4!kaUQmLDJ>;{5N@_6TL~7qz;FC4sQeSrv=HB z@6}5`B6-H5(u_R=Xl57G{f)Kgbr&0Yivx^~#EQcOL?OFKH(OJq{4Y5uZ7gwudicVvhWl0HO`O?JV z+x2}9F6rIN<8|3rrPsH@*Qfxk8|iKM^mUz$N+F<${)F$#}#oL1C;GspIswjblC4;ycT7sZ%F1#X1L; zuAVdQ=XiHa>?UK)XF>-)$4y1r-BAE4p5tawh<~1O8(h4R`qY09W}#Dsoh1WlFPFn3 zt4B=MGkJEJM^7?teSRi7OYZ~tV z){O%$zCP}d9JSKUVjuEFWKAi(hicxS8SEMrY*keig5%XSH{{mW6)3Fu-e*w|&sI`a zbT6OY{4+!-8|GS^HyG=PuiwHZ4w2SOUVu2XaSPr24aYS5{VVF0ZF7!pFaH<8Zwz_*#u7{ z{}@DO+7Cjf*Bz$P>qEai;&2#OG(;f@x0c@YaBHINNL%K{WlQmuY6#V|V>t=ChB4Z@ zx-ai8OlR)Lgj0a6&^>?l@*utA==(0`*Gk^S(?iXw+u36!PcKR{+Q%g+d6=L@o4?B+ z@BVmc=xYi(Vtd5!;SVN|#PK~xWz0)_LTL(hv(Yzs=~DEKpY~LH>DrI=&wSJs6Z7^u z2DaL_!_KbZM)sH)g9l%`>XN*1-|O-3Z=jmHKX=wo@ffL+$H();fvjHhX``#DZ&T*P z)as=n5XXx^l^vJid`PHsH2m%f@i7@xOu>wdBve)hi}|InA!4>Ccb-)cy_zU;@G z!73LiXBlr9JcwT%#{2x)5YNCy-g6H^LP0XWie78{gcMt+czamdcHd$ zgxaiM+tz!;9J>9LH0j?on=g&JNYoErqmyhuCw!yCw*A*DHFZOynMN=My+y*))2_AB z6FD<7TQ+a-6XmV~NYA_^XwUlNsg)oPp0=Ann?Q{l3kiHN>IZy_Nj}9Hz#D-S~vrhmD~##?zA^JjO?>o8W; zW&Hh2MaZPfz!g7sR6sR|7Zr|o?(gUJjk`dUK{eCNF#O2K)RFzv;U?3i23~ba7u(7V zTwbMt0VomorcIkX=2)6mZ=hzP*6TQa^FK0?-$Hf#1?^60H$PU2a~*5P#7IjTp+Yf6 zYwqLS$%ah0U!I_25*=_S5plJD89KC;QNh_;W&CSbxsjO7;Dd#^Y5(eG^s#V>osYRt zT;fjmvMqN?(B_ZZ{vYgxoiq9EW^QRN7VHk5DJyw3a{O)ZtaN=V>4dGh3os3^9V+MNGu0~zvhn6i{! zJ`D%aX_)&y$(;AfGWdI7BYqL<-^4H|7LwV(#?S&jf>txll*i>kg5+r(`Nd#nKeoB= zr8sMsA%nq9R@C)JH;emUdH~_)i5K-Rx@e8Hk9Y<=zJCW!g5z+5+ZY#ZoT+cbr_I=w zEsnoDv@I&#$))|43|_yK=nOJzqRLYnEU(PG_7t;+7(mu9K&KKw)&qX$R!+gE`g*^6 z-e3O5@C#eF6-`=?0oJ%Kj;o9>x{^D(iZ^;MTf=|f0hpoEnEu6l!yKxO-N*pGr+l@V z2&^lH2mJw?kLcbJ#q&)F(=79!|1QmhyL7Y2tBPtR?R3S{jgCyTI(PV2KWNXn?$k3^ z^A9$gG`shsXQ|8l_0+s)7Y2tPh%GI+CSJ97(D6UhP8`UI54=&s@_4QX#%rs%|1t6g-6KTNZr6d`+`nB?)ke-bw5(Ib z060mvTvlZ)I~ZNX742_uwoFv6stL}*aw+g2AGU;qDpmT#c&)RYu9FsAi(#q{c>%SH zc|?5mz=7G1*L*0nVHUBpUJrpMtE7y{Y6aS|2nlK)(1$Mav?goEMRWKLYjID@On>0@=vG^B{$l0YyLIH2DOAV&$4AI>Zb8%91ti zDr_*BfKd48iQTLzyV*qVH$a@coBA17;r9>r8(ep5U%Wyo`vl4!R6HkXPF>T&=;Zqe6)UyNL45IhPh3Ec zT|K0tQ!chgBOR2*u>z#Pic^d)@$e+9mUtp@qd}OCS13lf#!0(wDmEpxLR6k|2hc!f;9LK4EDsuLsW z|7eN|>cwv$mxDL`tgFxkL@_caWKP72WG6_*XJ2TFYL|9}9eB;>HP15F_u%zljAu;s zzMaZHXz*PQjgwGA(zwbYhyqv@GSu%K3v9>+U&ne8(eZRKEQ!>|YX|iQQrn*Bn6Mpr zsJk%mu)-&{&)D<6^9Tp{iE(KfxU}OK-(IPH&aSiMdC=F9jj6D?*EwfbLbjdtJu&4Y z*q>WK9TJHWfBW|BS%{+Z%fUoVkOzMJ`0)uPC8e9Bs%Q}V^ce^v&fT3@zoyQe2&s#F z_CJxU6Rm1q7+A2+KLwOmp4D9q=6-E#24^FG=hg~eear8Tw$s<4YW(h0Bufn^T%?*; zSc2+g;19A6yx2j(MLrVa$(3+^O7VJ?0PK)qdVcN$cIgvW;G8*`YGCvWhgMh#xqTt3 z;_=P4hgjW<92*c2FykXN>ofCG>eow=8$V=k)*%!vnnCv^zf1FD+<)=9mE&w~PQb`_ zU9C*k#h%IDtGVRcaKY?H$yCwYpIydFW_4t|YP&&b*il~oRz4AlH~%0Wbs~0lwZo9p zyPqt$tr*}TRRu8IegaQIs7AiwHGCMJLFaGuU`q+oX_-h?3 zQsfFYpwzde)Pe|TEjS4N@^-DOsUd_-}U#Q(czYS?zo9_T> zqI6(+a`0I`W9mhb|H8ZkR+Vn)^Y;|dnPMJo%CeDJT5{x{;Mt~=A-VWd zwdw=%P&LMc_fqmClkeP036_{z*ukk{B_&whigjOL2DS?DhVyS_6hCuM&ZI0 z3LIN@Wa5+i&aN9u<5xWXQ#GU2%jZD|DhWnoSzgPq3A5miqGT!YaehWkQ z?+#_5iU$qoJ`0mK8MJl+2c}No9caR>f|ho!-4lVX5TBHQeYl$2PTo&EGhrAv-P0W_duc~C%rs<5!|pqQ9unm-_M zcHse2({Eq^;eWD-S7*X4Hn__D^X=gwh3kh;e}4U(75=h*R9nS&QOL<2TfS1#Ae4y25s@CwD0#%{MGq#?r|zbp@)^#=#S=GVitO*>LUhhKg&KA= zCu~hDBvVv{k2;1R>R7}#bu>J4r8-J~N3rNe))d@WgQI*Shb$d0DLzlV;G4l0>z%<0Kv+q!Dg+ zw95V=&3EMLa4!HD#-?4CrmZ*L@PAbktn~HK23NkDpUY?Z%}C!@%*Mqn(CoCUzwbiR zMb2Ot;6Y54T5{x-tz++i{#pR!tO?hB!+mf@H4S*I z{{ls!Gm;BWtg_+ez{G_L)OyLeM!lv3>4fo3;%I>D;p+oUJnLq zK!=^SXaq=!aNOXA08H+mXBA7tz`9tqrNHZ044CQe;36|I-3VTg6EJcDe*jRX3QGP1g2ZMip z#uv#Uz9mcEJOoJ^lp*sNThcGpN_r455%kH2pv0`C;>%cCf|RhI?G_HLB)ZBX;?rjtx3W1Fvk8@|x@3+pw?E1G zNKAFrtWqW0oWR`yu)})u;J|>}lP6Dp^!2IF+(j)2{Ja167kEb=CJYBt430*IrsXzD zwPPsoc@S0{O+s~bS*zzW^7n+@5B&PCQGPfM9(u85BCFFa&q60wy)87AMH#>?_eoMm z#HjdYV>WlrdG0m7MhOUqob13DLU>F=lrsC%J7k+TZ+;o4`0Q=a8d1T2|MJ&B`S;m9 z93@&4q67{}Im>|^1QM-^#XUkP8#lNrb_+q7KLDNZ*}sL;YxuF*phBdl)LOG!ICr=u zeK59r+r(iIlXIs?H@WDN?fm`uOyA|`gBv65sG!WGvo}Ie3tt8YhN`6DMxdEHDI@bT zEiLVv72O(3$$$UW#HY0ZD-hjm4--}PpcMP86vV=@5kLf*t}?zmL=~fdlJ;~HG?q{a zh~>60&+=9D_AZf$iAiaNq?lONUFrb5N`8Tkfz-{uzOkv^5SoyW9t)43 z`dOdZjLqJ%_gSdB)M9`AOto(Np2MQEs<0CKjI4=PgEh2cmxK!p3E<79OxSN20tWM) z5E6tEd&~cJ&{nL;>lnDIbLs4-R>KLAYhWyFiroNx+H&IVtz&7xioWb~2mGGNVf5v> zU$TOW3xqXAo>_UqvhJv9bCrW?+2fsM^MX*ZoCx42I~bfMo5tqJE<~CtQk`JrBhvjf z)@Cg%$-W04GyQR(D`}y>G~07^HQ6)_hVNa1)RQ5V zg@aJYIq(Fdfr?~TSPq@Nf2-gz_tQfl9sUsh*;DSjhnAl%mKDZ8&QT^Rf_gFR*&$Z1 z>01StGwN+qO2Zb*1I7lb2df(UIs7rH#8(ZN7UxsT>>8Guc4kFgO*-$mF@_pKAcf-* zC&N`ZijP}C86sq?mW}@ev?OV<#X_=R;?;dj)c<`v;@-> zlJ9ZXZx79=qpc~EDuMT3^RJBVsL*L~zHU{g4T`U3cm#Iw~$45a7V$b^d$w3B)+ z@79*Nh1rK?el}VHO}uF*lNh}MPKFJxix$^du!)I@yLRn*0Gv6QCe*8!+lA|ze7}Fz z%JE)X4E`sE2+PfrSG0#26aE|)Lsx!{ABvX1vr)6{(0_`hR&4c^Mn_MOnTK%pw{2rR z!g-?i?%g}9ZNx>z7Ct%?V^>zvJyt~*f)b-Dys}DZAv3#x`Bt#a9ipyKcqTp9QYL@LKQ&P_<~Z19FASM^dOCh*>V6@1 zKjAC?xoG?`?#aHSO;9}k1joQuQ4>9;YbNlb$p8J4=T#JXy2 z2OpPhJS=+tS(XVdmO9XikLh(6gD;qI5hStlwuj&RR$g1a6#jZpXwR{ku#DDSuoeF= zUTnqYXpZUuof2>EOy^9QlCx#q50sYS$dahJ?iTP6IoQiW=(c`z8W>qR|V3EBrC?^fFfLJ8~;man^% zzgCGUgld#fFcP?ahmfIuIy#jUvLF#$@RUdP>qoGVDeAKffO|kvS@Dy~e~gdX9qaft zkl<;XGoW2+j>z2H0e8Kj_5g%6;)0Fw9;v(1jZNlzl5cjFPh_1o*)eheTEGEK4-%G) zb?3W>e8!d*4OJEPl^Zh2tEA#?=b_ugV2%H7+g6Osv8t+mU(Bl4GdOCs(t!HESI3SDU_0F)@kn)-U1iYyOW zc5~7~2Qu7_0RdMt#b znc|gEv&FY6)Drmkb2vZ7imQ343Dz_P9l4(G?V6k0Y!tc^99joDLHAl^lh`TSGDt$qoB&71mtlTh zYoYGT*dw=wA{ZMg4yyP-yocF*+AkU)53tDgEH>!7I31Lz4aaVq03u6Q(|g>%HLKzn zP7@5lxcb$>5DZoUrQm(t=T?hFgyF6z+>FAdYf?2BGL(X2rR#_`5Gg{i+jzqG9K{D@ z>QD-q*a+nJQhYGK=!Ikk?DIDMTxQA{X9q-_y_U5ELc}!_ArLz%CzJ|UbOBko5e&T= z3;QSalTZnn9d*_}Zje169n%S-2g)=h`H1e$Yht51cWcq&$9#Qk)_d;RIL6AC! zdUNAm3Nd_(DUB;LE9(+LTR-Jr&y)Di^P__L5lU9URfb29&_h2R4X|DWScJ@E1}Y~5 zK&Ue|x$1h~Vo+1?t%9r986+BJ2S_c7?X|~(FRISN6d13Rfi#TTYG&T9Xc;G(lTSXl zShF}Y)w3{04wGZ3MNZ!)(K_xF6?YWSdzuuJDr5vc+m&Ortk{9RRro`Qbc86HRn{?i zi1owapl>S@pjtT+m|9d+OtQS^aeLH8mbr-v5I%)Il6wct-gWxh$R88C+cM_wYNo#6tp!qYjmKq>H zk05e6aI;#6LP=85P(M>OZuU!M#Yr?Q@mT7eR0)IRj&^%v8D7ocz)bYF6)eF2u|ZH|WJW!x^H!H}J?}Gxkt|S@ zzP(zMK92czJ2ofaAMho^SbNmo8-4dcZ8ybgyKaK>6Do(wKFP0t&`67KSS=8do_lxw z)NeBvSVx#6NMZqgf~cZ+?JN%>m_d-9{~;rVrd9dF__qy0L3-oCAOV%>@hMBSZ^_j| zk6bLlc6!vgI-{d(PdaG&kn&f0lOoW5PPd-p2w-$u?~^}0zSU~L~^DOnu z&nT&V2`&o*oUJSOJ^|(tvIoa{3mgjl@Q}=smu*qqvp5VlM85 z%Wv1dtUJcV#l>+dIm{j4&FLVl(P``4YkT&bW<42%sFT#<77P1^&TQvUC?MriaIROK zavcv-z40zZ2veTbd{~eY4f4#v#yB-cb>^BxSTgEc&gXlat@yBCVU{#h!yeMf%F3)g zY-lo~%pe90{okQkJzy!bOAkxRpg*(XdH?&1CJJN?y?b$Jq^5&sIpEJcR{G$!{!q&S z4OcQ$pJL3N&&hzMUkr4?&GUZYn|@(Z2Ky)(0chfI;36m9a9B3D#wC6Kg*VXtO{5i7 zr^GCbP?CYMAx<@Gr^g9p=Pjfy(fDiIsN0ZMVETg3lr-9R8^r3QKA?WrQ&T20gsLgktny>EL+31(E_2YgO6>C-vhOtb*miuZ zak#J1-aJxp!wqXx#WeMbAZ#pXo?H#!w95|xRR(O3AkiezC5d+Hpp``Ex8Rj=0VVY= z?kcNez~+v~nrM1HAiPo{C!Eg@FIJp&0+sT!VwMYsp$`gG?N1jkKYxl&C!pEBId}gB z<8q=n^9b*(-`zSU4E0BJ*lP{}z@+Ywd^E-Kf11NGwy~LHY*3~7+Ic&)O2n!%N$pD$ zeGKVP;-Mzn)Y7lrO7q5AtrSrS8Z=P}{SVw@{ELBa;-bBbro7r%Dcr$t)THQ|oc-QR7eN`1F2Z2E+7l>a%0(nNbO3MbimEV7+ zOkgWbap4UM3Vg^MtX$RM-F}Kk6~b9R&Chkh0t6$U^{Zq9H>=6dwucj;ue%Y-rdDr1 ztW19VW@6zi0G~4{yYgivU}6+T_zdH)Wq&1Hv4!b=b>J)-p^;IexTs}m zZwwR4uFux0!HzvcQd%u1;|+redm~5JC^foR1$QD-70`kT({>(A%srjs9;^a zUe%a!NWZ){^ULr@w>mG>pnm&$)c~OQ@*>{#{Z?Ql0iUefb^A!HsQq?&!4x8z-d@AuFAUdv9q{GWNpVQILbxDhjJghlmWEE_3%OO~w}3NNMxn<&4a;i@nO(EA&goJ~Y0DE|{t z+2%Rue)D-R%=U)qwy7=#JHAekEXApM6Pk>dH24H{EkPJd^}2#y>f{*LNfOv#$C?eg z?B}G@euIz+xQ)Sw$9Vrt_@>Kir8%b;f2-hYg?Im;ce#Znuw%j>deF#P%AbC!eb&JP zN-V_J!B{fcdRWY}ZxuMrO-YX@!)U{J?k~H6`nW`wrA67PvVpQh{9mdiUsVi-xz#gf zd627!fOy1#COq`}x9_5kIwHmA&jD~(9ZCSty1&S~3=u#Z+;~=VkUq1M2;li2*Fg9y zXs*G=D;zp?{jhw0cOY{Df*Run;PPMq?$xh!Z~e1PY`wyDZfd9- z2fghlz@7Jhi9y&M-py3?!$!IvH{7Se9jLYa#nPa3_qN*`79!%a(3R!?^3kWM^Y>cO z(96|Y5nHko=lZkp>nt&l$@~Sx*h%tlCKLkC#i_$uyrA}5ik6}iD#y~!ENTGNLQEWz ztu4wXK24=;*O8Bf@iZCH;J3d-?0IGlM|NYKFfI;Z)vPDQBIY+o$PD_4J z4O<56fFTjks;{yY??)Z>|UMA=qF$!C2!D#BOwqP_hHID=-!{q-u-=FZw;Lp~p zQ~z%UcV{#C$?;?u>qiFH5U&j!&(vj-_7bA0_%npYVchA2Pv$JVIUm#cvsTG-WxVsZ zuXOngq*FAiir^veAP7FE6whUt^Q`~pFU@PLUB4cwQvCL}|HbrPUFbLgh=-GMCRgQh zw0yn`uFUp)_lLPb6$aHq3Y>G_gVEtw86FhT0o3Y|XY+$i$x+J~8Yfd79~=% zr-8iu^v1c?>~WmyHNs!i(aKsXyqP%F-yNVbuIO!rfX%BjUUtx**Hs7z4fTLI9+@GF zagCu2d&T~X@Nd3j^nh`^J1ur7nAc;bN|{o6p4_#oZwda}zd+w+gc3(t(P9g+!w%%w>(v#PqQ1vFCfWL_|b( zO@?m1tc%$UTlygkiWak)RuIts>GUCn*aM+VYRQrQl@^tkDwYy1-S(yg7-pHzn9|Z& z_=zC3UHGZLNlQgeQ8u~Mhkre1%(MBu;&yN0IZK*%VD=ul!S3xee$&zz)%dY5N&NV9 zb+$9drYT8&x3#tPmzp7PmDgyX5G0V`@fDpSn3J+?v5?UM9ZU<*;8}ER^mH1$G)9*< zM&}+J8BwxRx$*4B=-trM1KbP$Mz^P(I|Q~ezKcUwLvTw|ytS|#R zIj+Dt%lh}40X;vit1Ktk(m>Ca@FjF@%|NTbynmCg)A&)B?sDHJgE6}D@wiX`eb{F- z9ehn^9~#%a1p!(v$mx~H>x_F}x|cm(&ea7nL|5zPmWobRg%u}4{}Kn(!1%%4eARx^ zFLH{27mkE^Dd)geM1b{E-Qb$vhgdN9zhUBp{`zl-x#CWdGZ=ku-LpaJ(1RPwVD&XZ z%7~XwGR_X0S?eHYcdAOx+XV2-Yxj=_4ogTs!ZxcMX6)NhV6XTCch*mY18vS;Sv9jT z#}6a@{hThNN^1*WF*_Jx{ixZtlVSFQj0Xqn)?xcnv9>232hORuq6wLM04x3A3RjU9 zw^d=_-nAmV*wxh>&!jPU(<)G5opVj_pkc>RoL~t6Sa}bBlViAm3F4k{xN1+m@#Nag z>g!}#1ygre4G6e~AKGt4h3SdRHXO{(+>+>{ACDVoCBhWu_;Z)p@$T-?0{qbU>XCuV zdOH?)14>x@wRVE8UH%yomOQ~h#P{sRo5{Y-P^?e(88r1YWG<{s-DR270+ z60tY=RN3a~^4#(FlJMY-*o0ri{PC&St6P}!>bmW#9oi0JC6!!$)pm)s&z@%1cTHu3 zK58aNPBGSK!GbC$t2&L#{Ey7d%^_kb)7dh!V~V$z0YGs)Zaiy@qhCu;O?si zZX#xZTlr;MRfr%mD7z2E?bD_wRR7GxD|d>(HKTR2FTw)E)%FQqf#?j;e?R6*$WBs^ zcy*J0gKM-7eK7@N&25w?o1dYp0m6qhI<%%tCW4u8y_1Mr@3)Qmk1ND>k)d3N-eukb zhis_P!=A$EqcD-0Rm!*PYtF5LO<1k|H*`Ay6}7K}6r86#l7GMwFM}m2ZmQ0|L4X{OQCt+0-nkqUEG|fHdjj)Z3^Y1! zqCP$C#7;CCNqEqfc09YggLT;`Ls}lhulO!VB4HZKJxd*(NXC6cAOe+zRFvBf7H9V< znms~fIeqMwTVtS9cXuX|6~pKcpJ0_SSZZ=}%{+$%SIv_a;D``%sX;immoK;ej|>K9 zwjbWXjUS*RS-cVuS@ONTRO-=~VP!D94|Wj~4(aN|ZOC?S+OzsP`urZ#B5_QDm2m}HrNOX2x=nmvXiflBM1TlQxt(Di<81pkZ~671h=AdgmeMX zEdMAU{w4WSs`ByGlEYnzR|enHb05Eb?z2#x@CEZSlC%E4oK@P>JB_-JJ&iraShMM7 zD|=1N&O5R#cklbkm>vJTBWavJZO74TD(qWR&Ys#*OqRrX>NZC_C7S^0_Rul4(EB|R z`ZpSu?hZ8_NuCtZ>`j~&4NLZ`Uo?_hTB;s#8FZSG)t!7zOGN5GJdy;0OGs)Q%d-%O zO^A&}5;GMQ6-E91*Y}st%-8`Wpyb1cEds~|>@tTCW3waCF2sxSmv>7+v~j5swH7PC z!#)tP=sXcDZtso5s=rfbBxA2gl0WM#S6}7F5zgP7Q0Z@b1XMc^CYFIJG3dC6&7hhv zU#|xGtX3FlYlQ5lz?GGiv~ zQzlOeNNc$kj<=%bx!KLypiPTba6W|;4@E)!CZ7g^3R8+Vp0=7=T8|;FP*YQ5-`fqi z2c~rM>(}3mjqKFHEh~RQ+iHF1Fo{@bL#=C#R@azEBVyH~5G?7mXEWLqSfm8shhAR+ z1Al?uz<0CbrvcW)0Ip&1&H)>%Ev23eBR$<(h(~rKi&w$siKDJf)0G38NQ?EBjTifM z>&%9zuf7WR(SL_;Btkr#T_Bcg1w^nVn}J@+ZgC>49UD_O@|1{lK=rT$D<-@RPRW3F z-q4h@v$G`961jFQdQ_!P@`|Y`Z%Ii>ssl$UvVIH$A{Le@7Ql}H{=URbr{EM}In&;+ zOuzm*-O-X^WdTK=Tsr>^;E}^giDt9CUx@oS#>-LviUFxE71Ya1=|3~u_KMDd5ADg1 z$CxQk5FE9inC^Qcy`UX(tj&JbaikCML#JbvFZpXH!!X%m(!-^98R!$^UOpZmig01T;Td z>E`-IV$C=jUk#cMhZH_z>AC~nIbPfh?sOVw7Q81XCl|g=1~fYJM_;`UqCfe2 z|MKtCgZ~Nk6zMCe5{I$Q;!{(KQ7GQvBbu%7p8UFS=t37f0w?&A%?5oiQU&Jm`vb)N zBp)@%6rqW_f7cxIHk-}3*NVw z>=kr(*Zm6N3=k?!9jA83Lbndu2eIc;%BQWbTwy^n7+0^de%5`$d(++1vvhFK7-oo{ z=6Nx#=eO5g-C87q#R6bjgg-(^dF3Sj;gV_)3_K^<_6ia4rgQL(ml~WbEDoa4Xnp{s%A9}M5L?Yi#f=7xkc$;ilD{pslG zO^InwD=JEV>YXtC`AHDH8+g9Zk@_s>o9h{Jeh0L5#L`oQE!Q!T5NHQBa;W!01D(j+>~ulE*J#gFLi6ufvAA6Fi$|Ds%dC&4t@gO;fl)2VxY;F zz8Sk1Pi(n@1)xLEN?8Uj%mFn)r)6X{`VqnBVW<{2B!iux8Fs>-FMlC+sJXrs2-*0@ zZey=*$jiTe8vh3aaKHqF;m>Qd;W@TW4%dAle2%(|d)6GLif}M4l;3m$p5q3Ncls-i zp77IaY+*;IwB@#~GsA$Xh6g#7O?-r44TPI_huf>*UfaU3Z7Im6|9`Z-c|4R2_Xk{B zR4Oe>Wvdj~-AWU(v`A8jkev#Zwd^~0NhP^uOO{b7$(nr~l9F{~%^nhC-tlx9a_rQ6K>&|iqA`;EzjP)Q=Tmc)DrmH@kzMGNpI+Sp` zckkZhvv2XG3NWWyaAmLbmHxxOwkWi4c$YVYRuCZ~RCm^mkw0Fl<#g;CA>_>yM#|e} z%SYPg39dd+!A`zIh2_S&6;$9w#lVJM!1L8P%9kx#=hho!>%@yTXSD9Baa=)@7Qe?* zIa0s0qAt9ciMX)vm24T*>e4z@HMLGPmoBxABA5Q6Yxedt(U)hx`pll6?QmC5 z&gP3q6ezu|2vWQXQuOdjK2^W?mJhZFFysQU4O|*H4$MBiBIk2g)V8H>YK8C2ji_5y z*Ef-sAF)Tqo#DiI0V75b8Qdy;F!e~m>7ZF2aT3D>bELnA?Nd`r-m#eE$&<|+_(Na3 zK#DQ^7Db;ri>Nod4U^08?$?9+fSoFTDt=X;kU9IaFtR>zC95Qjebcywy#at_~ z6JVgfP$)*w`H6kcTmNe|t{+Pr7)#l>b(RaP;dZQ+g~hZ?Vv|gmKbioVO1o)>@<_0MdA;y};v3xicm=DXHpe z5N33Md$UV-Mc4=EFq|)S%D$=HI4B*#LL<#)7gBG)=9WCA^i62Muhp_4&1O8Vse72U zKP{t=Qg##h6&Yf6>IM5;5&}j@u$}N)WJ1-hi$~X9-3T*JfQ!yR#jEz(&$Jg%tmCId zkvV0Gw-j&XBQ|xB%ZQT`wDm8tk5Pk3RcC7!Y3_178$ujWN52yRjF+oGgf4WF2kJ}q zF)sXx6z94ZYbuYI2p8YI@Hk0s)Sgad6IYp#-0_m5#c9@64VkoZ`yJ_T3?&@H_vZ$f zM?HD=Yjeb}DfQY>xHc3yr5AH;5|%I?L;Q`oy31LE{hz#OteNO2YI4RJxBlns%$Rp# z3Q0&h9?*-)Murl717~c$Wu>%uNr|m}QC)~~K)<0cY;b&M-!Lm-EYp_dymjcKnO8O( zozaqyx$@XhmiEZ zG1Lmob#z?22v`3K3Qp@Ad3t&>ee_UPR=zxLpN(g)IfMM}cOf7u>zLp$pcIlk zDSp^Owf_h{8m`wjcHal)Y)C@7e z;Q7z7zm=wc816l#Qc8O}wXpSG+~WrHDtB&JV2!<|GJeZjYWL~qEzMB|yDvO9H+p%$ zw6xSa11fd{4auBU0{fy2Fxn~nrc(zix4JuR(3gl!>~Rh>>sQ{OpH`Na z{-QqhwRww#=agRhXKe~CX^-t{$saXCyN*jBD$(PgPn>FFgU(5jK@>RQrp}${>9bM% zO|@g2j`VYCJ4Z-4S)6+Rw0>UxoHoW$`8-D%CzONtdCs{!EjY=IH$eWqTH6vBzDQ zMqNU2jKGtNO-jVZIuABy3TH}5?>#j$rO6VVOG{3TB4By@rO0J_ci#JgEjdQ0eYh_N zvp--HoJ#Xq-{Y zIA!S(5?5OqF5Ls@5C52>y7?nb)eU6>5PkKN0;aC0Ag=A{3a+i?YgB$p|2YZ1L9H0G*TT z8ynzO9BN7zKsd*jh6Z&|#QtQ^a%Xg|`REXOqSa`iM>8GUF8^CU`eQamo6pIW10LU zqB=@JH*j^$tVE24gkntX2&;)o*&L)#m*LCoig~4AytZn~`3qaHW)h>NHS$eK&Pr|? z_&4F8X=ta>;`6xTTiO`yb-mEsEqZyz^B~{Yz$@!p*^KTs8-CssuO__fAqM28S2=Pz zwncV13?)yvyo_fd4*V3!p%shnYepi#lBgyXLjx5Iau-E7-2Rs?S8UHw^vuQn#?+Wv zWmQAh)gA#{9#5gJ+mA%2%RS@fLQ4>ju*C#E`drk+LgRMHum888NIx0>AhlcZxdAsB zh&l$@#oo;`{T{r1k=!N=Ci;F{U9y+&AA|X0d3i}?5l$|Zqobo)4Tr%x10gQ#{a0Mb z_RX_sFHk<^0hxvI+V^h~5dHenF;c|lq*b{lw!R) zYa0*z(~{4a8&s7xOW?~jPnuGhoa1s(c;nnV4Jq06+VN#QlkxO?&DPOCWJM&+@E@+{ z!&5FpQyr5{<_QBo)-lwjS&70Z>m{Tj!B|iVMJ~6D9^s|wW~=Shynsetj2ul*)O)C%;iwKWPDh?5ivzs;|M7dZSe&^fF*Vhs@3j#U`k&R(3rLB?EI{=QDe2pyU(t5M0>mTK?673}@u_XJJXyvGm7+vCRibN6$`rgOHKQ^Ok6Y#XalSq?BbYJan2AWvrdX4 zAT5OO{!5qD7GV%;>(s=Za5n%biJ0JyPA)DoX2lfj-w7;~ovU|$h2`Ii9^Rw7tI~LN z^MmiZ2%Ag1q(aB_zE^d=}eH@L8N_r@NQEMhjtAX81_&x;%VT>CK`0D+vdKt^IzUFkutwA>|j zokuIb(Cu3%G|uI&Ps;J#(>B6A*_}uhp3IZp- z7>(@O$M3mVT3Y^f{CHi<)69J&_iz)L589pB|NGJ`#q``a0gA5q@>&m2ag%=}#kY3- zfrw!!7_a{Rc+t}C)=8Y;*6Wjir>yvx)n%Hsjl57lmPg3fySTg1A8F2mqY7|r)%WjB z)oOM88bLIhY=KD#f~hI**no+)izXqa{Rt6(#$lzyP&t&w9w#3hl8WF)3J&goN_x__ zP!vb1iI_*M&;e~jU`FM(fPjGKWUUXTp9QL116Hk=N= z#wqwlEQQv+lN-hzrP?vhgpSIWXO=uD6g%uJeiYpm-(?Z#0zSbm`aPiVcOEe9Bulqa z4#|7voa@P$MlDn*R|oJtKoLv?#Yr3!)?RGo9oF zuml@H--|!*CPhFp#I~BfO}vQ4a&gT9AJ`)}{`qQzl=8b300nO0$9uF)rA}g+L2Y%v zlul@~AvSvD;%X1)V;{DVuG&M9cOPkKo!x6ij__BMzz`0XzEXzM-5;Rpsn~712saF$ zUW6OM1|E_-6Yj^6A@*|@qZXR2OD)n(iV>-(52neHtRE5WxCio-PoF%AUGPQ#F%)+P zkAGe(`0y#fEn21A5sB>8Wq>93AfuA}_p-J6Xo$e@VpgL(thb5#qOQ8*YirGxx~w$z z_0k}gHy7xRF#D^UhyEsDNTU;w$dg3_?S}jac=rB_pMUqV!v`r|7UxOG`8|1BG5k<| zzx(Ztn@>yWmt6j8^qiq9*hDz{5c-}{+=bpt0f5!U$31W>;4YVU2F`kadoSg>?9fP+LV^SGslFdHyR%{~+iBM?|7cwHm1zx5Ul6 zzd-7}TkTdMtK1vmqlqhS3FM^^KG!0!4#BDsHVJ~*k0;9n@`s1YPg@7R#A1GqxJ>z) zzS#ba0q%&5v=lH9oA*q6;O;uKKoq;;yY&d-5f*@3ct?C3r<23+7&FGn0l(Xsd`MpR zkrhuB`?${<1!B=r0w~LSZ}-0C-^m@Ah#_&IS(}B7gygnT@&q|KIS)=&YME_3~T!_?)yyyz-vkEVgP8Zx=C-L4eji_z7 zr(oGjk_uT@5iZVzv%ii%^*1*La+eR>__J!T{1V!-W8>X3YIja6~ zT^x8DVs@Jn$7D8jL^{I|)3DNBh-07qk1yOkK20O-z8RXkyLw;7lYEx@90Rh~xu=bg z+s4#3Cf>Fa8K^l-%N3FM%-|arWC?(Rw@*G6TN1@zE!LC++F!qs#1?TH(h${md$N}I z=g9xcZkUKPU0oW#DB^?HwgE}Y(cgSN$2Ru~#F+$aSM13>4U$G$`JUX9GkXv-jX8V~ zHNh1*td4b1rRZgvgAQRLNJ?VXAa^qOsL?fOd{&JXc+eR)mN&_ViSCkl%KWIStIH0q zd)M)f^;Jn&J8e9&r2Ki8gam@S*5f2A!>jyg8FI0m?eUqr87XM&4t_L2GgU-w36y9TUM5TA6(W%o!7MUO&N35r zzXTEymR|g63Wp&0gt&)4k1`^dYoCTlf-^yGKOwVm;w}Kvg0fJDhZp-PNHl!qmlZk8 zReflo65647wdg$#Rv^m3@X8;RfO_U!IS7?5I$nH8FUuF(R>SCw7PZHZIarq)&^ql0pO9v=DT z<6>1VjRw%62xjQ^K;kr@W0YnA>wB6~Nl4qW5n6bO)@4gt^Y^GItGA*aX=q`8r8x*@ zQd8R7a|PGzehOBAY!$gp7vtIhvP`I|B&DBisOlPS1XGo_(B(oGN1K$T^d&w;9>E?e zB~^rflEZ*rmVTby$TisdCIy{0Ye&Yqv8ZQ-)k1A%t${nfqU|~!o!em#Rgt#B>I*us z;wXEvMMNMEszQp@Hc8vG>%6^&Ikknhz?AWxvFli@`Ki=PwD(Urpsr zRpky@nF@p(s2P|aYI0bg=uJm=q37yF}`cFTlX0yz5Xz;%IfM5lfj; zQMJI!D8&MR&_jZ5X$I~I=Sw~|^2*@C0ZHrLD-3)g^%I-*m>7YybjwN4<>h(6_Gui9 zzCsy4yfFHV5hSP11)`Q1y6;_+so0F|Qr zwePKRmj^G|pOQFcjw~)7h6JK1T;AF7Z>J;r4%i#3JsM~`$B88+CCcp{xS)ZGP?75C zH`!J@(dG!YG#aIVHe=4q$;mMc)(u*2C)BSml`Q2jX9-B;=YoO)gA;j#>^!D6VoO_# z<+0Ts;sWklK@s%eLA>a~=S@D*icXYntiU)57<$FFYX`#vojnxLrKGKr8xLj--c zF!hFrKOZU}R=^7xy81ILe{mz%JB#Gae*UJ_i+}sNiid{bpi5!8Q)&9K@UEY_o?BTS3pnHC5M*SWK^{m3X0$`W zex2O5Yr$YuIH|#l}@1f@-4~Zlhj;qzoLk{Z62XzB&$AmFv;bTy!;n)Vx9R!N*;`c zmJD@(yNp1*nlu_lUdHD?jR&cD=`7ZsYfflxUIyC!=ZD^~`6=?NQg-+28 zMlU3(fIOnu9Nf?hFJciI1Gc*+85OU3aQ6V`Z4*-OXrC&wg^{!N!`A>#K%1rJN;#k- z6D+$cgp~@$22$efn|#@2U~EDp$pF)m!$&8nrLAom$~o^mmL^IAcD{%#ze~!mtgJL> zk(+u(fuED}62iH;~z#8VWhH(N(@^Byl zNU36{W~>&Yg_Mz(J}Ex9=K*n0{l!JmQauBNsg>G4;4K#Ym-lW3@3Ce?b}2m`_%`XUZlJ@V=FBOCJUmMILlq-dVwDm2 z2X7}0xOD!*sOI6Tp6YW}*>@|f;tyHpdg&iM z-(Hgj&>>Z*+dPAS8YHXeseBo(2ySX)w%3mRg8A@u*q0Q(MgbmJ>V{rAzOAivCC_dE~2<#xa=-;Ux#a zP@FK|u}b0683Z(U-vmgRW_w2u89rRD1j{>vl`q_!9;E6(st_W1FD1PUJzBe4@oH;@ zFLbD60Qa%J{gSh9Lxy_hTFb5gwJ(4kb$($oHz5~NO~`lxjJo(jQ|gx~hhFYFr&avA zuoTcWz`Vc`e`E(FhrdWe*W2Db&8MIHLI1_EjnI0|Q-|2AR+NWVo9C`N`o%2gDYM89 z@OT=QTmWeOV~dQ9YVFul<5sYU9e&YOydSL}=TstetAbZu0MOLUe!m8C-+$;! zlaczRU@t>?ty0!dgQ`aDc1U{a77`#Q2pipAl;$J_-wB*l$h#Tv2c4iQ<&hoW@x%^_ zTgn2fG(=j5@}QRwPdHhqHP5EBT+;nCf{Jh1NqA7r+Lt%iq*n1|%ms(-6TX>yxYCH` z9(eD?s{3m3V%04XlhXbN=fC`q;x>Rn!~~4WKw4N=DhysP(%zBB`h)qz+8cBJ36I26@~eVh@A zIbr`EDlVr%PZx4_d=_xIgPcnGkeHZgnCw>2TOQOR#{MPzj@qrwiG3w zd(ind#*^vgeMehU=F=J99>NPqXq{or?a)kk93(!a$D5$_q-%_^vnJE)LrxNY!oa1a zzNuS{i6A^l#E*`b+)ky9w*BkKY7ZVBp1;J!S&kk(`XNi>q`+cbUbcB9hxl(7iTp$_ zf8Eccv0QB$KKVc2-WY!C}F8P+wzU)8us|<4XAz4 zMQW{ge9fA|o-sIEuiA-4UwtGgZX+?*-Pzqqrz_5c);_tDo<*GP6n zInQ~oPd+?*&-_GbROfYi^kW|<+?Ck`PPGeD)$UC@dv1iK*C$UhFYQkiEZW$lRwcre zuq3niEv;PkNA8$y|6mi-p8T#cQ=jke{c-B+ESIj+fAB3(_4%6h(=(r_y!xxDA!|0x zI3f4=BwTN7KyV2|nmWyV+8?76J1G(C_wVC=@dvE`-x(`M6{%rH1ELg>Y0>FP^ZCgr z3_zMKGfBoWg;QWXIqlL4UYhi_^8yMmv1FF3nX7@i*T?GAuQgl87WS}B%T9<@-2P>4 ze4{H9O-t<9@vMf?mrE@vOT%uKT9uqH?Y8}sET}p{{s0}a(Yt~>KBsx%ek#)%dcUv3#zl%ZB-tQ+qG=(2zN})S&7V*8-+gv z^{ENO--;U8IR2`lsc+<$i~v9Xm%_rcyu7>&gP|@`{G>JnjLcV4>vzHL2y|gX^j=|q zV3j)_ay8AiMx4lk$fV5Ig5$APQ0FKQKHP^iZuP5ja#6c%YHc=x8VLX@OLDf$Wrd_D zX8mwgxP_udluqv6Kd6!WL1^~f&>^Xm`^TMte{pu)QgCcESmWBK*|u*A?qn+W0S}dp zS^u8LcD3JYMKBMqLKmiWFVRxuKn;WF=n;Qe3ghF4T(0m~Qhz67TI5MdmC= zoU{1$f3OxJj+TbSK&k+k&oT2MS$FLeQyL-H66H-419{I5*sN%3rJwcM=2(C9J~P#l zrrLq?Y;L7$7p3&=#bg%noX2Zt-Tdsy%O&gOew6CY-EDRnEB6go5Y98p9!Q(+HkueZAW};hXT~j11P+?&m#g z{QKI>Crd_f+uNz2*B7?QQA#g04NlPvPR=ruc-EFHhPw{ZUgoi{r=lY7Vn8WI1N^Xx zt?^G~O2F3&gDxQH`R{q$sPd=s3-;OXSu(S-@K=H!H-djd#<-D%!ei;xS-(tu#3!%v z3JAymLhb`GhFs6}5r|!DEb`R8Pm?{qyBV@bLmfrFnUK%!b8-hVk7snUbFZf82r@YJ z1zfN>6^TMx3FL}ba=+Bor^S!=525#7j( zHkV@(lNR&ytHbKv*Wp`L;>XUtmYsh~$qyBXj_1eb7OgMt(~19n;BVWWn}D2ll?tF= z=4h)ty=Z?p#MxkmgDVdb#zO>*y=Dm?49|}a@U|W53i{ckGSYKJ^2VIig*v#6+4B~J zXm&eWl?#5woA3K*Gu64w)dJX)R~7I^2cotlPctvPr^P>Vqu!-5Y#S^)IhbA<4A8+nXMiWh% zZJJEIVHzvV=-jM1s{hga=nJFIUw4T$S{7^#la|u=)N8V8d{0}it>v9Tm}9>)#Kvq~ z{g8I-m`Z}>WtAl$gxB$<$lOQXSn|@_LOlC(n$QGr@YQeyi8El9%)uTz&0tu`vA1bX^&$byTW$j6aA^$;A5}c(L@D0$1N@ETc5onBRLtP2e=Bx!Xs=_ZWZtjfI~EG5 zpEg!Y!P>J%Mfjj%(2p$FDUY2aqx2H{x-n^u$_ZIIIsDcYPD}9bVj1#KppsPl|A2ol z%vfw6-Agh=f3;^A(sG6=Y0wLSwZeExkID|XxE9aiklBCtkI<7kvBx@o;s``Z0dzW_8Cso=wlW`ko_85*HmVSQa^^~eJ}i*mLi-!G4hgWi z5poN@EWgrZ!Z93@Hxn}QUM;sbsfBbWDoSDkS@zUO<`YlOo%t2g2~lL!FzP9Ax^cGR z>(Y;trT0M0sT9)pH3`nDTI%;K3XW|9ejT zauuh0-MzH_Kz7qOBj>eb&?N+3hog`)KeA0L~Ap)O9J%+ zuqZfO5{ol#wLu1g1=}+%yUFm@q-;HInPz73!KQB3!bCLe#ZR@ly23sTOHkM_!nEP^ z>nQpWjM@~2w2r^cfC-Xxr*D1n+5AweSAEx&Y7cCv>z_tyEJF-IG4r0IoxfWFYlnGz zl?r{lruhcR@gX+vwyP&F9@`raZ@iLPf%EjCEU?$nrLa*ahb~_v>t%!&R8=nH?_5?`E=eX?Q!G_;aU!N3=_^sZb|=rbp=QdDs_ z?G|$KQ)UN~ih5#T^69U;zsJKk3NN;wEZMTt8+WVj<@6bl@?PN|upQ z$@Z_urXc&VT7yol7NXl;)(CthEjer=cOyT*Te6-p#WgovBKG$7zJT5e_f@MTdWluG zO7)rKeAfLG10eACu3BG5<|^D>cAUosm}Pba+WqvEsoM+?f;K3sZJ~@L{#&4D$mw@x zxf7~zpQuziXK?6gVctx5pB!@o;S93du%2lmA|7rTTy&kSwft++%XK#+etxLW#Bqm8 zT*ZV-6yNs+H2iX=e}isJ-K581C= z4B@2SWOU1rK(Hh!NC+Y)18HAZq_VyN$#q#$TxPe+rb8zkCr#L&3j&s=ATe_zRMeUq zA%3I4wsD&}V=k~ct%|>Jc${)oq=yk1^c4s=Mw)NlbHknCh#j&rGxttfOowecpSHt5 zz%kgZVE13Po26$Pn9QFV>4-OX_||^cmeLpQP1F&1zgw&ReVtF6>!H?};_Gp&$1MnI zZz^yJ;ndFEr?ZO@dW{c|U#zLJIdwwzV5fpqU|F%VKY7 zZnLVsL{16=acl={`oC|NF8yWQ<@ocfD)`Q{S1K;LP!0bZf9%n9hx_y8Ba`WQxqNG! zU`J**5Dle+c!cxjhnSyCDM-Bj{Q7-zHiBQhddI1BxEhAi!$hcImJXr4I}f^ z1O|-tc{IAFcCCavO3iP8*dfYl|I>H6T9R1(r5-(7j4mX!&Cgxc(P^FBuM4;AKPSxN z695dkJo-t1%{yqed<`F~N?6M}q_H9`9(~%P#m2*BQn{$Zn@udKrFwi~BsYF+cGl@4 zw8g8clwMlm&uCIM1?sH@PpqgdRH$g_hX@U@lG6H4xa~uh4orziB-}{W@*B;)djsj| zYJTI+gR|Sqdmiw}Gtnb(t3bS}oCv&aZ6qkoB$I+cBEv5ML%#nO?{5%=qJTTpsgk>- zp2H%N`+e65HP_k?ACc3&k0qz?Ny3}?dw4KxyPP@k*LT#(nu5!(H~h7lwT`;_Bg&*9WH+aqfWQBFSeQ55?Zl*@j{Faj$s$R{ z5XPPV50bglVqGttl__b}qexL2=*acMX5-_)^1hO(uf7P+iHUT~EM`Rv|6O=KOb)yf zaT=zdAEpP+B(A3{Vs(C7+u+ds2oTwAI%0Ba{;7E*8=GV8B|hfMx!RyA2^=%56Wkf` zE!>im**>4K#Cpy~=SGZ(u0U^2M#dgsVuQYtV$buraxTgQxT5o!s|3Smdp7(#g#Cw~ z?2$q|gje`o+2bXG#oaGpK*mX@*f5i$%duL5U3BvhFDsqp0!y=3#WhK|mlbJQjW3rNcBilXET z#pk<5E=(re4#R&A(qfy(j>){QiwnfFMSS=r#B~Z~Y zu#k(gGo<$jlRN0h$_*oC{YsQERA2l1hZ>~bp2-?$$+rEp8DXJVvxT()J;}fp_v1qBi_tQMtW1lzP?Mw4l zE}9{seg9OzhU{U z8=GC{i6i*>JP9Q|{aPJ1e5GdxotiV;^W{cxFNGF!_^*4lRyI8i{&A!f*=`8p> zsD)L@VjsSjuCps4XQG!++oVXb(Db!hVXJnKr>{9~ElVrTNYa|Ob@~H43+95jLK~bE zSj;I;M^d91gR@R-RICnpP-hO2nyaz#jo-W^1^63J2ZW!ft^1m~?tC*3NebWMjLx9Q z#<>5}e{YPPk9p!BT3Mpas$i(MqRya{!O#vyXpU$cPxzDiA(PGmWK}d@$FGyKCvUDV zj}-#EEwi{oN_tqaHZg3-$tZL$;Q$oc@4ljR)5S33cq4OtY{k&%5ZlSU^HYTRq%p?3 z9wl^IxwbMnzbFl*tt{Wwqvu=(za=B7q)xPi%A2EMTNmbA5K1HF(M3ulmGcYP*>~U! z(pFxW#a@Dvf`P6y?g0j8o(ExS%h8LYnH-Qiqt%dU;29l}BqaTbyBW|1cY;X>zamn0 z*G7AU$0FT;*_!XkMhcN31gMP3$)e`l`=fmcc^Ka-tvP?n1%6Yw+ZzBjFT&22VGDr@ zQ2Ei;++V^8kBpq!n!s3J?whYiu|4$3xn@P@Xk!yJrmN{4GD!5Z>#wO7bv$5{5Rjir zu=$claA)eAG;dZ5cG1=HJ~6zL{&O&0OaM+4?l#Z9bdDiZFVUCx8hg5orP? zfGyp58*00V{A04>Nv%Uj0rW0gQP12&R3XtY$Ozhu|A%OZbldvBe*=`3wDH5Yuv`4+ zEaA6#C=D7P3H(j=v%M&nPE_3%-`;aRaD8!d+R=P20xVT8uic}*5KClJXe$U0Yw2=E zOR~-n-}AAVJXoP4=N@)udmf=AF7+mV6|@U zB?{5WOd|JjJjG2hK$FSXaB1#DjZG2ZP^{D?n>kRMFeHYzu7T=qA8#1F{~xvNJZcih z(~^&ZTCPFJBc%oM@IU*rg;*`+yq48z_+tiO2^~lzP!J89J5dtj+GzpE@woOob+KRMF z;x3q)!?ak_7>xYV)B*&1)myaZ^Lo&{?4#nN2TZXJb;$=?dW=Ac;>K?KR1x}!j*E1xp<_(H z6`W8CpV~3~y+~rWB>uJJK6=Sni+WGuuQv53>BM?J?L4ykGT!{#|CO%&s~OYD+$ ziy0~R_8t;_-G3>=A41@cT7nt4O7&m&Kl^3cf>UwTHOQTPHjC$tx4hDMS_tNjSh?J1A9040lMffosdJh{7|)G$@g84(um`wD9V)Dba;Lsv=lZc< zU_DRF(zjVXZz!D5vBn5pKhjr2q>&`j$9tjXomYEUCv@%~*M)BtlhXpX(icfGKR%_B zWU8>8p{KSem+A7dH%0RJx(F#~STyCC$ZV<5a5F8XP*stk6kO}gzB{m@H1W<)2ktk+ zg74|0k;wdNwlXY9fh60$MrDR!urT8W<&Ev27I5e$ZI^#eVU93{+wtRaKM`DoJyeiH z%7kOwP@Tk-XVS`2KB)!WGDqfr?K8eh$VWzUNL=*5x~ki0uM(v*|ATG*#%yb~PF-~M zRJr|pm#q$CwE%30qSg&`y2FmE%bn8o4DnQ2;8?=E$D(QVp6OYxufL}Tzo%IHkruC5 z^(lDkq18j~H`F=Qx9Mvb>H>JOz~@44`63Gfx7ZSp!y@IdCb-B7fc*V+@a(qzW6M zo_7*L4rCGu*J8+rD=ZV-bhZnE1zMe2} z(}Ukh9t5Fqd4404qkJ!ix}AQYI*FfZ07k65nf+j79Ng1hQ|EXw`nDHfg$4}k+#D<)dg~SZg5uBWX!zkr-3Vh>IdNvJyR#-*d4Wiw0yGk)jK~^E zdWjRrhS@}PKsX7Eg)K-p0O-QmI{W}th?Iq@k>)uQL!Z&k^#bV=p+vhRA@^%x7NGqb zYv)8kL3`_yYv;o$REm*#H#C-VblpcwCw!vIin9j9FxpzKBf%B6&piboOe%=H`u zbRvq`&q;^0erx_LZGokQyu@y0lroX|*sTCxb3p;Fr@+3&%ZAIy3HTN+m{A-&(QW<{ zimQFFJSEg7kD7wGc58Nr-X=~&m&Tnn(5bM;CyK>YM=`bEpRv&h!szPgnDJHz)gy58K8G@O@=xH7(k@MkWcDkeS<(=Acjg@KsgR4F0~ z5tD!0XR4>VjVwKiNyEuimTrHQX9mHMnPKKeAMej-`Tg%f%vS;Rqd};A$ch~e4r#aG zbC(%0kL_H(&SsrmRjPsG$}WD$>~>{5&X zxv-PgMwJn)-|%vk2+Q{6)WOEZF?QIsqy-HiDt?w=y9a-h;VSYs$3EVNO~vvdm;yB7 z>hO`AvtxfE=xhL-Q8>oWC{Z;C@K4fM)wU~M^%2D#CM%C38wlhIS_6k1tAty_(m#;V zh)!TxeCkRtpEr$-k59YX)95@uBEud&>D}eS=Ek1B<4E0>^`OLx@l(Puj-2NbLY%rm z9>ZZLdQ`uvUo71wXk#GMA~}zgCO98cy4QXyCO{E=pgT5U&FiQVyA9zPyew?xvvl)D zSmZ(yl;i82B=;g+xI4nc-?%F5TU|FdEbS@th#!{Hb4DyerVDz5Hc@9Gf^~-evb-<{ z{O)`w2@(*SfK0RVt1mnF8FJ#IyIG<85*zC%sU2l|PSYm_qKr(~`0@$ccMtNrCFf^I zd3H5=&MI?j?s(hTW+%tY>$E@LmD7CA`V8MwvuYyuDdKF*a_7Ti7sC{js2G)VQiCeF)It z*0aG|o=LSKOMHYK^{#G6G(SU%=D#dmbc|4=aELwaB+oAySYq_?l33V}N zv{{cArs|TjgTY6Smc6%@`17UOiwwihk4VlH*%AA6*?dlpo;MD|SD>U%4(*C#IjZLf z+#891*W1BTc#Lk&tNC!=#YxbudZcDLLW}|w1hs6D3+D2BeEz+Tp#Iw$$Q`(YSBn8D z54>+Yl4v5qXb=>NY#LpFO(Q9N3IP-!4EZ6`w5C@ZOtLUZ4fAFUzJU; z0*X0U1Iv!Kq2=9!C(`diw28af@UP<{7P9+^o#T$c%V&qoe8L!M)4uZW^k<*s85k3` z_Q2K*ZOX7PGmy^qGRDp1aZ-yWIgc>5#iD8M$G_6UrNuWTyQXFY81&ag>A$q!pg|Bi)+N}MXlD(jx6VYTdp61ez(3>I5T`(L!oCKj2=`_P;&H_~& z1d6dthh#`~CT_oySte$w+_mzdC$!Z2O z@q{M0qR|ig7geOpE6q`Rhafrz#e}R%a5%t8DYCDlNO^X?zlAQxpj4Z2y0?2^YGAM_ z-MkGmWrI4jjlpP@-|&vLU$(J!oYlK=W7{c3*)Kk8_d2cPShI$G5A%rhw(ab%zr4HI zvF5Lc>r#6*viZ90KXhP7t<6PJVG1`m9*lJ@>Wxnx6eZlLP&caAAY@CT$D$imQtRU< z;zT)9ZAJ6Om46V2TwNV%V{`&^=JE9jd))$_hF-vR&A&yXGNhAXfmph-)$kLD9Ch*g z%({-DVie|w4IUX;8<)!)mx~)p2SDqxMLUn~Oi2oyMycrjxrJ&Z|HX%~-P?N7gn71! za2V*wYKF^JpdMjD)rI)6ho(%+S;jlH`@2Q!Aryu6T~l4Vp^O8nq~ijwyFu=z#+6e8 zO+io$g-;H(&4(s6?4G}#x`)j`-Q3vA#mAFo($YB_bj{iL+Bu5T&s*0>!v`eMcxPop z3ORb&q2aXjT)teGHCHfq?$bmQvP{L4@JG$%Ze`D3_e)h=$54^dvN`-U#6*z}g_%0n zp7Gl8ovw3o)m^1Qnz|!pN3oG8%!oJ6L%^xsU+vfv^84MB9YI-`jU?y=<^r73}j_%D)mY3Vc#}wAfkGl9p)4NXV?PhI+U= z>p9$COa>q!w7j%OWWxrlrhVf848Dcj%6n^nImqErhwl~EKgwZtO_WOlx`7P-mNVE@ zhqU@*2AVK@K>0bO;oM-3FZO>L-ddKvuO=17Rc?#WIv<7g*TkIpc3Ne8CYwoEkDM;P zYWx8z=Ku@z|7lUz9+QQfueuSQEhaJ-x%5MQJnDzzYWY*yA$_>p)Ia;gh-iQ@^Z!W$ z*r2&-H9g#(VuTIv_BS=P?~fe$HZ-D`?-Fm0f*5Lksf@ze-jnX;3S6-hN6B>Mi48PB zbtH%ME~%*tpVDZWvdF$0TZ@lF8k4_#4mBZc^!SAAFeog#2!>Lr!(lKQ8m0OO6*& zt6LOGcT4TE$E(~Mput`7%V3-t+>z-PJZ$z=16`34^KghkGM`e353Bf#SG;|urvKG5 zRtDq)4&~5*#>?T#B`4Q#5#xiR#$P4idgB~iwc!wiZh=Q8gmv+&z2~Ibk77^ywZciB zw~Ux!5Ao+YT$Jo_Y}+t#@MKLc=gRMs{|BMPn<)!9n?-ub`PVjrM!uMM3J;nP$%j4E z9dcH;f_HC_(KABYudVnI{z=O)#FJK7{{^1Z5IpILko&s}_b1bwsIhG;}jw#~o= z@T}+WH!Tr3riOqME75|KO-0rb%32^;zSRY?8(Tn{x=K ziL`oMQ{$_)&X;aPtu`}&T-#neUw(;I@FJ*ZbsS9k;X6fQE8~AW_&uVuw&Ey*2>q@@@Qo4eFI{EdK1|RMmy?D2+uKAQ? z>t~mE%P;^)GWiXq-SSN!_8E-{Ic%k&X-V5EzICc8%~TF?vhHTEd2r!!;6z$l&y?K} zJ<0lXi1Bsl9EQtd{AOhsc(Ll^j<68reEka{f0#2CytO`cRy_Ea0&(egqrTIXKe)#+ zz)P@h{CB8qVX2vy2g5R6W>{onI!-&j(VVN&lxTcSGNCO#My(O6U7W?gV6qF6(n|#a z<803IxnI*IKA?Ytn(a-+ahTSeMtI#^8;oZhmGb=eu2^j^%W5d<tx(T@P9`B(I+~9ElvS;inX}x|1vL)jVdzhFle@l-2(SQjfw6jgkZb^e&zt1{uUX56~#II%)o2AO_-DyPTrHXP9 z0E^)2jD2Om$GT4Ze|UyE3_mco9E^!96TAgMd4}U#kmZHOB)Nl~k!7f8%c$||s*{$I zb;Z2E7YgsVa%(h#I62E5sx+N=QK;`yg+q%76l03X#zx1xsiM1glBWSSXLTStPDU~FT_Y*Q69EvkJ# zL!V8z8U1qbpK(nH?($?%ScEb|{ zZS%YW5=g`x-qDlhaOv&|eTkgzPtKZqX$j})%y~jgw@caKs@`1QrcX}QCN_S@_F0Z` zFGLUxnmKpBTuS#V_AuB>R_^6LzDRY5wv*Hlw4l!>h2YL&Z@p!d>~|iN1Q71TVA}*5Jvbi#vTL=s?fX z$1c#U?L{aRuo};c_knlsYuX12pCBud-^)(BDcNJNjhzyB~KQ#g!EpB+lT2x@yV)&WE4G3193wgscRo^ zJQ8Y_yW1WHs_|-hfq9%0a={e*<)3v!s_|Kyi`ZYyy5$45M*54;ik{o$%Na!?m>d$Fv<;BWq zp7fbq=`pF=Mg(&|(Xy}ZPx||tJyI)y-#_FZgn}m4GId>+xK_{x4(1^JXN2nlGL?G4 zYC>G~hr0bqg^=~2ze`z(%V3dcFhGW#fUh+ks0DB-*8I`htT-J%q5Fc9##;~ZmY&}L z$ws7yZjRj@s|=qcr`CS2RR!nS1SFdS^XSW0aI#eKL-PyXnDNy=`Wx!w|KS4!KS**R z_)S`9A4J0w6uOj89O<+l^ufagdsTx4ck0FL_w}f{jt*;pfp~gXte>du1xZ5#$*JpM zWzSZ*kAQ*>{L>3hT9sy_3LZ+^?7(mNTPL1Hd7PY-`^1RZ!dmumqw<3 zyKjRkX7G#n3l7!Z$>e}JZp{FFq=Fs0gjBF820>>fE(Dt^{n4fN3Kt)^55FSnUQuf~ z?nkD;=?$=6huXwp0=Yl)#f+pRp$h^&ebVAl!Kl880Z@al3u^G&JE(LeWsL2%P9Hyv zx9Cn!Tip|5hRArP*QhWM(U`d2@y@P?v@@Lc;INBU!e$0sBcy&2~8vWev0lEwY5|KN~U2CO9`d z!mYPG0oQgtGuC8UU0Uto+Q*m>33okZ)*sBaPX_|dFy;v! zK3Q`m+cZKvOyg0Dk1Y`l_BU4Q?xiXR#PX$>H zbu@Z`<1o|x<-G6uwV|%ut97N$nY$dV04>ND(<0u4f*X`08F239fG6++^!b=^ApFUpIK-4 zPu%qCh9JxmAaW?)YryJ9zPr`v&tfZ}$^E7fpPmYx?dDH_6v!B;V@(Zo8OH|jFV4^( zF=+~d3VPoFcDi`t$QbR&cUObl<{-@vGDhma)SY~D@4{Z{b6zN$FC7;mZ`JZ5^DsEo zIR)3j>o{TEyG~gjS)Mw4)OAsFDDn_v!Q7LZ(vEoRR)BUQc#pr4oOH+dnc-()fJ`)+ zjvVzr(g6T8EZ4t&B}cO7-T%Yfmxoiiwr}sIO~a;HN>s{_G0K#wt;i4>C3B`q#${$% zNo5x$nI#mZwhS3Fiz1d;nIkiqGA*oS_^$g|rd_?i_kDlg_s93X$I-F2N}l_A0Vif%To-)PFX$=jr=v%QEtOq1$KaHrS|1AHCW zw93+=P3R@U2pZMqqeXsN75ej4ATU>@J615nNuCYyDM*Jp8Vez|YTU4`=b4NJGzXv@W0Fz_ADC%{_JDXUb>%Q>oKzaHZ&212{2{xT_*v%FA=tkb93wh$S8|#n===~mt0e@O< z5D=HFD{$qkMfe(xt4kX5S8tBcRl~*PfyPuh*W0IMojzqaB3AisDHrh*s(RBMfXb}i zD*MbaldU)*w6QolwyUYrh5=R`rtvkBj6LsW1x#F4=bPxf&|j%uqaoeOeW_sK_OY#> zq*3;{?@4`BkAvXtMvA2p^fl7I=MXN7#XC_tzy{wYja81-O=L!(`YonO^o5%f#TRPyCx!+&=R9rYIKCU0nc;Ox5W#Oj$a#Wnt|jq*uudOJ68q zi-&tFy5(}r^}hsKB6)T_knSuFGx7`9yeP8&kwAGQQPj?602%IHpEnGENewEO zajKi>_&dFgJ`yyZ4xqs{L1>cfM3MXL!LB3)-5${MtJu}sZYaTG8zE7za+zEkHyPv{_ie#5!c+ka*;>cl!PrlG`8Yx0g~27vwCP+s)sY)I=8Hu4NwvF zoqabqeeOu=aF~=c{GNFxd(1h(h$$5yEKb+vZom#qx@EOOjI&c^^DWRTz!TCc`=U0Nu^K`2K4SQMl zh=k^v?fjM;>6YyU3#Z2Q;h4lt8rGNmE>?KW#w5H}X&SMQ4qS<;PHeI<`#`x7A)rrS zAbHJJWiCT(gZg4h2p@FyA?OrRb~j~7%rvArJB!)a*A|2ew|*wHOKB?;Msz~I+~P`m z|8R{<`6%f*fpR}8I{ky%+}PCEm$}(L&*Or-4Iphl+v2oXg)aZ@KOEisZzZoo_pt2N z4g{Uf`@h($22#F^Y=ACS8wL1^ax6K-H-enCkvwOk>ixs)lGzfq)udZrUoP0PM-_E0 zcB*{{xv+Kv$dTvGj_ggt^7>V{SQ@2Uty)=*N4`KPKQGY`j6CDX&`_ashv){pmGS*` zZ%(V%;56!MKZj&w4uUUjBz0bL5~7-$Y55(EKj@d;wS{mA`Jo5!{wxO0Hz(pW$i3zw zev;iK*#}ed)N)#7djH6AjJcNx)4$g?>&SDGhNNcsNaoz0pzN3u zRrK!VIHfD69btW686><-|w+nJ2BJ}Rveyg`PAk|tE%Qqv>D0f#@WqR`?a5g zOB?|ZPrS#dazpw)ylNB8ZMaJZ_#p&@)Mdd*nvU4FI6P(rs&m(W@4htR3>5SO!w z0eoJ?lfB>G0IYMmLU;AH0u+)z;NQF7fB_?~Wxf#X`Ql(@CLd^)2sFe&Qgpf?yTs|u z>i~)s7paH4k0;z>JCFWPF|!Ym=gcO0SR1QVX1&k$CMpkTNe!~)jJz7c8#^BWlTVrbs zYWmi3gq$w{ahz)q9xt~49aw$P^(oEPf~P$&=N*xM8sMMxfg)SA(ygz~2i{~q823B0 z?uAEq9;7WPDI$}*MJ)C_5wX1dLu5|Dr`3c$Ns@XzqZLa`s)eL6#@BMuAm%@~-iM;n zIktrXpEo;Zmpx)2=5VFEz(RQVGs{~?z9(dlp{Dm->7U@%j&X%5wS0e;f4;dbE>ugI zFk9MPrII}(d+AdMXbzt@JLU7s4I)dW&@$s+-Nij0MKnX@2Os-to#_g)PO}Db!pFZi z_@s#YgqR3$W<-_<_F9!`X*q!_FZFx^MTBXPSZT_1=Kl~ryvt@L+r6SrcJuaCZZAhM z#0cUCqJ{!6id(qB(1pEEHx7MdmKkbPW)`#NkeC^(j!1ajostk2Eo$fR1ztnS05O?# zy`Pu~;j1z9{>-I(8EL&gqIKzhIL6$4=z6uTR~eMoA<5IQ@pf;av3tSiJUcr!U1+Pq zl<|3$%b1jwJYg&hZxG#5Lm5B!l^*{sqIU@Yx#(}>xn`nWHJ{7(3y$T_GkR;jP)y3k zd4|*#q((!Q-baQjf*#XZuCnO)J;ol#4F? zaP6;9p193-cv58`JB`qHV7nfq)VB$FQLBZE}k7^UqyE>EhB#!{G7CtFP>s;XNi z%JyqJj<^W5Jtg0ZK5@SoH@McU*bU;raVG{Te8^eh;b`;oFr< z9klHyvBP6~kJ^30*!6y8_}Eu;lPR2;1`m$6+j~^8rua~huioZ*Hqr8qVn43luF}Vx zEBEX35FL{~j0)7n{qAK{PzDffiqaD!4HxbFnGZ~mJtl1KI(8#h7H~ha;E6N5ofe4V4GvKw0!;;+-RhXaKxV z0*{`=_csJZj&KX+$A{_qAG6Gs!BL6Z?W;19sJdZ3EM&*5X17RR*>H@r$(O3SjiLp-Y7vYW+e~NgN0)-Swq} zTb--c;LIKQdIEF~s7(l=A+s6+P~kxiJI5&#P(bMWslN4VXg1M;V_UN|)};OV*As>u zdJb>B99@_x0$v#|GmbEls(iX&Z}w|pO4}Zm-gX2u1@P%;pZ7OC^upgA{gcW4Cr0Og z4)R{~;xUjB#jX+=?8O&K(rnG{&CyoTnVpal@rr4cpxN_%L`CY6OV31D&-fGSgKL}1 z`%se!+$Ojtnsr&!X2#MZQhO9a+|YaZV@vv?Gta#VuWzfWh!+nF=Fr*awO#Wg&sfW!Pz$jc+e` z=k{izI3NFM)HS;wP>+Tli!fpWfWG;TOoRGLk{#XMrP(prL&?o5(jW?ZiS*3j$M-aY zISSkfGL!1$Rl>}dUQ-tJ!3j1&O4{1~rqHUmtPzLaHC{uZjZ8x10?b=jL}cXk{gki$ z+S|f;xb6j50zEr^H^@f9v-`&PKlar-LlPFm@S|+`vCrR;S;ybOUx65`gqkPyAO8{s ze0!_v+s_*OQ4d-`WOJ)3h8;%mvES(yh`O8V=l!Qkd%^ur7lay9$l0}yFvkS>YrO|J zjm2}vK8$H#_PwpwM7kB_HsmT6igb=}V12pPSI8<)&9xBAOYqEnPjWZT$*$$l95VYZ zHs6x_=QsNC=&(oD{fNDcGJS%af6?3iM+tEyTvv&_oJg8G z^rf!90k*et9s4fEL&g9r!kn1ndjF@l-ppA^>&-r7EQi)FWl!e!kxpW7O^gO-vB>*? zaU&h3jsSmu*|;2s(XPJ}u6rCx1{VQlVaOLuvpfR>r&D3>y7vy@h+1UairZo1GDD|w zzPoD7H0v7a+|KoKp7QDS%AT~?h6}RUOnsR(TDprX{r>&q#v^PO@FxARZa@PPA^9yD zr#%=l@7w_wZcq09PmR|z-?=|SXHdk-SE%Jlzgx)=*;_dAu)rM4`C< zkx{mM|IAM2|LI+K-NTNMJ)jeTq#GeXwDx0qyw{ot^Een_71 z@5U{OzS<)ZLyC4o6Hewqc&(LuF4t7Z_2yzer2eK0weQ(VjQ%1(dxLaseK7YACbGPd z`onL;HTUd7#PJH9(R;Am5FX^0PH-nKrSr`LK8P{$|y>&t{fd*aha-M3A-}%*Fih znAi#ALwk8JzP9$u;<(B6Y%D-M&WUEzIb`gYWEglnMLx(_VBr9$HQ?h7ZveqK4`s8=`A3vhWxBupmcgj;pqcWhRP6IdyRDqtL>kyl0u+ zYAz%1#>i5W=Nhuw)An{9*-5qXop!;ZRHQZ?x~&=$crUBWO>_Q6dLYjv!HX};S4y2PlG zn0G;g3=T+U*OlFT+PCO^663KLKy*3eKY!aG3fHfi&s{IAl-V$Mt=VK?#ckoiCP3g@ zLKLMY*9VxMTdA?{ds`GKk}z%)RW-coXiCS7&8bFv{fC6K*3~gbj?D5<$fPl+AwFh=nN-|e6DMNzX6VY1| z^m(uO)KqIez2LrQG5&=Wqc|hW?dYleXD-8)qVf%#hQy!eR?uIxyy7@g#D3Nnq&1vX z0o4qpL@>{tI2L&^?5Ld?%I^J%kfv_6^)}jV7^wv=y~US!Xf!@>0%(p^)hbdF2Z@em zTol{Erl1t_mQXA8n#XuS6{BJX?mYJ*)E*(7_0`jaF9Ov<5lxjefE7@&2A1a-U1bnqg80mnK94J0f!> z5uT)2W>Nb?Eiz4KV}*%5jX)~ykq$y$89--`?|K665`zuNCRhRzO5Cw!7IgYf!7ReA zHCrTMd?UIzfu{gQ0`npi6R=P*Y4LE#+QVzv0ky^wo>t#pH&f#&AO#3g+av z+G?q3zv$ljT`XU|9hh)gePQi%GXvAfmYLgLQ7T8%q7;HKe|E9+f*>q9gfmx7hH4{P zo7rbkkYah}nH!8yebJ?NQv#@d(B@5&fru^9m&ON-R7rWk#Qjoy6kg4@@du+KOSrER zkMxQW4K%^e3!@hx)o2#%G6uG8RiSV1KOSPfc_58h{jj&%X3+!QSI^Wu2L2b6Uu3)y zTB0I!7K$>XYv~u*SIS7~`nlp^e~9D8=7~>dRqoSG0@i0)aW9|p!GobXMkDpY=ZKho zk6GjrSJDOq1Ymu;Lu{TIj_;50RlW3Lk&>idg3HdUJ312}1xODb-RB(W3_5ADG!vTs z;Z__`7M?8BX?1gskyxZ=O1hf_4(z)XzrZtWhn@(+nN>>o?_T!_G2T2L%k1r832;}< z1|Or)A}F7X2x>THN0+jNN=h`GALAqV;$h&b!7mnCy^BneJ1)8Re#?srb8)nehjbE} zpE9Pa>9y0W45{uJa+a-nme4JAQ1yqCQQNh5Wq;eA9cw=W)wM||*1TylwkwmCh0S>F zO(urT_5Cm$^f}g=nrJNgK;VMStwBCk({Cqa08De$?q*Tuj_q<)gxT){v*v7e6(+8% zPAO4p&RvybJUM+=?mf)A#7sqD{J$73mFT|+(n~iRy&>sSm~(A)n|H29Fy{(w4I6N- zg6)yfHeTF5Mn*+O9l^&7!qyQ=nT6SKmtr z#+n8cmW0w5jBZSan)1DZm)Ygm9l_PR%Vj#hmu0#*dDfi6u0qi@K-`=_meZLzCzc); zs++e#6bn&KQznXA@*glsO zFdpllQ1bo?s^{2Xq>YjhJPN+C_y}ho{H0B>_S05guw{QNKxolgy$Fh1pup<((7YD; z)b(4Q$j5F+-{H)DugC!b`}o)0s~jCv&F^e~u>NrWHP-j87H5iWlv5s9qHuEi>oTwB znYHcdEohY-gbNK6i3fkO>hoY+Cz!2F=F<9URIY+Rt^1ynZsdu#4B?5lQ7@!r?o=n8 zBWt|AhC<8uqr&QF$+?RSDKTYN=`kcnY$6ya`OB<#@pyjuVRRej<7D4nfPp1}yUuql z0px1|wxQbX9m?wtd)C^H|26Fqa=wb2=;_k~MSfNP(6us|^6~}rZ$E@%4CYE$@-+)2 zQ)=XfJ&vDxHf{r!P|bGtsFUlxHok?)2Qy+P$b3~lR}83vK*H>c*_dn{XxDR8@Y3Bw zH}nDtbxX$f?pZRxj>-r*IXyQB^rhJs^Cy3+0jS`gr&qz^YRYq!74YYov=xH$+yk*K z$lYy;=38<5&`=I}G@vSV`hDV)nAG)KKCX1KGgF*vqnGm09+{pwA3@Es|4t1lqGs6# zQI_4A5V&O`7M{_RpTb{9quHN_uY>5 z+wsHVE)f48!Zx=m2+i|hm~K)_0y0m@>Zjj3ihO!OypeO+Nx}j-Aq9tWLv>K*t>eh# zFTUb+#8bD3Nr4wBJRCi#>Ud7n(W>OBMhf0&rXLpRq8!lLX>VU9;c9B*U^P zEjo4IV!qC7KlwFx(6qOd&|yvPuXc*g>7yn+Gbw_{s7cQgl=Nt9-tx;%RPH%QdY;@X z+Ot&E&_kQ#>^^sT+4>URt6jmzUF6FSauNk<_kR;jMRdeb&GIQWZ=EwW84b4HHg6!A zJMX|Y)dVkyX+f-S+tnQD92@!XIs@}YxL6_EbmKHGo8Fj9C%hkY8kQaI_ zE7$4IhLLNVpSX1Pk6bXP8A|oyU#%3aH3){gl5alh6dDg1~e1kS8YF&&HB$`=Fd>e zIEZS(oH#{b*#*yN%>-|dkYcw|v{IH=pMMv)Sta{`@f7DRi%}uh9!gN#ljA6}?38h4 zYG`L?`HE9d`Jl&jlcv2QRE8FprGDq}sv2_21Z0Wgut=4|nIGA#u*Wl?FeP~|aEUgY zg&JsQS9&_kT_Iy+#EdZ=fkjcx4ubf~zUCZ8#r~Zr0k4j85W|09XX(FRjG=-3r6j@A zR6D$n>`;B(uam-DC1i(piMmU6xPBXO=d`Lyj&S0Xf{~*f85!Y#1SQB9jn2fi9#V`| zG|03b-$6}ajOMt@MsP-z0;ocMjHr*mXMDwqVzZIx$8{Xj7s-yZ@rlhmsR;=qU!R+f zpEUELmlv(idM$jm1yIqn)C1|L*gU^-+Oa#cK5mQZq^h-l%R62vB{R~_4CeV)r>l(! zT$vqNeApLWV$t^0xa99!3nZoobH=mBSei4=&9MpOH`8X0Bm&iN#873-DEm+)6mk@z=q#CZc`4E%sR*#RYJUa=T=R; zOBXVKgi(-Aj05Vz^83hIj;4#!t!UJQB0)!jltr?qn+$n+cOn<7&gv8v&5lBnjLe>nYrW^F(G)(*5R;&c6Oc!aL><9{`A zdwt{z8uJ-FwJ&GkJdn@wMTOYZ0YkjMsNInZ(yiCj(KR^?$&)S@V(C6lnYa{yVOOOc z-P6uBfB3DU#YyX_`;VVA^~!hJe-A)b;Ir7F>61xa1jU)xz<1J?Zk55llfchu*lTKE z;67!i>W+!w4{=Te$I+vGlLY0ljB0aNT6X8*Y9~j#E!51_uCe0QPh|skhKrThvJb92 zFa!lR;U+E4Rz6XZxV@zH7B+^4G0ad;D3S1QAM`PFP29Gvb{HnrjsSVU;sEL&b1lHiiy7{YbnGpg z)J)vS%lYoVzPFL^ZF>IcDLEbXxgJOLOs>l&14V%$O`%40xy1R_#i!pH3^zt z1A7WlqBr9Wv*kMiAoz`p8tKee`PYgBpl%?WgYTQ2F?M6zvgf-d3Urts13K{qprBS1 zh8B-=;PyGh7P^Yl4F&LO?W8hzD_P?13rOzqh5D2y#{!&2EU=X>**;(B>d9=X17A{5B$^%7@0zO!`e@fXie<*4WrY zmY`^sO`rJt=%L1Bo+on;7$P|+J#H!wXt65zhC1G{+G~JR*ROzsx-xpM(c z*>c^zyk34_l8^l-<|7c2Zp+CuwF(xW!R4i^RbDoL)1geU8zZ%bOagsA+sY zny%-ssnlBTCl_KwygejSTA4@e3KtJ^7fkoTlO_Ti73OMm3?6hG^46&;;Vyzmm00ZhTWnk7|cc1Qd{l$yPB)x-X5^!O6+Ac@rUS@#dMCFI^ zk*+Y+MQEz4tDiR~eI6n5{^Umax2~AWy~@GWOTAaQU0|Kpg(WhLoycj>c$1vEE0*`A z*{;(}Y&5u~Vfv4+_uRHk_MdgCF3*`(vYD8;qm$*IJ*5+Rs@vQpDLu{f^DQcBO+^{= z5v9PQrs@ft$k;K9PYYatg+p1)uHrAE(|J8^o4Ry5R9?vc)eVEZo%!}AjK-;zW_17? zJ(h5?r81LjW+8s=0#e~$uwvWokFH15e>(S1L}6c_HEl+{1fFO|yHGhel@~g*J}hCO zBJmOTw8kbUGFi1QUTRWJJ-Gd;oR9qgQ8`l0xxo8xqiM`a+QPzOt|^ZO0=(()(n$ro zBo*eUo3JQq@J};w{jd!Vt1UL`=we{H7)I^gZByN`1c?P|SFTf|z$n9z z%h(_^s?hLHsin0a9i&f^!r}ZZsyHiK4MsCWa^ukCD?VApi>Ng3AFLj*Kh9WQOYXHu zt42~0`}hYzDGxIl%>sgD0yJb01%YjM4{;VU4w67Pe+%xlih8+hr9S~}MoS{h&2BYh z8iAxMPD!yGa)0|4`F9UsxMHH}e#_85`g>&>h&gwwAu5HQqRXc3ajQ;t-1`>|z^c*Eq zyoU89f{UKHAl6T#szkWgDvC+W`pWe?@-`zIUMgz4>l7YnJmFx18fN5YFbimL> zUMP5h2vE>fcnR`VC&uO-58AminiLZ?7iJ$+qv7Z;sTE{0gN~BsZq*%VLPdURl}fDK z6}@_f@%gbnO-R+@B6jvcQx^sXA|B>J13+Yh-IP7_*{WgDUUiWVJBD4PH6aZN7-78z zBi4uwzY0Iy4-OD47XfFU&pb&lrC^ZZYD+dgLV#`%RfvlAu%m>Ra8&chEo;D^fN1Pf zJETKE964SC(B(Z>=$rcbFEp5z3W{%@VyaL zYvoKpeK9!Z>b}LB`ENf+qmFynE(}UEu<6L=V8^=7WrArgGi2CW6T7Jk2${iYY+9z? zv<15Y^v(dJA+3jjKL)^|^*#+=5V|;bQ{)-hyiFgCDqZg9ZuJ8=hCE<_(CMYY^zVAo zdQ8_I@4eM|EW6*s4Xsv_eRm6k$3~J%O@t`vO^3a0ce_(yLdX*_I;|Mp>rl^yE)iOz zLO=?iR23w;hhTy`sDDM zEV%Gp^jWFxc9v6nX`R0eIUk<+GS_PW^DGMlq*x=#(NI{E>=1WjV)2GAPqluXg(152 zTd{D9hD>kBmX%BRIdQ0~(%|X4qxLiF&EW#WKwkvgUhMKyDn7#KDBT))qX2+KsgGh{ z@n=XtwzPvTU%iOvON^fZs+-?Z^HD=&0bB#q?S@MEsf1=5J1{ty-hv>zh**=H1ICLt z(xLm#m4#Yc@*RliZaei?QlCf@iOpMN=;a*DaB^)C-amQ#h< z-Mi7u8vTi{HaZRh_?h)AF>SWZBZJS!)(|N8^Ci#yKV2&Ycc zdIqu(G>v`vyopA!s0%YsVuMrl9j7I6jh;&1z~QNM-;$BdFjgMcggG35$t!s1_#l`h z4Crw5MDC^UAy}$29S8TSwT&aEAYC7;t=0sUlEZNQJW|>uGGT38^s063{M+0IIY!+> zU&=`%?||kGPzzATRNWkSk8YEDHrA#su%fgiVWF3Rm^HJ6qc!!wMP4Ejc(Pw<3gX|p z2T$Immn?N;s$#VfFYfVh6e)C-a6Q6OT$Q-YKd z($p9t+hyg^%(9%XV3o6?V}9-4iNKi^cr)od*^Q>v4x z`9bqq5K7dsd9(lIq|u*o4Thuzg(hQp1hZ-S>_BaCM87CXHmQ}ZKd_8wr6sXXMPC#1 z&QNh--Wi^^>qY@kw9q6M^e%4U$^_TehMcW}c?JB1uE)?~{3cAbZFOkk8nCiia}e<*Trl!0(0EW;N-Xbt0j$H=UyEETb$mu% z{g5F+)2?AUWQQH%ZnG(4_zC$*<-kW+mFPwK5+uk%!E^Pc@dSJ+d;b}{MZ60bF(@t+ z^8gJ791{OciM;)Es%hFOCv?QU!az@fk53BP8o*c1Qj62xeIJ|D@3ZP<-R9H~p0yzP z1j-ti)0N)pK1^$Wy$08#U(cWyQ2inW>O;;6Oi0CtZfri2pbN01A$PeF8v1rF(CaUr zLka6?wPrk)3Ct4@Km2uRspU?#woERvNiK{kwoj_clRhj1^|ye01p{KMX{U44b|S z#hjf$3ucCGn$VLBNF(_%=&2%p zwabz}!KAeyJo)S0GPFgR+BixH47HvsPo{YrAWQ-DihEq9Xytiy@AOgW5LJAFBMl^zc2L^|W27w>HI$G^G0X*&Z= z+`O;g(@H-q_DPs%q!FO8kIvWfp{L9>>N#&NMhagF2a&iLI1bq!-Dy~4g8AzUq)zJz zOU|J*KA6(+D@7gRS=+Zl^T>5L3nJA}m4@J}|D=SmpL79b!|v#^x&AueOEE~>x? zOD_u4meLC}G7GHOLP$Jj-hk92%9|67%O51*Fosw4kf)hks3hKT>mc-z3SC-?q19=a zDrji~iQ8+W$Uz>+wDO=jtqmlRq(YV00Mj&y2GqNQWQ7HFLQ%WWdUkrMvW|lYbZ_1O zNjh&d1+`|2EJA&G>356yhPse@QJI29?ynAbXF@vc<@Kl$1JJC}DQ|rzniy=oFSF!$ z{NPm{d@cWP+)57l4;BUU$o60oW2CS)E)PQlb2 zSUsq%;*@xfP2s0P7X`udQ0pe|U2^M43G;gzVw4qKa$tKZj;NVAR-MK36K24ehe2Y& zYN~CI99XjLCU3;ZfvFG(o*YB_i_xg8$&$DeVsn_gFDFHS3orkJqr~IFolOwsSbAG6 z?Y#tUb`*oxzS#~7=|H2ynN)AA=4Yq@x(}P&KTh6V<(!C;=66;|ABw|1r`2BZ*YXgM^M)^8%8l!yGc4Fy;C47A`y^xg!GTB0U0Yq8IFGfN7 z@U=Va)PgdI*s=jV$zmH1b!oO19JBS8qw^-xGzdh-Ieg@C>ts71S1#brTUc;xwj@X} zA|Ic)AsWJJ6h}ndhI*4b-#&~c41D_>9YHT#ulMLwz=X&^GOIPe3uxvZqUIV9lLf_^ zx)WXp;E%%ESR0G5vDPb(G!h97ls+rXW~n!7BQBgoqby?Dq9<9jG>p6-r2!+b)uN0? z2(AoL$?P+2VUusCR624>bsM8yB7)OC2>^pJ`Sq;@ko7+~+9NRU0ky3NvB8&-A9y8( zAQGTl9L|B0FhY}CtOSU3oPDDC`vWQi1VyZ}6-igQ*g@(+ololvFdUy?xCL|vI4Szf zo!X26?pyFaRu&44>O*g$hYh<*!S=n~1x@@_)kbYlk@t`BpqNuTq3QLoQ-Gzv-64?& z0qFM-uW6$~U}IY@i_MDL^!e*ynud|5^z2pLorJ2S@8vV7RB@QE4X3z;pnRLZ7!D_fU_H zt;FHLo77;_G!^OAG7vQ_98qe6K89YXsv%+DDR+o`^xy;=HXzX>=o!?oNx+`Jd#jw8 znvg&!>GZA5;OiP2AepGi*h)INo6o0^{rSUg87E$1v;0B!#^CzH4OhbEP4Ghh%Q zX0PDobQ^q{UL!fwPI{g?{ib>}?4-igsb+qDJLD84-8@R8he2`3sECw-M%}t=+`{w;H?Lov$k0;MP z;DWJZG^$>6@gsA0BYiPtj%*+~;0`U<<@n`mT)aN>A6_bfOdPaw zQw-=hoV$XIWslv4>bDfQx3ZJEbbCFs{Q62q0I+|>s1yht-fL5S&;gnC6Ty?M#hmSJ zj4FZzqX`ouqvJUqYQRbh*8nT|LQW^M!OMZcp+Q|1nn{tb0W?$2UkT)1ptX>ow+Y0v zC@3?#4Rg@Egvsuah%bw!tZpWZ5j2Jh>KYoZ*)?x_dyo*>7I@obMK7n;I~TDJs?3r5 z`e->a9Vsqi(}ZmNEQeny6u5@#FH?td!)YM)47}SHwC|VB^AgPVnP@B>P~a)*DcCA? z_|4OnyCL-BY>R+TESrXuQ4g^-tl2uKbMQc0_IRw zghVj6Y8fri7l14Y;@93=oJLZTZdR;MD?5r;D| z|3=(rlc~fU=KE(T{Xm*0L?oWjAs|YP?S1KRL#OYd&ZXqEBNX9ndQTWU=<%ZGGdHkZ z2h(}0Y``~9D&DRDY>7)RJ8cs&L)K-3pQG9mP7gb{X|J3CX>zv?rDU4@(V6tOW0ltZ zPR*yG!VQKBH~2z6)bNm;NlPJ-3@;l2#UG52YBGnB^qa~r&u*EBYymh#JaDsCuJh3J z`Ocs^$**!HB}Z2lst?^ha0g~jlI<=<-LN>k;&wY7$(u16z?*1$dn3;a|B_b*IlWQ(w19ca+xi1AguwI3DnlLnA^Ck!dH;677i5q4(7#}r z3y$iR^7gGt6qXEDo`w$9Kiysh7ecA8r710pH7sBS)U2Ey*@)n;o)cajRPZ{F`qD~7 z*&zlf?z;}sUql$9^(XEUHj9KLG3EDdLKLIM$}jgNExt;NS+*TV0H z23h`>0ZlbFY28G09{!Pi*3`DrTelemkLumBe8@+9DW}8K1BHuo|8tH}7z8B^pOy4V zM}N6gHBZo(`OY?5QeoNc1>d*|N%{Sr@X(BUpRAFlh1j_TuOT(r!lE$uon8`i8ts~A?%oTU? zQ82{?+f1mo|IWv|Xfsbnm_pi+!}=hImjWAKUkKdbuWfAD_qJl0UjbH|f&2XXG=Uf& zVrIVJQl?u~oDR>_v51Hwf4a~H`uoS=0aXlm6{?WmFQN&?;b&h;{ZPIOZNf`O*%HJc znKc5!1fM%SJX>jsJ+l`Krh@=Dp5G{C_m?j{@L%l8A@&b#5^&x5zvU$~`@Xuy1Kj4f?49}9 zH}dj1|6EIq&nug)OXL613+jHw;)(8pWtclxwvMIdwCT6xlv9Rd7451==RjqtOAJnE zqHJvT7f-wNoJ)5Ec@HE-f?_8hYtE9qW39jXqr_9i+4eB$Nxy0Xs5U^***Q1*E(Bmh z9#Ecr@DlV&TgmyAux2Dm^5OW*9*y6(`P0mX6g&}jl*}z0P5y?yt&q%G*xftFvW;zC zEq3wW9+SrMp8X4X&%yw3;N0;u3g%J-c6=3mX7s(ZPlwk+t2*Wmbqe~&bNMkmQe8nZ z7R=t(XhWt0Z|Niq3NhW@20F8%@%&!I+N(r9&c@4{EjX=_nQno`4y*1m_Z7Dc@#Udj zP&=Bo?gcB*Ed4de}kquy6pDG?cCtwlQw~+`F{kzu{6RP8aN0&^V+1+i>!M z%IMLQApyV%T$^1<7)g8(nM0y{qve<$Hx8&Cnv5O_l~OPigq*JaW+2^v_DtxFs=~+@ z3c#lC1;XRi&DZxlHk4V<;k-k4hZ%NPM=1JeSPFzkMOf^H#N5CH$7!}U1AuJ3M*}9e zr&gVbl~X4ng=6T}@FJ*|GBM;8%W(AzRfr;BoYCLu#nYeWo{Z8gnucH%w-{k|`eOu0 z`y`tCo0JRKf@bhlU{ha(zwnAGWE^GCfJvL~=s&B*3FMQ$TdAXBcpyshvA2 zLUi2q)0x3810)Ftv@*~;E7kd`A=Q0_oRc$l7t4dWSk#q)WC`}f0Qw=@8mssJ3v2!n zwn1fWF>=?iPzNyEx-M}aAT7mUx`Qw&0{LM_c5KQyaD-G+FyJ*t4)14#F&aq=ckL6K z>+_O7RBiM5rrny=B?sK?W2-&M;B3{gH}NT_qiEsMJ--5 z^g)^hO|z$7TQo_MofJ%*d3co*_HjPfAy*8HJ!5fJ*@k;{bu(aMm;S*07t=V`z~0`% z^23m`o7Gv{(QFOBmFT`(d;+J69R*+Vfj+%FjJ9T~1=&=6*1iWyq+EAH9vPvD`*t{M z2_Z~d_E`*3u8gxD0R|cSU92PmMEmv+9!gMXxViH&9xIQhlG8#H6`x7ewqxmfzg1WU zpjD4ycdZ4EN$a`HiqB!g|NNJNfrYRL*C_y(iykcL#PcKEf zbR}FHr?N3v5^^mxA#FZE(%6qe|CI#?KQwt$zc(Z2`!HtzHS|piVN)_gG5-%DoW%^_ z4Ju&#d53Cos(OQ7*^mQy3LLe<<_7B-rgnQ9C%_;$s`xz)Sq{W9V+ACPpl+VpohaTm z`xyGqw(_wE))fUzB4htxYKhoYB6ktc=(E8H;`q?O#&C}4HWUtt_(5n^F5>{)iinY7 z;$gqhZT+1IG%3jfnf#%J$4DRx9AlWsr@hP6a%Mr_HexCLqk*M{-W<` z9!*+Ufr`cH-}JDVXYSfi!|SW*3xK@UNOfY}WSH}m9gmRndO(%R5y%o}86;NZ3A8p1 zeI*lUjMdE-HLzq*0=Ar^gxru42()1}YIffNuPC)S`ob=hmzL)YT_A_Bz#2r&4ItQb zUB!p$^0Q!|*DTJTXt0pg{UiE*mxjKG&<}l)d4CjSYz2Vgyehadw#GrY@t%s5Sb{?F zqC`oe_##2oC~feyKB(s`3BVK~P0PUJPGw@K&TDz6UfT#-ShUxXf{W}}rmZ5RALzXM zVJy?t74?w(i6XDNA_t7>`#m~FP9F41fXw{_LCp)CQ`9ONx=7RsAuXr~f*_%t3gktX~p#wEaU!X?m)gvXhF+V;g z11GnH?Em9b!Kb11C!{6#joNR5N2MXg_@T}{HxJKqfjF3>moFQ}^zDo^hI{4YQVJtq zdxhYT^FgYWLj?c6GO?7PY60Gi=Ou?QISFXt`OY|my$}7X=`l!z88PA^^V{9o1OryW z6r=H;Ytu0CA;-vXT;|+A5d+Rf)Ak4rqV?v=B8Kp}ioT-^8y}?1S|l;41`+KpBw!aNG;L>Swr8kD5#t@Ps}1nM>5LGUrpQeegwDYb*MIr~VatGFUB!37%k zr++W{9IYk?k}F6HP&BFo^c*6x;gB`EUi;Kva3)tSc$LqwSGOj(5W8LH}yb%wtK z+rDszF=fpzA*t2Y$wm95%^?g&@Izk-z610te#(;2LAxTTB$@GtbDn9v;;kaUo4)JR!)N;a*3%4$FG;j?4F!31Qklg?ki@&2Ia<6h z8_R6@-LqqW>QpY5e^6<%1KhqiTRm2SSU0I^1uCScCoiKv>PEQ8Bx0dU<-m2mAJ!~5 z2G~M*d#fGxGrUfH^MeC`6<3gWg_zx5Fk+-O+Pf{x(qMLrJm<`N^X8K}q&esC|9uV7 zbW!9|85bucC=L4_Qajg=Fi;VaM$#FqdY+SVvRi2=Z(B=4HUc8>fRoMmJ{!MFn>Rl? zroYzV*vJZvI%bpW@2~CsUE{A!LZlmqc4m4?Y{+vf9o}&Bjq?uAV=4TXH^Kjp{=Wav zD*qLV0v8K5hi`AVbk1%-vBqEB4>ucUlVN@$r?}*!^KkS1iENSXCr&y|_M3#Wo!oF- zVpCVMG<}3-IB-gL0KuU&grp9<5lu9R0dONl8yb_wXS)110LVGv@Ovt0L&|PkAwqN# z!5<5Ab=&E0fon1aZc5?p1xAnQy30?~lahS*oj+PU;*^M50ietv=w^|Zz)Wd#>gVgz zI^|DW;W0LRu|kfqg;fuR(VB&9#Je<=8_jB9uj}uoty>LAeQnIFzTZK8@hb4h5nhTC zEL|OED+!vdgP{JPyU}AB6lSKG$1lEZ8Srs~3Q;mU+^f9EN2MlXheT0?YIQr-eIcUyS6kn+!seVG|+BbxY$;#*~U5ctxDo-k6y<$1b&5pw)cYcpE$P$t%UjrYjty!?#8lcf5Q zOfEr1P@~cG()8g?g}oQt8T+kH6+8QUvMq0*Edoc#%Zd36?l(>BJY2En?{X$v!O zr@rtCO5Ks@zL^9Q&-QVL3=N6xe{bU6vHmj$5&jy;qer63V57ms8Z2@_X>UjIbqRv!d26AFgfXnwcN(%Ehx^4|6I0U2Z> z=eD3--iDhs;GoQ_Ua;h^+&u&zx-w0@N<|N#Zk|EFd-hsfP@*z58_E%Gw352h8E8Os z_B6IQT&~;r#tw-qH6U^&SJ&j5^|W%kR74t*j(XwS9(=tC`(R)}Io(ZKA~_xp^DG_{ zPy*9If;iD>CCJ%d8>hoEogb$zH1R>INBN1^kx6$gb(R#q29r9V+B{Sab#@+R4%0+m zG5z_O9|L3h?IGb6w|C*JK6R{dne?NNjB|cBiyd9foE0bjgO|ja|Gk0UXJ<0q9%2$y zZA$h=6RLtmrY3ORO+7q3Ow%74>6wLyShhXb;G+i{!D=J7g}Ol|$}bC0o&I~nLfo(}5!8h^_vbEh3g9QTO-=S6C-hXg4tu+@U85%mq zEUvjpG~J&!KM)!yV-38gFLnDy-tfcPkqr;J&9tZ)At=mb$HaEWk*m?@$|U>=U((26!@r*&~`_ zzYeqPt20}UEDN(qa4=BMeDMs5jaakwfw4IJQdkcM*mD6o8L8Q2k}F-W(w9XaU@~oZ zBFDe2&}AK9<$L2g8JWtzq_3Mlr*NkgFsz&Ph6}qbuGwI%O?4k(pf1oSf{pL{Xq=0q z;}njhoXyJlTsUjuPsah3nbX_wuU>6|x_sv!cxqh^f<>SO*d7`1%agvc&OXOPdFeV{ zfdNNAv@#e4wd7z~ZMpqAFo48pILsJutY-Kp_|+?`nM2eRvD>11@WIShcsDZIL_v|z z44ZB9FIakFQ#m6K1`5SLQFAuTHozngqklpoetUD~(7(4R5mh^|SU5x

+C3`YaV@m5zf$9r$d~`)ir3P}RLsU|dl%YP zVieldFC;|b{4J8M+A+U7$3)>=z4d{6roXX;xLqHZ7WI$^*G#*fohXQ~L^_*t0@_7*7dCg!5n_nJ>9K}x2JQZmPHizyjs=I`WvvQXhHoi6;i zxm#8?4+SsN%x?g=8umDw6)4qK!chu_{x5C)2CQ*vuG@w^hqMlg71ul9my)8>J`9uN zISv9@9q(f?C-Y)L`()e<=l>Trz6i?dTA`3)#J6zN=Cd(Ay(;2pakOyG&}GL`6_;xx z9Dd`d6S(Tx(O(+#k~J&~D$?8F8w0R>XcPML(POkS!DLrKiKkrW1ANabdm6RQO2c$AO}W})x}R7JbMK5864^y&{u z*!)*2JDB?_e$jC;1Na@{P5;sh_&-q`LY+uIKfibEwGo+CoeGX)m2zm_Za1WKrf;Nb z+bQ3^eXA&k-54~2`-?@4EBFwaFfC0@ z2c6qk#E(i0RRT1tC1O&V)N%+eV75e4-Q$Ng=UlvU4Fso-9Fp`t0-FkPZ72Coq96V^~qZ_9m{h3&N~moskj~L~~a?__n1U-`3oUfXs;}1npA0m|NJE z%?XKYtuf^b$ytKPe2Q|V7ssw zEh~3lK7i|~<{Kq1-r3mYm{{_vdso&r$Vfxq-GAWTzL1nAub*5M)gX6r4*NoG2WsS$ zz#u&}$xEDTG>**A09wg(mySJb*R3u8DKj0D&ZT@|-tdU`*P79PfjPcdv*>YLZCB=1 z7HoO2U6jpqL>3k|0QD=)Gz*BO!q30-_q0@cA4#ap^cLYY1`$2uM~y-g?VWg7jgc zF02nIN%%IF4V$C`8KzFdr1_h0ubGwWhM0;Fl(fao|U(hpN~@4oNb%Y(%9s#AoM&SyM9%(Leg>9(OpnnH}s|Kh^5!z5_B# z(ZVe`O6jA}n`vJwM_h3`A9k5a#{-JDaLrF3Vxz&uSo!|PBp$2d`{MRnDFly$wks2C zKE(>1d;vu(TX{_`=>AFC_=E7!VxeQu(EXCaDjlA)61Q?4<*0pP6)c@?{03|xx>)81^6K7 zs`G6pgT&s>TR=&z3s|)QC-K1RHa>(E7$I}gALsu1XlfcF;nwc(d!y&w#dg9GWU;|= zaxGMgH9#p_iO#~|n#^^iUF{~NVJ`Ic+~Ag8V=3$B_p(2sB<9HdJK31Ggvkwi;PY5k z{VP5X)FsPU3#cv;0r~*eR^tavI%l6NfQ_0!YcmA{AwWPsjk!>XQUf%|M8#mx+Vw9B7nZ`|Ho1pVkCDCnS)K0&LuUl;nI@J9(-J8t8Fo_4|| zjgP=WVhO29M=4I|J04{osh_6K&}LT)eHq{eP*e2cRDw}(i_nzIaeD2MILYAV(P0xC z>le?oAw|E!Z@o|(E=v&qRdV)HR$8RNKCg0Lp#jqW(7tA?cY7(D%G@Y2lRK#MAd_7W^qm;Ol!c z1W+jFO&ZW@%O`2UdPoZ;-%UnvWXb2H_NLao`|#ldTCjHPtFCY7gq|t1;8~cqVAw(# zgU7_hBstXD!gt!OxNS#w*)zY$$BVDI-3PwciH!R{J(w;IVx4doY<8ud)i)_hNs(P! zwS;|*or{Qsvsqg3?58Tks`b3(^3Q0z==Xm00a&$|ckc**)_DGi(q-;_)%zRfeIgGP zJ9bKpd68CoLT8^BFh}M=9aHRFJA9B5!$bm)z^R7oq3?#p-AoJKBLH^?_?wDMtX`j7 zxo-4X)`o-J4AY`d#QzU_?;VbH`^JwyDJ_Z?DWjb-BV=o;5G^A+4=Q_alB5z+vR4U} z9obvR4rOl{x4p-0{m$#YQFQltKF{a-JC5(~kKZ59aomsY`~AMI^E%gcj@Nl+JtSCt z4_r+R&rhGCoiX6wu;aq!X~+k!-*2Df|FWPgKv1xT4hd=u?S2z9bmPTM5hC6SqrA+P5_XFQ zA@#)a@2~_>zAhqroF1A&t1KWy(J*UZovn7FV#4PyjN?nsL@YAmAmHrnHc^bztb7 z&U8m5roP!KB!}UYQ2_D8fTxIuqN1=+>xL_h7X#+$grf+^Ewiv9Y* zmKOONil^#sp@KYLnU4UBr!C8Z8*(#zqfw$7g>4yRSgH>#TIWZq?ms}r^9mmE%wX*J z?Ak3rRXZY8{S|sw?_9x2E>JAS)P>1mJ7-2$Jit<-(aJkjQRHu}nts7jEkMO*l?6!o zE&Aiti@FZ=y+ZYih{Z%76-csLJ@OIx3lRn5x|FiJ+oEB7T`@SO5Onn#9^U&K^%QZ` zd#f;6k%QU7BA6ay$II74LzntOVfbu7_t54mjq0|PO&RQIgS`P)bD3tRHZTWE&37NX zITjp$1$3X*xv_r}fIE$JUrsqB`k&1K%Ppn}D5lYrgxR!O$sFI_k7TZ=p;3brUqS7@ z7;7va^i_n!$AG(5MJFxTJS!li6C$Jpgs)E0p=28;BssE5Cgb6&~Jj z)y1lu0z?@a4u|J!R9u2D9supgk}e3C&vtAAmFc;+=lm@OF1b?Bs#*#{(GbqVhGHT+ zw~>u>$ONb7&wuo%oT!Z^J=CCiO4%1eQuFG%bpdN97yIr+6EdSesZS3+!K7Q5EdC8Q z&Rb=)ebhgOcdn<}zosUOhSms^*KgP?I5THxG(EhaymzndwU%)fUib8IRpBt9>39?W zOHnpmnB&-MvT)U};yi#oi}TZaC?V7~f1-l$kQUM%5V1;KRww%)Vs)x+DyO_~fi5TI z`+qCi@hP&_1!}RPz@s+nL+7}4Z6GQNg)Py0rPOI&gVMlemlvLR!kP``$7E+VL8CHc z<0&Sf^JNe8c?~kuUpQs-f$t_`6-2n30JtbtbHI^28^yP?apCUK(NVv~DD~)c_2}3v z!M1(J3&aKiIc>A?G z4E#rx4&xI`B4K-soZMMb{Zt+J!2{k1$V~<(*9Jue^+mIC1?GW&@{!vO+D+z5&thT+{$kagl}?(YO=xfXnjA?Rvh7tzym*z{fv$IF>>|;Bk%l;MA8Rf!1UJt=a;P8~kky=FQHfkujRAZ&Zc;83q?EqZnR``vbNqcsDOiBJC&&Jc_lswf zTb*#XL`ANx^qsB-h&4Qc=%a7UakbrH0dJXj=uyJJ5z{8IB%n)PPUZ~=m1=VNF7$TM zTw3U3X{sC$rlGSk$4Fu$6$O3LC%H;P9l5kkEIC4>&MWCzGtc?3qzGDdkW5rp1Ruo? zf25m|Hm??rl&p@r#d8QCkzBv7h5}rU%>Di$5MO?-;q4*|*AL(hS--Q^;t=pjfmNO4 z!guXNV=vXcu|xEa_hjDsTfkoQ73ewR9J7&@Gv-r+{0v$?8j~q{)K*4j9BDJH!DCMx zi!kk!?v+QGBQ2AkVfAmh%Aayp?w;LqVlsUMz%>NtNb)P}Hc;|pDAbdD=BuBHpu5ba z9T7i=DW--W(0Ff> z+WnG2`f1>P^~jcZ0=DrA=)V)nncW($nV=0dRID3O0p1CRzJae}i{;ZjNAEgr-He3( zcJe8=B^|Lu7uK6_k*O!^qT@~XL~-p;3(CY9rh^BoD;+bs$QZhxFq7`6IT27{G9TOy zTQGV161D-j2p27ki^n(j@>-9JVudpdlclU^P1GSIEZZ>t8?Am3zm@vRRsdHrUgKbv zTrPl?m{^|Fb_zBS2U@a5vUpepkNVX2GBSq*w}sX*{dQO!F0KR z_k4Wz1}r>l#55{e+%^#OZ;68PVRD+X52P4BqJzU)L@v6_}ii%acV>r5Nk{!r|{kAR{E-{+jD7PC9%; zAc+XFao4+SU`gAtih?QdqQoC)W+QT>t+i*f!vg&0D-0&I=hy9+0@R^RfeDF!H?Q5C zvE-*vnDF^HfFzaZnN;JgA_K%zwa=I75WI-6a)bRksy+w}9gF-j2UiEM#{ujnrcr-d zaTS$>DNM_9TPT0kdliQ8kV1$V!D&MQy?I|uKE(OTW(wn{`cW*uOIlt&EvQK|ti#qT zAV7SWPVY?vMD}UM)#_1DpMSF9<)c?6R>SoWG>C)tnXDuz_ru+f(@j2^t?#v1pRz}z zYl%OeodK#iohio;rpPoz+2z^9rVJemY)h}d*941p{p_W@SrI4CWu zmzr3eifGU_t7JEY_AM%E!vs;BRL0Y{%neYine$yOt2iyNV>=x7nIY!o^f^vz8Li*h z1cO4K|9U@@VOgAI^G&0sst6Bv@DWNLdD%p(ia1aSD+H@ll0OcSR!x%{b2OET+!wMz zmeizAEu<^EyoW^C@x&9jk`8s4D|~_aclv{YL#R4w3{#1(PV&|&Dk_3fc&JFFw%)DU z7p3YLm?@pg!pKO9EAe=qh00q2Olb=RV0kqAXbrpA!lV~IsNL?p3S*+=C?yi7YjyEs z@7o8BgwjbEIYza`YVJBCZ|JtAtBkAQpA z^w4*)hVi~RkdQv7Bwl={xh!&*!X6-_^^5vkWG~wSi2U(VnMf_2CwX@ic>g>(s>3k$`d3iX{fRp!3`~Xy#rN zS2bd+8*SsQ9z7$FkYAJg22E0hT$-RruBPOs6 zL4$40UKjLi4#GB7n0I3X|uYhw>g8D;J{S=)q37xuS)p`el^)E9=9|#OO4yy9M$UXRQ z2r!-?j@4zQDjS!d6!a|jZ#WXCyPG2|yfy-0uU*Vv5Y~;KvYr}hJq;C?aJ$PjrxKE8 z9tmQCzTdQ7dng(~cdM|0wP9E*+W+d9o`+%nW?#yn9DOJJQg0Ytw7G~#& zV)gbwU)YJ#ffvh9_{R@Wp+g-}svEc4gDvN8X&Cshc>M*%`L?!6o72c(%p8CXEN}o{ z5StEv1rcc@Kb7q&RPDR*<^(1KZ_&SlkWRE3pIw}Z^@j-!&shvcezcd#B5tmo@3RKa z#C8}Q9aR0J3DF?Lj4=75Fg1|nEJ>01+lS4GdIwR!I+_)NFHyC|fMK15#=?*xC7OF8 zCNoMEvUZBMsBt+a|1O-i^Nv*iZOm7C=< zo3&gsnjWOrD&*|WI~D#ZP=|R+qdQh$r3VIVgGF~h!=MSXvKl|@X^ksy?WfEA48Eyv zmpFB=yxc!ZXN|p%b#v~RcfjnoJKNM+G+|bj((^{bE#pfDd)acM4_|KqJ2tMd2i*xF z)ihF;XQD5^q~5_7YJOn?OQgyVlbfsol zwNs7ly#oa4LeQ(nDT#3QKvtqn2K23sK(O=za~Q_leyETw;csa`Kr`Atz9(Mo%B3IP z;k(WNBpqOTV{@dSV}uLorgb__6>og0hC?W=So*wl9{ zMIUJ$2QQK!Tp5V|nJL!7Shgg?{<=?YFcb{jqWz~Vr*y&2Na5_%dA4bo_)v**$qwW& z@nz$o)cSO0CO$hO0Xuo;Bm*dJ#(ORYSQ+_v=be<6EI2Uz9Q9NkC7o#V`9v*b8M>3T)AOKh|P6PwF{sipLsXqnAEnG0<3m?eskl}^M9q{ zS4D6VXLyaxLD%DzA1f6kJdGX&aj=U%0?#J=@zZyT&_p64 zBC_Q9K+63RR5OU79u*W0bX2)*t5{_GeiSjOdV z2xvm6frNBtwQHr$l9{r-1i7a$cIf2U8F>@hLYAGL6%rcoEtN4!wiEFBm6ML(hEaix z9;-)De=AqL?Xt`%fA%N03Om|cH9h)%@V+h-?UU2jK;B5LKFCFYXG&Ozxd;m*SlkZ; z^A*+r9n1J9Nwe3ZiD}5TQ0DhFrf_KiyeYZ#ZsmtKUvhfBa6!m0!Q2BlJ~<$ZIln1s z$$fzf(S|ENS4Z)&f7ZQVNmBN9dHB}6vK|hj6P=-;TTr>b2f(*Do@F=ANTA!5rRlM> zHU|OMNM^z5=6yXB5wRxVeNj`U;bUkVaEX!~?e1RA=dU2?0CUS+WbNV&6nHn#5B$eu z@E?m#JSlgcMVMprO_~faBMloZ_wp9hWB)SpAPMyqfdxi9i;~Fo(X%g<7t%E+4Ym62 zlqofqwd7qWzY24lQ9ZuQ?hgOvAl*1?hoF+|H$zPpgESAx0PT&d9#Tm#d&N#ETTNy_ zR!%OwvtXQbVumVQ?EnT3L$!~U9ZC@HE=NjitAH%BFF#?k3|#sz3XVz9T?`n_ES1dY z?SY1O`2y$8R1O#!-M|}oEnQ)uwl|9IdyT}j26DC>)-aG@P5qJ3U0(~qLa%Ghjn)f*lc63b*w;$V{ zAN1_JCAfgOw^0^!Bc$#i^zS z$g#P8K_hyre8tqG{j!#`bDeL2$zHx_t})UerB97d^`39duwhIa=I`P(-r;;p3St$> z=e90t&poJ|b^B^U;$GMtiYh;-xzd(_vpju)#pWG?I>KQ{F|LwBmL=!!yLT~9!e5@6 z&`vrG1qmg^Ey`YpOGsayQUlG0!!ULAay`50VCCCzu2DcF)mHn)Iq7qkY*VA}Q^jrj zzM>d})!rDYzNq`zygLeJIEio1wi!UL0ht1BxjLw$nu<1S*eJ{K(1XH@{g@di~!gb~f;j0(1tPSdGc zx}YX7^SJLH&DPJ%kyf#}KzMx>FM)GnY0#2xRbRPxp)d_2;-A5y<@hao6SyHq?>Du* z_(Z&OzD_tQBx9mI;4)oGbVD06b+SK*%J{=LLUx0o(Op9ni@YExw_j?rJpryV4)H6= za(ZN6%Au|xC{n8~=D0gLdv3XS21t&FD}{k?)y2%m5KZH$Vj^f50(CX4t-+$ufQ zC$U@Rh-%1}TS@3r;noi=Dn||mmsG|=rPdc3SDOcvT)E@C>fxC?E^foP-W<+K){2aS z`*fj-%WiBKnxnk69LVTsseO>k0(Y}n0g6fP0zMm-`nKdxQ2t;oX!oi3;M{MQQ0QK3 zpq2*6e~rvle;VB_U^^-=5~mAkGswRL+o4k&w}Ah)r+i32@iZd7JNC z`wY0M>exf2(DgJ?zk(MTR)UTB_G7!Ik=w0bISgBj4Rww zt4H^Z83;iQd|ABWE;5wG>O`At$_qtc??7JUAk^}VK8A*>ab9G+^Rju+NLaUyH-bG~ zWdU>#w_GrTnTAMKFS5HF8kus)LItj>Ni5EHV{@~41Y$PoR2@9e7wRM|`wbx}f-A^P zud)qLnzdr2U2JxLejrdteHku1loB;+pW}`DY}anMrV!`hIHNrCrV(FP`KxCJ$`L}D z2EA=kWhv^y*jTduOEv>u78PBBDkeOs7S=yJwn?1QuW=rwna;|0I%=<#_zu!?6RdHH~j!dg@Kd_+%Y7w+42u8oDino$3 z!$zGa(V*U)2QWB9Ed3Tt`!3Z z)k=7op_e}l-GD=$12}yd7#3duUX8CujO3$BKVHJf<4+&)^==hvjyT^3H4{S+jUh?) z(WSmJvm@IicbgrC8?87Rx2vM)rMkVJ1LSpH(2k?{pcOE+1!C&GDcKZIN{pDAVsyBG zWEv;&W-lW=OXZ#k$GE%(f0JoSDV$@rZj#~Ha!p&VsZ#;PKv>=6r@ zsKZ8l4LFrqUu>1Xlp(sXpS6$JD12tQrwq2@y_T%6SzsfhWfoAcl8o_fD2?>R7#4y2 z$visda4SL3abl{*YAg7eg)YH{z#|b)yQ{ ztrC7q4#P6ta355lG&3Izo(O$02a zXR0E9ptyTCH1;T)#Fz3NfQ!=HR#X`pbKgbWvTS^opQSITAB`NM=)QX4mMM5a@+rnl z@`<|p&r7sUk9G~x7g_0v`Y=&64|5xKe?=7|Q;uUp^eQ&r4%+j*C^Xn(2EXaZwPYM< ze@QuZ)g2%hacjvFtQmQeCf7h#Puag55wraSy+JRj&fpDK1Hj6)jukug*I!GvX`VQJ zr?rWuzG49Wi|jYJTb&&rr_nk*GW$suBLmL^#%}TH0iqv+w3$-YW#2%E4#~66zo3Ec)AT{O|^j(?+@pUIkJT01hT;i#7y{-AW z0}>;Y>Da6k=_=+{=)Vo0{N_UV+lnn{C1VYupwdCkSz~{f2vMwHII1&{xD{va1qJ$?jHTCiqkdKRge=_@`-`xTZs6QHC2?SG!&mE3Qgu$OU{@r>Q;%U8F*oKZ6 z|16B@`V`-T5E;O4j~;RD^dj3Ju6>;Z5^Ot+?j=zPVfgu|cad!Gxlqpk(;EFWRIHA` zhox=rQkDlxp<)tYA7|aTFU(K0B1m7}0iW`%W`=HSHVv)-6yo8C$!vhhUO=D^@;G2%43@v27=DdbH__zxXa^<*VNMN@uTS09fS!AoPlAr+NZ#(~1WsJxIZgJBfI<;j5 z_>~S+QtU2gNi{WG-#k=K;%kq5WHN9K^&ipguqmH$2W~xD{yRXKOTa+D?Bu+&I*&HS zZ4rj?68YfRR48S6^dj^cB}L0Z^DQkXCQl|Xr3CZ90hDaFTg)JUOp1R4!y7OG-clzU z#YhS2OddUucgjT_6%@+uO@NUgq-QoEv~+Lq`ZeJ`O}!3*sskW4W2ZrF2;C>@UMkBG zDnEPmXm_(vx@E{|%It-DECweFu`n?#;7iC8 zy%KJYoDs1%5hcr|hVBNJp^H15tcb(Q{{ERVB_FR&RCoRi0jG5{`oSbl&el7)m=?sg zz{?iFSMMuU@%HG4RSwiAu<-Za6&JZW(3~O2Ka{!F#H}O!o%oC~x*$#|;Q(rbn21P5 zPsB(IT4BeOG;y)%y2O469+Hci!dUZfxQGt`iLWQYN`HYO_0e=oQ6KAX`r+zB1zq|O z$+^|(_glSm<8F-*L)fT#^UdpCM>8+3^VeDiM?^cNsI%8{jk1_t^XQvi3zq z_fugl+eo2(ByoTh?uGAgxaBsOGKdn%C};%{Eo{S0L6}aL1_KbiH1wxOI-neK>t|V( z>bGJ6)i7Ed@cD&c60j9iQPZJHPHsJDNj5@iOM`Dr?Xgh3ZX5|E^IKGK z3AnOzv(uw?R>Q}7IqpLlGZb0+ym=oK8hP zq$nSb#V4i-O4#?g4SjJv_#OfmCGqz5_E`@E03K|^j?!yFuc4<()8MymXr=gd{-g3_ zq0NE@2JzZ;jo>aS5fQN@+o+olRtu^SNf}@IEzb34F&1h>QmcQZAiD3x7b*Htv3p6Q zzAD>Q*}>SMOm9k$@K*X~Y*04-+Fo@I5YOy}i*YKyFrL$DIcVtkHH-QJG%<8|7oWkc zTNJnM3ut<-p?m2!S0SJKxX_n~2lJK}VMQpO6_XKe@~uNM)G0c}VjxMK+&lr?149r$ z-a>uFZ=qJQGBQ*T$u7{N+01n#f@8OB7=IL<|9d*Ewkl5xA4ELsur*kXe}bbP*@N3z zv?S9g4C~=?x6^7!mXjDD^Rr0*(0Y;&RO| zLyv1DA;b8S&sMTv5BVJ!uVHYR8DQYRLW)Orv8nrg({sQaTf|L$qEErL^FY8Mm^tiq z7_!4?Yyh%%GDloxui=2*s}^q|5P@}Uc=6>J6nxPA?fJvJl=;bAk^oHq@Xz98FTr(5 z_<=nsm*KfCOr!0mnfD0|Tw(t0mx391SRvFP@J(&hi zjojeMhp94wI{;LlXY&i37>N18(#xI7nsEMu<&`9D7?i=O4X_%=1W;QJ-W!4BGDRP^ zS!%e4mX+K$2cIPi!CCec=~o=bGe#75Yy@EcGsXo4gIwgR7yT}!Y;ZuXnEoaA8y*Ypu|7M&hkL1Oy&T(Mja`&w)H4!6@oi%Y z1H0&(rUuICBgj(JA~d)k6JNNV2xSBI8Nvo?Pg{0d9#R1QrGT23>H2_T3UBZjN(@lH zR}a+DN>`h9myjc~3mBA+Y5M!G&8N#mt>L419`hJRF>E3OY$jou0Y_|{PK*)@3}~W(5g#J%z%H)R)0v{_Z&9~)n))jj1>h_OMOea z)BE!&_g_6<+>~h8WLvWtK$^jzowR9wb>BFQ@D{k((3MenzfzBW#2rco=zGYI>1>$2 z_Y8?|Ta9$)d0ZFV#GZKRL!CQ&mG4&L{1pn4NqxO$xo(eU6*<(avwKtxXKC{e2|(s< z(HAZxwp2*vn#`R{*Y?lK<@GO5cMh^%iYMnC1|K-@aQ`BTdInLoyHus!!Yo;ZSC~<1 zP=C~0fB0(4dm>?zvQU==JM~a`5(CpE^Be&Mgj!;q8&=5ck^+2p2K?sZk52z=H8el; zm6MujvAgD;@|P?VT$3T#Tvb7Rq1I^9m->q9uD}Q~s8EQ*j6$wh)gOG4R0y`zHieKO zPqjf1VxGa^swN><6%{PjloplmAio1mw{yw3MuU&u6q=;)M?G6;QHjMn&&z2ne@ff z4xioMXvO;&tNi)V# zD~}nK{Wg$QkNfzM4IjhS?vOs&+cEVc-f}>HSYS)m!|8NBJ8=Pz*fG+0Gd0vkztW?) z!=S5W#^E<5xUkPpA^H16DDFmOo3fF*o;^TdVlu$bn5p>0a-lyV&u#T16CIJC<$L`_ zPXD7o;E@z_7Em4KCXWiSHfaQiJ<$UQv~ID;3T_Z8Utta{)bCBF=ID#Qi70D* zSZ-6+($0N0c4~3inLi+Re#M$}Oo_&p+FA0^TbQn@5*_FWGcRlNwXCfL`Wuqi&r>-% zKt(0a4bc+cY{{T!yt3xj%*K-`?laTySK# zd8bo-Y%5)=)oTDFz53XuH{s6dM4CdCn6t>bgKEDz0;C73a z)BF)1V|eE?O*s zyv^dcOp*ET!j%>R)>mBb}L6DV9^4mX&eiHioCccAI z-&9#~?Pim{8rMS2XKLCPyaG;qw`&~fO!nQ2db}UY*HWl(^~-vceyTBBuR*m5@+C?P z5THtU)0LpIEfGe}BRiv{2O}9~@+w|$Q~Vn$WsXtoijjUMj;gpwluflvQ@r<<#O&r| zHnY4v8)DU4m_)%ch<%0h+s0T+u17( zoW&OC4m!cOvsEncA9idjL-Cl@9t~>AcRjeI@F3sNxD`Oj?h8HdnX{#|!;({pinT=)AbFE9)}QsnhXpQfbS+keRqU9#8@4L$Op6OXdM zv{EIjB>am?KJuDWp{>GuVbXfmL)G{*-)0g!M4z$k4f67Et;d?$$d4x#CAp5O7HWF& ztuL{XZ&YOJ>aR$0w-|$D>UKyGW=f(OZ78(PKS1vIRQel?4cPAP=+waJzSU{!K+OGT z=g(7J@SMyg`dBN_Ry2tT9F$<1t5tado?E8H*H>v|n7bS=4DD&(F%J{E;H zC8ebDbyYnUSr5xN6pV`T;GUjTmJm3I+=s`RxU!Xkh2gt9lHwf~gYT6`!F?EWde2Kp zXDM+)!;hbnp0UTWvJcwvIQ%KTK5r{aADk^fSX}pdRbE^|KgxdLX#E1a5jPiGUB;M`JW6(uCEJKRchvu5ReA?5ZQ6MldpQwyG z`C!_@UfRnkl>U2mHn-PTppj?r2&D(e`6O=+ULxu8UeSkWVhBbj-0uoq{ww=2a;nW> zuTY7wP6ZU*Zal;o%T`rb;#qJ>*TZK(Upt&*J&fpTjMI_lslMGs^;?_TK8K)J`|l=b zH}3hByE}>78z+`{yCmk0vxsFGNtVoJ`Y}?0`DZ^lyNUwB#cGR1B+)Xqf_xdi3S%sS zFG>|N(rT|y(ST^GU1;%#9+x!51qpMkEoMRSqMz4kqafTK0*PQp47=CK|E8vJ^gIGb z-nh;?;1^Z+JIl+tb5oVX3p6Q8S;2mPFnTGgls8m=pZl)g#O$Y$B)oJ&zeu#%)orSb z14SRhCpnDx99ki}=5*D?{(@TxR{E=jzH4M>9_u6@?FoVDmoB}VszXw0UyX+Fo@}Et zUoTABV~D4IW-&sR@CqRM3n%B9?(61r@*{(xpOsFmh;4Jik8W^gL=!U8Az5KFD|@tZ z)P?2Bq*F5Q;z{?xNjv*B5EDFU*6lzS;q2~1KTrA)oHV!ae!!tNpZ6aX!|uTiO^(%i z^vJqVwqIY{Es3qysVteMe|ilZ^_keF-5zFVR`gtsoe7lt>Y;pBH7yEa?SGkFEhoKP zw8m7`f@QttGrzvu+N1_$Dqk7%O~ZDi-JmSpk@h+-m)~x=PjU^B6(yXu72WAMeB|~e zrD>5(y61ddy#U9wb2n-lJifCg$%;8`)P~1ZVDfY1W{Xe}{0@Yg!L#k3+1MjUNLj}o z2f+__PMuk*DF3vx~N! z1m9e9m~F>QQp2;7N)vE!Ji|ToVy68kd(l?jv@8i{2`a1)_iYwC7f5=kh^8AbeRsr= zrlrVQ9T?(IyhCY_W6EdLlA;@V*PLpU0Jy5H4CPcQn3?$|76Hy)Qe2JST3h-0t_l{* zaXZW?U7gs&|90s(rF4!6^0FXb)M;0`eio<6JN#hG zR%Sa0o%7zErWK{=9`raj?yT_YRDKc%OIA%#u(4Z&rrfSEG$@Hc1*R)dE1c zP=$KzC(_NTr|mv4gmC#3Cnp3iGb9WnjXSZZS6^c)?=lzu@kDVV zzv|hZkAVZ9M5oXb+Bsr|=&QEPQ&XSD>;=c37@A|MF4*82+Qt-Gyc{Sicmeg$?^NQ~ z84EqaiL-xP%pB@p4T!0@zA_Rifd}ZsCMGA}0+g`DZrXQ>EiTMaZ!<>h0xk~Dd>hB| zhB?3@Yu;oASnEn*lb}P_&~$Q^qU=Z4A|u;6L7`)c9)s{ws(b|zpb>P?2HPv(bj zv7%C^P!;ONpTJHy8o`B@?$pf_q>BxDA(Ab5h4;+I>jhplVQodOTexUH0~$xohR!*tO;_=)Nhg2#}*X47RrCSE3%v;+VAKq=7X z6ZaAgmr%!ly`iij5{E7#4Yp+~_R9y>dngq^VI;6}ovb;i9`n(L<_KI|!S)2nEGlGU zu`W7VSsRv+XQ}D|*M!V&V&^`8alyHp;vzPkKL*MJ8mN}c$zBwvg7)p-ZWHL`LaMM_ zNzQ%A1lj_XHiKJuC2LfvL*wVC2b6$~NC&YvS0mJekOQ4j^>+wWcN zBDfJGf_cY>)-GVqU{eH5jzej^^LR2d1m_@m+E*XLWL3SO%a=BhyCFe;PsYD!KKhlJ zSg*ku9qce=STXhw= zgtA!Ar#sDm)>|Q%Kew!)) zOUQh*4q6;V7N*K*#hS-n9K5S+eO6G9obV%l5etF~vwZ@cGQJyAmaYrGynXSf@+7IF zP=2!oFfs&8v1-*G`qpp`q`OcV6xJR(#TN!$LMj4vd8^Ja9KuTMBVK-*~ z%75*4%50GPtkaMb5g9oVvcR)=ixUmV?Emg&-3=(9%_BuF!QygqUg>)ch1YGo!7%h@ z#w28LrfsIek+w!gi_QqCIxruyt03Y0#j+4G3&C?5hVw5@!7tR9{yKbVcOJcIB6mfa0V~%N!Gs%y4^{alebb#%s=~b>G zl7K3MAD06)d2flUuc8WWnfnf}u~$Q0>(Zmp2Y^YjX*tPu`RL*ul!qd5=V|+eRyp&W zs7=TFJnJxD&+{xC zB%xQV-0kn*QTl+4(RbZsDnbNB=LP{OE=kGC46A1QF`5kXBUZC*g_2h~62KODWfs_x zUTkTV{!D80P4FZ^syzXG`-*;mFc&X)tXxb|0(9HSDZ|acIWy(XGKO zX7lNBDgqNV|BepJlqp7Y9c%aKn5>GA^X&5UvAB0Xzo+M3XtkmKBL8F_T1P98;5%Pp z!9tq7nNBvD;|X)(ku^jIwIV1;p!YY^Ef`!PG_ClVh%aVJw zf^6g<_1+s+{AuwZpFw+L>$~%QOImdC&&AQ;OL@0une^g*q;!E;yYUJ}UBHxku4Yh9 zRB+}s0|xt4x0A|mvo_R&rBHTO6a~a`rS$;X34?%vqIYvu?B1_v^fg+b&<1$F@%=TUL`5gk=*m9ZBR;=AkF1O zmN|R%!ArdJHpTwx>RdpC$Pqpm!;oZoNipCWA8fO!T8dm#sB?P9MAi$fBJo(=DMl~m#Kb@u(nnYsl1#FMJf{a z$fw_X?-hjz*puIRA{0e?Gp0HI`!L33()nz{AdSVGtdZeh)r+Hz1l_Iw!o&;7LNYM^ zX6a%EcNM>(t=Jye6s{n<*M-T7rE{S*&GZKuLwkXgRPKge$CF8SH}1UDaxg6=KiWky zU!Yzx-@0C#Vn$n*hTr1GuDiy|3VS7c5O~X~r9KBgqi(ed7s^`q;lrLs4&SP(C^RkC zqGB7|lV;^x(#Y5lYQF>-mWm!H} ztHS&E)pnv^K%Q?K-2w?ie z$&8!%Un9)VyJ)}a2)wnl#P=ky#PO{%P&Z6~=||vSKe4Y|1%5B|abSwiIPO_x?QTTh zXE}9Kcl6a_JGPr|rlM42(Sywarbahs2b{jgbeDV|u28K0oLu)p_5<-NuS_7?cEoFa zNn%lbWn+~Vl^@u`u5mA}#&%=}kja|=CMur&Z6UArw^$~yVZ$#I__thy1R$zquzZv_ zDY9jw93l>7(}^CzKg^byCE8p|D|M>Md#Z8oVE!8}$$SGF85)+DRwLy)Hub=Y3-RUPK&IwQfh5W;k@gq zdO8W9c1tuN^_r6LVb#^;{4QAQ8-1VU`y#9Dq`*6;T%CFTa8_bU=F1B+PTz-xv+Rl4 z2MX7IC*C&j@qF3TXJPX^W=t2|LW=pzvGvG>#cZu1Mxo?stzc~-79Q6BQB}tDAW>u3 zf%w6UyOwPSF`??D^Iw|RZmJCM*>oUI=eHyM{g4U$<;Fu`zo&O%4-jc=*_DV2$r^Yf>}Z!x4r>(<%R93$SMU9I!7CbBtXIL6v%b}n=w zWO#UuPhKfq(@9RTWA@AhIE&DNRvtF+>TxufC^ufA^^f1Sb>3z=s?+;1I=i}Aey`1R zechBuNu9Lh=%iq5Kt_ZU*L*x@Rd}!GG=ttZu@qs=VHW<|MPrp+bb{malblu-f4_bx zJ1a7I`NvguLbCD4_x|!_CXkVuGxP~7W#pGHtlPL#QfbQ;Z0L0$S6fIC zpJa4nwHhFl?)}MXzcb(RUxX}>F_HQ@`XBanew(Qc$nxlX^IDQ-vxR_GdyENYaAA`9MhvHGtTzq||hkc%8kO}){TG`D4= zc=zGn?l;$D>py<=U{kxgXT>QjvDakbf6uWSYz^V<&~=h zB?I>Bu(5o}%2nV==w=6R)9-$>hmcBmcMhD>S2ac)1awfhG{kIadNo$a7_Qj&;RLH|CflL z{6^^J&6{?r)m2r-x!1x87-V4IU59~v-_%8*#+_dn4Ns`Ti@?5A9M_K#__xc@P_6vr zWH#H%p9yhq1kvO+yt;+JZ#Ld|1EP7kFWGygJma+*{RR-tj<4rAep?6JDVabtgTIr7 zt&$e`TbY!;$%ZqGgbJ^1H&cMimsKLgLr4X}Pp7p#v;%SpXaahK%XGMw!f_SD$zMmW zQ)><<5KM8 zIKrK=1eg17UM+MCuFH%a1JZcDBANB`|} z{@vUE?;M2C;f*(L-sGTdTz zvDcIhV-wVj~edl#~l?Q+hMFJ&4Mc!_6Uv*Ipesq}E;4Zu*3zqhd%7z?IKKtg zs}B=m6bNXIHg48VuOLK9_-Uz03Sl=!=n{(I6~s<zTx>-sp84Giu)ny$)hT8e|07 zCr|?-H2vOIu}i?`zlv`#Bk;OgipnyQ2_aRol2pI`oZw1+A%5URbPZXjwdeoc$1btG zL?PmXB;Kk&R-6!|$kNaMQCU1a1k}0sHG}{Q{^M`|3!?qsA-=>WoFXU;kBEqPSn+*n zO2;p!261F&CNM1`31w}Bxc8kBlG_H$;FZFTW7qC|=uW*(W7Yja;t4WjPvoTtMw~kK z!P}^l-w{j%9={5P><@Q;9uzCf$L{f4$&@$TP%!yM%C5z9ui^d74>!YZXFuF@LZd3& zx10{J9%^hB=6IDZoZbFqSK@T1k4mxU3GNJPE^RqcA5NwZVPSg9TFxy7B$-V+vVzc& z(rW-MR2vm?m%sj#<+^twrI@XKZ6)_h_#;~QTix7+7jOwa_*!l(=XGma{mt~Kr)YMz zOVDMq$%4h1yK^_wauDUt1-Jq*EjIrCd<{Ce|K$o`%X|bv5Axy4?u%q{gDEcAFElRY zGQE={+N@~S@ye4;^)ig9<<(BcgzSd?<=pXWS&K4nTLo%GE5dVz5QprO*aHeqB#2CM zm4-$n4D%ipVEhsm4r2(n@$Xz4l^P&pYfPw;e!@%gh15n=wA* z0HM=Z!Ne=o=i)#8vq+yrKr`I?15DzCq{!$~XGe-uMt&4t@M}=nKNaM@OD*tE@Y#$&ax;xnR_zifWJ*PtLAHVt^H%AQ3r_!vKf9Ba134?qa z@_QN@S<8fqlYkeIyl%J$STGLopbJfUK8(P}6;x_{tnbKTMQPzV$tjp}nJq_kQqI2wN z=z4}#nLgYB*?!ywp_=%Jo4W}R`U+|=zB_hgRUvH0QF!mN|7ja}TtZfMuTJXlg<=>o zX+2$}m^WG`NLMB>qxtRIx4#c>*>jS9JsBSU&riG*OXI zFn*b61L!ucaP=-f6K5DyoM%1LPYK`AFqmVqica$G$&-P3vGG-7I+w7q)`QqF%#M_S zWDU*O63*fG;#S9JYGE<@e6q>3lS@|c@@Zi9V}@A-WAgjHngdHlaE%f~4HbikPuy7o z%50Hwwp~;wRuFZ1V@#Y#8LTnnrvvmUbZ6tKcR zB2NxkFS^F;?f{F;bdk)(wuhT`+^!0j;dXg@KW8U(O$CE}7XSQI`>@}j<Fu#*st@yDhbvbJ3PL2H+Ex}}*M_y98Rq{YO< zd>(Owu(a2MC_IXeui82?RwmO?5OxmgeC1{e1m?G zdawk7=(9WaavsTk!2DP!SA*1-``Ke#gFkUmzNo1= zP=C!vq-2zMXUOD~yR7z;;r9FlhMJGsTjcv2@4ok&`QI3>P!?>l*`$=wABY^-N9@ih zvmN$+k5M{$pH9Rv-62mE=O7i)NO=~^XdZDX1@wq$j;Y6Lr3FE#R(;u$FdrrOO0Fhry#>e^y z=7XnMOqLG3k2M7fsm#w#JFPFEv6&r~xu`h8(7`}l9DG0RNA&Q`YKJ&7<62dZE$bO1 z!yoVLn~DvX3olp7FL-%0KbKOm;m$>+M!6FEgA;?zg3f#+Ul{xJ9B7Qc&|1umSGQAU zOr0-=;l1uGivA{zCJlPRq;vv&EOU8l?BADyjWHc9;o@qrL5d4|X@=$d%-6GIx#Bk1 z${N^qJGM8f45RUyXmh2txWRX_BGK{Crnhr(l~;JrLnCh6cPT}uvH7<~d<(OqX1xL2 z25P>#*_*E3y!pLlG-Iw`Ck8}lOGX9=V*AG;=AtAPVrN=d0(XXptqWL~5-Nk?w%l62 z=?63pTlP}GqNOd{b_6_*NND2O+iOQA@VLw0f_Wz4P+A!W2gjBwlP~)nK09$W?XK61 z6+4!}^S|D>mF&RF^dS&r!C&CIKN21LORFJKPbRTGuR2s<{C#5g8^@2Vi8~*<51RKz zB(!}9i+oDOu6A-GrHHLHSEJ!|&4fl{G3;yyi_H_D4buRa)^xidNL zd^gdrW0O+A4N6rm#aK(!i!JyxtL&b!BE@-qIo&McnEv>BPVRiTA-3fU&g;qP7aW$k zuQJDA-_&T<dhKljt<6|NM@A12{p#8Ovrx$TA1mQJxP;FsO; z3h~aacrw&0In6#T;_Z?M5|3}9S0+WGI}NSp^C7w4y573#H1o5+n-A7RBF4%cxB2ix zb#7c+6@cWlpR$GJETn=vDBOk`H#Cly*nTqBnpC^coH1}O>dn&!CpWUuzer}k$!p$_ zDmXnr5vqb^~a50B!)mE88Dw`@Ve*TsOYMmKHFwolB5#U zXjv+@`_!8&{+;PVPq`7p=&@~Y1E<=Yu?Sa-nNll0bl6$~FT_h( zXi~!EvAx8Hcc&uu6sW(FV^tcKvi{B^HB`B0IIuk3(|gTPD@@E9Ce~Z;$LKhCy_dHX zJF~0xEcDr~5vhlMsw|V$A3(151c4y$A8YY4r_bPfeWmkJMeIg=+S;99tUJ2|5*WsFPhuYdXT2gk)XMr0A|W(4-ebOv zhM^>z8OxK$mXf*AgGUNv4-NE(h>q~zFPtci3GZS4; zv@%?TGR%=ZDFWJ=y_xPjnPAsrrTb_(N_|qCpLU|=<0}Tj!r;tRG!_)Z=yn5nAqYe zlAwL@qxy-clbh)@EF@{sDzhn|!ecX&oS08bj2Fm%8Vs6sDw)2paxL7T)1C`hdH;;> zxk}7dMG9>Po0f=h*DPOOU%|n*ys;@=T2-31n*I)7!Xwr8)fbO`w3NR=zk6$Y4OsgJ zHd=xrGB1C)dAbZYN*1nY*DASkbH%gHATYz8)2W*!pZ*FqR8007kvD)LMsQBlhrn`u zt#W?4OG_8}1MUXa_Ah0T5A^pC8-dFQjt_E!r8dv4{g>JmtC22@3=n-gSfT=UT!{9Y zcTWx`8Z}s1GA~D62DO~{@!XMM+sAy$4s`DuWFI{mjyh)SaBy&X)-z?YcB8_>sGgyQ z(4dgEx}+CF;(@@9F;<=LIjXGymg|xiR!F}bE4wUSv2n%df}Fa>1uWCi%0e_(gpq^_ z8rqBRn22p^1$d!nMDQ#?pat^vkkD}dbK`tv?wJEkKqv)St9rql^A}M{(nf_F%VJfg zNoaxCf~LP3Z1cELsfp-UB#x$t(U>*{FL1Zv`{0NCrfMaE|F$lbhHW~$mv>REb-DG!SEH+)Eu&MQ$t(UJ@ zeP(X|QmnhSdK^0GGX7aeDV}e8G+$S7qPe1ser=FxrzA>U6gEEAH4A<20cnp72w8gY zD2BT01PoyQ@mgM6*aSb+s7Z-{)-pI~GU&r`B{m$Jk+1?SK2)|3G4cIRZ$6jLT;qgT z0hUF>3wAV?1E~;|W}qPw?Q_MDBNd{VA?!p7QZOsQr2eX{osAz!b~}uujShcFP@4;f zVA@d#$I4>Esk;aXQMJ^9Byn86K*RF%Fww1KHnR#U+5>l z#>$r@jrYh31Us&knCjz?)B%Na7Od*r7B7jwK_|eosc#t7^25RlwE#YsQ%+%YcCmTv z@q~MWLQ^U-u5+cZ%1KNQb%3ZiXmOhP9-?nbszGhs>~-#Syw%p5&#uL!g{t%iZ^a3e zw%Krn2a5G*Z;PgFJq>_p8&T!GMdhX5p&J|dcF}TaR6ny#jU@3HpOHPZJ_ro9vtV52 z*wmR;g$RqnVca>X-*Fg84k;!+zc(*?79vgGVl=a8Nc9L1(j`K{3THslc+m0@O%@{$ zlr@tsu)aZ{yz>{OTUnI}4GvxWr5Q$*&mQvVNYPp;&!#kAVnBp_;ds50yci9Ld@Bz1 z1e5IEIR>q_QD41rZ7^{sC1!~vQ-2}E5tj@NWqmlJGbxV6zei6w-?9_J?vHm8;Qi{<{ow1 z`;G<(^Kqs2W%)OLraZ*4AI`kE z#=~OZsuF%9BcoG5o&B}OQ8|yLuYUsWFL2>sv;AXrG81;7JrPGiRl6C~)#H?ulp2G9`sh+QXfX15OyhkRC{9PO>BJtB}nlUWIOdCWEVh_1FG3#wUWRttWK<|+)^boD( zkxgexMC|$y`JgR+rU|Nk4|w6olHhpj9m_!TBM@P+a~!ELKwP+fpv7IZ+$TSpQ0ORK z??I7x7*1!*PWQIlRg$|(PDvY=w34mj-0bwI7eW`50h1jEB{qVAV*GA!L4sJmI3NGi zfYaCFiQhmP<;M%-QYSLWb_ zT;Jv;y2lebhgVxyY1W}v*F0NQPb}B`3;4q>hZ->(rWcQvB)2TeREQVs27B}2ZruaT zE6w%Z%*XwJ92~MYgDY%LtAAp)&{oTs&WD@n1)q+sdD`#^gcSQPFitVu=hCdL?PN&H zh#*6UkSf-%XE}NWq6)FH!)qaMntNlzooR?XM8D%n-8*)B$B|PNVSmOzS9$+rwenbg z&H=`?$JHQl(5vD|idt`oVmqo6tbEe`G(=wGQuwAPN4@^ocHm8TXyC@|OH|jvMTv7* zO*}olm_%3#FC9lgtObOdn=MHX-Str=n}g0 zK97gO)V2#ZFt}Yz|Ef#4PwXoU7s5z7}805jF9@nS=O0u|`9*j`YID`P149q55Ml=juHN zl#(tl6qPH;xGAn;J^I@A&QAFfw#Zmgl#*ccab-=KJ1nwByN5H~mg{zuJwhT)c}Qq_ z-=)=8A^dD0X#`QcE6djiG8sI7L93vWIHnhC*Z(PLdZaFPSzf|Lfsm$L#+km$yY2d# zR-}`n23Mj>z)N~RSr*8yRow(G@vZLRlW7j0H>XgOcvvqFo#h?`Ki~~=4|aFZWk#2z z%Fn^xMS5qmR_&xse`E8kQr;rpuLee;~RWm8jBZ-T%dr|n4MTI_HfmjnWE&3(58Rxr*7Z6q z=|29V^=uJm;v2Zu?MX;T$ZJkECQdOw(iNldbG2b;z+<=t`%g{H`i_l7Y}ja*TMz{B zQr2?jbAd4C8>G)Tc?qXhda88V#@(m(zxCx~PpCe1-G36=z;E0cXm?5!;?3Z)JOfD+ z?IG})vemfsmACmUA6=>scW{iDaTt3VXFSawtVIhgV$Zt_`Zd0p9&Kb?E82&-p3S91 z-$5ntks8TsP`>(pO)#b%DpI84enW7NH>=B9u^|N{re*K~P5O|uVa00tvNk>>e_jH5(7)Xe^~&+{J>{3!H|Sj| zvxi?_L}UykTV7Rm9U^gIxExONID!9(RoMmOsuwpUX2(lalbTZP2VXWNhmcZ6L5e|e zxI)SD#2`2udEiaNW~NM3fvVs5H#}F1 z-&L2vhJHE22N$5oxLv)exp@PGSW3{VeMbrri)OIB)GKJJb*JnK+Q4&#Z2k4UE&>jt zJ@qVW*DmBQev%f0v((K{NkrRFafOKCuuBsHB~N>o4de5=8!2*vfn+z;e3WD_n>Y9V zZr-5rqaW>BZuUP~>m0`F02v^S8*m@XNr%p-RD)C-_G~xHm>#KyAXHu4B|hU1Z-Niq z+3JD*kl~ABO$FDt1)_n*JdH?70nz(b5GAcNE@)TxB=KO#-ae}}J5hy%nb>w2Ow8#+UTke?2#?cV@s|fW6b5iKB${-MsTAG4&zN({u;gP=%?U! zl|JL6Mbw8s63u`hC`Wq?&>znlFsu(;h7(K8xs)nUxDXs&)_Z<-QZ;NYX2Pj%8h`xb zf}wutb=o|>+#Z8Rz_}~20FOi$6ajd~?XSq6>?PU&W)@2S&anR_sWyS;xrST}dY@B0 zp}7RT%m$W|10t_pKZAz-#G9nEEuW&!Pa7k#btJw^4}m)qz;#W~a8xd7h09b6V;+P* zszUgJ?Ulk1qC6J}A%;HSLa(dqcY=20J=Y@vLHljwbR)q1m0e+xkvEY*)@1nkvPaTE8_r=P z;rez_Khm{PNuRD&Tb0WSOr;;2_lpL2IS}uG(HVM;FRyk^CT{2df991t`E|_+#cnX8FE6O?~e7@W-tJEnhkH<=$8=#E@;?8 zQYtX|XZ(|IOHLGwk`J}f-pdsQes6&w)Z66vish(AApEh6*~tvMp5*pZ1-EzfL-vUu zAvwfm_1m=IVOx$!>5|wl$f7)Uay7UCP=GSoq&ptG8X297vHHb@_Ev3geN114Z0$6YMJ7U1iu9@wjn1lv0C<0a zyvxhV!BogR_uq?h+M!<>7%=!XS||GxlC1G^{;Err`<9M1sq%!nG-|5|xb5okmvW^# z-!nnDB^~s+YEuoQK)iXYD#Ddw``#pI*fu)b(!NMIE2A5}65Zf5Sd92!{rB7y?a!4d9p337+#aQ~vfyFix;Hbx>{dL!Y*pEV^{+>vY@= zJPn>AJ@iP*<3gHdA&Cc)sGhStZ+STdAD<}@?S2i4aBF+=eX=bHJFqJJG1Svu&uy-@eV~Y|Bl7Hc@tLbmiSGe}PzH)&k(XgAB1EUCoG{_?_Dl z>@+EoIEh`B{Pf6!w$=O)Gk(Zte8MCqhn6!iI3aB76I%$7(} z2(h|9CR^6UUkYiHUoJqaFa`wt28@LJ?aP1VS*Wvs0zBCe*7R zh_es7W;waJ!!LJ^n$T6PKUUy#%I zQHjNeg^z#+G$``ITXN<>Cfp1j+mu>fj=z6)l zM4DuEGhDP3+xJ@2rsnCpvx*%msKZB@4Thu83iqW*{V0za8_lHjZ=?ik*NzQ?Fm0)i zBjjYR`I)7#tq~h4)9;FU)eK3x8%TM8>J_zgV-WDyg)H0lqw3jn*vadjVv-rBI}QpJ zF+j-Gsn@abI)s#;&Olu{Pr%u8XFs1OHJE z8;^}0pgr>@H*2d>*e7W^&Aa3jBXFPZyP6MCT8t2!vv7g{vN`qMYK>{OGXwK!XMqms zBW+p6%MqI}g3%_!t$Vkj`5oxQ)`ocvsmAGf#mAyKT8Q8R!tP z-Jpo%ZV`F$6)9mVVDWp?6_v4t&8ja{V%m^HGbK(nFoYDP{9NT$v6yYo#}zH$>+JLt z{t_lbVvbbsAhF+9?O+n#pwn{Ny#)%Bz9!$KLJT4>w-0)D;^snXhHXAj$$ChK@D2Ai zp5Mo)Sau3b=GdnpE}{@>Jqxz$8we8&2qTUmrMt-1I8~<869u-P>#iH7L-E7u z*H@5a@)id0ifCUX=FqCQZjMF54>?ucc}P5KF0}wL>`xwaC$FG6e7GO-h}~%jSa3aQ zO(QC@_3rl`?$xRn@yRidb@;X33BP!l_{1aUUJ(6br1U8Qi)Fy9h??->JE0bIqZ0)rdmgprvt3DjZ{;{ zC0o* z(<)Yf@|bGLZ6jq-7YY1c_PZH5d$`T|CXthlP?qcoO*rb#CLAD=u~Z00$_wKMzYzSk znF%Q`bTyLs=Bi?INTbpxjA)+=K-`a3BDVh~M3+i)=|L4AQ&vuN@c&%&AP?xJnyET! z$MUB34w{{6e*(8zsVdYVq1sqaw7;NE z*2VjG%fmyWNVkK4Un|&=TzZI^spjE*4qeir_jZ>}!E2w=wn1!$A4h z9)`V%HpL9`c1VCz=dvN=_dYF}NWI*T`Sp3l3Ax=t%^z~Rh}3bDLTZxY!ukoMJ~`6x zgI6GIAVD~_D`S0xz03E^LkK`t#19s^zmZzT(A$z zzN)aLa*%J8gOEJso+Hg?Od+bY{;78^Qmh6s_dkG-i%SB`kOUG^lBPwU`iC9dnfvmK%_q^2_K#C~r&1(XmCM zWm8q>rC>`^`-C`NbLxMg!rH_ZZDw)Rf$N1 zZ8xlirSU?Dy983Rp48@R{L(w;!wQ;^Q9TIJB5rMB@+E$n9_IPr2Sx<^_k{IjEkxyf z$9C{P1IWx5I^Ez3{nN;CnHzo)d-2043MNQ0_j?@6Etd=`k-=hZxB^$-<{^cLNVNio zMv}$8G8Gh-LD=fd*W~sJ{AP2=2Rea7#g(R*IEjLMu{a>L=k5 zv)Q5JQ9sztdi&VASFt{4Xhq~TLdP{9xzoo^O-)o~H1N3iIzq-?wVut@0xD0hLBMq{ zRs(dWzt#Igh`(-h${iz0QkX zqGGr|yp6o*xUw?c^XEV>0$q}|UY(^Aj=P7qc6;nwBNSZVvNz%33y6k(2yD1achZje zTd8VgcfrJP=qU5>`<72D@XyifDbhWf^Z zZd(zi+>_La&y=`?1jT}PAgFupONiRf9$Lb8gq1E4XTrz9naePTO_M$GcFKRb5X@Di zWJG*t!w_Gj$BA14Lan*Y{nXqMRetN_Ti}bCnJK8QulmPyE zk{!sAj#jl(lK4x;cA=27(JD@+rlwV#`Gq*imNUq~qS*ar3rn?H1wujdu`Df-UK=2V zR{(*U5+zR*tS-9jaV|lFCK?V@`}y5Xzk2rU9gV)j)3yYH^h&AkE4G7nXSUGVswr$CF))TeFDSFi}|l zy(&u@e7k3kE;^93^JY#HCwyQOB#~oIlEo)>eWMHeu`u-W)Hz7W zu=G(O1%06BqUVpgIp9KI5fZWao@a~bUf7eLe}lb<9Hdg<&j@z8o*IYg3wJ% z!OozsL!S{reHNnf2Qplyg`GL?r7R=yxL0d$O=M$#0gAg%5GnTcnJ+P-`Gxm?zCc$D zJJP#p-P>*=X#N*w#BM@bap)>nE|gyUbBOVFLJetSb5Gms`w71J@i)?iJ$q)MowMb5RV|%JK^8%Ify^?BHX_j zBwFUSjs|qXR-v)R_!f}+&NcDIgiIeF|38t-VLgLky z=(NMZJ`bq3XWiKHn9i{?JP_^v#jr=Ta$i}{<)a0t?zQ{(uX0a&b8|rQ2E~oHVX2-^ zgk~5HZZ02h@#J;r|FSu4^y{mV+OEV82HM&W9Y-6Con7iI+nq{k zsl_odHJTEC5z9qF?qOY=ZG1ZKs3-HtTj6o;t4RU#97K zDsl5AN25Q8ZZ;;W6!A1K4ou(q`Y%6tkfG7E?lwzaBY;mjzdMCOEbxhM=zrE~!yAT| zD&rzJ>g_;He@Q2gKkw{Uovsn$y&lSd7t2~=?TG0HVOsBA8&M~9LJI~KAH5aGmfhW& zAWl;D#8p6WSkM}|nDd7%0iiH%MyV9HlGt1hXz$(;;x9(4!CVo;*2s%q;&<VE%o@Qv0h zD5%u!e}4G~iX~X)&tP0yzPx3^Vl7r!Js{$({;<-eM_F?2_*J0tO}Bm^V0}_bMCA{+ z2(D+mcfR3w z?{-l6#9zd&1J=K{`iH)gl%x$!6V3*5TJnZImo*A;Dd`@ z>83+(V`8}}Z%cxi7yfVaBT{fOHB$WzdzpQmf#@&qwr!}-#HS{G4cAZibs1vr*?k(Yv% z)t{t(2Qe{7Y&-sn-tZX|V~PkCzyGYw;|AE264##FL@D?mgOBA0y%I0`#sPm(|CRxe zxf+mTfUzasX6Oe_{#_sx{6GWOvJm#DWQxw@SuJux!c(_tvntUp$Yehu%_W8ES1|4@ z1emo5SdnamqiOkb$B==WM7JpHFeY8SQjp_!`swl@>#FI{e2BjfaXVq6?&1nhVtDG< z2MRsUzx#JA+h}B-`io8kjpL}RV_nxq_xKbF5U--&1-y2=C7PZXlM(|Bs5H|AA%rKk zI{5FD1;Rvs$7LXjYGfWWn8$Yg0MRhV60qST`KLr#<^~8`bIIiTQuF`DSVZVdTL8H6 z)v9sgH2aUi@4$eXU%4+q8h;ar0P=exaDLHCQPV#bQs1Dl0{0>M0&^Wf2QStgJgc)k zkKAlg`7%KKW=j&%^w7M3WI@ED+n;nI*JvvCXgSVA(?;-P{#M1a~@W z%aJf@2Pieh6V;A9nwWHv`jT5jS4(_f-Js(PI$^J@BY`o=OF6cz>+Nz2qGxX?jzZK! z?xH1;K4?ptnAqLGEH|ktZ2mD{5M4+n8irS@*hJt?{#%o61Yf(l8e{q&`PxVSP*4z* zF5dkc8@D8)f28C8N2vE#(x$((`MiYZHiA&m0{w?+fUX^Pi-i;robDj4d-> ztCVmMpZ~wjqz2TQAb8yIcaj$z++aP}^B%W>D&8M6;L_Z(yEWf4}3ula)RP z&emJbFHZP7kJSY>@3tFDAeLl@#KE{(M?aCo=LJSB=Y?8;rBxdfVn&$Ul_Sg_k1dOE z{E>K&Z+3$~UNU6&{Eh$*BSe~Xb4uYy>!rj8hD)Ge;d#06E zLE)i#1@R-E3*<|D;Y$%N&RZA^$SRV{`US+M{^v_zLIPbMAD1k7gY7??f)sLfs|EKS zAqbVn&*kb2TLeF(Xmkmfa#8`AGf^hS2b(b0?Xd=qwEt|PgCH7BYqPOIc zT?1`<{oJeHQcg&yzS1){3m*=QD6rv80bX{3wSL>TOesuym-uf{feQGxR*Z!BuOV}C z-d#XKc}*15QR|bKEpv^aJ*UHNAkgrHx}-Z#b4)~tl)sn z=~+(nCqjVdUnjmfIE22m4EhCD#A3_D{;jbH$JtKqVMh8pOZ_>%@vw=Je6)lZC5H7S zP`ku@GAL9%**_nKD9s{_Mz~?#b1FQPA#Zp44*!Mo`UJ>40Rdi3l4nXpozErqnH079 z3EXb28>@%E2?~h4{y~T?f~WuiZ*icWi2qA;IrB?_aF~0Rc$>)se5_%wz(hX=&*qn= z2N@~-oz_zLz!9t)Z_`~dG9W>i^Yq^l%!AnLk(OL178=dr!Rl5IJolRpB&0vcJ)Gax zaN&CZm_J}5&aAIn@#mk%e?E9R#d2RR68#Ymr0<01<_!vbpJ(x7{CNl|#0D}9Zevci9Lyj!rB3eO#{+tOd(E}de*Z8+T41f-N+tq3E8~FTO7mi$g! z<~LR+h1ius{MU)vT0bYbU)dLXAj`I4tN$n^M~x&%@?%u@{sx=>#=hjU16f9e#{P~G z`0F(GKT-b^T>VefFO8i4iTb7G+y6xU()#Ovq8{I5!qs2@6ZK2;)c>sdrEP})S@lcv z)c>sdrS0ec->rHnI`oUEsL*|v*Q80dLh~KN{?WSgh|)Q*`x$z#0kmcYwIaVPg3`}yV8-RGBuHta8uYxW-k2|{$K=S|EvF6 zsL3VO7YFHr1NV_%K=Kh*L4lPOjYgr!J=~=T|80)LD!kaLd@CaVcpDq zu6{}=1e|MA%k@iOZ2_lpl&H^3Vr>DZj1@zYvV_$1_m=`hxEzR($x2e^=(&jh$UPrM z%E8T9P7o#~mo_4oc1v*0sd;1K8l+h{yx@oK>f-G zf%WV}GX}qmgC)r<2ZRRgPFu1YAF%e@gn_r^~w5-E22PcMBa?5BXfB7`zHkuZpx|Zm1h=0mPna99DQ2M+{ zAR@CZMyWI8m1juf)b#k%s(zofn%?QQ^-nve=>ijnV%Gc%((DIv#YBL3Qx7o7o}B0r zD-DinnBMO&{#MZbL9dXxOk>i>ZL5}hbh6@?m;V>SyX-cowfiA8uTQ3rUk)v=IzPHU zA%N>JD#K3NR|4$^(-~Q>!F384wX%*HOwe7KIskf?n_f?1Fxqs zJlAe#Wi@gA2PJYC8sLTq`U}#js@gBkWkp)EvRTRh2exk+QpMT8vPB#2%d8vhw3Lvz zo$FKeEZAbnD)fK0ZPJJ#{Mja^o{aYM#h}@oTUPo#Hd$4)Fk|A?f8z*52<1nY#&=eE z&Idz-GNFY1C~Z>n!17MY2aFG$QgLO+8Hk7I_*qgVM~E=mF*}chdL2`eOY#T{=4tKL zBdEXm8jwb-y^(;%0r-gz!&8q|?qHOKRQt zk>dT`d1bFu?yf(1KCjto;AnlFf}+Z(({qA*SAO3#bF%|NZYh3ytqzLQt4lb#FMby< z7&*{4LVN+nhHj0slQ}~LL82PYLd_p?@1nWHPObd?xd@rNi4&+TMTp`pxTY53j>?Nc zhxvvS-DX1>dhp}p#;_&^49VHe+&;BR5fe;B2nex!S`mI||(w5zL2g!bHv zj0oNM69hNh)uQ{RQj3#oX$a6B?Vp*Odq8cfVa6Dmd~v!={!p}5jg){)ql5!9t$+f` zyEiSiHo%^SZ#d->v(h*VS4AavHxFeM#AH=+W^CW#QRF9tbH}G!8gjP5g z#dp`6-IPD1V<7Oddr=&-vSOD#VoErIZydTMQuj@Lj3huCF*;I-1cX#h2#dHSf|}`= z!BK-v8UR8)oitg``KixVm7IQ^V?|NukJD+Ii!8N>tDo$LTP2EPX6G8{SMQK5y=IH(!znLX<*uHu_%LJXV4u>C z)`}ZLm7+?^vFBI651u)N&~1?99d<;xSQqI#OnIb(aJdv799>XX8-l;~@2@TK!3yaH zRTNW)SC!<87F4cDC!HBT7>Tu**`Oks0aH!}qy;RB89NaGu*d5wsb8MlQ?G_8g~ZPn z)vKv3QliJjCYXx7SKI?sA^G zUu-p&%Dyhk{#Tg~Twh@{hv5WXaq<~vGxu`_1JO)%>mH-5k&~9vN4IKO2QT)WB z>8_3RSF zm$n`~JC#9h)0xJxx%1i;^1F|pU&F?@Y5uWY^7S>Qs~erq+RE;YO5Yv!=VR7C50h=Z zc2(>e7wt8w?T=Q;C<$`})SoVz^xsXF_%Y44t-&YRKtFv@bh6d?0dJ^NNsYBZk540$ z>oQUbUs%uoMN#4Vd#HstR@iW>H( zbD)8aDX0s(OK+^hc`<^N46R1dm?N(u9H8gBc@*(p5X` ze{mjRgaglwzSJKs%CHB5t*xzhjrrKwkJ!C?T(n-&l3;|hl++6J0*|&qE6WZF_Iq2k z4+Er1N6|PqVX^@9tuQNGFVM7{e8(Pb6tl-^16S{?H<1U`4lJ(V*FRapc)pg8(fHVP zoq?~v2pvTJa1ZT*ZUE>Nx`7*Z;5>B#niCdsB%ZIFwNb-jRQ#=83pU!_Wf)On+7VM}M4|2z4yALkpYX8VPgM79Kji@WuD-R*;1KxKBoUbv+Z7=j=$_ zjqks>x|Sdiii-FSnfA9mIcId;>d+C{myri|1zYrK2^3`&A2f|9@1prbv152c@GQ>A z)!bPAQDxCA=hB(`;eX)p$v0M$BGm|rr6q#PTOU7av_yQp<>SNl<@)j;-lM*v40LTr zF2v|o4I6c%-jnx_MU?l&0-{|4H5qb88f-70&cDI=K2(;_w(xTbPKJizUI+3O2q7!e0%0-Ter3znU%|X+3U5j`Sb*!rE+BsCs!GG&k%?=;^g4kgY2jHzM;}m_%LB_vjJEB#2}3N zDj=%#IlUbH#^)$DCj&i(@IN^V68h=T_X?kuC+4s0T2pr-w2B=t*u^U|i-Y`VP)1~q zo5INnHqFhBEJ@t!pqC}ivx{3s@Tu&GtMf94;)7uVf61b>t^>zC)?d2RkSI$vZgEUq zeILq;=DLQcDKE&54|L2PBx5?dS8(vY{}1h!TQw>n8Q;Tv=qj`A;RQES!7%Ir)CrURPVPbC#CU&iBOj z@bXGKpCyF3X$NSjVSmoQ)LDaP?PHrSGL!C-Z1an8T!#)RaG_XIxVNtIZ_;q!3!97 zV40(RWXIl#l6W|jT&Hua3_HPRsLCyS`}Y2k&78Mo9S73{S1V5*#XO4mxcAcHq^<@a zCx_l16RP_&qWR*ZhA{7}(esrDjFjaQA}{O;?(2Q>cRgaSZ@nh0+diXY?hjWgk8I?0><_4Ba z$r-A?(n!pxwo=$KtsT3Gi(}-yg+@0!iN}j`<@7kX2n6aW<5l{saZs0P=j!1w=%fDd6i$c+q?(0Ka z7HR=VQ2`ZC&2V5zWe1sT+s@S!SVtpA|K$pbZK|&=K~aEn%8&e1r-fm7etxq0>52E# zG5$TTEGgKA>hJDh!g+ryDy(>##>=Ad!XIu-sEd?vhzGg+@UhDYtLQfjjwKhy)(CDScZ z)IQPWD^~NkqwJY3kzZJ3xn-pN6c)}~ytcIe0+S%@@ea||X8W%el2RFk6uxb)4|cfb zKq@itXiMIB&fx6*$Lj)%!day;I&qcR8Mv??1sS$nuvZQQJ!=4pZPQ>bzkPF&Gl_pp z;XamN@6$Ni7z3BTVJLD%c?+w_-jbm&8TB+~WPoLw50{bBsq6ZC;KJ`l+cY6sR$kil zD@qBINe*CWVn%e<+pays_Q1a)zaoDP4Z5f-oc-uMDm7;C2KZZU(BbUnH}i8qmMs)` zK|$^!`dP}s(rv*!dPij*nY(aopuj=;aTK*{<|ho+hyaoj=C+T|Do&iI zD44X>fNIK*p>ZtwCGBC1BgqI!hY)nf14CDM^qGDAmp!H`bcf^=Cql^-lgIt8eicji5pN6 z$WNmGHZjhv=fV7XzPM4=<|hYy@$31tuh;CZG{c&QT#Ui)U_%=wY+%QQ2ncVl`RC5t zW|!Arik36-WeM_R4lXOm*(dYpPD;hiMVi2zB0uJ;mb2~S=(@TiY6qEilO8u+J&`9d z!z?5u^wO&Heb{D^c7Mwo*euIZF##i06fT??3-ToKwLKqs&*8H9+)~F>nFIusE0k-t z@$xEF(5=J)ZcdDyT*rh}D6QEFf9&7ID|a(IExKzg;@8t)E8>;E*|N%qi!lya7*Xe- zwNvpbYUk=lLNtnFezvxSj1u*hPG&~IEQdz*4d2H~r^JQbF>=Z{w*Nyn+uhT31E-5$ zNU@!0>}!^kd9-%tJ!S$wVn6yy0-{1_sR^MZl(xLtb!+^7y0{v}t7~?yejV38|ZJIcQ}N3biVC<=Pj$!upM_U z+3?iAtx{i8IBjmmqbYucd==~JPtSRA<^E&DyspoW-C?zA=V}rgLk&%FhVqgT?<^IT zj{F!!pXqKFpP})SipYnPY6(Ug+m)|+&cy`;5o++ z{6X+6CKI{V=0maIkG|hqK;M~$UHLmE`z;LYapaq6j*I`kjQ zPR6BmZ*7wJ$^f<2SVJY6`P3@+#9JMcCM;H%t`GF@mPueMhaF&;22>8*8@gx7K zoenCj9|eRFg`F*xKfKPz!I{obQ=+&+`^XXL1I23F)JJF@?qY0}g7w*tY`~@4d5+U>weQ#uQveSDw$b=rxjZQJXJQ&m5;u zFD3svG0qfL)=ufsj3WuOFSu$}G4fk^>{@*3r=PR{{Ei~Scx#2_ul9ORmYAESl$?(& zxidR?=6dIVB$o_E$J-z%21lE17@0?2 zE}0up->DD7L=LLGHIDbp9a5WFCl#t)Zwm`=!yWCVdgg36uD~+VleE#36WK+yLz{8* z*-vQBLJG{?oyVq?42;g*KAI5|0fu971@_wE!>8Z8t$f*4wp;1*g_BoYv#?Ria=jLr z3}Xitf%D5fskCzRr>b@bTMVY_p8FD%@gVKs5vLeoFc5S0?xFZTv70OCcC z{uATT>yFut)EFGGvEi5W2+_YGg-b}vnc-b)d#{yQet-KXOG#x1e^jj96LB`AADpD> ze5caW(U@grJJa7=KkznGUG~9R2T8C`(n;whzThY<~E|L&1;XXWkB_rN%mbGR1X1O85-b@_?H*HfpI zKC^9?!Z1hR4FcD#DRlH(HxFB6QJ?p72!Gj^Frwyx_O!E(c&n1q*uvi0-m_k@!!KNq zX%W37>+(xVUhJ*4Xr!cGwNJV!$yJ@<0>v)9cR2L#x~!`GIT4&=whIVUjqP#-|M1$x zC&C409w9aB-Zygl>Kf-AScS`~WwC3sOU|%ta}?mMPgYKEVB_YjF-s8zwV;P*@yt<@ zSn8gsb#nB+r1es$(e?*2b$K5OXWZAVjk-Oc3ErN7QUL~!1KlzylhP1PmdP!&4DXj8 z;iiZKO}$S}PEP2;^XDAR(%0Qi(yrcu_gr7FYu%)`*wwqPa_bcyF0)#@S9PbD^vMkk zvhO2y__1{t6sLL`Bz zoZDBuPdagpmUpnr)+T>_Pd+?!&l-#fB|pgYAyYK1)Z%10SP!1M~LP* z5@7n1lnl*sIM9aR!LPJ372>Sx=DJc$`+4Cb*}e{B=$YsYnOgDm6Ne9rB`IvR@&WZ$yz>cu z!oZ!?y8}_kE6!H##?|)3w#6OXOdX5%z*{)7aL$EkLQrSM>KJiz1)tLAdwRqPSh4L}iCZjyUCtE8;^*M?g~uFw@`|HcdxB6p8Uix~$##^_{3}`esM>6R~?N zzOeXY%v?F){PGF!MRe^Wnazt#F!$-|IvFdB&Y6#7G%QBsWn4J2f#AV!|0b~kg8XXZ z2&@rTOdq)Ys~h|eGW3i2M7BO&&v1a<3V4)tY-GGIi$E22d)x|c^}&{#M($2V@?7+ z|Mwj}aRC+k@@4DPU}+VDPXjI+yE11E3sI8b(-}fP)CI-GeCdT-e0+Q!rwif&_D#UJ z*&*(#a+y}UK$N;>2vyhCfn$2#&}?90;wwV-%Rabp_Kj$I&t@*m?8s2h^SaM05v zWA;~&&AAbwo_{m+L%Gq36Wttl*9U1t!MeU8>oQWL!&%n|s)IIDzqccd%599yY8ufF z)fwl{Z|k^-%^LeaYJfv4O>wR-su<K|8cy})PRK<|GkZ97&W`_Q8!I6HoZ3HEu#9qLF*$yGa#tueoOhLg@Va`VkMmFKy4 z{SiJQ5ussP-knRqW~J^wf-@`6PRufQp`C}xl`x}0Yu!;7lDRf4MiyKp$gMJF`a*@D z;N*Ppq&dz|m}PEEfLi+C8c)#=BRAilE{qS5y8VfB{NL@-e5Kks`SE~i+;|v({%Rk1;k^QJ zw00UH3%xtM@~ja9>$mB>ty% z^6HgMsb@JjVFRxF&X#lr{&|Fjs$1JZyRlnztL+#B-VE0dyh{~T--TJUrnv`4209mm@) z?}P2&Nkz6}HSX{fh%UI)wKWXc4*iqmQkRCGht@wQW5X&mBdb`4yNbE>(2%93BYyM! zy*O;WxKf-?c8BI8;TjJ>YF(t`bTFjt#0^-$v##sB&~?(hr5V*!a}DS^9&1pZkj6-ma|eKy&J#rz;~l+-g4 zAZ{OWvQb*CN*)X#k+v6idTqAs{t4u9T<%){@=v*e!+PM2?e(R(<^EXl(D^g*C!Ehk zfD%jDAuED|N<;;oAfHOuoo}R|bK=B(BptDf;qzY#Mz@C`6K`C-c?X~HVcnU*KnpC7 zoYYJ#5-$yOS*rVNsn4RC+|gApi%`lO<5J_|XO2=>y4)?Yf><8gccW`YFb1;vpF_=@ zrghW(`@gP;oM_cI09mQzmq0MvThtgQmS?XSyQIhT#PIrIYHCRjePyc81dsjjFVhLo z_PY04L~-Gm+b5oFK(-rpoWFlaR_=DEfXzLY_z0hk+7P-9Q#IBrN+$&?8vQL6j2qKn zx&k`Oh^On~`ujl_OY`FHq?;O_;o+6AZocJZTQutweZ+5O`{QjF(JWE{{5Z&^-e&h4 z@rzZMsrQp)tUzCCyN%6adSR5im_5cufF+$$vU>VQp)c^OKCx|S#;3b1=hQP+moFdd zdnIcBHJcOJ3?xeM?j|cv@(Du?TKj0<^YwBn_N2KZrmXUs?>S!c4q6UQ2iCEIGh7)W zarFxYv&Yrjp_<>Z=f*@iCg#eSZ&_5`LPt?px>C}kKZ?c(hw_A?= z{uWt%V-Tmv&vg_z?|3njiirgFIU*%?=!r zrht1WJXSq4&06*3N!7Fa+Z$&??(B^WSeF(}xr2g@!wX{fl-E63aCnmX4}S6J)zo2X zOdS%8WHbZiIP~c1NGh2zVnAMsV8bUGzS2= zg^`-9-X*Wc7sdm%M_frw?qMYa`2}LAzq{ZMuO3d`#;vC3jN?op&X}F7t8>0X3H@9i zS}AR!*gjHEq3Tjd#QE{-n+Fo3Y#zc+7z40sgO70)z9f>led@#F&%@ubG5pZW){&8O z=LX}dcUd%Xz#5*w8Zx&&IZR{?D0y&vE1vGdVOjjA7Jj$_l{#7UWrDbQS9lV0gk*%- zScp>!^C)oe)BY&Xxp%^=Y;Of`w~S|e%YC0g|M~4H-5qUm*t@4eeQ@46i<^CSqXxJ= z3;}NOR{mkd&qX3~-XZZypCa;eV}Xji!lh7J88Pu1A0iWO79RV-0jz{gxRxsXoQ!6q zyH2?xdq16q1<8>gQ=5caK>@xnGbMo;0gUXAhL|;f{RE%l(?i`xFI%)9ZOZ5Nj9mAi zv=EG-A(2OcohNplPdMJj*{FGX+_zFG*r2HP_MeF;#ZLH1tN<@nnTk;c_ZkEr6Q6;-zE=2LBr*8v|PIxdWK0ps5oHWQA0 zc(8cyzlfExl)L+*I>Vg?=rSLOvZi0@Ak`j`G<<1rJwzz(RI(5B{({D6|;xg3-Ken26Gl_0csbwv+vb15)+poom@Jv zWfF`r|Hs%{M^)KweWQXPp)`nyv~&qbH`3i5($d{2h)79EcQ3j-1?lbvk#6aRb1k2} z-|hGAan5)C!ZCCV?sc#0n)6q4x(y(4629qwnkF2mjN$Kx`Lo3S)o}j%J^cL_n@}0S zG8r7r(Cs*qyE!$29^8VAD&@6<>FM4A0;pc#+=Etg|A^e=++(R+D(QsVj=NEU4J2OB0VIV0|5E)=uPhgnxBN{-?lSH;4M` z-h6u+1aLTWtK#(k!5H@-3ed59(!cdz_x11Z@}DR0gT}vjHK1gy8` zwO>vZnYfLCh)e`PcwNVCvMIK*-Pg=^^7!MEHZ#$Tz*$o>zG__>`NM{E^dLWhqMC3u zDVtF1S&JR>O_OiAloF`f(+S4f|9LRPAApGJdwQ=Af#xnM9j(%dC0}>>$hx9Tx3blW2S_LR|kzGAT^bb>n~3VK$j2HZC%8sd7YB-`e}S#!tgcE zUcT*_l~wrrH~r^*{Pm~T(gI!-@zK#rC3nCiSgjO7{p-s8xfo5a+xU!lO=){z0`hw$ zL}!-1=nlSaPUJ;v;^*@T@hqcYT#44+gA7wa8Y zd@-{@{jVrRH;Ya}H?v+;sGA^_qFeaMSEzLG#ob?xs0~beA+I_DKqA}5Ay-S5F7LEb zVO_m`re93nnd-sT1p()tzy1?;SN#m<|1$d0lON&xFKD2{;WVsD)Bg1d|Ifqx&tGgY z0Lpy8BK+{buKoaW8QN^ox5jn9m+~`>Ryy@3d(WW=!|Ep#U!XNrf3LazmA|!Zpl@EqNQ?F`ARQ!R@<7RIX}O`1epLJFEhP^2=<3cF-b|O!Q@{_%ph}XLi2y5 ze`=uKF9PMtC%d1sjs-d}0R`M@PNig(r4}OM88Qx7B`<}L34Y|5|NVW~Y7;MrVt3=` zz(~R7z|6zFN1_~Rhr{VY>e>Fkd}3FSloJY&!`O;H>LG~$$3Q(!ee z0FiI@x%CKds{4=x*8lLmXKdE27^u%rnkY&&a1Z^TxWICjh*kaOLTo0_K3B~ePEYhx z?{Di`XtOFg=V$2hkUQ*A!M`3JlN1!S(7jJsU5KV9X3kHr3rax1gLAqzYVGw6SE8OW z%{vpz$ct&hno>oyX`ojHQihlOC4r;cg2Qp9_XudL{9@pS+eknCzkKE`D)23TtrSZ8 zU*9tN?{EQmSY#FT<=-rceItZnO-VkrJF=3)8*7EAMKvunK#rJmoq_|>VAj8UOM-u}k;D2cz#O0tvcm0&( z(0}#;HO0V1AY*5vOlha@)It-=Ym)QLk*FQf;|l&$mORP-ot{_opK^Tz1R5UBhy9l@ z0SAMT$TZ5W;j#2u&#Onr<@gt=JbKCFgz2UJ2CR>&^VE5wNx{fs|H= znV95482{^*qYDu0!n7h_Qv~(=v_@Iq;2X@*bvJL)?jBxlXcb7+s*_QUPn8t|iiVr9 zE4u_c-V1d_O&az)t1!!OvS($2gUWbLCJuNL#TisK++Q<)DY|)GStlG#qW1Eu8i>Vc zP6-NR#EuK){q~?f92=`ZQ-!qs8oA%MY*B)BZ^#aOZ4X_2&m~|6ZH1K zx%In9fpDzV*__H~ifL^`znryYLqo0FUL+;%?S}@>Y`>Jmi3p_4nu9_~0*>$%AOH1S-hcy*v=?E@et_xE$&`i1E;x8NK6O8yrq!C^!USZ}p&+82 zU92kdDfe{6WJ2Gcr}v}%-MD-wOX$9pK?76LutVc^)QOv;+wA^b#9$2shp1s-(N7Z@ z!0ky4w{0c?qaF_bqRvmy2$Snj$C+Ufm8sFU8_WmdnV8MSUgs-xw4m5acgn$9Och01 zls2oj+?~%$zQ&@I#Xj?3At#4tfL`p6a3G?dO6-~lO87h+o7LRwVg84v+w1Ap*v}~V zbE=Fue?aFC2HVpu1w4N5rlT9qg`?jcXdw-hZ9qfdN4nj&@rlN_X=0)aylxV_^T-kn zgYB<29VWzMvy5+gxWCayF&RoWyXF3O$n2J`%%Br_dn_j;J2$u8?{5Js5%H2Ky-cCl z++Hee!`^%INey*eY;aSheK>gKfqTP@6~(&TwC0M9iO{%5HU(uuK}}hmvUPH~q%vZ< zPN2CP5P$6*(l!(s7h5b^&lpH+BSzHEUwy?u zvSq~LS3FwthX2wQwSYWe@Is~NWuQuC;LzXn$)<3rShrklNaC0n8TEj7MAGbWQB%rf z{)e@c(Y2kW8#Bv-BZ~t6`57K5k=JP?#%EUjcDQ`4+LF)B*-&p!z!vJ!4r#L}dO)S` zJ#m2y-rZ2me+~_KndK~V{q1r8dTr}nap+4{$pV$a=X8%mL;n3%;k2PM84Qp3;jvYv zB%%sE*dp;|ZD`E$duu*2F1w50-}b7`*0C?waHT-DT28e3+ZZ<9;cYU^lMgd4YzV7m ztDa%wjTYuV1L2gY=mB_&#BK3|1}|pg6}DNYt@wmrb8#f;@;B6({(qIL*TUevVu3c1 zC?F}1k@pyRvJB|x-u9qUF3m_j&B@8BuvzBQsI^X^(`(UYvz#F|f7}&GCbl+j(;5R_ zQEXv}e#d9~KI%O2!9>;^)8W*y7B7$O(X2qAQX-9|3qF>RtvTGtkFkR)rGC`tX73s> z>%s9}528$sI6Xam56c94?r#7mh)xrkH{O+ArL(&~RY#)X*)6Jk&CRU^E=|!z`W68! z3{>~I3mWS0XCZV?WVg}H=>oIQG&D`}i>9l8iivpgqiO%3$~@p=mC3ya$JTz%EDsN- zpp{WYd}YyPnZDX$x~3Gvd`uO*={eajRRX1tZqPed+Il~CsaGv#G+m;T>FuM;)8M#G zYBgWO3d=L08~L1Gvj;pa6#=*Nw_KSd$+Mlw>X%7hQ^4DV7Jn{a5xsD82C00vv$21I z8Y%D-rgl5V`o&tcY<|d-PdgE5CfvIA_VF*I_Rg}|{%O-q6i||5I=~1Ma3>3)tUc?G zFmc+uX)>DsC`9(`u=4O?m($JIeX_wj5gN6RAA}v?S0!a1P$x$?2_YcR+I?ciY%L(Q zJ=)$f-19V8a_vycrFYN9SyJCFKkLq=!5*aVpMPz>Z*LdUX!UMBs^9O6p$#*=pWK8E z!DTE_&cA+EtCT0(Re85F>vNWQda&4tpSH?ov!rc40m1MPeA z-Q@CZ*h|*29I2K)1klOlc5(GQN#1>a7HKt4(|UK&iL3Xv{izdg=3*M{{Nc2&hfGP` zFXZXKOjyFn4kMGV2`r|4W%?Zh*z{@?iH|R+>7&66%^WDbCI8G-d{OwB8Nv{CvE*@h zIU9!09_w+~tai|JBFmQ1{h{E*Z13@ioAc@R{Kv-#Ybh>EOy{ZtY5aO%KL3Tw=FUVu z8T8UX2VfYU%#Ri2Giz zE|s=z7bZX!?k54KDru=_vy!e>ja0B?6Nc}_Z^k)^G-oFnM&{bhyJXa}wJC4jY-F%%rGPRCV&3x9?I$5aJe=^L^$YL^3w!a~q{aHo+=cJk* z{j|12nB(r0jG~fK);WtJ3?@%T2%G*1JbEyc<2T^~QmprAmZZ3{X$ zI*hrIg0IDW)7#;`caIjugiYGLHQZJPYOP(TcDx5E(|D(&ft_7Bm}vN7U+U^gGQlu+ zS6^YMcmY4z+ylt{4SOA}n(#@(#x$;KeF+p&?`N&xPwWyVa{r;{P{ll^Zd?g-dR zpE}*=pj$m=O0(MK@i?W&fZjY+@U}1tnd7Yr;y554bH?F-Jc5MTcWt!LmoTk}+HUJH z$Je{1arm7R(ZXsxM~+FX#eor}7G+mFJcDsf+qIleig@P?jMqlC9QNZUnIDL>X= zI62?Dc*#yU^?prEqj48Pu;^Hg3PwHFODdS0S|8Tq^kVrRu7)ej#wohFbNISdhHv$p zXN|{-G+2->mc_ccG1{Kgi3ag)N1j7G^uxVQF%*Gv3<{_hJ(SZ{D}kFs^A?G+${~SM zEiMTrz#RLiN-YLVcdi?Qc6P8yu3UNT>veU!emr9s-dm(mnQT)Q{9Kmr%E)m1bA#Pz zm6_#A+9;v#*G*U!1s`GxOQ8=hVA2qUZ<^m&&Ar8AGWaAX+W+QHCtr9D4$=Its!cH% zKjdbXLZC62mh2r+UkL9#57SzIa6-9e$-7g%|%Qx=j1vom@g1q z@38sOM#pJa9xXaQuy2467)>#mul|KeT{V6-oeV{X^WSsqp-*&Npz&R%FL<5|@^~JW zOPCP()+4PZs;&KA>0mbQ!@jyZ^f`2WqhF_i6o6B3M+#zAidmv44$+$(ilc;FD;cgt zvRvJ}C!9GovpU=} zaC~;_(VL#jL)sA;`SLSvcLp{xg^>5Tpm7SHd&k0}<^AS}M#@0vh-l^k1Xli5+oS=V zntleZ{G6MRJWRf7?(FnURZ9knfbqcJzHv^ua z*i4g#O(eO(K6Ys3C*5rMz{`1$=AYDe_xR;(Fg8QBe6vl4w|m9gk{B8p^PDd79ca?V)Hdt>luNB z%(~a#5);iUOoGWJ0UFv^_xB!bghJHAmYX%bhwC`Ew+K>Q?kF4YIECp)HRDIQ8!_Zn8sw&|*Z2_XIE+%)0BH!mu~x1z!PP`U2Rq{;rvs8KS#@?+y6| zCH2;Y)PT6id>Ua1>e<53<V>ZQ!ZK!v4+kfYrKL!it4WQAb_{Q$ki z?y;t17ms-{5IXPNZ!p8@e~04?Hmh_@AO(VFVa?r5?TAt8w~R!TEh$#DxFcM zsYaW@2eTFiVK-Yf-y6*X_whtsPQ^%nF4E6EeT1J|a?sfn@lf3yOVL%Y2ebpzi(6`E z-v>$`q~qTR0-mSkL0em1Gt74*eSNlT+8_#=n9Kyxms|unpynXbqSFhD@LD>2hsRA1 z{6iE3q@g0mk1h#BVQg?>mfzMj;pqp7vsWY6VwX( z%@miue#Tbq{84~O`cAjU$;rq%no&DP6sv9=a0(q+T^1 zdU9o^^ft`#=GKtPb^Q~HbZ-ox73n+CeqID>19W)O$QG1;-3S=#ufFY%2Uk^xIKY+0E$(>%7rCCB52vhTG{Ym{+rWE)7nPlCP#v5aI$K7%lJ#)MPCNn%rpCg1k zatqSa1#+bmNTE$2C(v%+Y*CZ`-)6Y-b9F$;v|SdRGMAL`4$7`Qo)`jFK|Bg;?%?xh zxNdPcy-H3%U*06qjzXx-Ts{L?tnPRFQ>@X+wkin${r9;IV*;SaXrOnh6{(Ye%25qL zNTnf^<2m{iO2n_*es`A|N$WPnAnP?f&>GbZ&dlVVo+XG38JK*!4S za}D6Mv4GysYW@;Xwrl-#LoQ2K)zrj;$7=rV=oo=E z(A_QVI%TX>kDoU0s>L$%JX^RCE$e4J?&{5Y_ah8}HZoW)1nk@#ivH?6wnz&(3z|u# zC`$}_Xd~L}eHv2J+mas(gH2zr{{ELlU)}IgOpM8Zc@{GUx!P}3^u$!Glao)2zn-bWDVJ;>> zCx@YFF&Fr{()AMd;%%_IV#R0E-&UykdG74eYvy$MGljSap-EgPG?U{fo~uD_?zIn* z-t0yLLuQ@!pFj}mV%%*MOw0oEv;KV!^i+#t#pOt*I`c1f~oN2S^vf3m9rJ7||3mQAk)6%H|_d z)1{*a!vW*Ut4X{bHOUS`oL}{QfLg|E)Pp`-W0jP{W`NTDWdoGv9JBN{u$suWypZ*( z5o$Q}FG@eF#$}^(q~bISa>T+3MddTDwL-}3VD}z#h-?h3eDtWK9oczDPjh(`Ok47% zWVXTO(0UICs4>>{wqsFIn6s@ut>u&=`#2i)_Bozc>v6Fc!O&CYyw?yHaz28D*15~) ze>!Xht|nOsmi*Rva5nEBUe1pUi3`r(C3YG#JpZuNbaj;8etPOynWr!W19K2(+xp|9 zv9U6z&%Gxem*WR%M(=nIyG%%2S#EYV$0YCYWD?um z>6uZeVHWW;Hn|bVMxLhYx>vhV`}S{IX9lQCVo1_QSk1FYoQQtKwch5?X;l1B?#S4@ zXRP}PyFFW(H&Lpmw=-Et2`*#zu$o6S+A9v?tE;Q-w5x}WB%9D-yW%~x6&ph(B}_Gv z5{1kY3@|zP7z|EryHZFZSc^+#+3? z34fx(>RWEu-M1Rf&j5f*O?Y{FdRrai_35~rRtfjiveoFTI!b*v8V(qkeYiTp32VtM zFs?g8{Cn8vUWuXg_Bh^LkcHTqBd0FbWowbh>boO#UGR=_z|4-!`1$LztDHLKA z0Udx;mE7vj3F2EkERkuWd$~Y!Ppa=BE;lB>3HB7+%%iR7t82a_>XE*1^ z`yddbt6n8@W6MV?wHForvUl;ZW+I<)N2(_t?7cL-@0y(=gDag-xYfG z@8md`m-YZg7W&M$02Ne3Z+d7&jQ{V7h<@oS1U-WhsvcSI1oWf6G(j}PSIP|{GgxYS z<}FY)$R}%fNCKvEb0HA%RM%ffP*#(b3Smnu#K!}jGOh~HOYKsW!PIPQOFSD2tn5F*KwP0=(?5=84R z^J0de!w@0a@@{4I8c`t<+iDBI2RR)s>8O@y<5l))n5G!>By-#TY>VIhDSQG-D^b_m28^j8CuWBY0Y<=>l6yE=+yyln=hbj%J zDzM0Rbvt-iP~sp!3O7}%$C$$H5*rm26`yD`Rg{3C?0U0bi>3S`I{hrVPS0Sm=og+~ zgPwtk#dxlaeFqAAPkX>q+LVIS4h6>7%B_q*F;O<2)V;o4E|8;J*RUyv*rCEAazFzf zc}A;+YH_~p?%Vmy#{(wzM?qo2@DsCk*w`^W$vKTiL+so+W$NJqT-;qM|2W>?`-0-UJ=S?ybO8js;463s71ngVyn(^NnBHhg{NZ~wp3CI1lxhK5=s>ek0AP^T zfI*H~iEf1_!G1)gdYwEnn6n|R#RZ355Sf1?#ZqEM(&0YkV3N)>p{Y!2KS;~p(-ad! zWE+;jMK251A1u6uBw_9B!`CjkTg)IN_fL%#sxbmJ)ZM{v5r44bOx5eMfG^o=ue`f` zsS2Q}g;z?*Fd$Cy+}0w+ zUenVLeonnr7Wqf)dO^a86W-6zC@mWLf`o>nynt+L1ZL z_FzgfpI56H%~lv6gH;csbsH!I;P8>9kBl0Nt@A;Xh{xHO@>?Au!x4W(7EFpamNRdF ztfltLTn`@SeuKR=lKY^}KfS%~HCfug9j1q^zhmon-0@+4csJSU-!I}^R5viZ9^ zDEMqIZW8ijlAJwDf%gBkLa81aSdnyjb;8*XC-}xku~|cJBYZWHs6)TDy#Efnnz1aNG@wFno>hOH zUg_p=!v2t1YhChb&hvg$jHvf98V|9a_tpAQG<55o7=T(3O#yfe>12*kSHzNEf&wJ8 zU}Ut3Dk3FCCx3pWWwW!~t$f&0_~=*BsA($_nB7_m1>aO^wKe@wM#2e8CfkX&&)r#t zQE!xFSiZ#^AcqnX<)#i<<5@w^M*Ulj~sHJ|uW%QaL=4A`eftg!q+ocbgU z6;%XO?oai;-Y&~4h~ooPY3Wi&VN&XJA}BA}K6oI3MGSaH2Gvhn=!V@-)~lGQ;CN`; zT5Ngq7$RW;=y!8^AD&s05#Y~X)ZWbLh#4y$pk>}qhX*3tc^V6=<(rWV*xfdr9j93Z z@(wel8+z3@`+R;|q%l}ijve={Z^5{6tyIccTp~ZX#_^^zAw1muq+)`1b+fMlyDRg0IwZ;cGcoE~8- z#2y!4X|;_l4-rj_dd+;}-YkUdLP!%EounlASg+-p!Qkje|X*%vJqc6aXhXk?$6JYfq5_wu-Unc%dhN2D)mFe~A_VL}tGM4;{ z+PD9n*KBMrFw!8N6K`wO?rv@I{y-MmP}Ru>P<6wcJX^0Oao^tiBI&51c|zR*=~ z3Jrah@Gs_azM=_{*vGjVPrH8&RFJo@xBl+H_?t*DT_}fUi!^|ep|19PQv*ky(N6xt zv7c}!hr8*+Xu)eRD<$9p<0O+KG`Y_O6bu76oF%Xyjx)s^V>P@D*vgyVObHDi8V4R29qJFNa&!9%g#I0~ za&%jKT5x86XC||jsFWnhiu30E_|aLc|M7e++n0H~066Tqw;wSIg3hnfxmY6^-IN6P zOPh{^0kKpe^!zQjDfj{ABO-tg6+9rJ%noy4vCT$y+AZ_34IcY|SCMZ2!6xMu)t3h= z6Kh#)s{q^)dO&9t7vH(wbxql8)XvRG&$r8n#yp|9k8GS!q~cpp)C9Bz|-!4T96hU~3*t z=+9J-gM7zKWLuSot__CW+<&&`{f-9bOdSbv3+fy?IDivudMn=$B( zB9GZoWRy@~WKgfOC4mXBlTw0#;wQkDFJ;oRvxPw~W=vwYsqy*%^&!Ow-GpU%j)doT zQ6&RF-*n0K2glCy%D#T5pwI}g2Yr~ymPpeyFSZrN>M9rKW;LxUga|_KOZ^1EH7}ls zhGW=@Lkn6Nz?@aTAvMr>8agoQiWuAmM8q72&7sVLq!~BJ-HrM1t&YoLr|uNT`+7Z0 z1l@Qge|yk2HB}f1mCqnI3^a~qAh;r04g4$>Z;F!p6b~l;-O8n+65&2FU*Blhy{9gU z?B@+w81ggeCIthpmShU%(ch@aE0dOj+yU76M!xfKeu5D)zs0%S`(~{9HBh6_iS! zZyNn*A2`Fyop*K)oYueqZ}6GpatiJ?%)-cfmCH1D51~fqeNT4pMuZnewWM_HZh17&~@BsmWks019qJYv7bQ9Ua}< zuB@)i7UdT`z+Dbk%j^O_aJT1cOOt)>ue!SOCMJFaR22;J0)7|4*m5bvceBTGbv|!n z44|=S>pQ6Qo&y|rQN77SqR9(j#BnYi7O>?!oY%IpE*&RCMHyJxOMgQx?zU;U>QayH z6H3@yyg6A;0f^z7k}PMZy1zM}%BA16eaDX<=v05=IPZmg!>A}CsQd){;EJB$m>3)5 z*)VKEG}}sH0z^baG6^XZrkQqV7nTn)EP7dnI6CUSa^9Vei`?-N9kf37)_w>0inHpZ zQx&oq5M;D+K2JP;M$$UlyttU-5w* z%8NGwLwq-uP<6)ufgty6r9_E)H!L#`i`t{FO^}c{A=9 z<}Zg*xWn93E{rB$&>ZA(fDB4D@LtC9E2D{pn45e%?3;*EqOSVU@xRkxj+WCYq$&7@jQ zW>*+KSk+|M{S|CEdZG_VyzldsZ|R^RWIF%;DOkVymD{K*6i;8y19<92AS%}VAah60 z1M*@CZ}f#`j`8sDj)8ph@N~NJwVgOMNi(wrm4p&N+QyZca6`{C>p{p!2g>9nVTtfJDlL$`c9bb`)L)PW-J0}Nosg-hjn%qwM0x%Mb<*XW7&!)82kNX*Gog6Ia z*tsW$OY9Ysa3}n)jR!NsHrJx%*w~mL>~9+CB`(@NK0cn(vI&|~KibYq6WuidmK8gz z=+{?fMUT%sdV>syk~!@cou*X^u2%wyp5wT`pU#+Sb{7yT?!G$N?5-kI(VOUirEye* zSB5N)Hhlgtw=T*H`kHSXAjqf3d!rZWh+qnKS^9=L{Y|PxX$0gtNymzz6?n3*+yq|7 zTJ!~161ewV01(Cw`@19Hve1ILdR9#*da^`^d(Y4AxcWIO`7-Zz zH2G4?62;F72Q^o_!`X#IQ;?~ucKM7&UUBcJT#QfT)_^pI@N+tK`E5bpijP2!u?sko zcda8^LVr|!?{m3=u<9L!ECt=8Sl@;;X|k08hr?k9=w}ypcKS^-E#qRy+1MfJH89S> z9Dl3tb&?=Fp4HOc!ef#h{SJ_T192R11H)U#VIUv99t{Vk7+0oU!MhO&RF!-1Ci9Lp zKds#D*eOM)oC`fml;izfeBiHnH=qmu6yhPY^HSrEux8iz)o6*s#AA#d{lMVB25%*c zGe$58X~)>VmvJr$@s)8xu~^rQytY{Y{DkgsP=0!FXK4~ZqELkKMJ}Nm3<|ka%e~Pu zcZe5P-xe_KtDIVjPqToD#CLyb=mz#+(T58bt2xQ3Hirtqixl9#|KVXns=aKe)2jN4 zCf2E7@WUC`RU`k>4U>5b2-cb37Nvl|2oh5M7gJe(X9h3^mSoozB2WfD5pp=Osn*#! z#Os?4(rvmw8xI=#C!5=JQ#Jkwh|`}N z*ir$aKGKeiu{f%5%C}&3@)6|49j`K5BhLG90oikWbCUd(z{9~{yYgWAvV18^QQwCK z{av%PA}?B{%c1T#&rU)rU<1R5v{m*#-Hwlqkw2e@+nKL0Mw zE3v$|cj^RB*D*#kh(TOj4t1ZH()h>yt4m~eBPvX{;=NUuxt@0u6Z$J_im*lP^?Pc zgYKa9!MmS7&BS+*$3K(LLAlj*!YkPoRcFDFz#p~MA!Wt}Yp~-hTYc$SH1kC@O(`4u zp@oRnuJye~IxI4gR+-p=k567wOVxUr?+}Q|Y)vW&+uN8?dd*GPs<&&Ok!@PXm@V(I ztId$*930Hcr#59Lh`H}-vy<`q;+vm+L?C=acwXk7v9DdaTFNq-gN-3?wh-h26VUwJFv0=Ll~wH0hwBe>zb?&YvC3 zVDRqY<(G4k(r7f6uH~CF3;AhX^U5wDN~|`VBTv5AeLOPSWptLvten=Mt6OKAal0+& z!|ZoE_-d5RxDiJl!uLYeOZB^dB<#%{rYvNGVvM)xUlxLOKQA)NZd(bn35d{o7Rqd! zE4{0GH+a%~u|!KvP0i#~TyW!I?(h-$`!o2T!t)C_yN7Iz2TH21ZXYTy2u4(Krf=|< zvdBa{l@;DN!exOCUBTE)k_zq!3c}^`dmo-%g_Og|2mATKUS|afpwnT)%LsfC6~Q1S z#?0n}&(Gk!EIo|3AdGVu{>I`kRDW@x5fWo>dcJpMGUR4@ID0eiz1F_T4jl8B?AFOu zjNKM@4X+>l&hm{vPB2Z4WN?NNnqe~RqQYpW2sxy|^DNgy(|`HQ;D#qLDfH$^MCq>s z+H*#|7Ei?od|}H5II}wZ*%q(H37!#J-MkN(y_!t0Yv{r&-tEpE4j%%IP^qxl*bCt^T|`Mn_P+4!9;6}bP555xx2zP#cO0u2_0317w9yt96j z-yf(JDEG$Bnf8WtUbZn7DqS+`O1wvFCwz;}(7+lw%re@pGF*!E$ZC)}%3^hq$RqX0 z5`wPay7I*opXsYORTUnBu>s?_%9G&wUwQ|hUr^qzb|Joj4Hcjv9f67tEuGHqgx-8X zwln?ki+i!AMZeF~2kA!2GL0Vt$t1H;dhIcE0F1=k!2%?HoxLA3nT-r8F9|g*U3w#A z_y7S^`{bK2A5Anz&rCo=IcI}AMMzlbPF6e1@zrJ;w>RdjdXDf5zaqkN7~=TyQR(im z^(hRli^Jt*lJzzpQ~aqe)QSN|5#ncl?W61upLZ^aseb5s-FN7_>#w8E+5L3Yv|P-h zXUsHFkrFRGTSU3}a2m)jej#`Mm6+nyLN+XGsp{CY;(?omL;8<6Y56US#_T5{2I@)) z>FCSd#IJ?v^7CKW`F^!w`a#hVs8piOneX*QlBb9sUqq#ZI%!m{q>;)+#M}^vYx_5# z@$7S~CjPufKd|~lRxqjkZtuGZJqFyI9X%uK$b=X8FUc`k7nJ;?(=Db;M2~#S0D0kf z9ReiBoI=Yc+_uF>J^|Jtqz5z>L1Wz0qD^6lPq7>-^!n-IJ{Ql#D4$yd4Y`f9=HUqH z7c*&nc;~!Rxr^ZSr9GK(2QHv(W3dHSVb}b6l12L0&D3-tWHofc{+AI>Z+de_VZtYB zYU7VoPhVREX=c(`Nz~71OBQ;+d97(P!H4d@gq!kca&ONvP#di)i>5xcVCCy;{ud3v zhpL4gEUsOWBoq6Z4cNzZ6yt7HIYx3wxV`oOX+r-Kq-~HNUQvc^hAupT1`~%g z8V(wELv{Kn=AL4GpCTT7!EE&9u-_4nc)r?VQ~3jx#@Mp)rvdGSK#ccrnIVs`eu-Gv zxbkOK6BVJ55&QchE9e8g5$OjD`+}{1=+?JGvW7L*BQGngAAlZ?f-Ll_Ob^CmZXE*K zjG#wB!8o)#!5kLJMKXB!Yn$y#*xv}?1W8VPfJ6oD^QQ|$JkfPc49Zk5;osj{p9B8| zsU}s+e{iwFSgKVCj!!o>9X*)D7aGq0#B@ZTDlTtK0+^4BU&|uw@@f#8$akHFLpCMv zzDuXwp0iaCW(oPNyq{la1ofG(N-_^ZRNT(TiW%qqM;Gi$51&VN)w#5}rhNWX*iGc4 zZ3=N%UNK!#XJS?n5`|m&b(kajIDg=Hz2E7x;%ZkI!9%NThs!MpMLK=v`rh3D_e~Qk zwM0^@N_UfOCv8jOlIC3wDo&q*VrM#LcuV@f~ zzYi!P8?SF0XD13Qg3b;n@}sd#_ch>nMm6MU>gl|Z@N z_+o=tCsq!Jev&{c`_A%-C(2_4)GExRkC!ign5576ZGS!_+ghr3Fr{tiK82s6YR|xu zTQeObO*18(t=OCnw>~n2){Mg43wy1`uuaYj9`WGzWg1V~L@F)|`v>*sWNm-y#5IYp z&D+ZadwY9W4W4^cKgjQvn}cylR8-;K2ul4wwSwq7k_-X52V(28etk3NXIee%_^!pgUiF5MIm$qCNC=vVN zgGY@Qgw~LcVSNgL{S}^!KPR?Xs@^Y#pI-BykBmm=dG&`B`1a)>kM--UIW&BfI%H>4 zJYR`c(1~JdK1NsQe@VW5Uf8b?B$UvymfFYpE+yCdU0^tZVYrY61=VS_v7)~SQ&B;A zv|_Yq`nb!o*X9hlH&RJ+tluH?ni~Ic51Dd{r`xbfA?7GGEbqUw0N8WEfz`%YJ$+|b zHhX7YMbWzqeL75Dp(<{u(!-3j!{>{ImI?ywUKe|_?_5nuO#Azn-hJh9m6c{4ZF0qh z`W7T_Cdi}0nGcvbME6rH$d*Ju`3G^%md3m)r_JA*#!NXZ^OnQ+Yft;SF#<2=jsJXz zr<5EnQ&v8n-+ya_$U^@9SshNhjhI|&hJnw5IHzg*bZ7RHWz;(qm1g2riAV`@sZ%km z5J5$R_)!*S<|uP3QezQ|IFy3$IfTp)i@82(Nb;Q`i%B*u+sXx>zn6|1p}4?RmS|S@ z<-d;q(y43eUCUIgS)F;b+N}gsjcSoT4l7#|D~!8Y#7}YLp(9WBTOZ5pwgAhB5^`Ty z+QKEp+WLJ;{JPCeyV4|VnOrI?4(VG2=8u#YFrviy81G>}eXt@r6stP-uhxfCMt`3v zgj40cP1~?`cH>oC5_yX_qbu$QU!OAgr2Q;T*FC7D<=T9Cyl3W{c%A5p#|m`?s~<6y zHlWd1aKA(d6U^5>A>Ja){sLL$VNOP%#MvUVye6X#K^7#reTK*-s2HR54(2g7ygbU2 zHf};B(j$?#3&AyC{2CpXt#WU_9o0d4SR-Fp2d9tNe8-q2ZZta85t+o@y+PA>iTK{* z4L}fw@C#~NZx@oby%`N;7t4uzMki;7o4lt&ZrDPn&Ag{}1AWI1o75db;V>VNNO=<; z!ZOt_^Tu_3?wmH0Vrr6`ShS^CLgRybdUQ1DvLtBuZOpVwtz z{7}<^AfH40l>Q5=!zZ3&%JVHq(D${Jwbp0N|RQEnW-X4`rZ8saI*QwuX4722p(DYz4z8%mt;z zoFev4ce+O*foWL7ZNMT4;~ze9nB^%IWseD9f(?BHu4gt6EY&T{uMA@RFUb06zL15k zTCE5RCeFB?uLeFrb!D<#H&RB!q{!#cOnAw1V`jU@?sO?7HXI0?`|mmmUZ0?A`CSPR z6A1&?Ph`I-|HsDVce+_i&(TI4J{mBr`qLjR*%b}Hp4a-GV38M*#+t6yJJ-^Bk@bW_ zlz0*y(LWts*uK=cP<9jNZL~+@!xnX7g$XUCcbEHJMG3@EIcmh1cnZSAq=V z9dA6T+nA)rmO5)8I*OS)$qK(r)zz+dHts{s_$MkeJZX6^uHoHe2F#F z;AA0m+XIiQoz5%v?Fnhu2@nTdZt)wgwhDG5d-c>v02o8F=ogKQ#IM$NQ8)T{|LX3TeU5RZAEvwrS@-tWRZK!;*5?yXPHG>>mHZn4o(RgT64^?BNpFGgwSg z?gnCg%B|ja@3E0>pC_O_(Jlzq1h7NoI`LkIO~45#hqAW$%f$G29lVgWUkmD_zX?UH z6PS&wh|lfkCi0cKk(A@vtP=AwidpSS1S#V041bj&h-(Y}uG=rLi^pnMb%V$FSh7Mi z&Kt=hxv@t+_ZTktba$HZjlIxT2EM@I#j7I_&BOTe99G)5?FNG$P90yA_zDB~5gG4_ z03X&v#B~622G{qPGMusUAO^Rrm+C>1-eo#^Aip9|gOdGGyvuT-uDtZh2o?rRCW?Zz zptIlG`=fB555trdtd>~Vxn!IqZ2hztf^qS3H|Q^3L4@SLnAKV@?kK-@Bzq96U|*t- zp;3vNLLa^bQFs}EWOz+Owd}^gm6XBlHmAK{0Wn8UO=_(jC`9^-jzlv5o}-C zM!-;m(bs=U$8ZyuX}g8=N_#+x2Q^$IDH8u8emBfBy94XR#651Fl+{PVHQ`hVd^^Kw zfP74{&c!QXpTNTMpnn_$gIOv%Irm?T+fHI*VshRxOlcTxLR(5XjunOMk16>AWoj_N zQ~SzZsI>is=F>q|#9{QPj$7>S1I3RK{ScnL_}L$;s)2>60TtE0ZJ7X}|7&>H2A@Z< z!rF=STUAHzyB`T~ym=q!DLk+BBX}-lNEO}K>KMr)Xb^>ahoo?%-3USj;t_uyzzYXl zetws1)xdrpSJwLDoFyVUdi6&on2PanPC>RixD*9X+q!#5s?`eQrngXx0POQ(24fI- z_*#n7dGBW~Er0oRtoOre$Pj(7U1lBnfi5P-c07kEg*dHI4~_KJOU8~*gI4btW2r@b zS0DX&5im;j%ulH3WXxV9ns6mUkL3!xss1%4Xy9PPVK*@@W?Fc zEeiyGT^A3RsQF6%)Ku}IuuritaWgu9JlgB>^;y~#fDn;9-Z@JC1A8XB>hU^Tg6N#oY6e5b&K-bV5lqnTS?s$VxV zFC8UTG5UEOHl$wyU3$~OdZz{Cjx>gv-AU&s80Ca3ejYfVXWkA~W7v?n-%AhRRoAg- z`LNg<_IlHg_DbG1BDKce?|l!I2KSoDXI|{x@+{V~0v;(aR|xJk+O&=UndTO}%^d8} z5PYNAuerOLAAzJ72~#=S-@9^z61b708FU&Z^5Y{QPJ1(vKva|N49O!5%Gx3g#ll*X zg-{9L2u{Y(syQ>{%P4b4Gc6eRAeS|O!IUWpbDOy;>KI17RDjq;%b${JGu(rH$+C|w zKxRM;csjXn;_-({0E|_oMb!GXwo;8x{N_jCCdhn2Nvp%mH4032G?WY)BSSqCLs;AnkHM^cCowWedJU&GvN#-A5^ae;vPiWuF? z8-yoh<@rueB!fp#(l4ryc;W$ZAq{+lz$a`W^>5pePtgmpWdVTVyyF!)edv&sk_Ksz7NonoTR>7k>23t11e8XkLAsIL=XmdL z_kDN&0WTN6^UTbr=C*CWVZrzOF#N&~7`ar`!mqXveDRU!zE|pBvg6Y~#2mDaCg<_` zw(~l#Xz*&$P2oz=p)tQ1!-uXm4&f0oRUEQKcIb58q;RgyQAcm86b8O7fJh{K0gNA! z2pCQa9I85RGD!RoCDzNQZbvW?$iZ~-jbzP@91U`eT`_fwCvlxIGwyY_2c94WvEXRm z%^!2x89ZPpaO-e{0ds6>1;Hcn$$Py{aM^DTw~QTswq=Mrg#FrqV*6p5_*t5pUuCc* ze}*kJ#Em>9gPW(5_#V4Qjw{Q+&gc{CXZvt}r()L)zNJk(%E()JUjhWEd+dym_@Mt= z#4E!!Ge&>hgBg5Bf46W9_h@R7dDf2b9>$1iOoUf`=|J+Gil+}R*{cKJ5B95XKil6? z(FcGMoVq#eJoHpr#9%1ReSDV2k;>A8aRax6pY_>lg8n_5@5OV&COakRy~Wi#*M4Gc zfpwH-d67?BsJPTcz}z|Q>1RT9bT`NcP?Q9$i9bJLo*YlkO=r_LxA<1i>Y3ji9^XW7 zu{Tze++Z2NUaLs}r&%Ab_UDFZDEAW#WiuS~q7`8vD5<8i2@mZWBaO+xIrY=ZNb$6~ zGO?NeU5z>^pkPvdZ~*LC2|4Y~;kWlvJ)*SJoxqazkwbb~CI}(?;qXUAnnp5V2 zn5XOTcFP?(qIYK+B}Z_3Pq-cs2#J`gV-5#oiG^haP6v0&bUv?EZ?Sk_JqowRyFprx z(Uv;U2fy9=TwGmKEV5SOd-N;2_=mvSxGSXU&6&+1wiLy?o`0tE``uxrmeD zd8&HTLV_oEhp~0Ti2EJ7&~Qj9Qe*Wvpon|>3wRmv2dJn!x>qP(d^cZZ-naejh%)E+ zWF%0SdsgnM7>SH#6>56v(UjGdgU~U0WWbcf!0FP+K+l53M*T8bjQib%9V+1urpT0w zM3R?(K^bC1HNOlO`b3jmkGUJO4DSoz-Wv0CH=e21t^J~5Vc;zGyo9AHiZmID{fFRF zR@eLd1!&XN=nno++zu7-qRSfTxkpvZTZ#!mULMO9e4?+Sh-y&=^2D8~+e)%4mvwY3 zlpT<)T)ks6B5q46EP6b*z#eVk zs|G2=VRlyOOWeF+ID)1GLX+>E*NR2{UJEp|bp(|?aL?ouy|JJS9CIek7Bb1ToI+47 zy;^TS^Wgp>XJ*^xH>Y{pBdWeQZf`S{C)I+nl!B6S-v`LsI4$pl=qxVaVmTnFJ;&Pl z;_&#lI70(qT-gRN<}p@im(Y0Zs`ja-rXRP(Ynvbo`F+*n;ba@r%H=H9*Ft)!Z0|jb=O<_-xT;C%=R_H|u#^ z!|FsA)dT;jOo=a{(qx#q#_Qas1#p8RQ8Yztf^-Oxc;eWS{#VnDjz;7lt>fiqB*Lxk zYAmfl$q`gBLb>x_e&LR=bxaQK&4KhjaLmQFi@NX4y0qX>@Q-}{vF7vWpEoZxPaQ&X zSOdsej40>uPtkW(imGUSI2U_KFGLe^zSiaqHl~bpRFwWPjj_|oL^A=XE=7#FCIqQ_ zCen}jMegl+XVkq1m|aVOSW6ExD398&+I6$Pv6NHomlUqZI+5Wr<>N)E4rTL?!@e^VJFNo)FBw zK^$4nY?yKHqgxL?hfBa z-1Wwz@%*C?rQKU_6lBLQBL+!SDdFW+fzI$zgyK~zmNk+bu|)oJ<1RSX2mIS2if0b4 z9m_|fnWY)@KD|G?#7Qx{2qbCEr_xF|$kHT-EZS8g84v*vit&Lh9RcBX0fvvq5) zHJW!;KU-*6xA?3uld7MVYDV8LA3m0U?0W{qam#230D~ik2$QbRs`uhd5rP&A=U7>? z!BhgF;Mtdwchu$!0%|68 z594n{pY^VO1{oxl0&(k7EXj^${PCv-J0i7@bqiIpJTps=MBP=|$;x;eKB$Na=jPyw z-F}N0IAy~^%c8nRAnOQPe4IM5fEz&T%=62m>#j}zbXbwL(2vL|&IJG8Cy>z6R^`H0 zn#-M0>sGJe3025)Mm{%2YnPA}1MJzub!bh`G@v)amZU%n32^lB2^Si>k}!duwO$LN zP8j7hhRTUbtJ0K18Evjgq0+UQb_KX7Of1O@ZfgGJX+%hp%Wv0Wz5}`__{XT4h$ArS zt9)>OH6KU*l+8r-9dHtuL0TC1q;N+rfr+QzscOgYYheu?!UdrgnM9WeC)#6>sGKhK zjJPBQr`yzy2JZ}0whM+^>qO}X5fZ@91BfY67^&H72#M*}>Z1UBi{VtQ)Hq{P$hIfr zlU1da_F@JjUAk^KwRki+IVPp_U&6DPS{LH#0zepVB#-QhxUFo~bpkLZfAAdMgVC?x z$l4f59W?+Zu~XrFj8-U(O(IV#H{f9-RBz6}LX@D@t%9ISM&RkTCl5LAL9GQFc<_Mu zrR2*W#nIYu@Z_}bY=QHP@VOxn2OA-(8%y>4^yj!ZpD~&eK5UbExWDYQ{+i6H33jZR z`q?JF-o?fx_bkhec1=d+=>0?NXN$iwjkES7z6v3Q6evU-q5E@-f1j>0Py|FfGlXZ$ zLC)XslQH~{YNH3rzvG|p?1@I?x|d1tr6CAd$oX0W3y4^=98$i1v)YHgr9_Vo*C)oZ z2VcWUbnwR3w;YbX6v<0Gk4>vwz#Q+hqb*Urz?)xicVJ{c0}Hc&uf#SEO+JOZbj?jw z!Fm+2h}o?$0BN9v0i>)2;r=}L9uUr;J>`-BGy*>qBED_KO7mv$HuI|-LLIE03730 zW8NCvdM?K7{ipu+%!nHgLHm)58e+M<4gV?8ZXBv1H%8K{qxfjxvHvV z%-tXnxIDE20meQ(5s>EsgPd8_Bj1j^Nrf3dOS+T`1PO>CYW;aPH?mzZw>XzpudF7- z8j2y@67N|dx;PAY97vHQT=x~7&`?)lz4@$(&^yLGE*_Ue0!93}olmmIOGya{D`gl5 zCMLTvWKX`-=b`t!d)9qbCF9fyrVH_Bi-(J%ONv*jdWMfE*?}NTuxsGIR z{9($ER;#hCxdtsvO;I#eq(hPzo~&k0^*SvD6{# z3QDGN866NEE4cav!C(1$0z5I>v4mba5~(o#y{_u>O2E$KewNV@>nMY*XO+6`816%h z`%p&e=|}K+EH8zgZL5vBHHO4ZzsEGjvwPB)v!cS6V6b-C{s{y$4Oj*=J#P$cHF!e^ z3j_K3_|e9xIBjH3K$iGMJCm6U!!sI>4BquW$C!%li%Bbg(J)tW`%!C#8HKvk*~5mP z5xnC%$!6N>-PMfmPn@!AAD%Ab=#Qj+B|)G(XQx<^^cd-Z20GLW`~k9D^On+ zppX#rGLD63&+X!<&*%zA4@O4DquUQp8el8PNau*3;~_ty--a#kDwCFM{1d2%%uIv( zE!&j9@9+nnEi0&WOfx@fTb(j=ABZN_@i@0hBbsOEcwO<4Vv9&pJk7KDb$Y=Nvx6tQ zjc3|7O-s05nf2Ts(_qh*9+lY_QMA1KrukU*mq2;4==F9XIxZ^#!!IR#WT(L#=Q~5g z2w{G{AOJ9tu7r(&xREy4?XtrntXemJUw-gf1@kaZ0==wnqnG zL@xI}r=!nQCO&hM6B6Fzx=lPo9Du)-kH}}g^yIfc4L$xiIL6A>m#RMoqLdVA+1mlZ z`6{BvVhgK0uTtEU>&||J)%Ns{{PkEn)1q{*p;EFP91XeLngnO{B=qoOI(uh?OgsbO zE1IlvE)(+gz2(kjI$8izKQo|{xJAMs|Bd(C>yHdK8A4lvw|c#eR?5CW1y|xGucqH_S$CeCZ(5!_qa^_B9^Bb!3x6s zg@y;`7<+sbOrehr;!#8VjAs~aYNT&8r-A-asN_vt)_uGgFA3raI22E%4j&(xKQy0a za=m58=*-+2!?jx|>43Oe{Y&ahBUs$^{+LT7t)TeV7v$al#Omeu>hlG3eRif!l^nLZmbTcdGD+xNT3$?rQS>|3wW zZFW7V-fw9ibStN`I#}6+jIM2xo_b_cy-d)a8AOPAN@;1xN-D0%>@P z<_~@4bG`7d=ihI*<(fP%Jb9iiP$N@fb#5qArbO@E=AAHwQp8k>QLQoe)1HGK0Vk*H zRe}p)ANt#pD|G+3O6lZVxRcJgT1&z~?p_08f*@(ozs2Ks6MB{~PKRb^9+U=lfxjaQ zQdUbn`0y5*Asm{6esuUq&b|CG$UJ@H%oVle${DR~0(l$c0qi`3q3_~BXBA%N z5kh()@tgenEBdVLH8_D4!%g-`45XGJ@#k;^$9@kt%Tqu%DFHt7`qg*w6U!BGmEx=U zTSRl-v$#a}yC4_nvkxAl|1N|ge2=niVP%+{+t}ZkKYgpcC;LOVuHG+ZI!qAnA$wOvU}(&TpPB?p9!}BzEq}u%hS+WJ zE)`}zwY?T8AA74tpv-oq5d%kDgU7^z<%#yiI3$nf67O!U_cA5;PUL}fGta3u7H z918p!#rw7Ru@{+qL+1i-eg;33Ak7vWeb7fFLyP=5ytxrRI2o^ln<%L<-pEqj6&iz* z{YxYFnIpBx#jM%)I%|zEb_t>^GhQZXw;B6*{i47at`jwQ%Rc(9M}uF#s)yWV-_wu8 z4+jNevbp%23^C)dmQt7?+r1>pD>Z0sa9mnLHs<(7yhV-lei2O9U04We^eoYprO@|E zE%g$*HU_8Oyt2x&u54Raqj$VnKd)T5sKngnvHTwut&b*!{oK|Hs)^i8O1yid z_hRy{aPhdshH)o0)MDqh=VX_p((>><;n~UWr8?)+@q(&=`!S@D?rsjY@08W(9Xt4G z5qD_S2`{p63HXytVYjXh{OO>h9a?~rBr<`HRs~`L7^ZPe5FgsF@k556d`npPT z)aqqU;$9Q;u<$c2Q|~v$bJZUnFlvZf6ES=_d^CfoGQeaZMm72#GxwHX$JLWRb2|C_ zKU#oY7)JlbFb;EPbAC&AK*AzW-u7~;3%OM*6xzZ?=_UK18HDjV({*3kYsivy%Pk@> zwnhGUDA0d9D1d8z!(E*ypFGKQekWdESPf4Q%M$5h1@FN-I=H~+@tR6GLO<#$(6ICy z>{w9?y2yeR7+p3;cw|&aH5AOB_k0U5Q%?Pk505}4bl=#-<*Ik^g0K3S>)F&MJ5dp{JX59b_ zK|2Wb$thjQK$Op65nmeI41qurDmmyUG35<5tliKtcoImOOSJ>T(rC&Ympk}?p`J~> zul-H@w(gYj_lAuUqM;aj(r`sJHG%-khFccEy3mgMzqkNOn zfriK*QJ}qegYz|wtNLuj$+8v=Jlwj^b;)5H98CaNA%&L*n({CTQdrb_Sl9jiio=Hk zzX^v6&KmXH6J%}e0qy)4)fT|A4NBBvtVuRxw!fr8dc@5w=RZw2@74gd#=wK?!`&t3 znwV05(RMZ}#X1fBUQ+iLl0T(*GeNJYHK3%k!toA}uZm^B&v(iWG*H@JS?%5^Wrjzx zG;E4luDkUnL>!_`s}PDp4!;8CI9FjZ?2rd2x?I!RnkQ@!i4Byt3csJKqI8W zW=3^@3{XBue>UxpUg8?sj&F@)DPA@Y;C!~k{Qz3O60lEyAXMD_QGkbwdH1*UhZ1)= z^<>NOi3(T{ZV4Q)rI{r5SoQfNHs^@{a4@N4Z}(+x^JNI60CSX}H!`ih^7k%yc;5hM zh?x?Ry5j4_q@A2BHXc!dioKah&&Rip(J?^TcVzoV>S@!2wUjEFoNdgUBt^{&q%h8L zbE<$+LZaCAGOVSkBkx^-Ql&S`1tNUzKSWdL5)Kxc)J^mRf33>xT4@NXNmj-fTV(C3 zrEpvuAJyJ-i{WWG9W59UZOE1|oQ=ei zOZgpHu*HhSj8eW#M}{LoYQm>h|D*G6-w~;X(BW@SoQ1-5-@zc@+A_r9dm6x443-4o z%TXhxr+<4ejOYlv8>daBl~QGyoN~?Qs(Yl>;&*#Iumh4|^MOtDnEqiwYJIKDu)Re? z`5=_Sfjjk8j+`K&D2N?x5QH#*$W@i_ZlGFGxUw9cp~dd#k2UPaZ$rCcFE@WxM-wQi zb{r0J0-_w6H&R_(Rn}z#aWN%+8$yr^ER~tnC@>;#*;;%R5?+n?%oup!+XaIaf9)nq zKc9sbQ$_s6H z6ec8VYir8@uv`bSWbb&#X?{NLJyMInkSx9S=y|T)GL8T? zMgRw+K><2g>{eUOXk}dJ?CQJXEz{_{mRops3)=L?zyoQ@uB^^|^z$>B>P*d=H^p`7 zC296?>~jSp^pPYZMIG8H?-fa!f zf5l-;Qz~Hx1bho2`2g1nvH{2u)NHWREi|8sa6M-e59SFcqv0`y(zb*jVF^?77w1`1 z^f$cXdZoPC64s0}G57gc80`*ZHM}_88W)p&Zu;@fVYwdn3z>0{ITt-&XT7%mrSDni zr9ZC|TezPeu!$JB$g94^_a$5;YkB%BuyuU5s#WE6S(Q&B)up-DRKtscsEmAIiW{u3Xxg*L7%OFR*S&@ply8v{E0Cb!av}r?2!p>fO7mF$ zNSr&*Rf{$cL~&1`|Ku;D88!ufxifKp^y7m1@iv-?dEDtxd~j$p0(~?GbK>$-a|VH8 zV)N`-4RZpE7!V+o&=(DbMv+2fVT_9wd*V^P_MejzVzYKTg;{ryM*D_M2xa2-8fuR4 zdNLpz@7;#m@p?&(G2WKAJB}DqNV*x|sgY`A8jG-=^$1pPy$H=$Np32#-Ccm;lt29* z?#_T+OFaMq*}PGyHE-tTk=9$aAp!_vL+19OdJP!be?`^T%a(Db?RRS~n;6o7-qi*; z6O~UDqc1<3N`nCUu#u8 z^bgD4U8<0xJY>vA0?>N?OzrMD@UIE?DgRTKVB83x#hV2V0+$)A6E6Kq0344kH-H`F z3D0{t^S}C+X%`lSFQ7|v#egun=Bt81cbkaN`hw45)IrE~B$tE!9eK;lW2w6;Him_qh6nHL>+xF>6RM%7l`nr>{4F{L3jD?TD1d$fKFtF?zk4;((P9l zJaee+!D90kJ36#v(CCrl9^Rw(~`ghKK0K89y(jS@qlf``dILJ^eTb5MTzN1{nJ1gD_ylG{x~ z2gSnzd^8YQe{{Tp`hfqG?qG~R1`i#hmp5sfrALKGVm7I3% zFZ^Vu(CBlvMrh*P^Fa4ox=6eBwK8*hsr&xC#B+t0TStnjZ-L*Ixd|hJ8Yro6PV5l&1qI#z>k3v-{B-Cco1M`|jLpSMJEU3a zb;51{#+60GCGI8I#TC7yz^+l7xCZvib7E2(sm9W;>jH2pO^r_c9)~}bd&6*<@e)xc zKp?mj$c%M8sKKv0xDfeJAqyLxy}^zmihtY_^X%vL>>8~?f;GMH0H4HrD^V>1{t0sB z!zAs3Amzztx9zB`{do_!mNSgK%e)}hhgF@jS~B@)s||F;@1<%C_;p`97mk#}$C7qV zqofyLWaatXQ451A$8~wjoZ)9xmKsd@@jztE^2m+*ze5nf2mE;vfH|QM1dFi6C6U27 z`-5;>f`hta?0)5FxEsY;BYIIrFA?zTlLnD(#W2(h1J0yf#fe1iSn>G{=rYXl!B^Jc z&*~;8vzVzYtf6fw#>3j;^5siL?5I341wh0ow#5(z%amx}BDwlQLi-oQ774ofY( zz@4+zl z@q2suPOtquUyVHw?`5O68aZ&hXt7&YsUCF{mTdoc+G;%%>XXb)b_b^8K5JnQob{PN zJKM!3C-jImC7aMz{lzu#Ka&E=)B?=$EV8q&l{#_w`46&P&$#UiXKQ@SXI`_-i0ruy zR?R@gCYD4_isl>aZB5Hv%#9E58Ds<=Rw=w6(URJMFw%=fklN*ZaEA9JwRFWNc#G$9 z=>(h%$bJ<7rkp06UIRKgiYOx1f=d0GwOqTyMa_ug?f|pS)C;rAUGb#PkMT0Wmi$nP z!a!Gvh5^50rdVUW9!V1vh{3 z4YHQTl|S

+ Description • + How To Use • + Download • + Credits • + License + Find us on +

xXXN%qSFLK@EM7-u$7r9dlfI8uPjxcZlu-y3S(*rC>C^5xruVtkv~ zluhh25+x@A6^it|H2vM^gVN?oC_<5D;7&H0Etn+E-W~H7>e5H!h7aU0KVLub-Cp@p zND7~Y#?A9y#5E}({|%6ueJ_;7)dLph$9VjA^z{06XWJ8eAmecd8)LZGRYP@#nO>v+ z6vPiP-k!S9v18i|Qne?N7Cf?ALuKm(jgsQf8Hu1tOAbnp7FY$ubDO1tAmF-0&&<0O zgiq!yL)X!jR7*P-Oi;`}BpxcPJJ$SZRP?*_gy0#!iywyv?|Tt10!RVkUEv&bm71jK zcsQ<1OGq%$^HvyM`&)KyU2!yGDu5PrLHkNT-1S7{8N!Uy)=d{8t}4)HN8=F}D;(8~ zrjtyFa=vOh`WOh;e6vRFeX%#E2`s@0q+1>dIcAx^l!@#dd#g@_T#n%Bt=av2o3Fa$ zlb9yJC~ffB9&nvfIG!M>SI(q*e#mwigj~qMh6FTe>CwkudN;}Ku9yNvJNfg-CiFD( zu&YlCem^|QDZ5(!cS5d0;wguem%F(5fg1R`lGBEEfdfR@j;4!h>2|=%#cxLnl(jzA zPL?(V!hhT3y60C8TQFq=-@ICky=Ks#@RE3Eu11!5FpMJOE4@)ha`YQo0*kihZMp?WSjPQ z6>m{XXxYvrX8$H`>h!V4x0akR#N|&5)ts_9T;qwkmkaH(*54LK0W$RsA1TR$k6m_# z9FqP##U=EoUYfF_cS{-&0#JomDC~A2g-oiF9lpIjvxbS$DGN5iL^0cR3q>%&eD9BN z5OK0OS|L)+awvWtE2)n^6adN)QX*QBWlh1zw3zy8f?WC!aXEC|q*AI*C8_hBNwKqE zMa2}zG%f=&hpJx7(M)NB7S{>l{b*t5bu^KyUqNR;&%u;D1svyrJ_f}~WF`-bK6fms zpg%7B(;qiMf7}|nS=Q0`{5alfegIP2!@Ze2G3=gK$H4O3_cxZo_3fkiw!B{-|_5-VXJ;r4c(x3bAPPtK{e6gNuFgZ!laOg@j3aE&mXw<5Xw zthqc?D_e@f7w9rd{E?UhFG1y6@{%pgh&EMbb1t{H~5uz#`cpG@Jvi~oeu?yk8jB1rQ+fBOMru_ zYRVJYxe((Pq%A0e+a|RI<>Bm@NH$z;M+n56uXJqgK?i-d;p$C)bFuGYHwEwl#ucxr zh-^ICw~Rb(AdbLwn4?iHC#KYcS3O)@&h`3uc-c-^w~R*VIb3O|ImkcA*`6tK3@YT1PJ#?OjX2{2aw*0YZtJ`&EuJow!pD!vKu2~)Ue@*Dk{_~Nz3xxrNg;c?HBOjjMO zp2-OCA*FqX0FoxwrEfoOMQa3};z&GO)0&;D;l)joevi^bs`4tQr4~0FlWp);zY2p5 zRlp~^$9e%gA~+di>qAKfH*>Fou$Q6Vwn*~lU~P2T4~427qhr-0Q|ndEk7;j9GayB3!OEM83&Wy zEomsdQ53dc7Rw7Mw=tN-La*LtLxC^St>;+;X&vBcV4H<&EN+~<+TVhv$ohyBBL2iN z_xah4-j>D#iba_fd~L)yjiaDA*PRcu1r1Ip#e4G=C9gtl<*#`Ove)@o8J!^=Ki!}$ ze(6-O$(b|FQZ4PV!sAM{qSNw9RIAlxkBO=vW~c$fA7MhG&`u5(0T|3b8H`S7HBlfP zz#442${-UBoBpgvcT#AgS5twU=L0a<*bFuB41UQ;ip^!RPhLD-NPMhUIH*Ff5!yG5 zYfDw&mU-&~>^I+mGj9eVsR>+nhr5Wb4DAU4TcR6r+*<-dWXETAv*NHp1$0)(E0o(} zn3GsaQ{e59@r*AEJxG#UoxE)@9}t=Q+04Ic54G%?&fc3LpyRp}zNue-6RB4X%DWH6 zyYm5JAiVSLdgWJH=|1XiVYoOi9+9D{K#;qrI)^KL`%;*ST1d&WiJd2rw6dRWLi%}k z)`Z#AJ|zC3FE#kY7Qs2M#bp7VkK)XQu1R-Rz^eTCFE%ADka53c?q443V3@L|ME1$h z%Tq*_t-IMoNVrYzkp){AkO$8>(bJ-LSJDZ704R~r!sDl3hSS5~es~0ZFG|b-;op+9 zkB)6htuX0vkct2%tEbNE0ni6{8F8EdG2qSEa&^6VSTAzo>Vz>-Mo6B|8Gg}#5)0`;Sw9MYRrjGkG~jzm_C(62!XP>UOK-;n{T-&K2n<)u;iZsStH{so0bGZ zdU3QL_u^JG%X)QIE08Av&?m&1=z)^IxE+5!{|!=d9z%R?Er|CL~ucS;6Z@ie93q%&dHP|ZDAgwC(l;)MHT9env1l8PemViA7@-{i+$ z19|ceFwW6k>HPFQRSpyzbhrIpnqbCdlhg2SQ?BtEF)o zKuxokrQKt9Ztl6?Xq=}pB8)}3Vw>5K#Ep!N6$3hB3fOpGX(b>3i8NQr;*W{%dtEqp zq>SX_YcKkwpnh=A(Qdg-P9;zda^^Lb;2qql4|1>@oknzukr4iaAyNJ}hD40iU^gcT ze0vPDO1=xgw?gM5bGf9Xv`wh=w+nCKJ5zPI?Y=DerceO!Bv`bwQUFSK-q6| zfd?>?_sJ8jIJ`@61VDfjxbQPaKre<$sLbAYSd<#%sN-0_FLS^BZk>7R$v=9%Whj(j z_Ds!NZVCgGw)1hd&a&kK?nJMnU&r{mEEU$^WYlMFuSxTfKqugKL`z zZuFm-k=)=q2n$lSlDgHQDl)4e85%~wK;MPMPV6;fQ1E7U^Y!SGyvBnkttOR{(%5>i zW#M*8cw8=%*gf3~*W-1MIIW;W>FX<9KQIIPI%!fl@``J@IjHs9Yk3ymR3L4I?$jMS zhYxAupz@ex@!O-3)E;Ap3bW-sv-;M>*Vg%Uq1lBQI0e_TfBn!wP4VHbEMhr=TzYq5 zq)pShv~6bEmI6f8+sWQbN{1Ruq1*^`3|#>%bdGQ@@}|*B2?ztlHvtqZXFGDsDGGk} zcFt+@!TCGWbvK@}ObA)AGFeOonlv5_CcV%Ek!ZB=s98rPn)P!NDPRrT8 zw;D(xhTW^QE>L7SO%Ws$8Skv;p1kLW%SJYWfcr*HvMu38tWh8GATH*52^)(4{vgrf z<@EkvH-LAYXa8sW!VG}~FN$doI30hJ*cTf{qwKeGe zD$c_9VpG_M)bZ(0ttX+1uA~yV{F`-Tr}PLf&9h30l8A=XV~`1Us8Jl)?s>WHr2FvM z`r_%c7kw}3bENz-1RkrwD?rlt92RwCMOH{DIwJDNxgJ^DswBKhnWezT|L~@k-Cgy( zU0;*YUV073p)VZYvho3d=(L=5=?$`hBx7hr5CniR(ckW$P1V%YP@49D1gtO&VzzZz zqPROQgujB0n6U1O_}sFdbYOr4E0@p&KuBMu{R&GS-=!u_%4ir;-V0!*(3d6ox7@g~ z{w=p(3sA~AaNnya76pTIr5Q%5-%)cvu1oTbyCh_@nBCAT4O*w^*p;AXAD;Dc z6}rlG;k`Qn>9xYkW)7oqqidENhGzDQf;-zblQKghogB@)wr5$p)G2O*AAdme!22oO z=c8uF>oHK{)%#kCZWy3w!#IK!%fP_8Kl`pYd^3sc(%gG1v+ltHH@*_sq8VEef8Hte zRrS4=L+(r^)7)2-*AJ8wABKcn;HK}BC5GgGf_Asnop+Z9KVTL5w5*ZdIY>Hd<{kBf z4WW-q%O+fQ7OI}eOIFi?`it!DmcErLj&9_RwAVlCmNt-gfJi-U>l+1|ZHh{i3yDtXXF9YOToa9e! zh=|#BxL5e!-o=yy7Sg5o_jKjsd6~*(`@g%WP7}DSVktax=h-g-J5~sdzgUBkIi#%5!oIrESlg%mDcB%H(52G-IDppvXU_P zeX*n_$h3|L%l58ooxJ~}1&FftTL7*01%SsOd$l&L;B@8=&?poG3jqH8yAKK}SBFh( z;qvo&YdwX{PGwM4QmH1Bja9x+YJzOqBTBK3N(W$1-T9LuY;|+LCiCp{^Gg!7BsRt( zi~IdnM84H_`^meY1D!f?l=DiX(0M~I_>Cd6*Y5H79e3w1a0FN0n+d)SmJ!DQLVaUG zh?Y3z9Vo4wz~5J>CnwCmgHZX-?DCz9jT%+oLBuxf|rR$Lzs91pfY9-Ea*Iom+Vu5n1n3XP-~IRq2P2?c(6Fj`HH zZrrkK+wL7}SeD*L>02*3)T%IXSa@Tx>GK7>T-MECOBvacQuvL1t`Iz6gRc|~IR~`x~mj4onRW zd`1Dgp(*)Kqm4Q5RAm-y%Y7iRp$bIrIuY~Sw16-)k^3+_nf9)Psooi0YT z)x?8V;Jtc{GWwP&HxE-T?=Ru=G)&jp3pmW z!aFwssD&+V1Kt_!4J91&;&4v#5iqoGfPbCoO6H9r?2sk%@l0iSP+BSs_jFqOq6R4S zhfyNCl|b1g`hPMMJS z%mJBQ&DGmnQ1wKh{xzEyfq{lVJ(l$=5T`s2JzQAG?Kc!aPXd-Kc6A`dk8rhJxegE! zY9P{$ATm?HiT*uaiOp$EQh~z3{tTy9KZ}RozdiC3dhZPVN#phx9d?73WRMN{yR`}9 zEGppNbbD|9WacSo zo0;Bw&%k_3xS_?V6>oT;uI$_xK9)`QTkO=R?rv*)qdF-1=!@QmQoo=YB%r(+eZ*75 zdUrbrG+$!d(w2K5?#vp%26eAT$@MzCn@@qt#YX|7oCud>>BYA10xRps**UO17GS7& zB=JXbEXcYUFwK~&r~VcS-1Y-ve^>~NHOS1AP%3$jdQ0?ew5XcU$>wN<17tHO(4=@I zB#YB4044PCKe+(Q4X|7QG|xZF$|e~Kz8pY!yOVK&6yl#FkI^gW&GcHC2^gg>>v1;9 z9NSl!QaL#a*BU@3^9 zr4F!1smn!|IF0v{=7=UHAi%cN@8RC{hnBV)xG64w%pUa*NZ7>#Lz4Cs4gN9UmImnwAZo0B}MX_-55t@8;dqljPh9{y@bQ&`#hWbWApG zzrQ>t(XcxdytU*SFf(-*1NnfyX zCZ8JlK+X?*yt%Buq~-6DulH!0tqzTENQ8T+A=xPBAh&y@lQ9TZG$uKS2LuL0qv2|}_MrT{9H0&nli5 zJO=k0pHXB=PW zZAara`*oD@8hB24P7T_Fjc$xZ9wZXq>=q5rOj;^3|R=r^lL*LorVqkrd$zo`m z+Lb1O<58XH@#!2$9itrl3`R~|09K5;M8I|nbQ?;+Vf+tM^}+ ze2!Fj!NhWVsKPC8q8VG1Nj)T^n*I!TBHMvPJxpYz(T3@W~Z;0?|DcJx)e2xz-<;K|O|)r>Cr8OUC~LTineP z5b|b2`%C6_O&TScydw614TvvV-t}fOiXpJ|1*2Uc;(r59r1)FRxFZZ`_Z{0%G=C~< z4TI*MpuwGz>H197ltIvf09T$Ic%jN9LooQjrJ5wtY|c08okm3vhQ;m z)PnD!NBKjCc0z)?tktS&_ORGAyxR32!93_i5NR@)i~i{5oD2=1%r}A z^kD-yEEGA1ED!ZMgB6Dpm@G~H=pEu#;Rz^LAo~cC2o`=v|75TlctmOHVKwa z5@}YDAGzZWq6?&)pOpvx=++baoR=1oW@tM(Q==q=h8DZ*CjnWF_tt!PBYuvc zK1jk-3~swU+?z=pU}F;j5~G=aO6NlxtaQRtEH)W4XFDj*J14V)7^pvMltfU!^}rQ* zxb!(Omic7)G675P=_dj$))cY8d1k-lc&I=pB zL}qB5cK|to!d3Wf5?jQ4GjqeaN)4kndfkIN&Xga~=Aur=|1FoG|0x&1h_S<>Ma0&~O&Coq4~&W6VfFH^5S0yL0^@AM$3k(a6mBjUV~r+R+pIyYV6y$6T1j~N|J4e` zI#qFugV_N-g~Q>cCcj z(h~Jp)FHwMb<-1ZfC8k#F80K`KtFUFQULTveYG81c!;06*v^hhM0tLL$ztatvf1tY z)o0J%qx$f(XDcKyic2|1C

`%7!2FE`fay3L}6XS2gw2S)~r36^S71fRvt?F)1iV zd0G)X_TTU}nM+^LL9BX~-6w}AJtf=GsVY?KCqq7*U+rp(O7Dseee0ET%{qnFl@4@A(f8TbLabVB(VFEffe#s4pD-jMJD>y7-R7_O` zPDqiGr=DUararv9|6LN@)Bn4nl=aC}Y_lnP1W@3Yf2<-IwXoF(Iyn3=1_rT@ z1(QkW7;El<@)(8Ad>dzSGJ!p_Ur}zWkYa50Q%@|rV$7@VT=~KreAiH~uil+wkZjf+ zaiOmV(_oiEpVp*jf5TMv4h9Ev6RCk(GkB>W`SyQ(|7QiKnbYh!!wD8PgkAz zLT$x#vesq9Q__#({B9ZQfw8EWB*%O{3$k4M*)@S`Wq_Hsq8g1MBR_ifmG8cgZjQxu z285;0d&hhkgd@cXxo*F~8KEuA6Y~=V0WYs!VU*UtmXjCd5Sy*(fBT2jqyM*ml&$0b5R@Hy9=hLc{!?T+2mGAQ3~xZZ4A|llrJ~oS zk2+dG#zwYdC0H7`-_il}3j~+YOinxzwEyWF?FDaMTI9Vr7=ZcJ zU-Ty-(KvcYLw4WBYCpj6dKwoq8hc@6Omq6V_2)H0+OZIk8E@m(#LSBFS{(#3}k98 z$BSV%C81rz?_UBFFhI*5X_cw>lLzwWS=cIU5qJZNA1v3;e8BXja-I%u+hJA=3~rwT zn3<50B_rc?h4IkHs1J zF*{Tl^wFBPlqU1Z$8aDY2%OPK;kTb(k8lx+C!!Yf&4yj1DG~DeD;@c3Ft0I}x834l z@8(CtkVdD2$&Cs6Y-Xw|+w2nj)GwEqyqI@T#upMRuqYFx*aD6D8r|>CXM{Wdi>|K< zi+X*d6+{I@Ndcw1Te=&iy9A^gl%Z2l>5%RaDe3M|grPx1hVIUB=;nOm-us;Y&AITw zi$Q1R`MtH?wbq-=to>5H_Kgw%4`goC=6D#);8fp1He%?gNb8_S6k@a5 z>E%W(c$jFOb4;=pPi;Tn2mWK90W+j&zi$7O_d~uWse~4J!u92;Ch(vkTfUKb1gFOd z7~nGu>@q%_N#RjS#}1nW_hxdD6VEAx9>kZg1-CUN)~r&SaO)Kwd# z2X4^n;M!F*l*08Mz~i;YhtF(&@Z%nxcV9RltxA_6e}qaXtK_&wKsnHxvL@{RFXlc3 z-ib2SS+poGbE|*S5)b@dvau>INmK8=X5Co`7DlS4@96c1ZKF3h zEw5LGU&uSh^S#_ZckD~l|FZDvw5U|ts?7f~)Paj2xm&!!S*HUM!s`!W(Y1%n1LvUM z;@SRB29*p2&_?qFOaC2UW;u5Q{sz{1U*e8Ars62i9>efYd%F7J6jJY{BeS4Rv__s6 zrUDN%++Y?AK*V*L7V&WO)?Pm?O^aRW&3aM2^9gw&6}YJwHzcnWB3CXRk6-K@#`u&R zPn&~zyzUbT)yq3Nk6zZFe}BFGMecFx8=tU9+(dx5C8l6`>t@cl-g0L0`IDJ}`{3%G z#g5!)A^ue|8ZQCXWdpbc<+-_=9|+3Mfq7)D-=+HnSkGlf*pvN|()xxbXaUycvSSE1 z$gZC{(cdge*YYKw(imKRp9We#C8d;Cm-ifHZ|L7DyIK$|2ei-&)Xu!tK}qr?{@X|NJ*?+SLN-aLFb`%fI520535 zmti~{n-nX_J-u@~{9hoY$mK|fJbn(4u$nHNBDL>S^sUz?GpQ**pD&87f&m8u(~mUU zBm~IBeuo{0nvrl@pi|XT2i#m~Y=88NVa~UB#Q?^e;aMQe*Z2;NF(nSJxCfc})9^Jb z0(`TA?m}joNS_N3qMr$EO>y4Z72B`e09^7_BdLny=}YUNPGXqb08##TWoEVRxPuRY zVJBPhaO__za9?;+RGD2u-h=WI#

2Po8@dkpy@(k{A(FB>gG4?Zq-ZJ;WqDk+|rD~^S2y{>GJ-W*rC}ID<7O| z^Yc4Yp%45{r6x+28s@gu2{5FR#$rZ7j+E;-^zz(*s{Tu9RR2q2@z2C|+o2ZYnlGXm zV3v1^m`b` zYZX%FmfAz}?|U+XW?l=sV4;pzyZSiTsef_D-VQR!lE^N$y6Ic?+tKTe$i3h1Oq z6KKjCwyk}0qFk)rw)Clx}k zVb~u7c$&mW*1XD2$h`7~8OBQWMZv`H?lF>l(3Es3A4Yv;aEa_^ac6*9$rB^Hs0|oT zM1Duoa=9^&pB4Ra_J?^#>rS2qd|C!fKcMcsljjuV1vI!ll)ixFZwH^CiMAy*>FXvkIQctnH%yLrUmuT5fQA0%58u{g})C za4$lvW;bLbj)b=6{xjtxGU=hr zIzZrnIR_EU4&gS)>|vn|wii*uJuIViiTj1h33|o7&~EoiV9YAQSb1cbhvY@cRH<)m z#CAJx5XPN~;jdx)8HL$j6TEO> zHfbERnH<`9%K%tT{;jD={PG& zrXE%htq0Uq-b(4Kd&-Lx%IgPX{zcHXTfT*SG4K;q`jIZfJ_X*$?!SlGCXvx`K{JFLZ`b=^@wtEiKK==(3j(wb$k6+7JqOHC5lcDf*dn~oiVb23D&}`mo zuCr);&uSqt(jeV?%&13i%xGEi*FS7f#{EcXc|Yz2Gp8RY0FQu?K6PE%c(HT<3~SAb zg$My;A$97qm1=O_4fufNXv zh%uvt+1*HOyr`g^GuWtq*FX6JMPO$^NuK*tR3QQ5LWG*0%N(~fZLhoEOCXRH~P9LaGR z=vstS@b4sbL}$TQforh6(2Y^N^;@x1@4x>ae)>PXHi`f3wTU?Ui?!$b^gyxmbIFc< zcvHJ>$(I!2WsiT2IyKK9i%q&rQ!y(b+_?L%($OZ#-5LpQGY_hsy?yO`#M!%X7a?hX zimCQ3{l*JN_)K=*A`>XOQOdb0CJZ!?YeEvqY;$GZl4}YmztpQ)#v|r|5laCNx7kjbN4NyYO)4Yke9i)TCrJy=I+?w5n}stTHOi1R_Z+#eU5M}vgJ z2RhC;oSx|V;=maFG*w*!iRnBPbYrcu6&D_e27OBFWya)zj29h&o+Y(}c88u85#53}!RScBXsFcbd(EYI45`9TTL_x*h*Au;T^)s!R5n9L6LPZKiow z=&r8|(H)_Cb4m`M@Z6rNqWALZy_a6b9MNLz4c*j8?-S^mFYi2L!24J=DQF%LfM?i2d zcp-87?nhVS*$69(_u_Tngh5- zpeCNpbsGtbOjRx!{AOh;$N{IR#MYK7EFRo>AG8JPj=~6Z)7?H5{qIe28@6z2Eza|K zo@nm=g=H&UcxY{%tURH!>zgAf0bUTtFhR0jiq>P2BP+pL})pL51QjL|em z7I&AzKx$3O=Iq?&?DARN3)tXD$B8JBS?F}JpHNU_>h{Wbv41(zvG?!u0;pNMWGNCy z;cU?w=?bF|E)c|V>rs$nd?l*AT%t#btcbG)8#|FYTg#D%drMpMX&QCAbAG+n`1$Dh zwIo(E-nkF6yPy->#u~QQ5RMo9z2)!mLOw%=BclvykG|i)Sg~Aw#}#i4u)61A z=3_rC@W*O5wr;3O7+q7Vsji338qF*0_l4BT4u4xzZWqS{`gGNoCJM`QMMXp_oDF38 zA?6wIEMe;JMZHJNT=dt_$@2;}xkUq&&*V|o=rmuB)T%Y{yRO@;g{|tW%Ye6M6l*P^ ze5a!-EsEc`om1K6+`iMyI@HYRkc^uz&IRqNBjwBE7N!QT`v5;uV`C=6CBJ6$Pjm8td3uQw}KXt*(jOQ#0 zb7qMpINuSYg#Yjm^U{gGDXd9tHp(kZ=OTB*iyVPFyu_7SdnJfXmRvg-BQs-Fz>j4v z4ND!T{$Ly$Q7Ht3Kx^)v8Ef=*Xs}HAB5m1fym)&W`bo`sJNlt9qb%i#Cc5&hMDCo( zZ^WnqA7O@F4c5Ok)zlL0M+VHhyljgJg*+6%(B&4~i>UG=U#B)3_ayS;76G@;npd{C zKX0>fom*4+C&bLIVW(_&M(P&e!l}_Ti>n{lF7$5NZPb%907p?It}u`bO+>s>pi83~ z<-u>ihG!cLdr-I;%3)=^nEUNtpyH@mQ3>Pgv?Mf`3a)Kg`K8Ob#`_%B5*H=Ikz9)v z9SfgqJmEAq?l;xcU;Q`cb%cpvC%GYLCCAJzO@Sk2nW;IS*I;4W%vh0}e=n$9Z2;j+ zdwibLkBuHEzGg_+&_8;MEi)sl7EGQXBGrVJ+ezx5u?;&J5$!vJ_MsEQ&*8ReyA>)&1jzlT#JUaSagxGnq--<(SQEhA(&6(P z2A|^}4*Wm0vizR}pAY|OWhu$^sc^rP5qt2@+=e5siy}Dp!^+w%xZ}UTSbsr6hIc4?J|D^4DJDV}QvqydR>m9ov?Tfl2T#4{b zVx^c4RnOv&uR|H*1VU){xNnyw!_5m~A*u=#=--VSMK3=aalAV4*A=1wA?`k?96!Dq zXTHUujjzxurN%H@<|ARur!Yi7Q`}?VtZ+Cax93||0@6ukZEfjscIIsh6WW;WU;YpB zgv-v+GCouLo&Bpr7bp=^YA*1~xh|^vXj09ChOCaFFM)M>_-o6L1tUx^18C6Xc=q2u zaZZZmf6eC0ldWxI`*&HV&nT` zX-pJ}MP=1Xo8dG+q7DYacL;_CFpmsVT6I&TJQ8y#h`K%VEy3DWVPtJ1U zIdgN_#wy1}ya#nRgg4yH1?#!pBmP#()!qC`ekpog&_(&l^xCRPIqIZ>p`K@=7+DX{ z?kmlsFpvAf#@o$7T)$5p-hZ6X!-`@n35dtGU1yyBw!GbG{D-s~mG{Ej-)xOKB1jlD zUNbO&o1v#35EumtCE>KT*sc--sHk6e-EBB&Y_r~-81Xaec6mdSBXZ{D&aP#R2rByn zTj7{D$^W~Z(?*Cn9oo`gxtS@6-?)hw4I1h9-V@OubzArvXSw{{ePrcbC9Y2NBt+qu z-UA|vSEt7?HfNm>j7oZcS;@CVZ1rq|=TR-{xa6(XpIYHlGzcdAhs-#XbfAXi)e8OU zVoscTSvgv@X2*UhPx{jE7#r^IQ7)|DCRt96uwO>|n|UoQbTN)Qb;Fi^ctZzLjH|uc z9Y+kZ&G)u`tl}jOW>y4N;)D;T6D%1g=FWJX*iB43NgkkeN%oZDVlT8qmP5vk+2P#ka}btbSzl;i@^m*8mgDy4uxSL|h(s zi0i!jJa2`saM=P{ejs#7tw@UW&KPPT>T#!-Vs7`l-JgU!uk*fbH2d zc0?)}7J9P0X;b_yMl}0?K!5HMClZky2@s;_h(psWv$V{56Xtig2qy9a)gs@pc zF_y<|4#{SVHfnAUdoj*#4omvE2|9BeSk*PjtsjN7x+$l+(yRO;_*o#!r`+mSH6BOB z-sS&;y16_Qno{c*ZiHlRUw4hTpe$auI2`gv9VxjZR7fs=aMiNpK=st{NXxyx6oZZW zWNZ!;bFI87LU)lf{nV%HC7MN?i*a)BrK_w@mR-X8wHBoe`-{@bi%vwR{+zDX!$3nO z_!{D+Q1oo=hyY?i6Bg|;jkp`sS#`!Kd<^X@){>cQ>eCf#UJ*Fw;(aev@a)ibuIvj) z=!%o>Vo1-k+aFdcOGT>({6-n`lzZjVSRI*WJAK!ng~uaj=Mvo@rU+^Q&J20q2-*Gz z$;=Ola0{jDyAYY}ZC$C)RmyouXdPqo(fjRACyB3(@1r*t;er-dT-LF@A9%+@(SkBN zqH!@pK(|;c*fQfc+jnVjySCy#=;s|Xgobc}AjsH*6coSkTkP++?{2|ycc+qOJZe_P_zwWf&Z-v-w z*iJR~U9Tse8I36DNEr>CS%^fxK#!ye0aj;A$fZV%ebE|0JtTOpf0ocq#e7blR!x~c z*zMeHI+5z?q%=xDGHp6-rS*X1!$oCJWsMc6rO96E;Ytwh; zGR`9i$c&s)vvU*jL-(lFRCO^G)3;QicZ7^?_u5xi%NkCwxmSBra2VbX&rtsndYZh) z#>||u>X7*Z;%UO_N-@==>Yk_3UC#ap;hL_WHcW?_E9z;hMBm6f0i*M;&}1btPw~PV zHInT1zJMPQc86jX9@m-d^qP_%&>b+ap%(|e!zK)z8L&g8AmLm_gL2ZDbJS`~0W?^c z8L<0Cqrtl~@{SEc32cD-J)r4b#zayx=S4A2RpU-3Hv9Xz8-l~AhN)7nu0^9sv{z&N zCf9KMB2A`c|AjU745K$pi5%JpNwVIk^8|lx60;({ymM+#lDxnDvF^Fq5D5!S+WU`) z7D&R!^p8b0LnEBaXwFAt;#>F7t3_8^8x-ngvqGn#>)Qf$uPdy}1cdWEwjiF&&A3GD{wJ!_9)!qwEY%NL!81 zZKk8-ML1|lxf#i{ zq38`57pqNTG&%b?GMtO=E9InVX5C@ir!FcekpgnS%pF3iHnBda zK!*@R$SLWheyG(kVi$A@-ELy$r72LYiso7`qt+c*3p{Alw6C(cVGW8W}f{>>U?s`!5k-3mL_C-s-ZqDNH?`j@LeWxIyDDz2>>Q^(=7In zMxvf?8r!Z;#=xF9nQuMFb5vuY)GQjSq8Z7shiCSp)$Xc99pNOcNZ=}uo-oK)sukVO zr3ZQ2O-ZE`cL%$-4}YT8fS!?LE$#7tzCFHv&+Q@kMbUK&&+j5{zhkKWY_U=S^+VV` z3Go%~23y3F!!_ZI@}@pv@h!*usCvTOF=|p2saM>81Y@*Av3)CEXV z@)<)i=9XJ-R@mN_MLm_M@6d&y=;p`jpSR?l)jU-+bEC5$+qNQ6Ereb4w#S{11E98f z8QqbcslOrAmEtEX9tAdP9JXj=z-^{vroSXv%1B#@+-P!%fQXc5LFHi^54yQjjRWo3 zSpGLud~^JA^+)7h8@7&He6>dq`u3;>O->?yBB<24EB(}F^hK5u_EjDo!&d1-T2kDp zx*k>l8`TwUt%y6d5xuepBTWwdW}na!A}2jb4SnfUmBvW6JAgZ75*wH>Z@LzehZx@; zAi>t^RMw0#&I)bLx`XOrnS!mFSmCHhKE`_ViK07nWa!K8%u^;Y6z2f=5Pay;kni%Y zC8NB1#O%ObEepCf>yqSh8QBJ{q%&Ct4H&x^ahlMGCYQ3%(Q=T0Q;xq*^&V#^Ov|=t zOxP=e`$-JkwW>TN1C~;OoLWQ36u7(VfbqmO-Otkkt9VBms(;gMweOL_HvI+*6Y$I? zWYyAP=36HqQG{FqmB6?tu9&KR!DR#W?2by5SzK1POIPZvrIqT^6|woh=PNGaj{rL! z@{0M5q##Wb9L4}K$~XKmFSeGPblN-yi+I0f313k^OvvYl>ZzS{A=(x8r4Hga7Ub?koh48Qs}lO@asanz(5U)O+495| zXoG!3VVPAb2~?<@y1?JCrG0jah<5=o$8Z4&rToWhK?l`rA0>igYc~&L&cwntOam9* zcPt0Bvvcx%*&1>w-s9W7ruNw5J>7aY|74yZVD=_QM8Wo!=x)cBEp?j26s)*{2a3H) zy2`jpeGyurPd3>h*hLj5c8QvVEltVRSqh%xxDcfwBcRxy8^`s;DPI9(7-5Ql2z$snJuNUR>3lC=rjJMAq2e$dFc0Q?v#q51Lb`+h^|v^Aw^@`SWK zjz&;HMP)7TVjgqT-9YtMMKPbeA;0Rj$Hv28q*q^nI$I((TB4>Xfenn^J2 z(L+GoceDYeHgyjS2=bkh4n54P(#E8R9I#oMszF{Hia_QbfkbiIs%#1=@4{q<>X5=O zj!j`P_=quaJl#wnAxPoMvy2z)QozG!O<0@gY&LkYDqA#6?9{{I-do1{o?~KO0M!tx zn0kF7XdmPF+-B_T<{_F)%G~CkG&yMMQD7(TY({1UE7?r#r3+r_rY-LRBqRQw7O3|c zFCTC8wp;yz-5a(eTQ$kND$l-ZJLQ10!KIq8mbua4jc7oW5KqfzUcg~>H#JrcRFMh0 zC{rR20pJwHHCvwwf80)PV56L3vDzvJ(Zt5TYPQMqM{4sl~2CYdTx)#xdQAa3s ztYKJ`Fi{wf?x@)Vtnc!WueRIoc`<>zSd^s1A@99ik1O!cc(N^rz8j!CZlHZ8(Mp-> zI$OKC(I5Lyg~f0V{IZsbQ1a=GXkk0bksjCxv3Nkt0aIW#Y|z-tLpN$INTzLS{2H9p zyy6s~v78oVKp7?T27;4s*tc=c*)qTbaNey*Oi5;_6}-;`oz^%zERHFBgm@CL4eCv3 zWE00Pfj-*Iu>JdqX{e)5S+`c>fz@Lfu{2h^H!WT$coH;dvUGdxyuEA;_NjjpL3~P{ zZvBP}<=JYhH1=+Q5XfC#Ee)l$K=C3pGLJG%2q#$ECuA2C==NcG=y-HX)lNY=S~CfF zrLvS>(P^-uFfnND;nrk3ZH&z-(Eg>d_pZtbT&{WF#l!%34J80NWAPWy))29EnrZy8 zA8ZExo+-KYk_tcq@d5LejwlS=m5WqW=G!GJ{1GY ziqG4SPjZ*x6U=Dxoi4;!2}D^+fgTX6x9XF*Vw{FL>|UvxYh9Rmik}o?d=dI=KQ@2T z@(pY8eG`~^MmoZ$u;UatouiNe13_QJjXaxJ^DN4<;LC{$v^y$|8!>^2(-5E{-<7^> zb{8EN=)u�tg?4fMs%4TNJ~=(q}$7AxZDoD_tI2@BNY5&)X)b3fu*lep_)G5+21<3~<3sG0T3$ zN9)nK;{>PfbGvR2qdS9qeUhfD z7Q?&-WtkcC_G^o*`6?z4(OU1rVYI!84x0^b2}Z z_*Qfv15rqQe424H2R~oyx}ve*<*NcVT#E$O(D52gh7O{tFEWKfy{q11)Pz|e22Kmi z{Ukt!QzzK=3R#=afxh#kEr7SsVQ<)xKy^B+TNTW}lx&Og#}0LamFh>ly~VgIK+QQ! z)?ILCICHdWJF-Ao-uPAs;XuL*Cjr;H5|5V+>ocd^a1+q*m>i$46Vc7@plO$<~V4 zGPq5jPZq>8&t`tg2bdJ=#)D6nMyhQ3GDa%<7@vn+he@zO=k@sii2_bl+02jd?h5tf zoiqm~v=I42bE{1{J=kS(2HC|e4MW<#`B?E5T=kN+{HFnRPeO)>AMB|(Z+T!0X7=~ zSSH{<49Dq+VshwQAGC;K6?B)2pmWAG;A-7#jAR$>x|8qIKR~nXS?4+Avzsx~ zuDrW}QZ4lJSKt?TemYPaPQ+PYyoC`?o0;>b@A8!44AZkmXtFJ|rz~Fz@qh&Etk7~% zo)!pJ1M?hsRv28OZraA)A>S+r4TE#zn#>&oWE*HbhKBwgwsUGa;wEY=T#5^D{>`G4m=!MZ;VmCir02JSc8aL zNf@xx0^3MgSEUgREYmQ)4>+v_2#wz)%1{%i3^DL@^EIXdt)`oky&}dmkOOJuQVi@( z`0>GN`yv{%bHw1tAa%c!#tP){n6-qhQ|L zm3KvFt9>`N4E7i)i-D&DbW$s=4j+6VrNuTK5rdSaJ1AnW=bkkwL&}dLsHcb60A><) ze`5E79)J-iUce

XU;eSbC9`gPr1dF>3ha)r3y8Ip?6Fy!Ir8`(f$w|~ zjlEp}dja`@M-*}t*9T8vjcC9H^%{UM=dS~^A?Ex=2f|a6QTi1I@vk?lF*pXNdtCmo`(_cm6!Ic zJGmOTjCxOYXBC0GRsKB&*9I$JjeN&X)v?e(m+~VvxX;4=&OpTA9uF|e;pmfth$fKc z*1Vw_s%3#UoE zxrl=Ity~1wo?^5Tu}P>kaA?#$4Cy%SGQ%A;dBbxC78|aFKVwe<_@{h|{jz(Q9NoH# z>fM<7!dSF`ekhC#fw(mY;seFkA?ttBongt%l4q-S2yV}_?AM6*woVJ2UBITMl>N$8 zKw6$vD$2+JEW09F7FdU*lfPVwOH;TM6KJQ9cgF(?lMVZ6SL!Li4Z&riv;fP4qA^D} zHfTPC*L9Crohg6@sa^)LjR9EZN{BL#re2Fj(=Jc=CzYHG5x;;t#Po^Z+Nf#Fq~1$! zYG7Tpu#+>8UOeg6q@AM65$QDk>&sBc{*;?}JID@`c2$Uzq|IHhN{RSWHE*XCXv7nV zGHES?{OhQGsH||Ed(+(r_80GDm}&@VPs_)VA-JOVx)~Y0#Pk{cxhcM`z~;zFHxR#> zaLDh%l!k+Ob!OBYoG{Ug(*eJf6 z5!s^kbW0hD=?m1wn$GVoEdq``qbR(U_^dR#GXn71I@8NY@NW^oT0*1eQ06?_i#2;F z+l>jz~OCAzIP zV4g2!(q_#P$<-dGg=X%l)fncy>l|iRvBAHSi$(n7ylZdGD4hHAXFPKn0Z=xz=L_jA ziORhyegmaBhTGKBgLS+CU90Mc$E`tdBKjb~dXKX1 zYuy{C1@g(pgPrAP3A|x?r8g{IbW4^Y*xHYH6FA3vherOYlYc zJOJSFkvh~}4E8S+-%&Z3=AcH4hFj|utmk+%@>i}KbX~x?ilYhMu@|(`_^MgDy@SRN zYbfjtye6lN6=cbRDiC3ynwj|oATt41W8_rW$r+jR9L@p-e^iL6o%b0f8Lg)9ch_TJU778^LBes~8cwIpWd1sP2aIqGRoAEKQVf<4h+bFkanAJ{5ctZv;N)|us zKzx~6!D=D^G_%i;&8cV2k_X8BX17;?Mtc+E!4h-M!g8+E8R7&CxNIA)n=dJ_z7p?A zwV;@{D9f=d=8WqPWC4271rqs9|1vUkkoyVle$otAJm zA|SRo{S+}{Zfk~#H6SIdaTk9YH?aXOXSL)bF!K7IpvCjk*$wMH-z-iXv4wY-?C(-z{x75MSF&aX~%;i9**jYAk>Z zJTHd5NAN4wPL$~dzDwYvO*)N}{88C=gu~W`9|Kow5YGGxvVY4|=a2pkW}Gx^k@$vu ziin_Fxn_cLh1{0eN~I-YJap1Y8;6~eYO6GuoBse}#P|U8i}`_R>j`Gdp$l71Edw-B zUbA)Cc>esz3n+Yyg1{!f2R0fkEsw(0w0Hb~HzZ#dNa7>AbbbXO$0c&Ta!MKxexy#+ zm8Tr$UDd(FFd9^oT!DMw^a5W3NRsH}I{(j(HCQoFa`qJ1g1cxrSg%n9n=_~)V`LYs zmsmceUF*i&-hiz=+}`VO2cgj@%V5pS#} ztIKFBWg3D_q=E9dyrS{3zYKc|#7e-A9joDA3?H}HH6>l___~``!^B;rXshH;T3vxT z)p>75@*XLiAIvDVUP4*JCZMV}qK4yIcHih7%y<3i13(_64pU0;y z$Hzbld8bp;`1iC){ilmSqP3o8h;8fzAno`}?jXCER1_$Imv4jRPuhkF?~{@SsQo4- z=ulABBJ9F2wQN9|9~e(Ck!z z8&``F;!&d2O1`aP>M6!48%Df+(Kdrd3jl!9q{$|NoFf8k;N@L7nQKmdZuubBMLz)f&(KsD+TNndd-8r>pD%l&h!z7kd(sJ;yMO07$h|Li@ zc16W%4(v(!@5$u<0(*QPz5Mq@(4+pD%9i^|Y3pV7I`Wxv=iWFB z49s18TkMnn{MhZDffpzVP+jD~4eIAS6x1YUt z75dsQ;0qGwdq*HFAEZ;~vK`*_(3DKU~|ARBZ|su-{G`_l?H? zwO(5>!6H{F^FO-5{c4Cr()ER;yXCTLvrini)8n!U)$4xylEVrF zZ>aU0k=Nm5V0<8#sT<#Aad)-S;80u6{E5JFGu^ip&tEQ$`((dOF*trc*7e42N`~TA zBS!Zt)Maz{nqd87hKqM?=hv6QD&vt+Ac>eUDm7pghQepKUj>?D5&{$_Bh#Ze)CFIysJGx^UdjbtvV#!`jPZp$n4Z-%mS_ zj2`t{3rv;Qo$;Il!g-8E{h%=Qr6rkbnkR98AGykiFWRG`GOg)X7145^)Nz%A^0Qd3 z#$bnsQrv@Tt5x)Wnm5{wCI5Lpk2)I4;C^yV$!fywBaCFZ-0hZfOuhH=B7M2XP(OUU zMd0sjJa-ydQ90WApzy)%vujzSF=~T)rO3`tu=%6qt9lOIYwOSDIT<>0R~YY~8F}bp z^G~j0_$>xd-O_0Y@B40<9B0hM*4w0xQu*+u6X*%Say{D5t$rK-x7OLFiuBC&>h>u9 zZ!!Qh1JEUlR#Q9?@}OOAm>eVK&?n==c4A0%r#9DaNwuoW(n@mdI31Pn9Pzr#=<74p z%ioDT_|lL%3<2|@6PcNUw&Ex zS!}jVd|u%M^_>2I@kK}#%wic8EUD^6UEU+JA;$kre0GP$6WF|Rb7q)4b~T+%BYgLs z`A4X^Pu#7*cv%d4LapaGH~L`7iz8NQS614rw#%hDsLqB=My1FZ42pxZ$DfXWTxqf_ zdX&qylDWch^9zY~(A~(Lmm*K`-pee=m#$ca#beiG^%EZRck*8mJwIqo{DbTa-|wSlyO3Bm^75R zp~d@0B|$P|2Qr40U%OF0zk~k@E%sqPIl5xkq4KrXcu?=>SsxBSykR)du z995&Lsx!WP{exaFvfCM1Zx9?Sc^M-kZXquHgIi29wP1pT-^@vw*6C(lAZ9|k=#L$a^=4z8-N(UKtt z$-lr$mR{5iG1j+7h`r*I|AOb&oEp{`eg7f!4sM&mHJRbfhRZJR;P0S+DlEvP^h&Hr zt6tP!c3M3t*pq8rcEJUpWWuh0;l&MQzLzmeE$h;_2ToSc?qIdO7wYou2?-F+c0G(0 zbRP9+6WwPT<0pC*62p(1kMV= za>rdl;hIb1Ho>i}t60OaTXYX+p3>jDTm{5_oiIcRABA|yCP3QSs$>5i3pmqBANWYfZ-%;i`7>)9?pgRPJ~yk~r|oX?HXLm30) z4Q&i<4SoUkO2({mz%*w4fEli@=IFrYPde!D3Mur%lz?qb&}EgdcTE=XU$K2lwC-`D z`Eh{%^FeDZV!0uxf_e`95I(Zywwwxos^Ey`d^hfKp__d*`t(E9SBOz`-0!BdIf z`prYT0ssHuzyH%&6!;(ioeMgEp9A1t|F#GJ+|P3C+V{d)d5*P zkNo%@>UZ>@k&_d|9(S5v%iBswkCy_)7^v;sfW5BrT&>S9dcuBbMQQ* z1aHX2{YXcay>A?_q-U(lBh#A3fZ&-(uK((7{3pC{~lC1DmnuiT7U3f@!dM(p3@ z|9rC&+bY==RrY~FsoI^?>JFhkeTHac68GR$alUt<4gnFREW>QX?F#z0jT>v9@+Bf0 zMsgK5ZwB4&(7UPw(v|n~6(u?!nF|lbTlVOBoLOm}k@>tu-x@?H_4Zt>=t2SkbIy}) z!Po2;^2%M$rGC&ald|&a^lxP!DnC7Bd0eM8DFVAR*S`&U`|6#oE{6;x-L3I$eZBwA zxBXv+@z&Ts1D4wASQZzJk&_8G*n{ohAn{_TDe~IhstRsp`~!33=8a2BjPd#V{p^2i zYQK?d9X3f-r|Pm#7}WK6bxY6HAx^%b6w7mhLUOKIqH1KsABkh4#lK0(x;>14_G)0Q zLyyoM(O_N4vKCX3;F>U81BG{}&-G@y(N)yX&)<8|8g6yh6(t>sXWYuns^~v&-Tiid zw#Ept*wp0R_l9kt>uwt9SFc=fW2^f}TD<>&?Hg9WyjAY$Ew=;bsW0c6Z4-6rgDtoD z-)uQ;uF7Bkatg(;x7LsoQCztOANH$Pl^=poRTFA9%jdF3eOqTkY#rer{qA}YrwcCx z6>e21yVlhv>2`hLC!%jjtI6q5f2;+ER`z3UmiLBF^B;S1k-27(!18d0_#=Q+lQ8ku zbY=}Nh#Z#tUC?0tttFMb<7RbkkZ#KVV(qQWTxkjScF zp{S^cG!X%j5+Fnfq^KxGnpjX;qOu6mLJ=X91Vji(iAo7QKp=qx2qc8`3FDa@Kkkv>l6ji+CHBJSVOjQq9?|f~Ivj5k&RY^8T|8nK^v4aXd^nT7$RhE)oNntuDG>fDHz-p#51?`#( zxy}~%S18@*IBp9Izs8<&dN%Oc)c=6++-PW?lm`z&O2;Q8-*^H@n>Yxhk)YZu7|d_& zS3<8rCIC*3iWmUy*k)O*Zc;oC5^n?9qmsXA4i0@#-TMk8UHg?ejhx<%-lVmfaO|6n z$0v^_1@s zNNdmfAvDN42{cx!V?K4s>o1L$#j6(inY^Qr2v_7MXN#ShpRE$4Zd&sy^II#L`Yc*i z_QK_ZRtd0&o~v&g-^D%vb*V^C5x=XizmYzVdEc)cHl(WK`e4fe$_(MN=moEJ2SB82 ze29B&uGf7{Q;H!gi%`7^rzJL*@FlIFr^XN!`&u$93$32)msUxq*I6x`{l#a+wOfmqv!V}lzcT!x!Jy`6IHX8X8Gqq@KMuI1 zfn>+ZyM|3FbDO6ggF<=5{n~>M(RDLzINUnZIMjBtUP@!;Ce6mAOOyM7URW*VZUO(p z|3~n0&>8iv&zI#h&M@7j*$kT8q`9EP9O7s<;;w++Nq%Ffj-xN2idOW;So+fCkI|Su z9()BDBi+N$ zMdNPc!heA8xh}@IM!fr9KcVp*&1Oc0yP+w)8F&hC(f{}4p8tw;{Qpeu`KY3p4D~;= zi@xQy!(WLH6{n`3d*tW)X5YLT{Mhg4<^3a+Z(ZM8N4mcM=op#!nq_-B(Q?zKp_oI( zz>ocVlkq0lCjU*)O%a;{HkoX?y$QZ45cmt&6a{>8^8Z5aG5R056Gn=I5y-VWkDT-n z6x;u@i!Q4N%+A#3GK52#XJF^hVditCI4 zJ!^1o0mmDY#o9tLne;{yP)~#%*}{?VL`cj8PC}fb^5VRy-(@bC(ATy2;N43^j!e@i zZ27yw8+4S+MBN+48IKaFps3!OI^P>eI7PG}=Zr^Af2nTrX^OMMQzFqCzOFbtMKVw? zs1qi8F?s0UU>5z#?z3zCwYqdT(MTYIom3e4IC%cOP0sgi7qG`$i}iivAOT@ zN2(b$KWehlSTDkxvQ|z;uOuhoE$^j_vo-d8o{6XC-VpaV_`p^k6dbPHP|3U@0cq|V zuJna0F^>^{T2xy_QT$!=W6>eC@;M`p&236R1%)3L)4k~6oG)EL`lLq_| zpkC%cA?8H96CQPMde)DAcwNl70bR)7vhrpyO*>-#zGr3rppz$`CBTg@iHGhd1#w8z zo(6nzH5qI*H`IRk^YAbUNp;1*J4(9y%Fo(l+Qv!e7^99phz(9zCGg8>U#RUlOWXH} zbGD+uVkLQV4-We?KVphTs#^5HAJ)x&U<>MJGG7auHwC}ZOCCIc7fuz05ABJKF?I2iLK&nLes zR?MO*m9=J;G=;(q0&7GT6nGWzpk9oLL>lRoxM$6nlLiJEYlrvzqhB zs_$(RE$ZuA1I9^HJWSm+-S;c}z5+ucjq&V@ww#NYK)?j)=zFtzch4 zB$H2Id8!;;Y5KMoO!HbOsE#t>$uv)e+9-zV?FK{Kr=r*uL3VcaqllH^(+=UtfqOvx zBS^k<{a8ic8plcAmc`r`=LVC0;(Z9Ob7zzLTUH2$?t0WQhzh7$lh!9PQPY)t20#BbA3>)eg2`>XTu0*lo@UO9IbJ(% z*1m^hYHyTE2^#h(6y^H@dsBslARuej2W_(JyFL8QiF>cz7^OK?2NWPF$piTu{t#WLt0-`abrh%#~K`j{^ibsg49 z9^>66HOd=`A!tWm-r_?LN&p-1RFqmwoLv0u=-IRY?}6})k4bWAmHf}nq`Hk?>hR6n ztV0y&@K^<)9hq%UTpx=(rZO4XeO@(JQwHxxL$s&FIFvkv+QP0?%?-h7uMLqfFngYG zM=g#d$X4oGb}b-`FQfpqC~S$L!$zr|IQAz`<4NJ0W7+kb7koR=KH_qSPq>U?7u8Ak zSDuzeid4AHBWFNm z&FH+drULo=e7L|zC_DzrpCp386q{0LA;^iqW-#&z66`1W&*6H$u=+(1_A{>=6KE__r2dJKvIz&x;YB|%^$Jc|xf5UIaAbm*py~p7c z&PC)+v?dJAN7Zp+q!Ww$5!6m7?!s{Y_Mu(~MkijwaPx5iFhwSg zc`7u6NyuVez#1T@LWzqUtgsy%>Rm}4&*=syx z<~$FwWM-4X~L3`8b*VhWdo-ccQ4SCkV;uy|2hZZ50?yP`+l?p)QAR zc#OJsVA`3VV z>Y?{01fV*2z9V;ktg_>|ch~%1#H8Yyy}1#+^p3H(P;N%A{$bi1`g#uOj^0Uf@C#@k zdzK6~-{6~atP6oB3|Mk=Xg_nU+|)R~xn@4qkkboi;DehO-DOfl$L3zV@QG+n_0=Ir zw)ga}fxfc-RNj<79{xrHk7>V_T7&M!Ph z>Eb=3xPqa5jOK_`Tl}&}FWkN-_D@}XcA9aQ+4g!9?D>i989<-yFbF7!yc*h|EOL;3 z4N2i`Cug}ITuH^nn&9=R=WKIgPcq3&L`qP*MU)9F8-r2q0ZPjJgWw9Mc zR%|@OE6_hiJjx6OTRua&FR zyVDzZ**~)z7+%P8>G=!;&U2o*_Wf3{Caj%4IKr+erLh;Aa8h%Bb!$9z} zHbb58)NCUP(hZD2EM!{tM6eZ0mN=EK zsYZ=IjhT~c6SrN&`c3GAIrL@KNlwsRhW~GPCva}?38{c4_ zM%(viS=z2yvs1nt+d~Q};5$`M7+2{XmHf_46JE#c(#dF(X<8|>TW5n5FJ-#~zH0@Aqy<74bwi zB6ZGUR)>14C5UU#op%ubCGN^_-cLN&rdzW*!yIvdyOr_kdCUcLD!;bZ^AM-Q_J&ZY zVlDkBD2U%x-Mi(ckBRgEn3pl9^QqVKgz<$+d!TiXCK5#63|I~)pe62_A{_5Om4mo9 z_B5dijYCASRK-1J!bYSEmG{yA?Q$u3f4vFXPyD;YYrtcbb5llqrBNtGlqy2p^#Z8@ z!?1#&0@{wKt#phO%;nGRkxo5#ds8R*6~4Ebv?pm^mMX9q2zs_<95uAAJ-4^|GnT1J zhz-r)5&j9$)d%CC$d!3fFnsfzE<(-_IkhO`n4flQnuG&4#lf{c?V{W&P@#64ZqGcl za$#b(Pn-Bvv(cJw22+~ys(6T91{cZsWVPq#!$ef&+JpN085-!$Jq-K)}}^@MFZwIrlg2?_3gyizlN1Oya5P z>x#YM_7Kru1HmSkp=&t%@GPW9hAHj({Cupf?m^L4U0{m$+oSyuJx|?l6j<G6@keE@b+N7^Ob6_01n*Ot6<1&5okJ5@|O4AEu51w2%ALEo-&-mc?U@MEu$| z8`@O;EXWF?aOalDStq&j<_!O3>mGgx)w}58tl%;3qHHTQJ?Uy(`nSD`fA!M5UI{){ zN+0Pq0F~3JU8o$}v1lEH(RVK5wr%0h;OKds4?W3s%7{q@E$Yt>$xng+dt+f%tML^nbDh&@WWg0r7= z2;JygPY>Bcd#jgVE|J`bQXdHZ3RE_|j?^EvOq_Rq<9obC8IcsJ#(2mD_a2+Kv~nmP z8n~%O1j8L(SiARvCpXBI}twzYS!FCo|birudERR8r1;W zNo9!Fh-K|0sRI9UO^vHMAc&k4yL4R1+(r|UA~gnrs^ky6+F9CrG@p4M3^%C+rVG5& zY-Zl)JIeCXPPkzY>`od7g4kB9&b(+;8o_G!{*FBIF$U_}GBP}||7 z)e~A(D=GZTuUvq<;VA=bsKU&59P&VzX`fCd6G)^BpqQGS3uI|FLs+XMycsp$dn9uU7dzqhu&nG z+bOZdIrx<^->0Hzx~^5HbNoPx;nEYmd4D$2`A_q%22*j)e@z%>iHr+zR%;Qd*HqJ4?$6pJVxuzb!?-%kYM4o3&b}*_`fDeYf==bZw7J zS35&^U5aEo<+#S3NmWC>_Mm?iILZ2sUJ&gF``ES7uj480AL|2Kt`rTF0A5|0zxT7R zUqLqDWYlWQO;hLP>Bxj^>+~hnj|v0oE#K0m(;s`Pz*mQX;=F+aAxt6N)TzWOyA)B=`*Qqn;}VqaesG25FNcnSK=I^$``ok^A>V7Af!S&m3udh3n;AN!f- zY`ZPZ*mK$`Ayz>Nu#;*2mvC(jO+Uld-2ph4+oSU>be;S4au!!e`6#7OmxvsGxf(R%WA)Q2)_@`cy-*f!~t$lv=Zx9t9s zoDZ-A%ycl<8cQa+_41ziz5%y$o#*xpLN5Ucx94t9E*2=WALqneL{qr1H7iIv_tB74 zjiI0OdJZv!R2OIa2FR)6+4XwOYi7`P)@)P%kV%GpxF657T=PoDk)u=g=u>t|@LhvJ zS0}zkADYoak}BiWB}j=~le{49bbuX1pkH|SnkqNTm5`8nfBh06xy47E=EnVyoX(Mo7gXeTp2KI1rD6v_5 zlffX9l{=uJ8?4OQerpg<+x3P24Wti0uLeF45P``;{U*@wH0v@A#VQLN^9$`mtknSv zB2#7>-c%gns^)Rdq5b%A*EwV>ota(0;+nvZqp{LQ@XR$2P!96({GXPJfxiifYpKG) z;P21fzvsFnpo+^WR=kVdr>o`J)|%YJx!MwXRiiI`cRoNF(_S+qX^~jGFH(J8dUocV zgyz|6X8MbiB$2Cf$2_UJaIEZx!h!zkp@!SjhH@;HH&wc1j@bwuQ4g z<1K zfw$zMw(-9ejNtFrt&Io#-pnI9k2m(KSYSg@Tby6}@mkBQE_VJX1B`;<-D4Jb4aMe_Lw&cu)VP*8*{6arj z_Gr@6I5pPE5tWCMu)G~EEy{464+FR45B^&zdq}s??d#fueph)XN8A%cIpwCb({{=b z3p}3dG`GLo?U3RuiDeT2J9!l4a4pk|kE^%+(@L3{>p|JCOn!GbWPKJfr8e=UUBGCKy`U zRKUUx<*x$%zQvacWPa@k2i&`90Q}ci*qU5bmmbS6jD+!KHM&mqHDIl3zm_+#&H7-cXnhp=6!s;U0IHU|O;D>i z;Z&=c{9-@Y7Xq%(C_!Qyr2$w{+L1h37t!r{vHPOw>^MNng0&r+bSUb%rS~U$$szz% zeAk0=9;M7a^#bx|y@|I2*UE|(t$m$xq0*1nmL75gvtH_(!q2-fm7bpf|GE|y9^PU2 zH>RsupIshY1{P;)&NoJC^z>YZnI0{07{ZjYOal;1vmuWgU|O`wkk@bVzFcM2ai?Nn zJ4a53MbE3gLKo&5Pr+SchmuOgq&dOKmUNG(?Q%P-m}XY$Fu$>mjcrt8bFTMowdnWz z%yJ8W0ei$+$zFI+e!V?76j=4Ix*zz1a;Up@zE7GC*0*eKxrR5;uXP$1OTz4ahKuUkK=C-QQrb< z=?>0||GLI%y3@2AdmPBhcR5Zvl>rn9L?rg9S8(+Q!*2MhMWqS5c~!KXyi?sf4k=#H zyCG|~jGQ+B`G)6Z6)tnSYe>7!FLM|@}8IRtxRMt+vP7as8 zpWWMOT8ii&0!DD+%eq!}l7+Vem3P$ptQo$EjZAxLIugm&IXiVZGVdw$D$ac^wUqYC z+hP~_EzqA{?c%GW6A|34Y)PQB<`}6;e#P9PJyt1m+$*BeU2rWb{T?NVOCU@JFjlF?^PILo>}0(}Y~4}+>QN`3~7cr^>lq3^*7yf#ASH2Sf%`ig%^Pf3FV z6|r~8CO}r)Wnl@;ic`o8X817r^G7 z7RoZa9R{{!JJM@?1_9#|p2(+F5#2t}f1~_Xyq|Up`;CR@wy5d1&FL!(Kpht;@gkP+ zxB30UF{Lbn0NGlFF~-cXT|7GCMOT!$6n+!jUp5XvCcwqs@ZGjka32Q@^ND+-ngme+ zSo)k38Uxo{{UF7ClMB|EW^w$Qg3Q+ExUU^!87~16$(+(??G023QEQ{+M8V6x0h91H zwA)}nL_uTf1(JRE<;B4?-#NJ#U!hN{LhLmU>K0$T25ap$?PBtzs(6zOzea~VoL`(e zSFJ1vh~v?-svGZW5YyLRX9+uJ59Dd~^KLkPAq0NF&wHt}Oha8779VJ51{SOZ|ILoJ zv`CO1AUkV0%aq`Q=Aen_vBBU7fUEjQ5R;+Iu4~{_%bUwp^~w7wfPVKWJaoyXL-sG= z8GT|qj+fAHk$%|-Fh@C*^WATej?|VXlrtW`fQ{rw&~<<)D;Nm3%KOw^dPX6BB)N0N1Lzr*kCY|~c2JJemJ#4Cy|L`OCWpB(0&^MyneV-yA9G$*ScA0c= z*SOMWS|U74ZH{?m;OkcKVA1dm(*o>=^Of0tV3w@wEZJOJ)9VLNOy|t%n|@xq$|D_f zxg2TI@9~k5;E6Fq8!uTi1)W6bF#ahrVR(JtHJUY7gTB`b&rrEsyp8lTsC*F_0XA?d z&Von5Zbktcv#-}t#YZbI_x&hgJoaqaLf_H})HwnyQ|vFO+O*72qC>;4R!aO@t9~o3 zB}fN?Hm7UVFW%d)WZ}{VzFIx}y6z`5qEeY2IjldLzbYJtep}x=8g!#z7r`MTh;%v+ zwIj^TF+oyu59?rNU_0>$nZPqG=`q1c#zvOnKaNkMbNCN;(MrUQeD`f;{y!}d+RGr#wlNR#*1b*;ZaU1&T_2LkIb8nf4?@pHWh~pF^;2=JTXXZrp zgPGn4^5#?P(PkfLCEO}=<5q4%wgF@9o>44S9NQB!jtu8+8_;@#Z5P|YhmP-oyz^;i zgs$=j_19mATt!tKof@d4y_SJW!I!0^J&M_UHM(}QQFr&zsUI}LaUez)9~lVP!>kf% zdu7g}$gyRS>a3wqbZm!3?_NQP_{u1+6#%f{N*RyFb&uo~{($SnW_Wu4!VK6$n??*% zpRcLVcZ*Y?dlV){2dGt60o5tglJP2GALFrGW*f1n6F3pQ&TIF;`vdI{Uo&OitpZ&e zf`SaYtoM_EY5ohf)0%fMnhI_Gn#x|TJ zu*~3OhJA~&K=uJRDpum}-9->v&Gthds9$uQ8isIVoj8j1L%#o}I^GN|!`Iu^75J_J zQiRRyplgeUrJ|8fwiHGJkLLus+=9iqdOLPRI;q@-b(WDVGTnJ31xeyM3co`&SI?_LM%kKPa%~u$(;WfA?ea~_Pwg%NH zZab)lv1WEjz|<=CL;3E=bdR)QXFCnjXz)vo^^qYy-|L!-n0z{SB86 z>8gt-iffE0>w7-*0P(;^OeG`W4hV~i$4Fc{4wFvN#AkrG>bc;Y&ttCyKCZVu!sm!2 z;F)KY%TTC0N||u>6~0E!&d>9cl^g}YOlk~f3FQ{H>YHW_cuY)p2ZCJeIOEPG;Lr@% z;Werc1bpY*12((7ouT0;dCU##Jguo~%WK$Yd)}MNsrBYK}KyBDFH!b z;8oOzw4fWbkCnWWx6|FfyYAa-2;ch|`Ux=SG~f(#gV1Rj8t!0*e-1lYj`_sLv8*A$ z-uQ-NFhVs-gKcxR(hp_QWmW>5svY53nY+G{ooeV8kLJII50BPx&JDlNs+GRxTUARv z0k34K!hGV1)2cM(yy2x`_Hax{>0I6v)vFZLqW&rPP>XrqECF#U;Nf2wt*Dp0ugB9J_E{r$CJ2;FAac$ z3#(GR?Awfw)_H|a7n}wxgRc%|<(p|&TNCSe$qqI0TOWOjAy_N8+q5wX@J(P!1?f&X zPzFGCH|8LCCO^Fr_9ZMsnTe`Z>_m0KQv};7hxF#WR&PXU40l)IuOc@t9s*EOBkSLG z8;>I+^76Kk*iyBdD>`W*fO&;8%6n#sjdzt)1&fhd11$XDdrE<8>Df6UnZ zpA4J-VfG~apA|P32U9-(t-??t>^&WcerMH_iBzjQxo~j}fc6}=QqTP#XiqQz?fJ@G zJ@Qw?y2f5^gKlQcB>EC=$gI6eD6kwOFxoRQyt{1Cbe)azXFSvbjVV?H&E8BW%01v+Z{FH`wgI)o0(iUyxOY z45agtFufM)?4<1FkH<`$BOw@J?D>b?Vaw|*(fU6xo}10*0)iHtG&8G9h&u_3~jog(Um&X(L{x(aE3w3Gv{Hgcg*Qq0l za$>J;(;s%n-nI0`w%=bN@_Y!3@u>AqQBaTb!0@hG18<(?j~IyjW~W6`Z^o0Xo_qA8 zPSj^sGrpDOCNZ6IvHZK+F2l`Q*fj$JpIoC6S zUWj!!axd2ALL0NMX}gc}##L4-qACp>p;oX@E#Kx8K(@z19oQf+qn8yWE~lKiW6;O( z+P+6*Q$4=s|768z=&=8f9c!S>QVpRVsfRgXadp@*x^93M zmW(Bn6%pY#>Fc+5RDTLv?Wq6|0B?1M29{0S?_A;hGEjdjY(uqMlp!bP3aaK4772m; z{wMy{O|mS?k7}099xbum0TLOtdl+{i2GXlO{fK!Ld|&Nmvmf05-41W{qDm|G)O_34 zj-u7qdQa?*-sf7_NIG;b{*-!sGR#?v7Y^2J0#84Z-5j+3c%qLPxdDqE7WY9xd{~>j zIG>;pw$Xog1T82TB`-WpmS;fotXMuRzOT>BZ!S>mI`QF<`A6#qThhgLm0@11x@Tga zs0tI~g?IlFezpqmFM6TE`qisBTj&)UT#8fSUcknbyQb(3bwmR-BX9CBJ$_sDlPoxi7cvvw+@N-fWP0ykOj2H|=jYOA}FSM4-zF`5s>3jT*vA zdG}@PTjV8`A6xi8J5?ILjjTEpGxB^Kp$m9Mm+P_G_fqTmoA9(1KESvUqxhODTQVnn zQ3=dW!E^%rOA`B|l3NU=Qf*aLxIc3)=QmJ98}W~iA**fjFDm?PM&FgTO};f~xI{XY z$*9nvPSq$`2x8wZD90h6fw-@HdEMRP?^N>9zXKeXxA+dqOah=y`juT=^7>nn_(>S? z676k9NDEce-g&W##IrFceMkJ}azjP-vR`}MZ7$`Ucbi3Y|6B03(c+r1o;D)Yaz9MSrgz=GVkiuoS0T-KmzTMAv6=;PJu4R6&PRN|{k zADt^`GoF6b%$7R;TREe(YA?k+a@kI= zKRh6!xA+=hmzJNdxsep-06GhL%XIsu688=}#!#yue@2%UB3mDBxS1ObVg~WidhXz8 z4R@C}rX~9SU6@b44=$4JlmNg3(ay&2B2T7TbmB_1d>H(((5nPL!ieOn>S$RfmH94+ zu2!L+Hvhn}qyQ7(Gx&GCXnCUMa^Xu=adZLcm1;o}+~;$_X{9`iM+K5n=pwJ-(okTGw6#v&92?B7=({Ywt6~E=H-rtcZ9J--US8ZnXY;QGz3*{CUm5sRWRz#P?dh^RPl;lM>{F3vE${8v?b0}Qh{UJ zGI#ZgxR>nDPAg;dghL3UMtNGC>^d`kO<45IGsk#m12J+YBvAcm#J(6Uuh7qRtxsOo zhqiENr^->0qvWi@jfMu021N2rYPZr^lItB)v(ccs_3{w=(MBUZ$yKmu6^R!#a)bu> zUk&j(B8X4sT@o7QRDVjk8pw=sd8tgq(e$IRhBH*=_57m|1ZRd|uXRgndW3tTZ)tB# z(UHZRwj}N(#xC_{{?`7Ot$F5@xY$FKCWvT11jNR~W4cl!{#}#%B2jS@JqDn7*{(>1;E!tZ1qaxv(AM z!8|Lo#Q})Xn3Q}~M%aLsYkA`X8d`;a1l>@*BbB}jV2??-Gpt)4r?cK23 z0o`fBElpl))9~>VwJ~x0w_rE-jlAb>*)tjpJhEPrA8q{E>1osC`l-?+RhEnIUq;F6 zFJ>R12hh#ez4L7j_`lG~;jGtkAIv5y+>mQ_V%ZtAuP6bQ09(0c9)C`73{_8^QID|4 zuAD^1+kYKAsR^3CeR+!_;MZm?ANWmbvJ zL2CY()l4At=991BE-vef^om`Y#siC;T4xvr zs4d_(P3Y!#m)G9e`mH_T6S;|UELX|$`qVVKcXRYE#G5E-y=uxf8`i2a;#W|wKG(%= z8YV=Iy_u|g;iUuLRoBGdT0TWQ%&&_q)F z`@*g*u+xYH!Y`mQN>zmY+}{d6(JFNJ8QoMU zC^>a%5h8UbQ2Mb%f==c%(y#uL4?Cx{ONXK3OSB z&IVngg)J9KBi=RpEf*)3mbE@vDZc6oFd<*A6t^nzlC3Xk#XbT6L9B1mEn0)VqF<2H z#xE_dx_S^tW>v-5yUT?;EvB6WpP%-S`7`K-2hfzKs@#g^cgTkt*S$(AuPD;x!>9~# zL{HF-_#>0lqnI0#BOOn;&*w=g`JS?Rv6}D^5I*r|&a9Vm6Ip*rpUjhT5*Ad%o4pp$ zPl+`Ve#=FFPgTW!`SL`pHYMQcurkYADrUFXJwac=0l&Ca32rZVqHQYzCsLan zf210_MfN_^Jv6W0(V;**O%D8j zC0zMGb9!F=Z{bQ2!0GV`KqMaeE8)sN2>_?((1F@ZDQZt^vd(utx}7+;ru5mA7`u>pia4tL>5Jt{a!)JN3RYw4t9gwfwhG_bMbA5mo3>m_dQgR=2h zVOT=_m;x*6oA#W-P#};W8NSVk$r*p20$M19m!hSt7v;}md~)nW&we!@<9{u3TM-Gf zXyG^=^yEaAyA!dR5H)M4nI`tL@wqQ5a%|=o>*P&V<}|kTL7?Pg{fgQ8!~5#qx=zF_ zb$Msd?%Q)<37u-5_rq)7y7uytGN)u0*f>uKd-^f(+L$p;&=`3(Owzeauu?|>VZQe#X$MVtZ3yeZ(vRum>_R=O8R3ukdWxoR>b{=VNJs~gq);#L-LAu zy>A&6zDk>F4E+|z%k}idY*FF$=D>21b z7ZccHn#Nwyf_%mo9gQ(W*GJfYupZb(Z#tkM(aN+7_{yuz<8yKwCapG*3a!SE-I!iVJ2) zEiq(|z8xWGjsa8i%}^TcR%aq64_xcP{Xqg6K@K!G2$PQ-qRGGXGQMDh(?3TAeGB`T z03&K8dd8xYvXHKVxlfYSYnv735Gb!{vL$BFNj4~2yl;nj7(F=2H=;k%c=Ed~a=k7- zJdUZ}*YBI7*zk9s_^t~Z3u&r^lt8_r84@2)@zOfubP(o_Tq*T~g=?Bsbg`Mso2fJ~ zw0=O)5*s(*75CG3Hzq31RQ{CHYZ+3o*!LjBSi5?h8{9%oa?Y*cV<^ijMz2Eq;6M$Hzx?u+jKm+|SH=Z3CX?LGrN8*$AG8gKe5nBooQ z&b`tKn-o}eI+G_FXPbgPN8&@K>O_wex!-LOqHlB`8uB)D4pwL^>J!>&+{5|8#2W6) zu|to+^sPHA}ySQk45J`_s$kX@VrqYJyDBSNPfj$XyU&}EbnziV|;dPU_>=teujen929j1RR9{`DQ z`sUu8p0M6V@JfnBy>L2iSxkxCP?><-!DI=Mlr>}0I>D+15GkN*`q@y@&(0d!HpkjD_PS9!me5XcL~^01?7%4@mY#C2s}^=i5n^@qy4@a0-2? zaC`foHIxHM`(vg7kwxp0q`_DXb58B`{>(1o$t>y2mnRsoU(@pVIeF_Fl(Fb^=cxOO z)1i%w9+e70_aI~BS`65P@#$lWM3@F6jm9#05I)^p^PJDKF62Y1A?+6NwnzaskpdxE!#Y)*M>^Vr~y&xM1)9=Z$Zu=4B;!ZgeF055{U?j_Cqo?;GVf&o*P z)C^_=CB27tMFFB5ulnujkb{A!gP3!@jeF(K_;8BA2R%5|GX`)&qOi~45Voz=nT>6q zNkBiL4>JGMPC9)Dv}5Iz{26K+CG4n1mTWiS^hS`a;|bvHt=s+h?d_oLwYY;Z(+F+C z>3(Vri18*%`lsYJOTUKGPqdY+ttv;QEJ?I;wC*^qw00pM$0d8!Hy_Swei-)~!@ZmG zH>l^0NS6oZs$l(1#|V}@m#H9dPqPKpnRJSH3(>r&dDQL~{vVy2(^P@{Y)Sy69(Y0< zm;BW8s@Iq3V?(q&sz}fWXMvnDPfuz9X~LcR{=O3Ql0By}cq9jSC@pHCyopQx8u1%9 zIU=dob8ZL1NZ_nEi~5xi#&GAR^pby^J@{Pz#pzW-1gm_9N6;68FMrk$Bldmc+d6um z877=z{h4;!Byh3_{3RMFN?nq4?qH?~>I_hWd*jfc{T86*PYY?*iWE`qQLCsGbDFV_$B12O_&_P6`1VltdIuU{?3JM}ka2P-!Q5oq1f=Uq+Dbh() zNF-%t-bix^u#RccH%B9c^hTmVy0&=y-owg z>}F*u_ZlVK6!5Lr&7bEQ$%07HlfW1F(d%XM+r)!9-t%_@* zZW=-8t1DaHf1m<=XuT3me%PGa;+}o^&-L_0t{g>Xbe};3V#rD~6ZJSM)z4!PYn{Wu z+zB{sw}agn(0O%BHiBq`B{Tko!b9*+Z!q5WWp}9e8o1??xxvgy)Qp?-6ZC8IgQe0z zhdvEA1_fO}@fMg{{}+PxZtXs`IuSSz73H@7;l`eY-CMFo8|AUE^Oje6#$wR~EmNqe z$4anymqx$3n=!UbP9NvkA<%7-ABMo{6!OR0FRr`+*O2VRK zK}hYiInWei9eO0kPhV8&$nizzclWYi`m4Hk_fvQ6SrPpE0A0od8BmpkF)P2Uxm; z9NiWNIzOYcyi=nWvbTjCWv7oj;8AXr6kJU)nW6CVZMxSLYFTMMvez&J(GGmr13*Ur zLJ~%aZ>*c@`UL*O;k-t>%`d|^Qg@T^=gIt!;dCDoC|;Ids)vz`sJNbCtBZ-kT%LgnPgp9(QakhtuidZiVL8! zY?HN%DyG2P=AB>UA&&YK(wI?pr23 z1)`Fe+R&M-nN03`f#af}M$X5$IqRCFPZ;E<&-%T`r>B7!Vs|LJw_pm7)`upwes-*# z1eo{f=9nE6+Y@AL#};I9&jjUKF-8^TxaB(Ha1pDvs5V6kanlQ8cwMT?ksQGoT=wvb zR$NQ&)6uMSpu>t=LncX$0l>&vll^x-AMVvzmYrJr1npx^{m?BCBDoI(i9KyO&?Jf} z_%+isflz!;5WA$)j_VQYXQ)2_hH}&eQX1X={pMSM?ZC6Ok4YSG7=zx!8KLXkPn0vC z4`<*mSO&E!V%N@rgODC0PUiu^bo09 zSZ-Dqs^?L|PiSTOtk8|1IEHXm=(EXm zT+?s^4cgmEpohqhzW&3hOrW32K7U?->LaB2HX^ z4Tz~|r9sMx1+gv4zuA6i@>8o4opp!M`s)~Ckv1^SGy))FdM`Ph=Bi&2q<$tlP^wmz zYD#+4U-u1`zc2N3EOnVLkY|5|4r`+D|f#7r$Jm-zT zJDQYxL#T)!SZqrXRXN5e+Com0>_F|{HE0cklYqItnX|-yc)c~0S!n@MD`O%)7VM6B zAn<->l+=35nVb3CC`mU7sg;)s$t1z*ZnSVyAacV(kXyZ0%~@v2li6F@RpccvTIzC>B#G??GjoIDz4^3C`& z5i+uGf^S641om!$$6%RQ<*|#J`;Czv%^-s?;*^3Runa~*zSfCWNxO>a*RcwVYB<@Gu zg^dTbiCO&~FFDmU2{|kK4JFCE;dE{2>n_vph(sH~{%0*WucrP?_7fSU^-8xo}akBZkJY>W)&OC)0J>+ul_T zKhH{{EZK0cR{I9dc+8x_2k}(%XotAi1?@3f$4oXm43?KiINXvYA!fKIKs9p@qMHg{aB7E5~Jmdkr&-4KsW-D!?R|cL}r(zp8l8e_r{})*V!o> zfPJ60yycgKom&LNAJQ&V9(KA0zX32mAXPRh+{Qr+x6YiVh*tpS)Gy10O80o-JZwQK z2ou7GsE14#hF5@iLki`*odShEuf2~^tIVzwAFLplfX~v)QHTMYAQ8_Fy$$z-hG-+YiDkSN3O(^4GZ`;&$dqj&+mG;cBW$gv*+X zYK|$(w2DnyDXWPn{pM63>Ad89CZNcax2F&nJ1xBWrTkmmUSP)ZHHp)hx=_6^f+9qH zBYkV$!8*|@boxR|lX|=d9Uzzhd(*3dkg{@cIrQewnfvSF9`v!wPz#cx(4jsuMc{be zI0&moNA$t)-2hqUEcea7aA3Rh1*_bfs2voC%3=4J+-S1*id+u#5%0%{%X*6;$c&(f zhfi9^jd~>8pqT&`aU4nS^CFz(S_JAv!T^S*A$-@uZV8WIPI?54jE?>-MxJ>-12N7X zjr(n1s($G6x{#&nTg4fKyCRq-u9yE<_^8S~x%f+*nyxoeYhQfe&&7)ybpbX`Vd@YG zqI)n{=y)ES6FsI8vn%G&Iy)+*EsJ$&ZBpnm9jH(B+!_unIfOApWqnEnU_@ior_#JB zoFh2sEAy|{u;f-np<{tjQlcV@Rd|_4sfTHgI6Sbt{I5|GkioSJZ(QVQm&IPcR&vrB zaE3poo}%x!D$F!-FOR*3kmkpk=7ZoQn@5J1c$#CDz{|dS01GV_ZbG9YW8{e*c?@4n ziooScNy^HxRb&~-gcw9Y{t#Ud;G{TFSiO5`HO_G~G#RNi=G~d#IO+|I-(NY@yTA8f zWoqEGh5&*Ax^GMXN5-BI_X3hQJxCdGjly2{cc#bID$J>%vS5y=EMngX>#JoF?4MOu zAM&eMzXE6p+JSN$b?HPOQ}-bRVeEY1w{l21#rR%Q?C;V&xbDPq9qlQAE3y!)Q}u6{&)0Q5B67;k4nV z*kJ@gk=tl4dAtEx*pmmo^$J%ZJ|?gkgM6jt`X%M?JQw$7h&k#EV zVVi-MsLs+;MnLpV=RzqqDlm#>L_2>$cvbSM53t-m9)+oWOx9nOEiWN7OB-Rs!h4>^ z_C`6F)8sQ1>9f-AgdHtAFrSWrcQN&Q!OeB00ZAg~F@B0`+>cUVXgwrCF_%t`8D}@E{4`B*c&P}RggVB(!r3u^tMoj; zs7IIZSK@ENo&e&zqBm%nnx{ zRS~sEK)|eXy`S#&(sw>F44|q=^8m`7>@2funLl7E(tZdDB@T%Ph}xU`%V0??IZ<4$ zOVq~~xE;JbtL&RREv?w;#RAsr(XjJ;AP=aaU4usrJ4KP~_d}3-Hq!zTD$GL-+5N;c ziPqdkN0ni>-?v3UMpy?b4DKcktPmUA5|dp(j)+WMs@PXIANjl|z@y?Sb*|c0Y9Q zvuvrdC?EtMB;~^X0l3KZ*`eK=uis+vV^jdTv?#c+6@5p~X)O>ymq3!RYEXD1R{Ij6 zy;ow+r_KH5MWR&IIWGnK2)r9;h&&n~b*-F5Z#vBd0N>gAlwSZ)0q~B+QY~-6ElwcQ zz)c|t7-ei6C>uO03zRB(5tOzjP2j0js{b-IzSD?WUYOJsuU6)MH`!I80vB=+QNcVh znEmdX!)1);l195}i@s?462`8w>8gLqhQip*C-94~e+nUHxa)4DS;wu>Uf$pW;7FJT zG1Frpj>1?d;D+-HTNOD89K|i(zaCEor!ONsh8OAEym7 zRfn3s32!`ch?-t%9fVy@^P#o?JKP{x=;4F8v2_p%e^L9?FR2w!@0-%Rk#cQZFLI3w z{9)Xl372n>>8;XDU^HM7QEkj6xiuL0ki@R+k9pQGDNnffE zF1QxwrC8w0>1MY8xddGRfGh$2)=q%_GV@pOI@|39Qg)?2gFX$P9w+=6J^K>JQQA}P zvlSp|?-brRbUmO9=SaU9a~zCVldTx6_PtcC5MqmYf5)FDHOC(QUAIMadqgIJ|I0_P(pQx)7-GD2|1Uw-A7Y zbtoTiqsS@(vQ&!Q(^M1qLEs^AN)iJ@ z@UE?mYY6`jk;NU&SC_y~1%P8E+j@b4*(e=i{i^?tq+k>tKx;5%DN2%KN{WkGp+JZZ zUu+G9{WGF*1#@kbW(L(~VY|BxYC!n{Cm;#OWC$)_L3l6^F8kgDR+2g_3_A}AY6X&> zT+uh_*09NbN3U{w+51&mAEbd$u9t)X5Q1OgvjAx~iy<&-p@bm}V9%a|oxpa$ovrRM zk$!pu1m3Nf1ywIZUoB5=kdUcxQDR<`^|FdxfwznZlK$o|!g3g<0C4l4yvUGIb|bU# zua(=&!8awLf|kQLGq5W*GDCC$e1S&_GRFaAoRKq8dR6E@Dn$)Mt&?C;3-JmEa! zj8VJf{O5y%<>?}mwaGyjK)yB~)=TkYJ;Op0;O&8M#WM6~l5rrl{->y2;B71x0N2ZH zGLapOKdtwf>&ul{N?Lh`E3SIe`fFi%W%(84iR19HfDV0bJgfSG!0I9vG)7A%?%Nl- z%v5hD^!&^>lCSWn6>;jLw8_mqmmpm%dhi4drbQ=ov{pcS*soXc2{fkJvTZQ%i-A+{ za;4E%xN|QeJ?NohpO+Hp(VXi*{KZ;V5bj-q0SGv71;YRV!5t_M1ri z6`Tq__=^Sr=dl0j)oBrH=@qHH(#ODZnOCGgpUFdNT`IpIxEzBxu|4-|^~J z-zB~q4w&MkoI2a0PXWKK19*Ci`|=1dQQ|Y)VbLAB?mxO6!W$;+vwA(^45aod-$!uy z1OIG->6Vxw{zI>s;&dV}$Tw3d?zNRt;_h5P1EYT!rU79antLbWQ7g%;q71rD0|fkfb6Od<-7 zQYN?twMF{1D!$Kt%rRgRld8R@^k1r9iqSHF| zP?Jyr5TARzoJs-eis?0_)>W)~BmRRO)@AmBEv2=T)zZ)D0Om$LN0f3qEzJZ}>7 ziao5rJ_N#gYQ{?PQ&AZppS_!;u%l&BF${>q>9 z0s$GvX0< zvALZ`xwq7kCzGS_s$0{mjGdMypNQtP^AHa=6k-&&C?@V-M@hMFIE0Eg(3YqceIz5e z0CZ~a$A+Vr^<;% z02b1-kC7X{VZGJUJKp>&Z?6~ZhORzP=2%Cj^`%!<*T*Idl)lzWq7(sq-~FqCsJvLwtn;Dl(S|`2qqGC?O=2wC);HyF^s0@idnNc5jBfgeAe;M=WD7 zlvWCN_txA?ScmZD7t18kzO}HLI!)2b#+gy($#IutfP3zeEDfrmE?1==$Ucy|{GIl+ zHHfpzd123pMWcH%MP9$9{#UCV6vS(H1L|?0l`F+d=3tAXBRPu0bCW3R7Jvsm zRVTE4q5v=h`w8tFNo}ISf+9eb!qu5QleQ=9z!CD?JJ5%#U|QV-AXdX%&hRKu1>T8x z;WBG=lWY{LDbI~MgqZ7Y`7g?`s@BYYQZ5t_CKXFNB*s50L`_Fd` zQf#g(z8E`R4tZtAK&>(25mXGVd^YH6`O^~Db5j#?R0IKWs{?V|qt4a#*4CZ19B7lU zLd%nMEiRaJBDNbb9X|2w1UEoQ;I_3-v#z1X1_Crj-K@8w|$v< zJ+0D=aOmB%x_up~Cxojm>a zj_-$jjeM((8&l15bAYd zvFPozZM78O$p9qj4=MG}KtNYwpg#AcGvoCg)VM5q&DLVV2z2yySogzo)~oBEtV4xm zO=Wm0A0qvX@GQ8PL&p8;uGcOXeLUe`P?z1-)l2#Q3)7+lxLExn%Liy90CdAK z6^~V9P;PxhChf!AQ`ihz`4&&Y&kT?5YQC461~F!H_O(JEXvfBn)F@k_PC*}ec>c&& zavnS$Gk@C83q0S`Gxdj7%$Giogag@g_eSr?0*cr9{X5wUlI4AE4Twz@;+$md@w_Y5 z@&i;?RC?mL9U~fZ-sn+emn+`QO=^ zCkr~uj`MHEmWIjA#QW~IwJa0D2wAo6<7hd(W(CgQdEzDMMM#x;gF+Yk?v(L%ybdTT zMOx1Z;HhjXlg{hSf-w)${|#h!sm7lzPH4YR3JG$!64(26*ZeuXj%49Zn-@H}>LJV9 zP~d{9Fx!+%O5xI5yFc<$pO5-B+RFW!kvs$GOO~j zyk!VfIUpAagG~J7`^0JK{mD(lk8jra!lNRYU_fhAm?>i~#CDV=3_z2SJ0@}huRD;> zU@D3C>*kvl^TzU`UPv<$2`h5QIFMtWyr(Q7tq|FESNz*QXGh0k_h#|pu|vP$GD&b@$_perupLiZAf=L=Eo zA14y(NAph_y=5-~gQsup|An%=W6IOT7JD>SG2uMzKyBB=Sm<+go9y$`{BHonh2huc zA@WG@Dw&0DdW__K2jKEuN|;mel?HJ}PT1VKsi({?nH^ysbH(M;G0{c6x0F)=cIbe6 zmx|t()c2g{{LqDai7#J6D7&l?fud$Hz3C0&~f^n z0DyV~EY%fw!qBnGW7Z(yy~rn^<#KZQ?0x7k>H+;IbXj~w;=ppLL+yZfCXG;>P|Le#>otp-zFn zg!y7tEK=92jJ?2rD_#0wHg4=UNeqj^a=OMsfBsU`&4u=AT0PKe4-wm80XEKv3!gwd2boO*ctX#!?#XRaiBGqmmoKVs zjKGrHF4AUaE`o0hB8L@5cS?vmHQEp&_pJfxT#?P6j6K^GTUv|i&?k{m&!Nlcv#ebb zqZhQs+mci)f28Ga0PCYR= z9uxd#b079;XY}zW?Ab*F{?#H66o%0-R2~-|w!dAv`61}I^ zQ?pU(){Ij}LSE+h|Izm5_G9<`Zl=fm|MRwOGnmr8dSh+Sno}iN@ed{Ac=y z2qh~0TLrt!j8}c*2ZG6&%Ou>Lx0HWV{tfZ-SuzVEQH`%ey~kwwzdcDG&de*5elAOO zF!Fk)Kv^5Ixj2n2@%){5&5v*RlyiCN6|bzSQ?@-ligX^T@W~^`_6)|yr)_MzZGY9N zbA-;C-Q<7MxZeD+jOr2OkmZ~>)NqnEu#1#1xO8wBX4U*DIQNJh{u{KaBf|rh+t$>h z_te~?IX>GmzUaQ_2zm9dzph_N3~iN#AG25qz3N=-YHVt72aULUjb4C zLD%v2_K&-V9-2OX-{{$UmQx}&Cmpd(4r6*#}{m@9$V`uuQ-)-A| zH~XceENEc4n?ApvoM<;%bl&8TVRUg^OprhNpEEUyFI$gbKBO&M*FCK}IxLvJGlU6| z)nwheF>vB&ZBu6}n|6~4L)dK)%17RK62N!=)}S1<)JO#- z25pM%jzoW-K2G69G#TIN0i86OwQu=EX*JY{`XuT1J+t-TKm3t9Mze1@u%=bpmo{^8 zn{B%rtrAc&uqr#6+=F_P%-Pel;(p8V+2kKt&3A%Is=pli11wnlCb#qYw^Su^@vi=O zF$fe}W?mRx6r(G90GrMo6*|A~l#_OmPU_uqpPqRe9+Hx$fG>wm>HS7+qAlr$!db~P zS~@ZWzVkkH*yM#p%O~sXW8IeKN2+?QBV$%`J+dtJ*>1df`A(Q{?S`#F*C;@E-c|d0 zUm3f-!rc|YvD%)bEl?;iugSQ7>%TCF|{X6WHVNVHC^a zO&ie#%snOYXKRwb|K1O8xDgC-)P4VY4dFezG~3m4(0NevDad;1IgNEViyk`f@!EPL zBvrN&JF8;!MZqewMs}njBE|(X3td+Q72`0m1N|X&|M>9kZ&&?|zCir(@i`UFv5}tF z+pU!1eoJTOf-FzY7k?gef&9fF?$?h=a@!9Xqu#aCM-$$5BnF#Po-RS@sKR3tb#x_&nz#pM`=~+HR5b9?oRPWK=T1Y`%lE5lLF_2nSAs9 zwLYEkUySPWIj4%%aL+8)LDD3JQ4EfkELDX-QyJeke+lpcf3ZzSm192o{tR^e0QcHc#OaUJ11PV615sWVfKZEI0KOGWYA%9?%z(E*JUwny6TlRI}( ztK_P5`tQ$x;%nOS(Z49XZ{3TTZ5#jPLm*^GXEA6sY*>L~9bGN>ljVqmM!}__4{c{$ zXTC|*v*w<0pI8FTp2wH&OMlffj|{}|K+rvuD;ia><#)_SF@RDdU&XXbt@Fq7zK8xt zqif%tSMCmwM=s3=0yc_(^W6Yaj&=%sc`l1zs(!`g3Hu^|O#9NByZyE87tpvb>#U9j z;N%sSlCTb}3zwGcS&EzKFdyWvMzdhO3WyiDVj#_Usl5zzf2#y=6P*827*UJr#ePxP z+65|h;r#;G-0Djpei~Q5drV{d^Cg!Xvc6j^m7SUXwT|9B|7Je(OO3D#?JjpoV(AxMXN-~*>>C~2`s8#XDI@Z zx{@!#UuMiBm-)(DKa3-v7(W7S-fy$_&w>Sd`x0INXgj&dsc&T(Hu>KK@3u;67R?d@ zW$T{=R>llSWFRzu@)EcohjAh!Sqo?7~r<1XvV_ zZ2wMn4O|5R5P)HlwMyOk?{rUL$v_$CndNN&Jy^e_w)7|WA(?^<=p)YEi=E)4kn7%2 zp4Td01g{f>qut3rY+L9*FFLGH;+<=0j);l?;s($%Q?|YD-h04t|3AZdeoo`~{~6BX z_5TIV!!1AD_W#=BWbuE4^PK%3Jx;HI9w)t!yoZNh9@wpUsQ-@__U+@!+J};^oJv+c zk!JtfMdb?RvwMCw(^eeXqj1W^{E^$PLdMDwwU>`hCEv3@@HqT8WyjNU&O6mqzHJg_ zn#K~>62~fCMXVa`rO|xjGZ-(1ooMyr-8(eCR3V=>u57nn+;fNcWx)tids+1GmF1=n zfxl9SBgWh%)iPu-xG96dZ4SU>>!E2NWv%9f#ac^?bW7Qf2fVuGN`3-Im%^AN^pJp2 z+KEy-O#!BX%;vW@xqXc3t}2SN%b^i^wBWY4_>)aK=-AkWLQA%AzTJ`^Kqx@s`Q!ys z!_atNIKF@~v_S!L*WdLV56gy7qVYXqxC(z7z&n6JF&{BCboWBcyH?`OINYfr0I}M53wI5&A+?Fpbe-c9b&=4OKK}r_ zTYj|W&PIN=U~HwyoC4$YH{uYnu4aq(7qTQPA0V6bG_(BGxaD1?kWJuZU+PY8+Q-S7 zleB31Pd-nZzsF$W{Ho5{1oqpPw4vTK13CcfNgE>6N~uL;P185lyj&74Y+>@dRpf}N z+$Gqwb1g~s_d#4+RNa{&Zs-hVOPmSe(aXWOB@GbRy3!|V&~-oohx>~=pF^+{Q}_u} zyn0)-Cqx*3HUVblb&Z0h_pUrK%Ne~)yQZF zzjFoMGeRF-a{awd+xFeW2gVpumMDBX1EtceH*z(4j7rA*);|@b<=5iB>rjPr>(w*w zWN&9!M#U{WL40;ta27*oTCTfA{5V$fb(ITFg>OiIlv{?;wx{w*>zubR!RLHq=?E*k zU}iOb%&e)T6`jcK<{E#G7uTGm$Eu1)9(q%VXy;h=hEjPOlBzXS1S5U6#aX`0~wkWq2;70*4Pe^#%D!H9Dj_WAC zKK7%NUl|U;!(J zP{OfTiLH$FD4l*1*SfX-2HqACVYbdp2Teyr6tQEL=6OaRLL*|oTfWzp6p{wRYg@M$ zz^!2)Q6bV^s>YCLEg~E}R~+h&70jZBx8E7Oy zFk~Uhlm^E##q^r&{Ur~S_VIqGM2}dJC*#M-tA09Q+|@BI-{{r?OAlch%XnosG&W!f+xVV`Szv&z z`5OxgMz^=nainkrKgPpM*j`Ar+g^M4kp*vIgv&*0&vZxgWowV7VGZ?sXssE2TRk4T zdEXM-l6lt{E6xK^u8iMqyw*KKYP%j}HrvDSvx$k*X(Si0vV7~mf{x6LcGs8%n1|Aa zsfZbVYmFJfWMQ?0yd7HB>{rD{7`(N*9W)M+JRUJ6@} zB>EveV$FA$fEX88TFzgS(`xh>B%Ux8om+z+ zzYZS2tY_oJi!(*|FLXbO)LIn0*4P5_SR~qsgk4}|EPtP6jm0ZV&bDi3fNsMu3bRls zVgqEhD4pTd({8vVOvd6Wt{#!w%w76Pfm;ff9kQklr=Gzbv8GNi&X8eyMCVrchdkgn zzjMOK36yW|X@4*D4YRM(3?&UU<+RUOpRozVz{cj>x4HpTpZWyN)lJ6kD}hH1;*WhV zkOpNzJa9QcUCOrs*T-#^j`v!Un^{vuqQ%vx4Ry~7xat$sB^y!8ib5l(7@ET{3&lON z7SS@i;3b&5o$AVn<;Sj?uutqh04|;uOV)eaw{E5jIViQCYLD70!dl~}qV{5I_c!4P zi(SU6vX<7!Kj_j0#<=9RHO^QJyus_Hxjytgbg4PT3Ff`6dv%ce*h-#|o)WeWo!^g| z;k3AlUv`*sra}j!i7v{N)8c-AxMcKQCuB2iUsewPatslY7Iuj9v8aG=MVs`Yi15h! zbDA|~kHR#`?X3@a=a}Gmt(vDv-u2|OZvOuy{$b6sp5NPkj3em7p{dNF?5Q?8d_m&V z9NzU>5C5XpzZ2wR=YP-WvV0V}hjF0R9%^99gKcCu&TI6wfab7=fLCUrdmHfunDbjs zQ^smNr^%R0Tfr$|hhj=ZT@In>`Id+5oBW)<7W|C_`Do>}WcB1wUF<|-ylklnv!OJv zIe~ych-y%S=oU-spjDGY-N3mR zXI3ajytqneh`a@K6yheb&$-F|VWm9Pl(xf=-hN%{^79AN##};4#m)CiA`^azmb1+! zgl+GH=l5_wHhl;p96u?&EQ?O_3nfI-(q*bC+2f>Y3H*oXW22s3q@xJcg4mW_<2#TC zkZo)g!~&+T`0HA{=%Xg_Pu*N=gXoMdu~en0Vtp7|u8cdJ6}0W_4NcBze&}?U%uSd9 zrG#qM+E10RU$@CPGXtU=_PKe@Vo2cNT`P7tk;0YIr;8WsPtB1F6YsDkcbxcnD_etVWHjfowAzl{x!cJ4DlyT9y@3QUpcDJ`5cfpN}CQ ze1rEmgm1Toq^E=)VnBb7&RCpa@1X?h=^BXsnAe%gRwF5r+j*<}+}N4dk0)GWWM`)g zL>}ao5O}esZnCK;h`j5_Udn-)AZ}Wh#a?TE0W5h=uei<)Sg*mzBl5J<5wR~;t2MFh zy;yfn*XF}-9%teIX?Do+mXt59crUfi9&>gEdl7~BWjDR!h)>uyGnw{GXvhmo2y_Gnww%(1jC3Rln6&w#Bl&rJzkm*n^I z%Qh^mvY!;^aFzB&CO13LkF<^%bXhA?1MU7UwsK}xjs*3E>petXfkAlt8NLOvup`Le zn0XCrcVKWZGG;#mlUp9)Za36wgNn*ntfVxO1^+sZiKa9(fvd@HWX@`(1V>@hx&B>d z8Ra>^l+mCMyi@dO)wm4YhYhJ^M6+<(h)#OvYfzX~%G__okr4MF%+;-?a3jK- z`3ssxL1@(giWE!bK62SZso2)p2RBG~Fb5LHrXiv}&SNgV5ymq}2#y$sTVdYxwnL4* z4Dd6cdNu#X)|o%J=&f0Mm4B4IP-_LIG}3&r1pkfGofdt7%mlT}f%hQ{OesCJIc@JB zTlO%THctF;39Z60&uD7r>j<>EtRID~+qurjN2}DTQ)lnuk@oA#WBa-+Zcj6xR?P&J zI1;PpbSf#U(1iuM4ad5dahTYiXoma`N~;pIh)?)Y$N(H?+chXOj+QQaH0NrwT7jN#M9`Hy`P+%-2g`W2~Ao40=nPF3glwwHd z#7R)qwFUJL9P@F+fr$13qSM3RdRoqDaZ($A3(DBMc{{>FLMV12Itg7{vJ&Jep|&4=)TTWDyAK1c%g^r~I6VlL*mUAOZPHbfC{<#KUqm}MXp|AioLB3M13&g$t zmaku%Q!KIXJo@PFdGE4nsj}$hYEc8?Y=C?n>v4QN?sh`z-0$xLKJR9mKs$x&K;xZq zr;eex6V|h>SvL7ME0Yi;^!okSRC|JUnQ>n6_JcXKlxj`9JvL?K$_A8qr4avHHa|Z# z2&yWrbqnpkiY2AE>`{2V`AV5|fRw@NGAk$|{zfRz7%9+?H-jr zl3eEMG<|eI4w4JMZRIrP!=^)rLl1+Kx%PdYspSzA!J_x1t~#Iq#ib;hmC)ST0PopIk=@I>E-kp;xFxM&rQ zF{h>YY3=5#arhFdtS8b2n0rwnY$!AE8fxJ-3p6fD75zoyl2HP)$~FZ0pcbnIrLFIp zKj@Eacr>uiO#}M6S~f0kGcM#;u}I+PoZWTxI9VTsT5LhqVOHjdfj_d`5HBxy-F37#`7pSXSvT^qhVd8ZM2u<*OuPNU<@hqaAyhHt zpht3Rb+PPB1Tf1nnmY^_@tk&FA7#0Jcovot>pQqo_jMm2gxd6Kds)U~g8lw`U8`o+ zkv+Yb@Ga5=U%tl#@4Q9nGBxz;Owb-F(g%Q4AmFp;WQ;O7QX8I(**mYfLi(51AysCa zUnvd3fXOWl+1D@-^6)c4%A8J@^|-NFn*pY!I3IACsFU%7Sa7r|z$l3w&I?c$Xs@Qz zn^z_;!^ecJ`#h4dzm_d@wj^@DRwU?*r9zB?h`Ou!-p!6nsTWqDuSk&?+1XG-46f5O zVQTxgJk>mZ+9;wkL67d;LGs|NpNx@d5>nb8Ki2S^i^%g(rltj7gz2QD#_@Vq`e~=l z@dX>*%HFX#n1xsZw4E8hY2rL_o1@7CruD4WJnxv zFddz2bMaF49`^IKGQr~uk%rbh=t^ljYA>E396}Ep$}GqOQRE{%PoDzNn3R>;6J-I{ zOU~(82!hJ zz2dL|-D;^Y3&8>>M}uJRiY>3pYUe`=i0OjOE3_Pp+q_=aK`Y~cjgx~K_Yyt#A(F9j zs|vElsNM824YslIr?E7Ji|8E-;RRV2myTW7II&!P0Tc1c*lWdag3q8e3h#O)`lt9_ zgw3VIY7#HZ#b$KP^^#`Urqsf^bNhb8N9IsK>7%h#$7X^O3x8y3C8fFPmG zx3N{*I%syGs+WbvlU}|V^~cAEZKr*GJ&Nx3u#^=yEn*OIFUxRVz1_G%?yR_Tv$B`^ z9G4qu zyx5l(41|#8xTvC33*fK8Q$pWj*1MKKt^%7WN9F?RDe0P3Elw}P{-ucOhM}SEVXAs|1=`w>u>Lsp z0>*<2g1BE3YjWpiF=IcmELGg_X{*L zv?X!(FNUn6oyS7Dj4zW4jjecPhKMN6iClF?V1!s@tgpLsS=*;6HXsccRlaM=_)Dts zSG2hyI(geEhJ06xJuU8N@i4##iC~@9gWjE6C1sW&SnYO;f+B)EucBFYlBQfcscV2S zAIu(3&>AV#ue|{5bJL1Qd+AQb>B{o27G+?^X+!6&r&jO*;%|~q!)$%BJuyY_&{N@I z!lRY*g^{&$x+6DDF&dqgE`g<2 zC@iLWgn0J?N>lIKC~k6HUNrSMvIB=;Vxy1n*ZzhNhT z&?A}Dbk@5c-SuH!8yX;xX&RSS&-}gOF~QBJgmHJWnWaI(lzn*n>B_Et7vR4xe(o`u zc{Tb=jd}*-CRC-U^RzpH)^I$K=5JEFZ9sywevC)h(f6SM}cao4Tn1zKg6UV>y# zkJ)#^WbZa*j;S)OVp|I!8wp3sEc1|D6;MEXv5n{E^Z_#93Zj*T$+3FxIA2X^>E4bCVJTg*Qs7ngcAC(X$>H7!E=#O%$z{41p{bf3gTq*i zGfwWW?q|GUmU=fQ%_;du@8lT#@mEh}HM=|PvstSUGrl3^1XQT!kt}7jKCX$K8J09v zM>lhaCMRBOqa4#M{YI$Td^HFuSk94A+e8cHvNz zitzQTfI~Fudb%zIQIH@nIq8No|uiQ5+H`g9N;Mw zi%gx#jfW}3Y@!wrdA;SWx(tD!rlM;Lz{RalI#Y;AVlj61WX}y)F&#MM9=3-RXb!)y z5Ly6Fc%=}ry#uAQh>C)Zq1#`BorumcoRfo~DX~RHemk~g!~Bm+l0TWG0-3z%$r#mK zqCNb>vh8KZ@t6``@-f17u1XVYP~$!(0Z#>*3aNZ=mwxnNLzyafiB9O`8p4%C(k)D; ztb^?(cJ7+L-zZUy82)$|%RoJf7O*+WfV+Po2`6$P6C)NdG@5d-HIp+yC$X?o**G zL+V0gMCB^iWr^%&E>Vgyl}ZaH33Z8~F)_??p)A>wge;>ZL@1RdX0l`pqwHD6G7Lk8 zS&SL8+~;+FzxQ$6|NM^MegEU&FlOHG^E}_L*YkBcU(bg{yVVQlup<~7bc<;`GAsXL zk?Geu1J2+Sp-__oi-)W`9f7XqlE@01CIf@UWEuPyE7^bsg_FIr?PzGk5&wOMN`qk*jUhsG=BVGI@jne{y}_*ABrhPUk6co7Hdq2al0u1Wf~( zH^teQUe;rPj;rh5qCy1)Ref%~TdNU>KbzZUG`dT}TqKra>4Iud{pVJbj^QaSjkV-1 zsO_c=`{+Woy;NaFYe3PM<|O?4ab{YU_}!wG^00Bf3>O(|RVsL-q``ePw zt;b4iz5?5g^kx5DAlo5>*WEiSt9Z_t#Xkz)*G0?*T;vEdh~ab9-grrcWT=f~Oj+#$ z&^nUPEw*L^>>IU*<|s&wMeX70^PhXErgo(jQt5o$`DyhGY0cu9OSQ6~&Ovsw>esM=2{=aGH(pkTvpS6 z4!2wi8Cs0>|94f&XZ)++GDtFoYaMqvu%vHz?s$(tkiPs$b?F- z;I$OI2uPrS)!J$G0ngJ!QVcywR0Pe${#~I{qs4_OPkB2& z_u89Qa&L{u9?L&D=rqT`%-tE$if|W`hMBAh8Z2yX?A+od%$(*$*uJ*R5^h;H*k{_& z;-Y{sv3<$rbYMj2Bmb^i&yd#=;m0xj<+Z~dEhXGi1)__qcC27X8E!^H&Cgc?_415^ z^|K6|E3}6Ob7YIjPyZdbsm&+}t<|c;^n_MRup*fSN`H3$l<1#njRah!v>Lm2fKm?X zY71X$x7P+IZ1pggm=;Xu^QmU-Zq!S*io$3HI@*1;Vc?w=923Bt8K@cXK1dlAeNhC| z%F-^U7Vc~H3kN5yAU$c1sS4am?*axZSdxt;l>GW&-q4uuM zvm&P=Va>AJqJ?|;4C!IGEVVE-R^a#sXC5MUj{thP1PY&)ij9!YvNZUo%gN^TsJ8wiYHJK~BLPOCp`0 zUc!+yQkwWWSPc)0@#s3i-HTvpd^aYXyf>px_rK!l6MmApe+W@pomISYb6;jeGWpI` zMy|Xb&t-A!r03yY+AG4@eP;+VOA`bA^gv^VNEw5)N_EtViKw36nxDJ5W7a>I(#(5A zY7(pPUhhhVB9MyxkVEhmQL};gFlUE;1uz*gVQiiuJ_1m!4FYYQYX*DIdSIr zNSjLL_4l-F%sTumybEWhKwDrs-C%_Aq!q!B=&zvz$ce%?l}H}TVBZ^wFj$pkJaIU& zjZsCl$kU(EnBVHP=yu5pPTkP+{l8cjwEmG+Gndza3IJ!(+s5Akh0 zYa4^H!47f1`OwGR)_1h^S7BO?#iN3uj`=n~Yq?*tz6eTa?TlZlfrf^gz0&Q7Kf`|e z|2^aTzfa%%Z*b3p|2pGalnu`KV$|EejWvAuLpIjAylwR;>QpcL3H>F-b7iD0#WY zhf~S&GGyRs3@nVw#}bMs-8JjIqsPLp>pqe3t2-+u4l{1vr>QP_q76`wvx4&c zWr-hO{wVLAWagy1-!XiZ(tSt$n(xg+`^Ic58oD-~*QYFF$z2M+e}i1Lq6HkC>6I8C zYYF%CzMNEDmg zy2bGCVgAOw*y51{&5#EWO*L^viUc>gc+_e7Ua09WLD641{Wprpq~`R#(unH ziTTHTMf}N1z)A7=vNht?x18v4sIp4gpX@~24xLFtDYOobnE zG1-mP1%Bd3$v>YZ<`$Ni<`qsIXZ-#)fVW*!KMEHU zu+lEZq}1>G1RzGQ^wdg(+ijJhbJ3vrr&dS8H4u5!-EbYU+6l|5ER|YqkpH<{oLXK{r~j1bs2elsp-Ac^-w4Ss6ipW>bSQVn#CfVGEunu5oIaA z$)kweq*a7F2k+L~c*u@o=BnPVxS(|RZ;s+h9FzP4x2nxl+jzyc&s{LsaJ-JIgwasz z=qO~1EVjv_Bd7N~J-fjTvLQowA!4BV4IWwcRu)~oTjtcL-L%*;c zsr^UxX=4IEMs||c_Had`ySOzQ=7`jV(i0lq{o9oCu-EOH(SQosURu<97gvHB*^mo0 zEP@YHKlYsO+D=V90$tW%YI0TVGIhzz8XGdWh5RjQpi2dD9x{*+NbSQq^F8Ob5vzWw znbU5-A$0J&;8K`OdzUQ!FIrBxvcB-qipECl%CtE!8>MGp|A(|d=^QgA;yZSyp*AM} z_|`lNJ0=yAasl>KwYJY{=U3>UMQDny7gufm@Z52}Lah#pe!i~2=soJFlL@k*9yPKa zG&d7M4ZuLo_uge(?AqF;<;zi=MDl~i>i%5&3Rd%q2Hi!VQ@O0cJ&{*jqB_x%AwMv$ zW{ninuw9>0mpr}_n$W8r5wN078CKjb$WzNj+Y_XBzclC%$-bLjF}S7t7m>9>8I12( z3r0GG_87~5F>D>S{U;>@GSPxDx&WJ+G)Jfy@gQJKR=Q-dH!Chs`Z$L#@=+UAJ?FVT zxEP@$#`XQL@78e87A1&X0aVW|kWZY$d4=(b;0NLMHGSwO^mX>>d<>Upc%o72_RQtm?$$9aE2G<9{ECJLep$XRZ$Hv_8*LSO5^Hg6zhZXS z?hAj_b^QuHeAdm)#Ld51t|4hpij|pMmW~{k*XSo5%geb}Jrx zowXJj!*qa&+d`KHZhCpJ@?JA5n?J%5KA)j@j@g{NSoHjI;LW4=+lsR5Px#0VD7jep z+vQU;@48xC^_(SHuL^@y@gfH2bUHuFWa|aJfc#$Lnz4pD9DDo>Iw<7E(%CIn4{b6h zUOjsAhiRC2b)mWbuIak{=4WD1uSwI^%;yigPd6eKKK7xY4 z`vb0)*1<{-v+h?|$SYBpwAlEiaGu&LCAZkqvd2 zscnlp;c9mdDu3jf_G!Y`>wwtCn|G&uCDLdIUF~*dKy-O;F%W%`C#~3aWJ8CowfYSm z_x$G$wMuLqBRAK^*Od~*db@7PzxQX*rD7WL$WcW`dgUf^{hmE7Dz(ex`u(}x%g4h0 zRuY_;pkiuug4R<-f4;8Fl&3wf-|tKHeQsF#&ca5k!`NV#Ur<9Nszx;f!jyrP7}ORR zwLBa;$aA)HYwv2B9fDVa&6X(snlJ=YcxRvpesTyaIM+BuUN8W#mu9D>4`IEzuHP{1 z|3HK7B|lGQQ0vEQ`Z|pw>?Mn-K_0t5BF)3c8+Pe8Z~y)p`jhohGJU*|oEk4#6$l$` zA)@B?wL}6qi&KKYlz!|dbvec0MBPT%=c(W0`T1<=`1N_x36 z;8aRGuRZkz9l84G4QsjHd)7&C4q8S_~btzkiy@xv;HV*m|~N_|Ny_~y7m!WH~G zMFR*E|1Ywbzi6%geaKS%jelf$=Nm4=24w9jU<;zRq(XG;S&KdkOa11D%!4>EY}?Z! zW93(%Bp2{myl-3wK$kf&04pOq^Nuru2O&8|?|&6P-72=7yCB{zY_huRp^TA4%de!B z;QCTKwhHzmKUq2O-9A~hB+K*81FoC!l6K!iFU`WO{DIF@&8`gQ!(I(X?s4%`=5~*& z)B;oq>4%krpa8i@0OH6rR0$BLjtdG>LMN+!6@>y~P}O2{Ue4LU)9|2oz0j`2UbRnl z87ut*?k`evuk$~RtMc3Iey)F70PjjqE+KclR{La?3oDDT9}s@{RW!c-X~2%?u01Z= zeV!pdCOUhuaf%QM4wFaQ_7#Vc`vf_nmq0*_Tz?a^FnO5~Jl5cy0~zNwpS5_pbuNnK z4lNQEdju24a=pjKUaECRF4V3BX2^#g=eu!F15FVnE38;4S~Ym1)-Xgf8DBqd?sYfv zNDk=&v$OHz_|1J|+s}Ai5s* zIE@lyha2Atk8m>^p9&9;vG&rF(IR>Fn^f!nt0tHK50DSG%Ii|b*Mq;Oo4tqzAfH_t zx0J8x#=P`+@J~|DfP!uv zYz~S1R(^OA;ZriHF)RXYtCSt83893ons365DOf=Y#wiJpCzu9JF7-qAHWZ9{&-WWC z+TOC~41LgV!;1#C_q;z0!7Z0m@_JJ@rpM0@PmX(0H|kG^!H){ZEg7pK7McZTc|z%Ilp0|*{^Fbvwr0x>r(O!IR z4aG?kq{WcZBxH>-&J#uw)w697mrqL)j5Dy*$Ta>z*44?JBvlTmM>WXD2MN+C}MSgt_ zvWR+q_x)UIGGXRvdi!!eAxKb37QR3P9i?cj|18W|-uQ~e^N~^!okhKVwDRhD^D-QX zanYnj+*u1LB=-Yo$XpF_AN$KQ&hrqIEv7djt|wsVTF7?+i#RMI3o=;Zg)dF=^XC7u zem*9;Qv+j_elAVZJgjT7KllEIUiTVm8_!%ya5EP(zE#=~f~wc2jP`|dd(k;6*O680 z1;-+1dC*1?*IgRmT75SzAQSe!!9r<_x1OQbPQ6nxGE1gvuO?gde2Ww_Q7qW|WWe!Z zKY^m`it9kzC<^9%12sNBk4S>?H*0ubzXjRd&fM=mIn-dupt~alcTu@@x5hQ}khv2{ z*Y3B=8&v*1Ye*4^SG^;7s~-yVobEk6a;#R$73mx?9r?EVfY5cDd>}A zt@?Q}z#Z`oHTv3iX!edQp!)sSzxIFHn9D%gyi9h1vx^(V;EfyFXI+WmVp5fj~Z#%Ai*>7K`FajcDn^S{EY-|@>uZFT8FSY{Ts z&A;L*W+vzQnZMV`Yo6Et5U~<^W)fcqVrZ}KcUy-1I7G3Eyo1srjKSK;p>3^xJ&QJ8 zlzyIS4$06qX!cHI4JRR+w!UIYgo*Hg;S)oHFK2%~Wah)#914Spnz!XKc-5E5>viqJ zIepy`>|!Z)`Hre^1y28NB@J%LP<| zr27O?oUbt^)t73EY)sg!03yo~W`uPGY!%D-F65aJPQiNJ2W(_MM>LP0ihRu1XPs@s zQe?ENn*xT#Z<6bRSON2B>3-P?TmRMeNSd_BM%pg0sc5J}iK|BLzW;WRBwBeopBm%&kI}WhE`Rf{J0=G?eC7dxDD0$Xk$kEVexp-w+ZlF*|J6|!j z#|{OPCaSI9=5)2v^Acd+Pu=9!QEt5;-C}@jK6GnO(7PIwzaTxYDj_qyzh6oyVh0Z;5pn z!4L8|v@ssvWPCqIAk`=PY#eWkb+~#!sfkhCtRD|o_Se(STxw-)z(QcGfVL*hcn4+T zDSCwR_!CS0;q-Ewq^mX2@L)Ta?ubl`$~DSRCoTy?9#l%} z5L<1Auk$N_OY)lR{GNnP#FI>8fj!$m|~4cRaq!?cJP%qiw?EqWJNRjyr_8Ku%Pzy`8=mSvp+z%CDMg7bN=28+v)9ILW8 zRW}RO%v0TX0k-@zy7A3$f+2(>W=)1ol$Pp{9|5x2$s!@s&yR7Xf1bWJ^0?$cVb3( zQcIVk!LSY)FR#2BR-Ny+PrpK0OLr+EcxMG)fD2|WL$6BFc0MyI8nQ$kSQ`G1v<-)w z>rOK&OUv?sEMST5RG>Z1J&_tyRKaO1s7CO79Wk&+q4uUZ4iV-X;3QMZ~Z8G2)FIGc~4 zP4!WXJi3E&oDMXaQEKbogSVy32@FHxlH5q|ynO63u`L=Goc1D{H&kp9mCW%su!>$m za^?-5Ef!MFQUynd_r@bv`MR#haSteQ(;98V#<=Ei{ag!}j5H{Q9e%6ZmgFVn4jU(7ytp{sU{P2o~xq>Oxt2NaywD=HQ z*JN|+TI{lElm0#ADr*@&o>_}j$&?F9!y9ex7bquy%Y-Ve{AC-xX|X1^ve_vc?Lr@q zwsZ_vX+8L)_`o$-I(FWnY5x=W_P8la2CQ}8lemKjmou=;24fQ}G-St&N>Y=4N8FS^ zgEQhdPw9*_MG)St?LXpql8yC(DA^Mn+e#rF)#locH1j5AK>@kDpK_-UDSbi&q4 z^$MDr)S?;6Dz%SUhc%B1y9z*O!QM2U% z1HJ%glvexau-i;yYhsL%D*RCIU6CAn|9i--ZhXR~GDf3SPa#Ynj5L9W1(=r3IAg77 zTW7Xjw1e>>R+Z=hE}m}Fw7(;9p)2*2_DNVkThi>wZ~HtcAxy_9;XrC~@rUjw8g4l5QFJQyDs0xPB^~mE9yHQTV{9MrzDGi6 z|2264oAJ!w*r+qhIytG7dP=($o#WWDKV0dS?d5*o11uLphY4?QEaIXl-f>2G+CKP< z#2EV(tRa-Xk^d{#7~x%Zkrl!N#E{E1kD>O2uoZv2W1bCGxpn_NC`P@M-|f`gTdEj0 zl?C>H^1F+Bdq%k1$_w4%80fm*2wRTl68^L6WQ?c&g-lRkWn zpj-8dGb#W)B8m!EC%N_OWd|kHJ`gw>|Im-#*sm9IioL&NOvfmCNj^mO9r9tC*5-%t5(>Ohfk&tYebHndJxWneh5&5M>;4W{w+U4>y!Q)QZe~|oqTJ+P z+J5Xb`o7NV9f>-UbJHre)cr=$){1TPJHTs{v1a=Ss}qvYS^-m;hoqs80Y-vrjKEZ& z+}RK8W$6OCjV2-*Kt2+55H_50_bdD?h$C|!2fWqeh(CBai)Ke?a-4@c5E2e2JUNmT zzIDmdrnngHFc*0vLT+YHIqNLq{IqJUygK3B^sdBs zJ?e|aM1^7!OB>RtcrgmD%><<$q;-54c&f$pm13OY1$7H-oUE2F3KN2tstyS(~bVNwNIaS!Un z^U6@|-;#&V3*4R)4@vQ2UP6iJ@GsVFk_BO#7}^nLEKv%H_b5(DsCCp88we&xvp~0y z^1Ojhy(0TSH}%KRG3IxL)B>dA&?TW$@IGop4`v+qM>Rs3(m}A7b}gHZ6HCSlNC)|L z!ry(*XpwO7Q!1~)iCsyrm?Tt~-_feyt)FB4V<)iyb&#jA#|WhNf1RPXt;zM@>5EH( zJ)2jx_joyTLLCxKxZC}?r}8MU)L+o(%rwTIWbR;Bo~=x)FZvO3uDA*9F?2oK0$7XF z;$5y#qJh0R@0R-{nMb+ts?wJkG$X$U5@q?1z~edGp(v+>tJQ|CA_Y)Lg7eQMubSNy zDoZw$Jq5dB-7dmZGF)S*mAu61$R{ClYTn^G;v=PF#*HT*Iw_-!0l=cYw&ER1X7~it zWCR&gpHxn(GZNMTgkkkSsYW#i$}dy8m6-;BAj zG)V6;YjIyWZa+ z$N>~E&t)TnwIV64sk{x zoC$2|CFj9{wA{w`oKYF@J(ubY%b=eCebW(1bWM67d;AsU--7C-@bYu77_5i8mad85 zrNHqqG_9dJ0I3MDO+cne7u39g75uj;!2!(p>#Yo{-?5M;wQjX$(eDX>H>Eh_`?{qB zcOXgDTYMDchz{YPXn#E7vBmenu$CjzKPM{kc@JnHw z2Xt9mnvV3x#&i0K1M6yWI+8COXn(+gA93TMz+_y7{O6jS@X9qJq6NC(mfes^{<}K| zoyUmw1nR~~UGf;)0$s+L@pdj{B_)^|%b;#d+-g8C1>6P<8vX_S*S$XCQ<7~<2&UN) z?GsE7LhAH?N{MBXUDMDjM3-5OixT;al$UIL$!a`vv!}RO_;^+Nw)x=QyQ26mwP+%1 zCzaV1rvtb^fo0_UJvD4bI z{ud+UXHRD#eTPRfjJz!!2N5kI*Ixo%n4kDgsl!p}?inmM?c*t_8YiYScqh}WoKn1K zx&S=wGc?BPuS`Se#ozf)aOUf$Z+64dLe{gF;R9&8WC}7$igv3?)feYfOS3_k*6SuE z2o;!S3r>M#m2SuS+kaQBliTlGM! zvsRqh#r4rM^k&3$GfF{MWnzgi@-m}@Za-2NxME6k7G8U=R2I57X<*I0L3Y+j-OC#f ze>>^hI59{}gST_#_f-A^&V}z5@zqmb1dw`}eBFF+^4@tAaKc7Bg&aVijSOep(&gj4P;>=H#by0B>nS+1A|~j^>mdfZ0o( zXHQ=tnF_DGuhgfODrDY}+$Jw|x{s>$&L=pjld@nS1!UFqS3cQ@5uCUvQ~;zPdxFKL z%yXhPZ-s7Owepgj-+&R?(_t<8N8m2Plef;~{)4#(Lov9vnN)rbvXED;gZd(L2Lz1s zi_kZO+)FeifW^y=vQ2ZQ0=9|2yToA*(o8-wWb_OV?*UR_>)H-7WC+zF^y|}a#u+FQ z0kt|*gYKVJ?7Oswr*T!j-)sft2xWe`^pvVCPn_&z{ zdJy{QEUy+}_%*nVusg?STZn9m8v>Nq7`YJHC8_eaTNYoyvr)K z5U?RMh8X?%9Op{!200-5jiOmbJPlB#Xhkn6Zc4H!ifaiwi5(3*es{zfvf~h=J4cd2 zRvER3cJCuS+1$Cd=22XEe#Nw5BK(1;KxO{=X@EpC?Z~`EL$&CQSj0#!(@&@yrrn0o z0InzX;F>IG@f0e2lV2nT{ab+86V3YXD5DloP{sM#ScksMe4O0uiBR6#TXxN-VB0qD zyy~5?`g7^co78_6kA+X3(Q4k{T!ueKde5OcV5C^5KI#+9r^TJ+D>X~=dH@?bvt^Go ziq=lhx^kC%y|!)s z(A2hXjGa~nOTTBt$_WGPAwJweYrlh3;D7P;X4e%P+NM{zv@WSGh_$H?IYu3 zv;Hnc$`VrzeB|1}jzry++js!+I7xW6XjZ$DO)|pX`c&cPc?f8)5gf4u(0`juuwiss zHVVLuOgFt&cdYjS4$UaC)5Xj~*K!+AV>#0v23n-^IFDPWAOm-}!|hLCv{t=vi347S zV?K{*J*W@|s;Ls{jeKWO6L&8+*;uq+a18Uk`&;s`$D&C$Dy1Pn=%gHHj0av1R=s#` z*$3E`*MOv`mn3^W4|yHlE?NIxg%<22R>2_Ud;_TS5N9Zi!{SxHf<6#?c?x#NUcW+A zS)!buwwyQmo9Hxi0jJ#!9Tffz!p!OpvTW<&h3cDlQ%iFXPz2E;TMSH5Kyw`&VWrmt z{hM(Wj?S}mT=~YYPW4|YTnT?3K20&d190!G6rP10%SJtJAk|l(O_we@b1FW z^m(jzo98B;EqY?RV_j_4Sj(g8KyzyyRv$2}L*z~lq3$c4g~wOGp3&#}8GXzP9Fr+q ztO~c#jIbRmHxw2_bK|uy8=<1brfOB*mHE za21_#B>?vob5wc-h`D!6DIm!31{WT|gY!&*o}Ko7g@W+*g7j%yx2$%RWqK$m?Y2a@ z6?*hFa@%I)J>mhwv{MuSmpKWZ0>U=VcFtEnxFTEk42TNn*kEVv5&-3~l0XTaH$uz9 z$+2}yWc`TP`J#EvG>+v`Bo+4@R9GKXQUQl-USLZygX4fA(+2gH(EY-&E0Wl2`Xp5w zP-H*9GNcJ3-b133J5!A*TbPy#l^t0iw8P)8Sb#X}b7wf2-*<`HMEgdjFiGANq`Op> zt@}oDAlBic*j6CwGa9VOfj{`#MfwrCcb%*O+DNcxGrj8#If(^CMXWM_)iwvqaYj3Z zrzKa1e1z_PjlJSez5V*Sd|$W;z)MD4$V>|fmgT%#?n*6Cda@Zb2LW1jdG@sSHi0tt ztDjWiB-6zdL5ZJInpgD(4?H3d-#Y@2X#je@={8Od0J~?4SQ?xD%ETKatJxFkh-RUG z@LlkWqtOVkJuUeQq~S}?wOhE%c};tXBQYfY5yU$}vCN=!R;S`i{l_w@!=UVkX8B!< z{&z68ScUnPb6}#ns3qLp;=u%U$jboeAlP3JU+Y1eKl6e}Jt4IpoMQpMbZ=3rBMD?g#zAO12$ zZ}ZZ;f%di#x%JHtlKj^FN2Fa6=CShBSl$v7gx-G@_W|TlPZ{y&5n!)&m2KYdf3A3J z5ajv?Jgg}U%;StQ-RUv%Or8v{ALNTw*%Yi-XFb@_p*mpmosn`v=X06%leGY5R@0GK zK=B>)FJyvl(H|m%z(j;!Iva5U^H5&g6l)AgI5`(* zKOQBObV+{%KY9b0r`J!9f8%QIp~lrK^cx*y<6jaVEFK$Ci))YrHHBCPT+hpS{}%A9 zAzDHMJmA&jAC>yGCYyLad@(DP$2miXsoF+yhG^C7L}M?OF@OC| zeX?_9(t38*PO78#)44;+eG?JxVZXD{k~TYNX`jPfRUhd zbs06|^g4!%5D(V&ms4UFbI_CRxG~Y(zhXkq(tM(35UQo>56E&&i+pN*d~M8{cDUlmRKt&6krzdgFJNfUT&eliiE}5)7 zET_)PJJJep`Qi5~{PQiXLUwYl`ymlCs{{VZnPB@v5YK&k3osUq|1<&`hWsqnsfu)x z{hQF8J^pn=r7f`pXV95gAaw`(0p4OW2_*3gCH?>E zMX_Xc_hqCYw+!3XU@^9p)E=1<%1W;-`7}OCeRBy)u=$Lt>m=@?{kqFPI!kCMw;G)@ zedk}P-F=w*eBNjm?T$M0m=Q!9&-+5rHmxF#cOKqq`x?W^cSV)|e(duhMVehfK*F8C zjflmp6JPx{y0|Xc2`Ac)QRX?H^n$8IC>BzFea~|rk}v*T=J^}-5jSgGHQaABSJ!-g zZ$a0j&5-@?H$3&1S&^<>D}Li)>Yo)UbVjC>Mxf@InrL))-@d>|M%Y^A#}EyNn<;+T zuLu_Txl(LMZR%>@{l|#b6T_Wv>6lacVATzT2YL2+=P5+jcvAHN(e^GAIFXp%5 z?@`LfKeG!Oepgc)|JZmL#icl5ONd4e0!dtm&PlpWTShr_v;Y0p$Sh2@i|NfE){E0Y!8e0Z`Fl9hd6VkIELt2Js zGJ@^3(L{5@yS(Fd=e5IO9tDu#CfKNjM~h%Qcl6h3Rp(qxiVb@Yf^@+N{#`aSqd~V~ zA%^`Atm>}-v2P^y_?GG+MZl>^lziE5`{l6kpNgjKbA(2fO;@ykc)XvWl ziIw#H63#e0z!Dv>!kR+s2Gl*9hP6sScn1w^m zI%;Ke$ zj8FAZO1B%&AxpIYWoI(cYg+&6+SlBz0I~Cm@RIa1>;iGn>B|ikZ`hU)U6vO_8B8o_a?4-s=)Jl&v80$|n;CP9If4AVYR;>MC{tc;Tn^kyTwkN*!sI5Zf;lCb*@+9hP65Za02$ z`ttCsnsxn~)IL}Yf7NSHcHZWe!zpx)sI16V%GDx8XK}Rj@l|tnh>VZbo3r2F4&z^4Wei(aUc~p+T{LbyryZ`8J360XP4T1rB)%l( zX5y-N8VlPnTk~|JwX%80vQ)4N_K7D|d;6ecqHFjE1RCHt44bF^1)X9NEq)EP{XeAY z|7sG7{jXG=44Qgn*RIV+m3P1bj~+Bd7*MVK)#JCx z5po@2u#Q(}%-+X5)PHvNdujUN*G2LVpT$Ym_=K^!{6-1pf3o)8K~3l1|L?l4uznC& zMHi5gU0G!n1rg~XS=Pd?1yn##N<@UHlmG!CkfNd@3PeOiX|YkH1qA6NB0}gvDWL}l z5J(6ig!J}%`~A-M-nnyU?r-j$JN%Kx}Q=D$7lHIs`G+xl)jvS9f4BBL=|t-0uMJJs#P) z-`2L6I9|MDLNk-x_bWV~-W;nZ2ED(U-2C1(G`v z!Q&qOHxT4@WB287l79oo40pES5|$IeecrRMc$NGwXeEbX#gtJBlko2k2j$SW#tYv5 z05Z)FNg%Di{v?Uaw=v(4OJs>fw}LoCEjcuw!cU?KYajC@XUFq48uX`sP=8yLKh+|A zK#ivB4hMgI41rIrz&+Mm=l$|-WiM8|oW}W_&V7MB58Hy?%dsIo&ZTEIk8{@Y+eK z2x)3N81^2rL;rGczvc>GUODENCu_&J8_(R9b=yaV=bAEe03OtR>wTYEpWNr-q4Mb# z2ViUJOo$^#oo|>Obax(uBr$hoRZJd;JNjw!BvQLxH)50ayryxdnZ#dbW8|S&wE|v} zEVq~*Q14DYYZ0f-4KiBV%sxgtfYyBL^HjsI-xKua^u-5MdDCc@2KbjQQ}7e`aMIk$ z*YnfKcN#ZoFKuRi*T?*g18`u|Jq`ov^`6ELhcsC~N$M5-n zwTCqO(>qZ*nVa{3x1;_OyjUZ!+`k=-50i}+ycM)LQaV#~-ZS_@c@DrD~-^ksY1i8oy7^bhFIWtM~ z*c_e+mbN>guhY8{2DWmbJTeRP@UrdNusHO);Y2M=w*pqL|6f7t2&_?ZO7I=?a+~lv ztqPLoa-FbAds1Wlb^!3h=l9>>qM}f6oYfH2QO&%jlxJ4F)jCg0?LV zolXOio!}>?>qW>@05b6S+RP%Th8*!7k(4O%rp%gN@sIvxm6U_v{OzeB41NoMe)g=9k-qrG#DS!v!hX^urlh$Vz%>?o=-zDF_ zWv&z8AI%4>%K_ca3<#Lxzu{P*8E0mu10gEM2dNBKn4Xupajb@*OU(fAY0@TXK=Mrb zbKHRBBkSIXrrkh80qb_E&ICY04wA$maHV*p;xRDGr7v>>BI z+aakk5-`1Xv49(qtUM#zwtn_qcqs&60~u(C$Fy|-GX}ja_X8yEih^ZQoU!zW=qK|5 zPZr<~aR-6`#34=Ubc{=$h&5mM%yHBOSGuwcWdq#Z5m$2DZm8b#Pvtwk!$@x;~nD(;g}9q?6Xn}uMe0K5JFOz-(#lH>npde7AV7kbaOeS7=mJxWv}2Iscz-tT_2caOZ(r*E z_C(gc`Op19g=vKk-akA0>_PgGn;zMhUNGbWCm9ODlz>A(vJfY36TOAX&8@~I5MwbT zvE>Ca!)R4vX$9i>BaY;Y915!naWuZw*?{Mu?%=B-HWa$!wV4l*2~z_hSz)rA46!9I1kaet24fn5A>k^+utg6Phmy zJ^V4&ZxNYiXxkJ&B+%6yZTUFNV~89j8}GBugsI^t*8q;sAZ<}BdY}sB=mPIy>zE1n z34~y1u{u%RP%4HDW}B*4=s^P3Yl4|m6$$_baW*746f=j{P01T+GUr#>kxu$^4A_0G!fq?oyu>(eWOvYbGpa|(r!z4 z*|>dag#$m&?6R!a!~)6}2{4=|>aKQ5Q|^mt^lSw|zRvnuu4sE|#+^=ZhBe9LS;DF7 zo{q-0foG@|HrZbfHm~OUAq+>xoh)R+SBd8Akc54PwpaSx%bhrLWE`evVw^$EixJsB zu4}GBQQsd^#O5gem@L$VA-b0w|xFph=`j@1dJ501B_)$^x8iiM8Fvh*fv zx*@olrUi;$sZr(FS2qNad$NpU;~cS(VvS=$J$f1$DmKc3)wPx_=1#4S-fZu_mCIn) zkv2XStw2i0;E$OUvTF2FpZF|U_`;rNQg!;)4|m_TdDG8Wuho=>L4gWmR$P0 z_a;`{gg2@bBvAw5Fq=aF~ovv!ojA%TgX~a^+{L@9V3-=9iFH=D0>qL(e%i^>gxYQxaX{qhYO+{s~`$dLYW| zw~ICg{;CxwRCXZ&3{{aWre|A|Ol#SzMhW~fTaGpHWi)F$cN)h)wFHjSaKTM=id((S ziyN8uAZEMnnv&o~cbqD`Jz`-tJ#KEN)BdH{(Zws9hZf@L)EbK2^b_3eRr z3Ad{Av@qh)1g32kqH37KR<~IC2%Wh0*-<#pgQ3&S!SWOMRZ?XvSV}8}HS$L9_swUTxI#m|eGXpO%%>UGL!NKqnC8|1pK`+` zEWcU&<_Hk*<~L6CJ(@5_EtG42yj8syXUBh^rEAR&ZxD>|2{*$QMcy@xf%87oLn+y! zuW$qw9zwQP$S5%M#@W6%`>EmN)n_EeDAW%#KM(Rnx?8PvKR(Hca;Njsvmi(j42yI} zO1)xVh`Y!&BZ~?9{Ka!mTAf;i=@#^Fd`?S3Hj|2xKFiW#-*}LR{gN%~XNS1pWmJP{ z%n3JSN0%)K+1Gmu!WivR@yLm4^2(x1@$y^Rc{LwCLRA~Z2=GR<8=n?vuE!&3{whkN zM{RuDB9dQ+snbUbpWcE9brQ@WQLT?3=ghW|qK1<$T7>r}&@ysJLDhRP9ki83 zfg7or|8#LAPrGGJB&)HZ&=9n0Y}e>5>_j<^9No0_bT&pkEN1+EhL5c44hT!DMvgP8 zVB^uB-9asHKhj9b!W(r?a% z$t>{1d@}P9=@!|=WkqgbA^7OdRdLQI;MNwWd2nNUF0X1cV)diFu_LclLIaD_MwH@w zTkYn6=!9H`>8gBSGf{>PLFV!|W20C1GWONpDq7%ciQ7FDb?OHbl^F?x_+e- zBE9Im`;WC80tY=g_1Qq_sa&UiIWhtKoF7sMwPAcR3csmZNw zNn4_vx8wSel5X%J{zC5Q#op$3Tp5@v6K~?_^mdRR!qP1jzex8lk_X6R z>t&o+$JuJ97NtiA@9kA`%vYCb9BrRd3)Wj+o-3*jp$nldexuSG`jjX?IVok?^c(po z*$Qi>7!ucMgo7|MZ+v`IHhi!#*$%s2O&+}=P_|7H(o$iNrBz#y?Nagx0uORiL6lI+ zr0zBPC&lqlp~+<3HQdukp?y^n36bNjs>H!$4-{GQFqt!7wvzRMIHx=yJG@FenXA|c zD=N>P2tk5n&{f$7d^576-QtYDWl=0yFGn)E0u8KIr7V!FWn(Ky`?Nl+osI0~xS@(7 z%>xmt8>h11^nOLWP*lss2j$-8-TPv+Bk3PNSyh$n6VV&z(vgz$hyPP?a=W`8zRNDs z;BrUIk$f^5f8NwJciO=AYVMzb9T|FvQ|JScV^XZ57YxDuF>_qw!moz?!%Ik$2HJt3Ikx$Pjxa6k`^Qw}pU5E5~?X zn55a}bhvZHd7cIdD$ak+v7o%#ORbDtAymlg4EhZRNwHlP>;8-2hAosu+b8gAq&a;a zywhTirbqp`=wT{!7u1Zu(bQ>rBn_trJsjwW2b=ir!4~;t$rI5gHdsWcd{*4WD`L0| za`(|^cw+Vjx9!l?RKzvaFKNy_ij%_MCxJZCHjGx7(4}f#ZxRvIt9TA@dzkfHhVsee z=eU!?yrTZbxJ4})%`k9jSC37@Mn15MdNcILU>Si=5Z7?w;6&fV$lJ>GZ5<%Jx+~yB z#uuj@pnrYuJvTJrz5L>HgvyxRnasbITkpE4ue*mC|SMwfT^OvoufluJx&0m3Pfj+*^Y$83@HFHc=|Dc~oPJBV8#$F?t)jceaQ>R%~ zoFzS}>dSD{^IGb*>fP5*6?AO3Ljbc!jyW)q35#B^7RK7-06&n)ouWWNxw5-ZQ+|UM zmo{o>N<6H*I7M$TC&JMKVl^_NV=qUB0Jn*^5PX0_!aH+Ki;BftC@5D0!XlC$SJwjX z?BnO}sXH7Z&*yfnX;kk5%KEp8z=!ytIp~)T@+*}y`QGFnrItb;U?-}hPA)PKU%8K< z9q4Cb1dqR@N{{tcYA8-gvv}^QUWXB;%81Z33M`Fa2+hIPENI^k9C#2iaBD&Dxox{b zonLVfYG#A-tC|0`W(?PUgq|X^8($H9AZyScEOU7sG9Tul@ zyTx1hH}&hfQ4QgX$f*PV9pcL!9Tq#X9tRmmb)>K(A-peNbh|9|V&}n(jAU4_Uq^CQ zuu+3LqbP;AZ#BCra$5)HkSdQC*q?zM1Ln#Vz#dB-ma0m54+ck$2u2;M!L$f)2 zkt4F4{!Hy+^F^1U`(KrxB%tz?eyPVs>F?*j!>Xz|pTfZz#qp5lRFOUECo)Jr9qD9m zLdNIXhPM*jm#vT3OrJ78o$nmdOxxH!-;LHvf&+Q9&2Q%n^!b=;(rqMSr*%}W9Kvk{ zKuV8JbC6-Pw04%_S1 zFe)U^7g(8$FPjclTyfC6++nH51@eX%2U5s?U_aNN9=VS^Y>^qCnxbR+A#OX~wEuX% zb438EabAD^*FxGA^dX84KG2XlcdN^5AN>jhnPwXPkMjJ2JJ8kZ_)~SQVhct^uVbCK zVxcO-%>&%F*tGu3AmRy54_`bd`c=j4-djJtu}#?U0m8$r|6t5)tRQ=}?-k3U6^`RE z+S2|pd>UvF>%XqW@G4}?V7mEHunVRamQ&B*0}Su8C5jJR17?>+O5mCu0fbfp%Re-J z8|z4?t$4C*Ll3>Wo3M{oDcU5dUq0IDbO57lzr9D4&@mJ^0DWcN zc2MzDd}s*yByga^;!zOxpM#2W@r_>FWb&^na|%Osp6CpuF151KPs^pomzacpiZqmFb(2*W(+_66;Hd^77>XIJ&65D2{#ywqX+b#p-UuAXNz=PDf7(e#8 zbYSvc6cpGkB0DyMhl1AZug7aK^vWEA0@pQ1^7fDqo4aDvBquuNcXrsG$qF!RW4V4^ zcM2C27Smp-{&G^||8W2tAkpuznuAtN?^GO!dL$0oXqzK{B|e6}-~(xHkZp=ntlJEH z2Q;YVy|~prT$&>8TXaJLw&yF{!>}#xq^0sS0PTb6P7V3Xm*e1ME)X1iOZxH`EfQnLg48B`Ke z=5hkN9pbEY75GH6fy$*}cmvPv%3GQjX2g61t)J{~P&JpbS$ka#hH6>T7I0DQbB9J%Zmo;2h z&Aj00_K1=yyFf^191L53J{Z*)5Lk* z`Q5X+Lm@ztKHnq-R=MgCD%Y1<_R=~>S+|}d4imq~YrIP>PPM4sbXtEPP$6{I*};AJpBlAOY!B0)@>7sN3NGukCG?qLB5!w; z<_P_Y(u@JQlx4S*Hnm$5+#7M8z0L3n;54V`bJ;%Fuz!f3YVjcagd$ntO3B72}=#pE073E!G z(!nWVBZQDd$N;}9A$VdcSlsLKZt0CDJ})4h^*KCyKpf=NR<>*_R?MON>>miaDw&U* zvexBuqxC0&@srw?is14$bNYphz?RQt)ecGXhK10T%kYvpeYAEC_9<%Xyg?zQGTwa% z{=#*L<(3ZjP*?#;P7o8NKL5-p++^}@qn40*#dsUr^*psz>b?PIa651x!61G{IZh2w z$C;Z1N+lPQ9--UTi_Q?Yhcs^M;l_UiOzPWj3rOe-xy`ESm{+3U2Oy8O2kgYy?I!{9 zfe)m$HfpA59QMD0dYS^&qg*k1^u_5&1q%t!N4-m~dr<+bU1q6+@vm+lr0ywR`t(Za zg^NXbG1F$G^f*HXCfRJ?G{x>U@3CQ>gE%-fL62U{nvNiaOpZkB`=K5zA1=Y_djh56 zYfE%Im(5bt|8PYZeq#OeGQ16IPMe@Epgp}xOX`9MgB!a#jKmEk5W{8*@1pMdr^~m! zaU~)2ZHxq>P}boP;U}3d>kI1U^@f%&qAZ8D z`Y6wiSh^*F69q-r8SrYvg9W2>pKEyw7D4&4d)|XVdNg2nMfuihcSDi|3FUaTL;Pa&E6hn+y!)i>1j+F^IB_yj@~Fe=VE!lhza6G_wT=*M=?6d|b{W>q`qxwNRvJ=j*TDOU@yjmLN_GUP#CF)zE1)rz#awXMW%1fS z5o29Ox`<<+$&X2pt(~|B;%G zfOi%*Xqj7_otj{` zXWsi$g!9Ws8%O&3N7o&@_V0)d@V(C-6%Db%DZ)<9}G@I zQ3~0Wq=sbvCEQwBpdx+|mI3o7*&JfLS~(pWIZ^_R^Nj6YpX{k^@~=s$*;T@Hf*9+=aem&Ca8=-tiGQQ(#z@=5ao z=>#`Fw=M2OyKXr?H4<hs=?p9w{yZb{LwdblcF~zEp!ZO^tiD^dQ*wxK>=o>K zye3=MwFhh{9IKQYODVik27;nWqxUtNR6H&hpV-i!wDrb5``&f+g0HX4--b->PrHOW z5EzwOGQHDYO_ViKR27o9KWbZ!DRTxg9<5(9bfZ$alYQ3G|C3$faNZu;l}SMK?MaSc zS?R@ADfMffQ@Z0BDVs0(Av3>x7Qh|t*Uyq$?F<;mi*C~_;$f{$IUJa;V^<8n zvF3eztIe28m+>Vm?eN^UAx0&Dm_f0lEbTl_U{t8FgixuEuidU-dMPXpkiLHOeE{7Vk&&HHHp2&AT zU2%Rm57-aOCMGgN&Uv{xJrI1oLdpTsD@m=Gl1R-}+$F*oYd5fgdqeMlO=PH!t$G$$ z(4VAtd|LLGLfgTvrEPtHGNoUBz9VqdB{7g;bdlP|dSOMZeH3`UDByYI(HywHCHr5? zRfJF0StEVnUgQF+|ScFz(3`9;A8>^%$Ehn`c zs@9MM%vj<|1CWMU+yZ1e?L6KPbY8C(8=UGRwws*be@BYy{vw^qCimqu$Kg66h{cfGl?&d7^0h#7DvdfjV4uj0I>Qsjnby ztaF!e5yB*(BEaNl>1`yTPjQsJ|Hb$B(-BVsz4JmAeJ;xHPTqZvdeduWoz@EW=e?ngkx37ANXJq26pu-<;M8;7}f1c&T{r?&|7=Jhrn+fkJ> zg}9H+JA_UQFiK69n3GD^CtHH=qVMM3b-2c|UNZHeZ!2{SLb;6ST3}m-U%r6=(Zx_$ z1R(V~I2d#;DIlh(tpC~aqFEj=Z)fae$3|>xr9aH;UxeQ_OpGb)eHwC&5RPdrC!7Sl z-N{$1&Ee=afXD&xkmx{B12DtlIpStyUWtWW!+l`ET2Lw>yUT3b8~0vcqO2+CYD zBU1svLMEb5eseJ(!|NQ=xuVssWcg^66C32;jyeP)g(%*aRCv&^^kFnD4l|5@JNNT0 z?qS8xIBG@imklF7C~^5;|1i~d)|F9Oj%d3|YiQGXuU6S3GHw;-NVU5k>CmJq#UAb0 zmFhAsdP#ZD_`p=Rf3QqR2?0VHOcLOHBD@vvS@y8u%n!;LwCaU%n;1=NbnnubNf?=K zx`f~gP7!Vh3SU@!*Ta&DV(aI!>)CKdx5zk{YRd}=?tuC>{v6ner)jq&TeL796%O#LP1XVz73zlf;+M5TR6jLMDt zgRKz`HesgYycOHi4sq+|3=Dl*c9pt$4u}1&{u;>aX7t2+rofN5b}QQf&u7GRasx2m z_kBp{OfRa~hB27A`gy~+!@i{P+;C%s{4Go4s`NjD?s~Xc$uPaO{-0q{a|yIv<<(yO zp`yemSZWMhPkt8|XZSg40LH!yXD2Wi(M^h3_D)J;RA5@qLW9|%p)ryJ{m#=sZ0T^f z!@A7EE(V9@MnHhIct3-i5eqEwPJ1yV81ql52I#$d8X-GoNS{It$~(}*2q3I^Gj`Aax|rqTbZJ$+m|2T1 zz6tsanFWVqti6d@jVVeXHl!7^&Hw>};^-MdfXsshJfu6g13eVHs2yb_Vo%cD@vX|4 z6a(+P{W-QkglvB%%elwFeMQ@~BEUg23W$xK1RgdHiaDW2|4=0uE?&Q{pB0FCf1uw5 zh$shkaf`*r=Lqw^ckC~TxAmNQe*m8+5nhBnie$0sd1)ou07gDEy4)xrc*%Qws^~nh zIrlj*^nsO)5^lD|JP>TL4H4|Lqc)#>tm6%sG2GdTubF{|Ay)x6q%>giE;`YM_Z!PL zYErz#{^Z=*l)!~}ASmZ~z#a_@ubD%hZkobx-uKsTBPd{0-9^en26N*Y%o?{Z# zO7oP({4N{V6s4Kl^=}lIerzN%P_dt7Q?~kSIP*wqFntN6$LGo+^MAx$Uv~Q}|)G@wv3#oZ#lC z{7kJx$;=9(H>Sh7)9ei4{P4>UjZja;`wB%XM_$qR<6vNPIr~c-S-{>GTiJN7HjPHC zf5iK_30nZKVtY!rum8z52+w^CzNfJ1q^~GHVhs&*%o8c4fW1j%U5+hr2*-TxvOGg* zCCwC4X84r=|5ow&l3TYFeG8D%?n;vqFdCT%z3Q;q5nDyl|CKr$Ig)f$0aVOYV|YA=<*>aZO&ykH zutV(gmd5ayh4R(rVNAfZwM=xx^#Q{_wkN+hUe?&!`CUHU!FLi8$l%cWhNx+zA?K-Ov7oVCt3f&9@s*5a(d6 z*rP(H1<^oUFbz9{u2_?N%|z%C%YkEiB5;~RxKW)3Y^fn0let_SwtBnBx844r#j82} zi}*(hs~6Ny4(`uE0XEo&0@V@n1FW{f1n?fXFD)fM#ppJ;%4@}mBVK^~Xa3jO9UG2} ztP2EZTvm*CU@F`jvR$BmF}7q~1w1E52)7WW*Ux43E6xGkwvaIfNyF?Y2ZU!^RX7Rh z7?7s>!+{?xen9>Z_ygjHh#!J}IQ+xaA7Xw82L6J6hyp(OpPZZjVfNhpKQuW1#q2pf zlwAAY%${?(wtv7;U7**`)uwh*qxXkC&8Xae+2QP$_b;=bcl_kzdO+LDc{OYEiHhD` zcl&dXOzlM2zwD3vYPeXST;}I<*jPs~@KdoK7e!}Eu=!lReEOZRxMZhWgFSgRZ!siG zl%wY6xAwd%+;p5ohiROC33{Mf*)u#pSTIlq4sH++q1 zowuoC;NBfnVQh~8nS|}OK5O9ed^M!g>OBu`pysmE?(49}Ty|{1r=Kjiddeu-CS=os zh?Tkew|iZe>hV~?iG3}M&!0BFB<_p5a8=>MK9yT9^oST`I~Nr0ty3+O%u&qnP>T^mC6FU-b>@NSJq@ zS1ubizJU~eE9;SVTS1Gy`JU0QCPyC6X#YQ=j)~vd zQ8(}2>}|I%xAirn@o&es z?eEdNTwOela=q$4U02?fQ<{tZrP>5+^0z|^>a-N*^8RB{mQo)Uek<&Z7JkKZbw1DG z9D!t(CYuU1w;W94JY2%njG~{N<3KDcN8cmdLq~>Ad8NDKHg3Cqm`G@jH@Bw8-4so} zG|c8MZNTZU`|FZUKpvwT2vNZOw#BQkP#07Hs z=?ZfT>&UNl-H?!j*29~cMAPxa-VH4YiPzN`m8223HksOzEj)W)e=?dc?t5|y8S)vy z#eb2`K(ob6iIXRL!LD2s8eTTrot)tRJ*Sz-#jQ&+HY+!0~HhMqez(n4m?gvG*BG)Iyf0F*A+Ym#@UD^;y)qJ18*YUWvEdU2-2|1J*4@`@{=*LZ$ z)QnoU#1A%X!Mq8H4jIJ6Hk>nlrh&dk_cDK>n)w@bCI15XH#f1G@-*R&cKvUvq5Gs0 zptsmHXP}3C2Ta3AcPa*Qq+8B24Tr0xx1(A`-S@-j=ae&di+vBf?bm&4ip2)G71Au0 z3~bC_xGx_&zHk?%3n>Q06sX38+w59xhb*3HNB?ZP5w=m1@L+wdUZzePl$;W6%PX2m zls$;e&tMk84#)0THtY4QbpndvyRJC{vN*NI-##FUVM~SpHwJwjMk8sfFVSg+1%ylu z^fCJd<;*crMExt!fN3;9#d(AstO^|g-5G3AJe0d@OLdXN)u{+)*m3oxAL*}%pYN{% z2%TlD^EJX+`yHZFTNYw;asR3pbN_@g6)|7|@Cjzgz2_qWa*7@{!ar?^d7#0ff&n1S za$SiPfXO)p&~A*U{XpkQ(ZsK)Qw_I6@=s%qNq)1~*e=yTedOIi{1T&0b4rXi11+`H z!j}MF={{@l7Nh|E2_HtRl&Ilo-$624Kn@n`&Emc2C2#?9C&`(ViCa6b{R`8yS! z4V4^v360{E^?~=w_rIDgDqvn{Od3>=R_(LsJ-@MQLRrpqA3DMOll5MKr^Z8$fr6%i zwl^G)DVEjsJ1xO5$5EA$Z}=~zI%KyY`|etxDPZ7Q2>=sk4M0?Q4JAQNDjFT#s*+gX z0;c=YS>~S$Kjn?bRq|SMPt^1Uv2^7m4jLGmJ=D|2z_4iuG{tuD5VWgrmT2<1bV*sx zwO-ShvWnKGDIfJeY{bRJQqP5>c>#{(z3WX8kK8^b^?ODTnRPahQHxL#KqUme3!NB0 z(O)#Mm2?N&*pwt&*MwnTCnRxH=stHqu7Ey;ImSFA&|H6Lk%3sa>+}oG%e=UnME%CU zJkhYz5CA4wlBU_O`D8^$-3imt7~*!<(A;J0Yf+biop4&7ZvO}nrVsEhi*IYJhbB;6 zJm{}TLpf45xB&hAb~8V^$;NFxm^M=rLS##R)FvXS9{BJ49LQ^KYZr*L2>>bpQ;wie z-=E#G_5X#<{%KW6zl(AHo7kh#vlF~vXs_*UEGh#7#GVuXMeObz7lm ztM5DrRBH{}H2-top1|)Wl^;z$Bq^-oe|kycu3B2_)UNbeOb1@b4$-B6{yf@}Xwrz6 zeH@KWtH@3WI+uK-@kzYX%&Fw$m1@I2pG@z zQ3Z%=PU*-Lvqk>Co;NDonmps0UVUrE1MiSiWTam)Se8n40KLy8>WeNDcr%NV4|6&RzQU2uG;_+7>j=1me zh*41P1T8L=zqEHMJ)Ng$%(1s)_T1Y6haGb*lXMitR#nrJE`o1azdQDN`Guta<>1RL z!E=g=*znMP+tN0wfc^9dT$obGizZY^X*zmkj4*0q5El84ETBu;|dn5-S!y@h>OLtN3*-zRdKpS{cU zG#}Nie^pV5k7&i}dK_1`?lU{88ZugcO!B(2rzEQTm?2D*X%}_|K!U=C!yuh z0V`mHc_+XQWI%R%Ag^6|;2zuMxJPoGk$Al)p~4zc;=k1BO^*8d+P!ymLwu$PP~2{B zd6jm~Yv!&Zh(5cy#oItUu2fDv%I%b#XU^Q^7n;A(olgeDBtPlCy?X3vR+=cn8S?r5 zB5~0^H=^9P*tK~2Q({!7HTw>1L<0o&;@`F8zlE+XCSqP=Kiy}k3DnK))N{fk($-of zQL7QJ8=a@OO*c$I*P8*Fiv_Cqe8V}Th`}UeI((6KXpH5{W_D|cW=U&z^Is#^LI*Vg z6v@?A9>L<>J-z+;VK)Ce_&TTnpvl}5y4fhsnLmt&af-Yx*i6*SF~Qw`6cK}(!y5hfv041P8q)p! zFFPgfre8Jde|zc$sPg=uWF60Oix^Bz8s6RlRF~wuos{lh_z_c(Ux}~9b}w2Dp!)Ig z6v=1Gvxg*3;pzdw+>cYg;ftH*^)Zif!mndP^38PdB?dMvm63PCM>l@_KAL|aX74Hr zjJMkZ(x2wykP_Wo+s4-zo5t7i0epP`VswA8`Yd!nW8p5<)6~P_;aa!U+XBr80~j9w zeTQFfCEbJ;K#s+1o=Q^Bp}r#A)fVV?tNRv%>LePe;S#Pec35Loqlg8-;p)$+%8^@# zfd&dMDeBGw*2)LEMVAGLdsyYb3A>vNYYXvEH@*c~=-85bKsTZfNNK2Cejs#bSw%zc zr@b{8vf{jr25j``#^-Xv1y#8sP3S&uI|gWN@(4L|p_$b8aH~}4)mJg&6KCl7>ISebS1g`t1Kp!2RL;GCS2QLmU_CHLx3L1k+51@gYlx~|y z(3D@&>N+^~3r5>Ov-byE#tvq^vu!th=oH9E_UpDEfgEu`mbq zdUAURu+9hnw-NRK70>hXe^e|tzT3Z8nL! z(|`Y#yys5E?NjPOzx;l7=a<7L) zzmE@Z`Pg58D<7BTa+_=$m@Bhm+<01iX+M-{gt$DKf?4{a)4{2$yo3>vWGZEjM$Ydd z!y^mA!ST2+oMUy+nTq>;4Y4ce*;>A?%`pT<=BHZsp5WT>YTI!>IHB6(Y%e(E=+Z6>}UYWz)b`$p5W=smGkq$6q$@7Px-zkc3I z_^sM>wR(9jSh}D~su^ZKj*k@IvrNdX9Gvr?Ck^XjrDpE zrl0!1!+m~{YOLzl;KYm*v9n`@<{H`4MHUr$0*1YUGF}C+jQAOTlEr!e(Jw}cdIh9iiRT%x%cDdNFNKGXj8d*UDGx7=D>(us!Z%OZ`MwSpOcOeUt@`jbn#sPm+V1*a zGsFtU3Mi?P)w_$?MTJ;g>xV5ZHj!COZnLBk7ea)J#x>ej#|st4B7{TS=nWzaO12jr zI+g}rj^*df9uqc*>U8@Mi$rn^on$7hYrsi=RiV3v33>Fg$#s56zx23)Xne8vW!tnp zS^wiy1H$OTsyw*e+{>Jd2!dIxevsvAm^V}r^{Xg-r7muvjIac{;|M zwuC|Js6?f5@vSu51d!2uAcWIsxv?{_+U%qC#0I`J*5ZL7D`{KZR5E%NnnqRLN&9C~hmxfRYIZKT(;K070GFgDF|ONxvA%kBT>^iFSZ ztHMp6+btjFmrH%`%*Zyf6#?8jv4R}EkzX1t zoa=l)7%S%H1lu@nIN1q$D7Cz~y*P(Rrr)?Q+Xn`c7Llh04A-mAf(X(9&Av(4O7zrL ztMNE#_uD#gUx4jV?F#9)ZZrB|M>5qnW@sPz#n&afpWf@npypveMmS-bjj*9BK5OF;@m8qP%_3Mr_eStQ z0v-mRbr~0S=5kwfRb1?%#t91iah0(tv3x(q()$0sM)c6x*kqsraN&c*E5?Y{dBYo({RSgXVb6zrn zjm6{7{0(E5+cJcZxKq^&;)#nmMcMwoZ+v)o0_B-9oqZgaX2au|jToxBN8Gb9#Du|- z$EuJhD^&L)*(p#mkLAR`Iq`im;tfV;j?hs!<~||jw^PDwkaQ(y2&zm&U`0-DZmf^7 z^@18$8d^5LI3SZLXU9)ZV8@Xli3Deuu>NkE`7mTvshq{D>Qyo0zRUzU<~^N&oFJ6pfhg1Gj1U=jfdwAr1PQ} z>eUkk%}YzN3;g1ac7_e7=%r66Zj3JF^p9?J+4ar2km~A?n~{58&=wuRXzHD+#%bR-K``8qrSSo-yeaN#-?j`yb^`U#gea(H~RaBmY z&?)^C+5cf{Q5rVbAGy{C@5`wkJKG{`w>55-RSu2|I=Z~dRoyUH^ZUAR{YH8Q1=hsOX`aKwPKRWHPI}BP_8}tKJF6pN!18}vwA32Tq ze^g;auwZR4;n0$zG^?WIDS~d$azb=fQn<(|i7INU2 zGr+Ym3sazJbb!SNEn}?8k}aR9x5)l*p*9L0YuIf>V+5pM{J48YP{lXqq^tvT2}Q3AO`nW6yES}e|dMSnKD zt9UCL!+jGfvlJD;lWL+h>>pV)4kn%cqSLEx*yQil{Cnh&D&u9vkRD z;MJMPuME>LaU$hX#|=DVl3ITjDzenJJAyw9`(*SY_JLCIv8M=8^IJc(*L_iI?O~mk zV$RuxI|9A6ust7f!wO-Aw?ZUflc1I6zkM)Xi*Gf&YcqLgNzh$Sb5TfFMIz>QpcHs8 z=Gn;k#b3(Ey(Zp8RTY7G6o&z}^ITIuiu(ESb0I$B=aGy>?V3K2H{}EAYe>D=mHWKmvtj^iiYUeKtnsrjtJn$Ez)v6wP-;$>?kCqYP8HT5ZOfB*>=m)mV5 z_MO|EwzxGsc46Nlq(&k7C$|fS2g5XZYku7x#0>bCJG_~0`HyQyPor&^DcjR6y)CLx!;}d^ZiU~ zMR^;~0R+L9lIjPK#B7+(9w93jh*0zd%I%HBZImXhAQf65h z^9?f4-!sq|q9>w$ekpxR_ud@(?kSBt_gh%@)BNgyAwvG)L+QY#-gEzcy>VKQ0)Bvw z2XEyR`7mMV(OOt2cxx}mdMGSP*>&h{o~^0ui(>}jFNBgYJMbu}^gZ6RdG`h33s~i{ z-QB3s&3pVKh@@7N3&LEN9M|pTan{-Yf>5Ms{&^;we;$!o(gScQ7EH<#qjGTtwF;89 z7+OBZZ!k)KlFY^7rwR@bp1WADOoz--I#4F$d$KV6{N?ZlcJazPQ_;Cj-s z^LWjKb@p0!E=%i#QJ~+}UOu>&55sIjZRIHPmB}Ei<&&DN9Q}=Wf#wN~K=moXPL2&i z$(3W$ZF<9O_g2nnj&V|%)5S=!LC@GdL8N1Km3nip!Rx+bs781^ywb`#+pS^mg7I+n z_jRyEuj4j^66yi{?vKb`I&OlB4q!=%$nC7{<{#wy`bZ8gj@58U&^iN4Mg9^hzqLFG z%*Z7BklZsQy)HFWjW&*2dnl2lARXo49e{tGViSFG+)uxxTn7Z?HR_6DiBqCE5pc!{sfiJj8SG6c5cLSnag3~i8h!YmLP zsGh;Idt#}-{6*Hbd2b$~%1udHB9D>QI3F8Hd>MUg?_8wAd5Cd-Y2l_SF!%EUJR@e? z62G1W_ws$+_07%TW#8$2Y1ZEx9%OC8-Y2^euXu4dy*-I4C*Xv|4I zH}SMKPV{P&m9e6nuFcY9y);aO_&={|-kVoAwc2ZNAMc>RD386;5R!MtFhLMSG|F+! zBR4^2uqt$zZ=(D6J8KOgmQ(0M(aM}%p_k33AZoAaCJyA4TE$-W-lKda5~%>9wh@PX zGjyzyaA4 zk4UezbuS9|g45GqXXcT_(;sLJvRN?JA_p5B3fbeBu3#BRJ4Hpux5u&+M!Jns^}APK9h5YH`8WZ>z!s$D(xosdzibAc*TfJRN?=~1Z9^_z;-ps1 zvZ_kjJ-QD?D;e%Z+2JSMtwjRv&W{g0x5gZ_iB75j5GGUrmSxnuN#kZpdQ7yk0doTB zGcbN+@jt43r|*~-T0fPXN{zZAfo-p~ioU)aY7+^0q(JB248{$N=l;Ir zlY=_?)fAF`S!e1(^fvZ`{m>@yS4a2|2>2w!4Bo*fhM)RQ#aXpskQn!s|0Me z^kaD;cTpn)6;J=VAcTVEf}^NHrVMSK{%VAp3;VL;9RB!M?f=iq;bgYcxe6Yq&5E)%G>z{HBQ#p=jBe3ZnEbK#D3hfTJv!Jc~_3{ zyl|s)gR|P-khA(?bqvy+A;}{IRs<51SvxpJH4zodH%Q8oV=og|8eZ^Bo|Ag^8cb;r zg+I+6q&=D6R2|ZfXxUrFJ|)rxL^Ni5S#_RKn0nyuct-4cN)%o(Ltx5j2WE_p?Oj!3 z2Y>&pZXB&luDAH_9d7`6Q9sIceFBR|$MJ0%j1H13<#GI}^0*?NU0&f4!rK%#z=j?v zxWgl_QNSW6z;84C=WO?l{{v7s3J)g+QcuYJJJUai@p6Od!-DO}unoEMT&EYoN9aS} z)=T%{T|P~JFUcg8A~hS}ouDDCwa9hYs2OWK7giAc=Un;^*ZcEWHFo)P_=U(eR2b7R zz5Syuvpmx}-9G*0Ptk7^r$_l(jQjv#Tw7WC75{4!WmuEE>_GWR$#I8++$zHr@!q+6 zVuSd3h??Zs_KRExt2n_qUg;^|c#ajXj#j7qnr~m0X`NWKrnyeOCe5wc3Tbu(48WfK z%#$P|t)9J42uI&$1Ba4;MPgN#tNrhP7R3wUOVo4F1a38XtHhZApEH`ms$T7yS;5zg zko)MAF-K)_zlaKe`6xVsn>e`wy1ldTl^8qyyC3?v4=MSNO!a00>7mnekg58dq`beF zm--~YLb`s|rqCT?ykJ}ww<6&Pp>rWIbDFHzX@>1(>6IS8%D$jNWnr*wZDEpMR>2Zz z6m_&)J0jO}AL*Ld8TNKFwLtqLJf7{zm(N~)UK7u^)y`fK-}@{r#u{FAE))>U5WrO7 zS)B~*U-|Gb=P+K%ikSW$P&=xYdLT2YtGbV(sUS$P&c5Oo=`n?*BKv7v540|8dqru6 z!vkQ=?h~$HBWUzC&b}vvc;qTH{j+Q@V7ZWgG!O*PVd;cVJyRm`3NaQP**|e+Veb?C zD>2wRKW&X)$I8qxDUefR0{V_@a5JxVvE9Vmh*tc{)^8;_6DsWB9Eqv=e9pvWNejx? zy8V>zYYW0kP1c&WIjjY`J(Zd|x@J4kM|X(GzueXT(unq@A&7KR;?tJ^`yN?Abci2i zZD(y}SsE{xbQ=Nc1`Mw?$I1esO?9p4chI}aR33o(sP~y-7_v5YR%=i~`&{ zKXF!n3n7Yle9q^h$khQJCjc`V@zsWoqG2WrW3zqHY`;3cqBPw1oW%rO(qbr0pdtl*_dCo z8|`gd-FrNnDLG%){J``?Jcr`Z{s zCX*V;o?k$a!w|Rm=6fL0zR9nkL3Y|#znGDg6V$1f!wGTwc`aBCDsTF{YQ17IEzp5K!`+j3?oO+~Kl76s^>H%* zE=#-H&sMW@#FKqTypVQU*+r;h0)rg)eYm4HcS-M|*?R^$Jco>@Fmh{Jq$+2~U;3S~Z9{G$doC@Dj^IKuBz>An5b!ao7TnlyURV(7lzB{&N| zs~!wvRwHn{45VgRU`)D zQq29eD)McoLA4cp4|+FCEuzp-Y3N5RwF`e}VOO{Ik_o^G?y7f_++uv2zZ7XJvM+Gd z$)dVUt1L(kcs!8>)Ul8;<=GiE$KDd$@=C%QRoNQen55b4CyTTVSwSKY$9HnSZuZXH zM_&grY3%LM20PJQfur6Dji!xnsHHm6M_sBXF`*r|@y`p58d^gp&jxtrCyeGry-@dV zGLY_+U?1HRpTC`tJlgfG65l`es)X}=BaV*DBWLjJfw&XbR04VA<=V2jw;b;0(*kK` zBZ`;vaQ{Vn(w_n+jyOhkVPWq9^^8U7536Q;HjUvXF=vOO0)h$D3M8b|F{L;yK`8g* zSOOU!_ijJlaY3VjQ0=j2LGb|P%-mgo{kZhP?1{qz0zjlDz0urG%q>xd3s8Lwm!o2{&@* z%;Z?rM39nZ;i2kVNN%FU^+#?3=bzN4*cVIsfky5m+Z-1_mMWpmj@!uabIx#fSdPm+ z%RrJcqqhBXd(3cv)V9WN=(*fif=8=*cm z-(d9`T*N>0g!zd7z1yTav}Z3M^cdZt`in*x4hsASe484NT#?zJ@T0%gf^qlMb9r8N z25WFu|GynE$owxp18$y}@P>cTARoAx#ZRLQUeHe<_&Q!gJMN=CbwldHyIgH`2>@)q z?>iP#UK&WMDIq3sLULSc@Fc!X9yyTmm^YeFKSJePt*Lm$2}V&n*p0BIMU5@+E2J)9 z@KLXXRv_e-qyTgv$%*qw`*Z$BzitEUmS62LAL7}C{hNFX7`mqMfD@%Z`IX+g^P0sZ&NMk3=cgC;+r|%^i2$INK1)Kv^oFgr8j@%UV%#-H7L0 z{{ocT+yvtm%#$4BhKTaTSgmp$4*?YC&)!TeNlW<8dVQAe#S$+r?CCZt*;Hi*Y}5(i zER9g3^P*!7y>&$M029%#F+I#H%u~5ifc}H{jlZ3n*^j62sttaQRAanR?Ho@J#c=vn+jWz1DX{u*oup2L6c1o)gjNj|Tt+X~Q6^ihC#2_zWT z$K(HVIu(pg9POJMrPx2gMG7;-Y35DS`;^$74cl) z$dUZfv$qc%!mBzZRvrl@1W`}c{L1JtS<=r~+=YRK0799YvHoZYRGzznACL?m2zbMT zRYv~zSL|Pz?|xq8t#NtkgJ#NiHCh*R*Iel_Uj5rpD0`_^3t|4?P*1UwwA4y@+Q~ z$BOk5?PBfl#=9~w`hJ{iUIxa|4`vn4iB1&U>C!3LJW!spf*@kgm%$Gv{tI$|&phHK z6~skRr6y%5)*(o#NBdL2Dego!e8Tq_{Ge#ACstO_WY4-mW7!)u0&Fp{uoerf(4PMn zpUgoW3@~$!2gf5bfy%)sMwtWd$LyaA+n#B8AL+$=bY(oj5~yxso7rdAA6X~5L7(|? zLiYh-kuqu2Tj1Pi35PxdY#|f@rGA9@@%lqZzq&?I>3)se_f`P$Ig}aP7%xNu&QkQd z<2y%ERwUpH9ho4~ir^#ZF2!|@aYht)UU1;tDOh2cVWJ!dWEo{cxj|oI*?#(s!BW$S~_vl zZbW3nXm+b~>u(w`MuB(M02F1yB>R8u*bXee4>K4sP9AC3`oZwF5VLs-tKSh_%*C})2`y{}ND5G(@1Yq_` z_myQ|g`5DY+4Os?(1Hfl>M4~hkLIy^pE<@Cfou^-(3V_Vu`?LrN+f?3$POrOL&vO* z&dajaA4TncRFGm#UTKJwpL8ACF?0mwH!W~4;e^V|T8#i{#?^P5mc>=3$BPugY1M#f z$I(Bg*`>P~7_TZx$Y{Ut{M*wSeterJX(Iw#gYwaJe1bF${eT{fgXS)V79au{r`KfU z(#YVx-a=`}oXZ#dFhw(q^cJY!XFpyHjw$y%yI^ntsB4|yVEf3l7K97Hx)>~=n$PMt~l<^=*J{=wfCX@PgqT@b_f!G>P$sjiG23M z+-t06Dv=@UnJW$vRONJew+^k|nq9#==&Nx^bn%i^#@hCZ!YM#3ju#-dvXJbsOJ)l| zo~1wJI!x}XQwAzZuolkCl8Qol?2~kzaKOCt^Rj{WbHV@w>jf{Oni4=#N$n?Omc7+;RM8Z?(#mrqkXOg*jGTF z06vO=pTj*W$OMWR(~pLZ73A=2ZCXM;*FbnQodlBy&oot`}a*yWeBA)%ZiGnxac)AV{R13EJ^n$h20bY!qTZc!NaKL9Q zo5bHZRWX!n0f=e&uk)KTN&lZ(jsLHRp5*_d)%aFg$gZ}yip&2SqUSm8d1XZqTzhx8 z*YU*ipx%S=F9UO2-Y@iBsNWMbkeX`viA1E36K(zn(G#B&KC(XuSu%gTDB|Kx`H~Rd zX$qfMCObJ}xk8ALA6vnpaH}!Xg67J;f3(q1A#31k@b$SaA%!?zJ?7g@nJ`%Qu3gw{ zyVq^gZ_y2o3@3Z*ALy2Jx?D2!E3ziO)8+ezk*z;b?yqoJUN4-bgdX$()9u>l?vql? zF+8DIuKTL|vh>Fen$Tcz!VDi6UaNhPo^gf#t&#imxt+Uxg!02bZ8_TOUqvj@>Dvv2 zf&1l9Ppp+-_DeOkjhR$ej7`}82Z zBW`J-zn4g&xX*nvv7y6dTjF?m0t1l)f4puD8`4M=*^xdP@?ONRt7YE5ZeUyd8-V74 z|M6TB_K-X_cJ`KHqUD72`_mkU0Qs<`@MPX*gEeYx5J$*<@m)O+%}WNq&Cv@CMV+ zJ-V!XN^>N8i+WO5GVco?gSE3K!4p~3&=r+lt+m-g|~zz|=9KbVF1*M3Gd zIMKyl6naO@hKm)kUw#wnse2hs{@cM!#;P|?p&=yhjAY77+C2LCqj{(HidxLCen+i3 zRUni4pMqMnK(OEE6&z2=z#7XI>;j>#p+m^vZ&F?8Q!}E<-Fa=!ze+U^LN<=ehuM|O z=HIGzZjG7V;0~VX)HS6^_eRcU#J&@M!F?1x5GO1u7^G5cE$6B*vAbWN$;%{9v85@(RC22e<|Y@;^(5nZjo^m;h412y#QdV4q$&2q1O3 zG-PkYFQsN2Pk@zxjT1k?=;8KQZCIeIqMMvQ4r-17jlutL6z7g|P7VT0o&nH`O3Xi; zlL9sDQ^+fg`M=Pn2Qn! z`k}jvkmjL)m>Ag?c~d6MB1_)S0&v|DrQO7>W5(&i(Fq zl%p(AtGSZzNctcG+GSbW2Q+81>hc>n=o?aiPNc6Cfw)!k9jcK3kIqw-wWe}9Frj}j zj<)xEP|X)=mcnZq$;q+(JoCFFFhM^884o~l5Xx8u=dYmee&r@(taCtbZ`XrI3WGYv zbVjYI0YLFkp%1h#>MxP7FSTb?EWdACbYHu`&Ke2%QwJj>J|Y&Iy|E?b1l0AA(a_D% z-CH!oKzH%>5{=zIosj+~WP?@%pj(cw>b~#HMsYX$nKeUM_SBbn;E3Ek>Ad9cwIj^P zrr*RRj;|2P%VwH>3{l)f?B(4@VgLyNAPhsl0pJbaFJQ?4IDTE%k#rvr6dd&}*I6D( z4dlR3X`{d{hE!_QdJ`om@-hWj?qj1ELBJKw&olo& zT=)NaO6cDI;kr)^2JdPIkUdBKFUX#ACn((~zxzHpcQf?4&WE<88=2-==*#GKaLeJN zTWd{jJ3Kz|{KhpMmB_zxUwbNfo^sdu{ckI23;XV8?vMI7LZed@P1MNc|97w#NAyJP zF*Cs(zW3ak-%LSPk6mA?|HRh!IG;27^68pIDdc+h=6%bv5$TP{r< z)lKdt`PKfGBiRjMcS7f%M30xA>I<^T9?CtyMy|d7be0rRcBrDl_U7@Pl0$o`4692~ zLo;PxFKQeA{tlCeTN9gK>*!tiy{fE-e|yX-qF;FBXyIF{+SAPi?U^rJvvN~flp3uu zGQ)m$yJ7G#MAxb2fiDxz6Jz0*Fd=_zj-J12>^>Av0~nqAj$4UlFL-8{--2sP$YXT&Kbp#UOwj_DUSl3x^cQ2LDP<@4vQM>`p5RFMEEH&VaN0O z^Z|J8!Mfq(_Q59G$O!(@o%7Sxi*4`kmHT|l-_R0%DChpxAUpd2VZ=VZ#)LWb&8#%x z08>!SdzrU_r?`SW4fPU_7e4S<<86oiTxPK`^*w`II-@K8o`d>E(H3TUG9LUe-T(8{ zKoTPKs|VKiEb-M@%?IyB1;gBb2sBXiH&Ks_0w%0h}biy znE33fe94L1jl27Ba&@+>2Hr>*df{2^SzU2TICW3XN7tOQWSt5Es0q-%;KI}Vr2gQQSD*ynM0aL*!IKm~PQye}qcUH|G z;P_xw$nyOS5w|O}g&i#*j!9o50MofAG35$m7pGj9bYW$)0zKFWAkdW2exj{j9b!x= z2Y6@1@ncV>#4i?=g)h{G*$1aE-3k}1O|8SCI`P_R} z{(OTN^Ev;K=Cxm$m@V{`FSV0_IK;QEVDdBZfC_K_eu2mB3lFO!9*0ETgFeo-2WWlg zdkDFfH~d0}A$9L-Z`4=uAOPOxi#c!&$M#x>!XdTke`Kj}$dj7tcEc)h*C!`*`ZK3! zQ*MXL;5LBJ^HHP>czmi@dE-88?jFuNLcDbA@U!fc%Eg-g41QNWqDcXLrBt8LV28Zj zl>Dn%TGV06kF|TT=Wu7_FNNVVM*vFZYmG8{qv+sna%@P?ia>K?UrYw(Uc_sSs8^83 zgn0!a;PGp2zY^Z!Ys;{vkRtLmqq3Ek(EI$C+RO^ew29##9Frs!yo%v~E5OymU6~_p z4r&na=WtuaUxcq+?eqUtSqJ!g3P=Uq9>Z28=CQ^-M1ZL>I;MdB))<{$b_uizK=%NX ztcp7mken7e9!2Y~&=?7M3Gjw$_N0IG|Dv$!YW7sACoC8Gr;Zq!OPWR78@&?$!puuS zoC75%icg+j+et{U)Fuo9Xgz@MC+q#(tN`$cCPkM(-4?074;+yK@I+@Uml;01OMoxYD4Tx<0PUDj>6Rq`*DXoMQAJ}uj#l_og~w=9 zAov*GLa1COHFZHJ0bm{qa7?^^(+|52`ZUM==fS3lG5!DuCtUWD{3+5KRxB;-asBe? zv=P8t{5lk}9lmxC71jux2D$~126q7W&o@U^^%NHA17Qct^D}zuYIF9R>Q)`Y4%eSf z;o|STBBU!P0T8|c(bL-i&<9{}7L~TD;0D3*RMAtIq2r>Nx6yzP4}uyHeG)4RvK+}r ztv<;MBEBfpmGZ*|!+F`>oCoFP6}DNptchju33%2G2oK&b`2*0F=QAJKI-+-c^FgJ> z`bR1RYGkP2d(p{J10Z&Y0vxs=Yv6Rvp2!%FV#F;#d;lTR*ICoI#{qGazJ?LdsUFt4Eh)P8XV*nI#tk9|() z)IJ1$OM45%0BR1OrIfdj`GzMon!uVrMGOPSv)@Z@O|Ch`fz-9kG(|Z0J#_@mBda%FzvSkvL7j*TPUw`{E;oe_r?V;Dj$;-_1qrd&R zX)}^<$-07*(X)ShcvW5X#vXP@r@rk!&xWbD9jlzp?<70dK@?OD2%DP-pwV4tzi#}S zqxmp!61KhO+DD_dVx77|4?49I(YDqwf(`E7#n*~^gjCIz3RUVUfc&@cm7|F zIf4FfupWc|{g@MOn2Gq&9_gO(Pi7Xo`*cP`+5VKplw5n>)BVTZo<;wuofu-*^E1$S z&x_pFTUEMMJ-!t^S52q(A42~*UVh`RJ8!)A+0~BA$GDtW12S{fk;Z*XrOj+&VN_;? zAA>8D5=nd zz4l=+;KJ>>FBD%kv~3YH!Kh#}t4ML2og(~BkxYbJkq434TtsghHUItk10OYjU+;)$ z(`XRYrLf9w@ad<9MW4$P;6HzW=4OkXC^g)HdpS5X?si3p+$n97w=*;3bU5_xuuJyt z)sBJdi%oBaaLk+iio7Lvq7=J3fO<=A&Q|>qamg0jy(mYmJhl&kU>QLkId}Z)twfnl z4f0CA?9*Wth64VMfQ3jCVMxIAvr*sG6eQ#M1Qx&X7-z^tiw{E)EpPA#xgB?$!84vN z*{LtA(HO++<8Rd?F>`CI{IEw2fy~j-9Aw)LIw7#}>l^Y=O;45Zw0J1l2UL~qkLjz= z{wT76r=bLoLw`E*LyYiqKd9uox*zK@6LtAQ{dLvZ^6yZ@NG^5weCa6I0{C{^uI`W6WJ&i%@PGQs?jhx7la(QH~dhRGTwv|Dk zqBh4^A)^rFd^MHXhWtFte&xb_KDtzWmYEYCu=EHP5Z0U~Tn3SAqZ-vBF56)z4ih)t zXA4KB=fl``I@G9^;5YbQeIv}B;kq#O+E==g++eE!#JpP1kBzqL+AwLhey#$YuwFsk{M;kiPn7n3Zjro5p^Ju< zxW1)i^hOv+h`U>8Pl+0_ZKpIu2H5iA6`nC;F#)!BHVM9hi4^e*?evSN4xqllZ|w}& zBn7(Q2N-`jU^A7{@dY56;BnP)!j(_CF9NW4PF10Cc5aYiu6jx;j$ zPJ!Jxz{-wnoPtw72NMwDSmGr~IA={*Cdcq?b8)aC=GcchXowuomR%p*k1G|Y-!gI2mlv&6(?^6wK6Klbwf1I9W1-DydR=p&=I}G!hQh`H?Db5C7E3A7)iMBilS? z-hGnX1|&I%A{Itk-Y_Iwo!|?{#wyHY-)6qCmMaTzxOJQB0n;pZ22A{6?x$du6uYt3 zo^DWA`F!Kc*f%2-qxt#>j_P!yP@@`5md`F%t2f@f#l^QR>GNj#Y5K7DkKkjg84~8o z+Xp>6Q`k$gZ|<@DC8yVfMx*WqL|~QVb$fv5d&2~3ebX848ym`{G{AYf2#;}D0jye5 zMP$r53T@yG{1FaG)T0N|XkD7YOfU8)q~+RvxH~@0(@pOg3#yvv;CzP34H?4C3G;NK z%`)qHsfBR(nl$~hOA|P$wHkBKXE~C9 ztIM&qBVBk*J^_mzLWj$er6A}}i`b7g$bW7RX%yS;Du)&?=K0bw zyn(YEQp1A1E0$7Tcn)?^nkD}0zY`QgcgrMCs_>_17+b*&-oV@9Fi-A>3ZN)DHPBO> z;u%?$MxzW&wJc*efi1)v9Kme*80_v>qRI#cie8lFsq8{TbkrJiI+?t>IR=57YR~e0 z!vCG07l~T#MBgB3+=>}9x|8b^h5wMl_oDegNTzIX8oM!1hhBT7*DT=fnOrtD2i4w< z2*_(Fa0amqo+I1xV7dU^2%W>e+GgY|N*)J6N_r3#G!c6B@o3tm5hk$(0i|PTs}Lr8 zldx!C9a%Y>anU(?Ajzdr&MmWlNB)unRgh>UFI_GmM^9(y;HJK;HsUnaUB&wi?5~OX zZI!ML{fInqDj-4nd=1ej3?!K0jMw8nz;JKYGCmM<1Z!`G8C{Zq`o%#mtom#{4bm$P zNnNOZYt(*0!0!_V8D&VDTi3LIM0VE+z`8$}-Llz*TpnhK4^4kWXvuSeM=~=CV9D3c@<_k(ySUvFu{V`663JPb(f81V{EJGQGHTUSt)74A(hWlnD+a+!R#VGH zx$ng|t?Eh44xpW$ArgJSwSqsSlfGfCHqb2LVVyi|OUZyRN+mmm zbFy~HmF3Jq+#%t@k~_`Kpe5>2nRdHdH$MSPa={u(U&)`;-+93}4sB0D%z``Ffw!l1 zQxigFMYIk!Z1s_^86^V~=iqvE@#%n7@!codj6DEbB3aQBxXTgUlgI2qwknIe&-EiNy zj15J)$`0a@O=B<}yc6x;1-3vPr+KGB5;dt;X#4N2wfN*Bws3;sG(irLH;m=@g62mg;96 zCH4#K0;^2UMYD>Gyqbh5gM^R*tE?QnxA;OrSfxfA<2~V7hfE)sM!s-6U)sE676E`B z#3>6vQ(uN8DaiUA=>Brz%5Bsx5YXB)4nSxSHQ2CQGDUX_{mAZKQhZ zp--x~nKI4QePc8{BR zVjFSCC$=JLrrC9^>5Vx(B^#yMbR#_x-DqzevKlDi$KYJ0wIOWFV(? zYKf2x{^8Yv}cESJNlu<(BF3OozOF*!_Rn2TRn`F3|5HDD}(%H1|bB^ObF7+*Ov{kFkq4%cB} zV$`yschM{$u6McqjuB1jC=@|-P;w9?&f)lj>`ZDCTbC4@+G@TF40_BCCz7!;EvmKu z_1A-Hvthg7CP-yY=73eVr_yiaJ;WwVvcPN6nrC}~Fo#*J8VD~Fb})nUJ489H1FxEF zQsH^HywO1CJi$QEMKg~KNQMA2lpOMye8ixIwkFE}$9DSg*J$VN)@V$jBPzJBO>W@^U{*%c{a{pacQ zt`+4Lg$@2HayHVd?p&*@D*HV{->MC@V+ZrKh2`0)9SK!G*mlgg21~F|n*+2usK}jZpz3Rwpj;mW?b9=CX6r;_+D-;MWN7m@ zP1Bm#F<tN=w(Tl6?fc(|Eb0gTGeJe7VxQe>NjNflgTT{>rVJs)9t9?lXJ!>Wjm zHi8?Aqup~`sV~*Dj?IK@r@HM+cNCz9!u^Y<0Z-8ZzK`&PB(7}!(^#CBf`Wditvost z8U+{-B0rVfgsz!Qo(;)KJ4`G0L%vH2S;^}jL+OI4dcZtp zE-!B@n{n@_ z3B#QF#dEr}W??bv?`N5$BhsQWHuq+O%C}^*AMExRx+=YBL;u~(amv%xqEu2K=C6LmyMS#L39>fY@FOSgf1e^&=Z&jr3nU0`xQ|7u$N?RV42L zdI3T2K*4-ytKAcQjkt~D#_XpK)T2^!-l3$RmAoc?(rQOCB1iTIS~pL725o_+1>0vo z%i)t&*NUBvHJbOUO`@|fT?rx9EbJ{{^m$(O&rKR1=hJAu=<%HL$EwQot_0wltgFDy z*$$@{qx720%b1yz?a`@AX2cAsH}!zzFOdK7x38hVQ;xhJS6T#K-uIo6q>{B7XNa>Rh@1J9AeV0Ju1(OSwX}buL-4 z>UdMh^uaGL&2ZoM&6LP26Z(hg-mA7HbnmyYuegM;Sw5YiEi775?a%5G+Mu=~-|Z^) z^q$)NF3~k_aAQ~M9V1g{(~X+jw|hvn*0L||7uEyl^ck2n~B^-e?1)>6)?eIedl9x!>TEj zQ#^buIFky_Z~ zhEJHHwc2StA^~>MMk31V;{U}cwjInW48-dV z&_lsFe^LkS_f zsJS+5)&A!nYRgVWKCF3A&c=QP+fM6&={AKEf4mWe=BRtG&l%hlcMQA&F3V}_p9D8N z%rf*AZyB<(-W|5+?|HW?rszOk+(yCz90*7c<*)b_dMK?XmsQcPQOcKmG^^(qO9QcL zx%&Gfj^Gs~vG(n^DD_LWA7Ce_YRjN}=`1TVkfNJsW(LG(%_x2EkG1F)+XJ#=cESKb z6S}dlwq&jNzLDx@W+b z6E)>96{(3VZ5kJ}>fZmV>IT+ox-tQ=BJ*AlYO<~IesiQINuwxq1s#~-fVn-R&qJSI zwdX-TqPMhwH}dQ$ra0S;29VTzXm=jDXhZLvQ`Qa0dDc7O^E|$JUf2o092_e>5TaJ< z0;C5J6Xajfsx-;I5a}(9=R1usnQk$^UVLAhz6Tc9pb7*q`4A*6PFJ3ff6!`M1Uy7i z(-`&;V9oH80LMe7yYr`k2mbh+b_B-L4|gaja(K*iHT5LHsaL#zD1FM{=1_9bne>M> zipW9-voQY0w*I1+qWb|+6%6ss9RYE&T}whuW+i10qeo{9YwN)1kp<5w`7ey`3W(#d zvU{_t&#u}IlkM@Yg8^jJuipL(e?Nykm@;caOgiH1Q?0Hxq*g%ncF_6;eOmFbEUS84 z#3^|SK}Y;{R?tACTSf1{8!DB$1XHFG?s{RoK2uAn2|sNO2G_Vn7TyaUnsjReROO~x z{~KfCk%oGn5;;nZ_q%p-$SzsHluq|)!b3We-&+C%Ce@Dd4oV{3ywR5v`$c8Rt=$C@Dmi> z%6B;a*5VlS($~=|hnx@a>DEw1g!s@LxS4(I>r4lfzXfDu-cLH{8%H_60}psMP5PB< zxF$@z!|}N{M;eSd??A^4;x-hew!0R%r=3fH$~dmc zi_%dcSb+eE^dbKmyhUeau1MD6lY3YIrW^$|2l6aY_I*KjLItnit*~`G%0`~oT^({}Y zvUZ~ZP|F+K-tdEUCjPK467XgBO;o!I(9wImAS-DpU5~^_aVwY2g~;>W%-sqJzw_Nw zN3R9I(orULh11R4fG2stb}<(Z6bT)M+&x)8wn#I`=!&3@mQB)2@jI%<*d%^%Fq(~8 zTW;`Wcq3%S9Wk}&xm+KQ3h{003%R(xT#Y2+y4V?9!612alOx&D%-2SDnou%`*4aSi z5MTarO^=A#yiR~Ml{BTaBg6^XGd#O{`A2|l4>|=dn+;NSbt%~!o^cNI7<@2}Z~<7k zXr!wu!L~$)esBQbJ(yY2|01sUD;2?i?~^7iE?2ej^x2m;M+1PIfTp?@+iN-xZn)(# z2R?`(q`nwAD=e_i0b=q4o0jQSw(4bX0Jc^n>#K=Se+~inc}$n-usWao7#uaL+8ymS zZ&hnRu!Zau{@zD22HF(@zA3eAj`Ui+z`N5VLqs!MBxBflhNMT#nZpJ`{pCx>7B>3J z(E%Wwnwz#2ySsVW^|ECW_nsW7UgYWN6>sf+%?(7_s!Z>(^+{T4%Eu;Af%vx?`Q?NH zxgHW+%0-(5V1MnX(~>WCy~cSjxl2FC0)gp3Xgjhw)j(PNC9*Wd;zB^paLw}L8y>M& zx|xg121EHAT;!_(s5UbcJ=N))E;*W;brIMYGM7-$zJ|ru(90XAfG4s{*&xMGfX8fx z9=jy@4QaZV;~Vo}q4_r2>4QSq#H&3vDV;_1`Fl`wwJr^iPc}WT4`2b(f zUg6yaT%A#ua6G_7y==3uHgahYRyLe7>t)WzjxGQ$fi%PaK#44^ zpg)PR?61a}eA)>y+B^SBD9+pWCb3q;R@iKVb+22%nLpqP?g#?{Xq8M~fC*Y$3Tx!X=MZf%(j$kB z!SRHd`F}U^2!^I!x^&Jxx}Y&>&_SW+2)b=DQg6}dI#sRH#0Du_%knSl^b6 z<205VEDSxJ!Rcfk4)4PJPBfm5tQqJ#0H?H~n_ZQC=c85U3!(fBVBc6iHQ|OVo8?BJ zkFhKOq|Drt^@Wv1~B7w$b)lP(F=Fv$i~>PlAdbEJ80GJ9@!FG zFKr-hzHk|waa@6740Im1ZJz2S)lbsY2lGrAl_r!&1;YW*0$)T$A-TinGNyNIx=di;Nc>EX<-6ePpWyV-o#5@aEnq zllz8KJfb(MBZG30n{)#-9i!?Uo zAo5F&V#CX|XH*qHFijfkYBiVb-~U}sNeCkcjQQ&C)aO1iuUFrH9hG@f#@0a_tC6V zDDcR46L8rZXd|`1(%_Dz*@iSEbU-hjq~>D*t6sKp(oEud$oJG+CD<3<_*ca5L8#cz;q0ghdw77@+;vJtv+d_<^Di`yzQ8lm{w=U}+T8t$iP~X~P zfmyd7d9?t3R&0<0-V)4fPM@vck-qX$4e&Ho+5 z^FQio{s)LB`hS+|=0+*^ICuV^Ks+tR-unyZb?!fY?y4Amud=e@WZUzc{&=sJFsm=r zzp@SBi7!p6&RcZdaIJ)UnIAWBF;!7kk;IHUGF2S1n? z#WeLHzHi8>WsN5U7tBP|ab$S-#k*-=xkW)M10jl8ow{o#@BGpp)jiv}pH-N46h61%z9=~1aO~YHo$#SCEkz~cFt?rrAKr}F60 z=t~Z>wmC3XU*GmiyJIHD(bLtx>?(u%wxEAkKC^z{Ke_szwCp_i?!d(l{tuoNh{%qi zMVQY6?_6$p4rI2{%%|h_kxvozEwta0L(%-ZO?_$GGSZ<&7B;(S3CO1R?d8QyoJCRD z{+ylBNBYeHo~ohlGrc}a27_PT3%*@G0L~noTtCx5E7XJsUSSP(-no3Nw%aCZn<9EL)W)Syuf9%xtyg{tG zLR5O5119oqad6n5bZSv_J9*{J{@REkY;KsSr{Gdyx3q zZEE<1?1DWeYk|-&K@WN?Ug&Ui-mhLr{44JC+@)S;*?5qq z@wH$j{+)`Q#xJum^2?B*_JzXX1l(&Aq9BUwQcFY@X<;}vA;Za)r% zKh^LdM9yfraCz^>^gH8Q*MeKzfN|=}2H*>rck>!tC-gnhYu6{7{)emZv3(+?H(oE* z*1y1SQnf;c`)cPVb2AeUyj_;?8c;>9qp<`C!P&GEahOxx!Qi&j(G)bPN@?`Otb-thJ{RE&fCKdZjJnP}0uwGJ)?zNb5tnhO zB5PQfYY8wvzqK6e(fZBGj{Ai4tlt%4ppv9_4Q63BMuYA%~&=-j~wGd$xOLG0&-0#|_}|9K}_4{OakD1mB%r zM!w{(;V_q`z7Yn^1F8vaO+!kf5<4&d{l6>=)^FBtQO`DC z!YvV@UojI!zH`-YP|ue4g8xtgL)p8XHQKTk6NglGu+|Pp52Nbne;=~g!|=!47XUL5 z@+9xG)ucJ>2TyN85Io@?4x6I94q5csj~Yeqhg7SLDsJs2E*Cu%U$%&p(W_gjh=f)E z7xF9Y5w2D}lP&J~tU87wW!?-iZA|=iGFdQq|lk<7!Fl4=XIWc(XNL}ZP zSSOSY=stY!75*Qqevz8n4SDZd$roI=_|_zVRpr+I@0fj3t?*D(CpKiU$hLJDbsBH$ zm1-e8#Flr>ckTmC@DJ9K=5?>|^)XC~NMg{)VGOG=;tk(F#t~SA9?-h)#Kf#;aR(cf zv{sd(Cp;CNEW6eI8GCE!8)0hO$By0c8MeF(>EDh+QL0#pLzK?|uOe}+sveIW=BlLu zmB;KAXd#b!>;J=1|5sm4_ee5? zx9s>Po%+2JDsFAL5jhiv+bd%tJO{nQwIK;7 ziF`bcE)D)9GQjz8{ipI5-o)cpB4>+=YNo?)%ofw%vT7Qdw>ZdYv>%-5>e5`KJTnm* zz%^(dV0S-mfBANbcJ=P|kNltej)~~KCIP39S-;yPJ#z7R7guDt*75Lg&lR1*2O3(# zIi;5dxTU3}E5XiYL4GteisMu80s(#5lOkyKUw3q)8y;}6m>&D2Ed$Q+HGI1=1*r?D z{7F;V4(j~!r{bmO7u8LzCsJ44ry(1@<)M^NSa4^uNDLMkYacfJ^z3L-55ZAD`1@Zb9OMNt;C$qk-cCPwo7C9yua?@4Q z=5)l5$?=O~;vI@6YPrjd@A4I12+2PgO#Gm!#Q-CI(jRPp&>ey-D`j4j6QSRwP8Zj= zGi#$H;|n}Fr;6JutD&Fob$7R>sYiM3fW<@c*ho?dPIH;8OX?F- z#ws9G4m_RG69wCmq~)g$7Bi=RJrB-26p9Gy?LV(Ky|jMj_Il|-6JMu@tL&VmA#RUL zDAGnpckuRh3VL=uDA2Vv^37%edGpd^cgO3nR{ZB{>SNG8`}AT+2S27%vBs^|Z@Dm* z_Hz|3Y|fC>V%$Uxsu|h*(foA&Z6V4mqj{76-#ENjIf+a zy=Jl2o#*La5M^SxwR)&{VZKn%;plp{5#xO~$Z)OVVE+#ISBC_BfXlH#8JYW^$>s)J zMKr*tyN-RFdiuGn;WMqYo>f52`906_B7jm$?N5&QtF?LKB_;lVmX{p$S=2k9Z2;|y zMU8-F;+ncb~Y%J^TMrZJHKt%k)=_AzHJf7wk( zQXiq6Y`#dc{}x_wd#yHFJgyB;c;s>=7yfSg&YXyhug3e10Hl<`JqWGgfL=Ysm8qAZ z;jTq$v2BQU3H&RsZ*Hma+bf&Juosh$WtTInQ8yURtgk?};1kFS^&gFY0m2~Wo7L+F zU7bhG$}ZIcZIk+u@sQhvz?UdI6&{XtDApEsyX3;ukxQ^JD!jIt(nbVl<42p$A?mwI zPj`^7py@+tLqYu#@-}uxR~y#SgzfP|?(+v)0SMW-lFLSxprN#0#4zXC0h%N&xOB)J zVD}tl_euxLinp3D$c;k5Zmp_@&+gA8C z#4EL}(`taP6S||4hTQs-gEO^$AroEn?!ynsPj7J|Gl$vlLB&uYvXD$V=9H>af+i+WXYog z+mcEv6k5lUk+oY5=^t5|_s{{u#put7$FUa-@5kCswIvP)EP@v*mCPwrbGIdM083?M z4+Ox&shCe4!P#%RV*c)V5lxLp^bZESRI~P6QkT#hE!?Eih{2+EbGM&@)aAqsSpd}Y zDt4FBye(6|R}GZ}Kwq{_SO6_L@ZO_=Zn~_Ppq04yHGEJ^@mpm>Ob_;30cbn~s3+(y~5#mpYSOSWP!VO}ABAQLt>>p7Qrr`KPy z4l(Ws7x(g<5)N$cQi{#`mp%kA0BcA<(~-tYrLb4XZ=p3t?56(29uNUWOvLXEsaBq-5 z1W7}e%?-afvsIRf!A!Gn(nEI4U~2mVXa&>cKI>4F1ZZ(@RKSSzf?d-EVlYebGwHI$ zvjdSsg8}uSksaLfOtj4$GJ?zK^7h@K7hR;g#hbd(-3!@t@8E; zYAeCXiNJMGp+(E!a|KAZj|Hjh)JA&u!fAyx+76(4_xs#iy`$vxHtN0`P z^L0CYR7Mbu=pUGPutcFS4Gy0NhTVmdzpfwz_*(@5WhT4JQc?wzEj~h zSgySIpr^6VarUxjzu}+)h2JV?Rgcj0k zwV}Husx2F+IcCW;hqq(qd4uI>be`D^xiizSSr#vLxv9kbx)UWUUeC^sNsib^&fdNg0r^ z=49ExxjR7he>nB|NI`B@Cbo%O=w8^9$=~X;8!8xA%2LKG?PDTh?oou3JyApq)yl-8 zIpCg}ML`&OGql+JlL}_T=os@oX_Qv;^@_TXcPx0G7eXck{TcXd%H{jQLKX z<{CFS$gpHG8p`3au1sRsyWE6f5hgJ|-|u5i1V+Fh^bM?tOzmlCVmjkka763lC16(Z z+8ljHj738b_-Qsvz=v<~N0^0+#0DZr1d-=XTk-hIMNBi&L!#J=!$8X*h8kTV^nb|7 zC*q>{lTVoqoK5#+bV~-9;-lB=7-F-wc9L8YY4EbWZ$nQSn1TVpS{WlP+oKUN^RRM8CtR zU<|pQE=xL%p}zGW-hlGk%5l@9E9(`AP~qmLdH^$gv>7XG9~@+1Cq<7G^(d1@6wU`M z1^y;Hn9ybZrcT(;u&_vjZdM7SCy@_`$W6Qjjzhlop-|7$hV_`WcQCMhS-AO=zP>#qQxhx@V?J^M(DeNZt$@yN+s)?n1=9CT0kx2_SiI` zk@pR^hFaVX_QHS9^DNrtnrGg?FjvuG=R!@8y>+r8nqb~;(S%Xo={)tJ}U^UOkg;AoTCar!nQyoWpZ`2!Z|yN+fRrov&x>@VNA zgHM+@sI4cVAIYhq1IYWcJy}68V#IQ>YzBWw;6KW4^)n>;qCbp$G8u23lMHq(LN6h3 zA%bmz|72H{+pnlriNWEohE_X+(2v~pRKEvce};r`?FM>Ui3nN!CgH-g61Pxi6_rfw zj-`9WJ{X~Oei)kcqk@Kfz9AP2K`1!m#_y&^CHSRJ{ORVGR$+o6$GY%^NB-Z5t>OO%j?xf_>=B6m`!B*SZ%$_nCN9&5H{Phe5R7FA-$CFYYiuJ>BcRi^qi+0?I0N^lkh)$DE5cg^!!=(Q|V zaS@xFq4c}vNm?_!P?t2dNp}vpug!pF3**T@J!8o~$^#m7TT%Sq_%hk#JYw{lHaj{U zmBv^JJsldmJm3qu%dv~t{%Qd+zw^NgdQY3bnxYX3gT!8PW6jpN3D{G>fr?(;oS8py z<`ehZ6xNFO!kg!~&78wsontrn1OjB2xJ(4Mf;9EZD{KUE`rzrN!5XD%ZB4}dD;09q z{W6?;mRt>NErx3Xvz`%r9(uKQ^pdP}tT+oKq^f)Ml9)?95A>S=yT#C?QFA<>E zm^Fb}Q$LjGg8Pi1tQX&Deu;J$9GgQbhbl!6ie+Sc!CGVdEy)?_HKj#8^(E+)715W~ z9#qY$Tklf9B5W}zWexM?ujJ4?65J(dzeWlja;2}gJG5q}akjJ0+X8Zq635$&LK zrADYZVFq1HazWWJO+ubTz(CD{Tq7`k{7d+L;t-w35-`m~e}$;`?EH;~Qk64jqy-uo zjw)5_oGvSh0loX^4jMkySg1`(-Z))iG4~K$rN8O5sm?;4rgJS^v&ZGfRZ7YFi<+WSzFgCs@Hi0p!ZyzQ_ zwy|H<3X@tswk}n=A-QZwzBAvgQO&9r_txymaQx@A4)PKHsdcWC_c@#WnzrR4@8F+S z0WD&0GZ|YAm*T-FGId7ItExmYG|U?twjUT0F2(yTY%lL%1V?w~fIY{+-lvN43Z?}> zc|x@;G;N{&UXNOqSt;E|)!ng6=&_~^$+<&YUnc|9A9B8jHj6EMvUv#3hPPk)9szSb zYHs%u#aF$(iINw#Z%(RP>C*X~gbgXNx8n2+^CHhjg>sJK>^LXatt}|>X#DMa;~tVe z35WHISl>5y=&hpt_~AL(`M2(Goqcc$L-)L%vvZ zh;WH2GoAGVm+tsP@h2tS?n%63J?1^>k>if~mzdug{tHhJsN?6GDB7 zeoU0)$L2eeg?txGR)U>!s8fs7ob-@J#s_X(h$tRmN7GhGK4P(UlK`yeoQ!=~a%av> zjqs8kxp|tMWo6Vi!Kv}fbMi`)+dX~_Kh0ShjU<62#qlEtaJrSUT zDks0pd__2E)E;$l*71U;yrPV4r0|TlV=LWS4wB$E>j+rTnt&R&zSM5eB~znESE;fz zy9-r?;wLfRTh>_443l)nd|6wc4Nz}}Q5cc0J0}CNa0Tz1ldb`sNAeQ%bV|^}uzWg` zkY6M!;uJEUtna1d?d+)`xwY({J47f6d$=FBPC9FOmIt2Ow?t`Uej0}B6rZ29@}MLW zm1Nr+3)s$RL*OFfn^R|o1M^AGcI44(t!SOehec7nmNjt3z*|y1TDs*STTwl~h<`OY z0~Q8iv}{PSvLyb3%{&4IYbbPxb->vudYnb;1_ zLb%k9?2w}DC~%(W7PPZF>b=&|bMi$7@)^)Z%>>Y{wI@3Yqq+Nl8TNlh)sv_xql5Mg zlYty#qjq{y9ivU7XhcuPJBcgT8R>HlHaAJH-*W8MUc@zqs6P@tMh~D~kaDIdx*|Jk z<~DmY?-<&?Lh?u{24FR?zl(~_hCp99fSlAU3l}di=DjykB1OU8 zdpR#GsOV8)E#GJEz*<@Jvc^+GZR-Agn8n9_K#`o2;Bh3Z{jvhAli0H#Ypi*Z>e|;3 zPhvB=PYO`*^8%^4L#cY%CGnkE#zv3m-vqi)O1HsNNJmUU_`W&C?xUAJx@s?(rPEad zjz}8$+xWMd8$DuAHpXkWNI{Z&qgd|htd8D;}HX23>r)7m&%G@;jymhaY8kl7`=ss1Ro zhyj7>L>J4-*p`EgMPH(T4TQ1QQw2x|2N}bIAbJs5BzHEi4RsciEjX$GDjg26R83x7 zcE4HFCN#(9MM|}lL>~6$%Is^X4olx6)bX++F|)QhLdSNqr&#-02M@G-CepQn>;z8P zLan|YsrTuF7;O91Adjjm;`BGPQ}!jVM~%xf%vxM^0hrlImR8JEOakKGcyvC+ANkYr zH~t>x9-Keu6gpT_lk?8)g_17-tFZqO+Gm-7(pE6qjcn&Paphab5%S$fy|NxUUcl+1gElk%0a#oKQL35SS;p8rYjTv4^}#t=nj#-eLdze9l>!5^ z1xuaH=7zg~HNaw3fih1U?n?NSFc-0O7O0Kbg$HdlMGXtpLKlA#@u999J_Mi~*gWJO zI!n69g{n$AS<9k_q_aeGztoKI1Q1b+3QFZ*RZrx}%>-djjBg9lDJ5qJ~q;pIHTa|V|kJ3L63-o$4$;LBcS!KYSb6_BP zIVha6TXcacZ_hY&oN}(N88-)E7{`DRo|JQrJl8ch!n3We7Tj{cQjP)gDAz&d;3nyP$dvMl zYQUx)1r7nyU`}pGQL`=9Uo(L#o7t_~GW1>sPTaT|wg)#ybNE3Vj*;NRM+2uAIcY7u zZNdx!_Ana8wYSuZg+{x2-<&*J91sR#Co<$%S_;s1w(A1y8rNPK15B454!BzNeGFKJ zGdfV`IoY9AwTpw_*Q3aDqs;kn*y(>UMKEB;10F!us{#AlcY+)(M-Q8F$bgs%6Zzef>JTE~me3@0{2-!TaY>K1gnLMH-*`khnJq(Uee!YazP!{v?(+92{{O-&m~ zb=C*?kVfVMY%r2q%=0Xey@+z1bXZRHVN%#ivQPdFN+_uL3Jdi1-j)0j28>Pj5X*o+;cnyr)C$Bf1o3&F05KkR+ zo4~pxp+R5G+}n|QVm?=HMqx%iv(9}m`N%QB!@DB8c5SbKs-_2Z6U{@c^FrWIlta4c zZ`b0}p^*y?J9v7)6TMc5(3?y+Squkm$4T^`RLSfZ+3~<1%iA=++YmbO{MudGz#%x(0xR zL7H%X#7w=1bO@xzPtScy)$;}9B=F6U74AccUMoQDar*)KVe|ttkmY2FNObpFG<7?zKJ>SD!vSGFcfeX3TEkpm znEJmWqv?%L{G{~~z%qf`0521gx1Ht$c{UypR(DUmJ@z2~YC(Xj4|hiwld?arnx^qX z?})dXKr~9KB>c3rCv#=D9jdpK4r5AZ?EnL7fWFA}uEbPrBqoqNtY6eYo*x!nSc(NE zOk@_h|YCwJme1^{1$@dl-P)y;-J!e}IO z5@43^iS?l|lwB4Unr&>ri_zi~G8m@=#Ged1_@4l`C^rx33A4%G$?MiehFsdv`l4H% zhF>;GcgqL)Qg8`S0Dr^M?j4L@=PCn5qFJi}fiP#579jr#4?bdUkhv-}$8y3$#*){l zHJqi5)clGX_s)l{p&#D`3}4WaXpjX~3?&rgJ&EB#94$h%Hnfl1Jlxoe@!5>4rukig zn;o|ShNOE7|G@LE9rHq}}t^FkgHs^mZ>n(1Kv0-&-Ek=Df(9TXXQ%m+2uY0-8k!KFloUh`j`H^aY zn2(_qsQh8DG)o6ST!^K}68o}@-P(Bvm!Bp$>R_el+hSKh94i1TQRlH_3Z{%$XUxNv z;3t81s01J}99gM@IZoCYrmzl6z_tTJ;ssKh05|~xb7_&PyFvEZ(0faA;>px`;)My0 z`M6N;B$UyVgyq}a|bvL^Gw);9df^iCvE>^0LXyedfU>^Kw zU=4gK8P840Ir(8y5hPMI(jc{t{I33G#qI>nSX-VYBMJ^rKS3%z#l(lpkw%Px>QCl-V32y9 zXNl^w#z`0q59kg|mw9k3C4fGfpi~qFNhK0f8r1d*A`;9i| ztH-c_x`7y-N9Se+W+ss=6hRY~b_G=z**0f;N(2T|p_jpu3{wRoLP1sbdg^@g-Kj+W zPgA9KuoN1-iK?cB=!ooQ^~;+Iwrh+HFD;!A`LImz%N7cTRHEC0MZx+$eyYyo(Ir$0 zjTvS`l>;jQffUIl@${3p%%p$yOHP>7+)J86*pwh;UabwEx8s}X;|Zj=xU6~~PnPM7 z&6hMkP>sN#l^KRt5Qdv(;LFsU%TLtvM z*1b{BqJXn&EJI~<>sBiPp94$H$p>bhnRWQlb84)|8)cB;tc1Ov!9cLU|b1ueWBkh?YrhI46~63pO%yj>*?6oZ9X(6zI+p+ zwRGw@F{PjKYP0R_+M5^|K;$l2C;)I~xx<-v1V_1-RE?Y=`uaS?S%TbBlPUVHkNxaf z9rSOEG}O`b_)2nyvrf?%E9t7^HNC!TdNu*^?0oig(${sc^tS9YiJzW)0}DI_ zc@-8|k|5LufL5(Zq+Ko0IFK8lLVP;t%HC|1RPwbY6ZIUJ{U!jlczzhr!hooMgg4<{ zzMe;A*smvdrq$!(h6D2TE&@;$u{-uArQg)C11~)%Hy*4^tVd6w6ot85g)Lv2vxjxY zC_s#~=GvFT*UP^;@&3d4)NPP%SI+&tkNkc!)ig7k7XN^q`gT35PLT4rCwoi%@Y<7G zY)%cW!h@1?FQm$^9faRJ3Luk!G#W664QIcdG+%{>?{QkCYAFG=O9WR3?a zV-{Zn!y)AR0NB@=u(f$!7VkUU>@Cm%<+pF`uc>9ON?%XJOTeXj##`Ggy&JKr5Na#9 z0nRrJ%T_syqoVcM?q@+H;mqD8ucpnW^KhA>5$rMbjz$UL2YMvW3o`g`3tTP9snKqk z)jTWVjnc-WUCnw-xPNPhn-<;bbRCJ zFfW@HP~KF0nDUjEUOrMUmif-J91mZY7!M+`=A+gnwk0B%pmA6|RtJ+}3UJ5J@@E?W zSQjAZxL2&*EE6_TJOW}$sd9VFaz|zCLBng zrM=92U=ys~E(%#fekUu27EJOEh9v+dU)0qYj}j`b&A`3*j^&~>#@gH^VxC}64(Ju| ziiVqbf034^C{=KNIjNd<$pe|#33ozD*6B^QV^3f3vF8EuwG43DBTSRQ?~BWdPq!fY zm52}9S?ipZb|CMm65zCB*M2nJ;Fm?WK#IiQvd&ot@SUeN0Lio-`yw)I46MWdk8bf^ zrXOe*sh;?R8@=K1@RJiW; zI3u6rr!adUH6`f}J;%SIn&$+uDQWO6DWpfn3N3tsi`sU6<3Hi(Ghy?A=p?@dC2GFF zKw}W|G3yikZVbSOJ6-LJA7TTrR0NPg`Jo4BJ}`ZR1zvS7iIE>o98H~(qd6*P0phfd zARkzg^E_@#je&FjDkpns0&~V&46^b;b31a(yzD*p?bE; z7d*U^K+Z{s|Ajj>Xz*`(^U%Jm8Eb|)BXqXmGUWL<3xVEi#lN(v|DJTQfDzaV^pUD##S*oew}>Z(1+HVuI-nIa)Kv4Q`p1Ry6ij)=`n5+2WR)B%#`8bE^F!GmRb zTr72~U@oLMNjTVcbj7a^$d7gFKF!i)zXg&{SWE7;0uYr49%FB(I-?$jr_2W|i4q{4 z=$N&D0c;p{9`3_1S)7Q$BIMUtF|aOd1NP3c!4!@MS8$~gECvCJRtHZ8J2Al-Mhx5O zQ2O>~Rc{^2l_@U(Qb)?&N(!V`1)LX10|QKdg7amOb}A@aaDE`(STj3Dp_@MJJt{Ma&eJJQ+VI51cL%j*z8_MRG#AZqi!*)bt7<@{ANTuY0Ht(Z+qVR} zkqj7FLGi3S@UkfV34n)G4XmqTJT)bMn6>)>`^wJYRsz3LZ>OJwV9r70A;kBg_FeSV z{^WH=U`bV!+P7kRt&(UjnzX?tS9oys)5thRiNw_I&#V3(9dCE;_Z*XH6fF)=u4$l&Wt)F3 z;3R$yi7&UB1+m!(EC2QBP~3x>elr)_DA0;caR8WUk(6$Q4ITGth40KSl+k*7t}#dN z9I~XZT%Tf86-MuOVb2FS_)cq?7zMb-&6X>;k9|`%q1mUN&04$oZuv}VDn~k<@t|SU zH1r1al+AchiJJ9xKLOL?Z#PSbp&*H=b+t7iQ)?DMdFaNIf4m<^XxU;FrIWr6yuQpt z+f-qiQd^!)2Z=``OlraxI%!7_(^{YTo{%L)e-yh?BK*HDp6khMwK>ZE!28GLyfpgQ z=f>d`m7N=FiZ#35i1So_;m5GB@OQ49JId3KicH#^-dKdY%(|ZyShdF z(?Avs62*kx-Tu73BF#M(afM(0(?wzk5!92c8;o8&862e~d3zoNU+c1=mfjgzGc>|2 z_S&6$gc)eBh0F!s7=Na|X5p4hQ3GB3eP-4vY$pvBn)hPH)4*Nz>7H;aM$PJnSieNY zhV{x9ELfoIkLxhsP7eHZxAzH*q@(~!^3*h(_X?8Xzn-)rSJ-(64PD8#0{4K2f?{_5 z5FsyMwS7!tZmw(u#<$RRKx2LSFDiBsM=?#2lcl#lFlG+aqVR`atV{fNpphk_5+IO- zE0Wb0i;L*x$sJQ@xl&gRPnJbANr*!N=0@syY-=|chR6OT1nS;0Wxk=qEe$`-(Is*D0 zG;2SZqWyg5SIpvl(5}$Y0}BsXfm46!J#bZN3c3JrTE5u*+IrrdV^)!gSy$pFK>h$O z5@pwP1byA;V~Btv+NJqTd-|)DC5WCFu&O>PY&XmN~z>{1+GrOTV$=1;ddb5?nirUbyH`?Z!k)i}oo<^h;j?DdD_b-nr!mV~w6$iC@hNSNkOPZRYK-WFEFZvStry$4W}>HGKl+hv7y5m?t% zL`qyyQCW!y(u71-1q)395h)Q-B2q$&5J*`?L8Xa^^aNxf^nfUxL`3Nj6bMKQ5J(^a z0)!CK&$I7;-ucg&bLPD7Idf*1p``FUce%=)>wA4@Zf|S1_vi%1{5*GI>L506qyxG7zMqhrKvqirYQFHO@t3?O3hW)I3%KX252pTB$TKS8eWq3thRt1ADp7wc zOvjHjrtu2kA^8A|;+Xojit1YVmf9@f&?u~q1usfTcEf0=4vG79xN8enH|6|@@Qvr`i1Ef5G;^Q8zgR<+KxFB#5@;qvg zHMW(0%QRy3AIDiTWWnV!Qv|(m}>s+ zCi;86S_>!v@)5kRsx$$BLeMZptABLqORIiRYNF80&+`BsQ`5?k2zn9l0BQCUfWg@# zTUYSRS8JjirOy0Fnb;crY|kh|kt`PlyC!7gCU7Uy&1OZx3^rtTzRed?y+Vw@C8-YC zj%_)YsWrjF#CRXh+1E-HL9pkL`=Cb-i@Aoz5#6gw#lBNc2JgLpRho!2m?NS{>-Fw= zrZaZptJ5U$&r-bg)Za=|j>nbeQ-cQ1r38uc!{b)OH{jwcQ*IkN9>9Brc$+z4{$)OG zTon9jf*F*)4$GIuPGG;)k>2;`iCO_f&sj4|XZegb3b-bj0@J^F{Qrfg{x7jm{C|7u z|9uG0=)Vx2)%cuWSm%!3dz`92$h1u8kX zEFmO=E-X;MYnn%9ly-BmdZm_hyF9PD)ukGgAMGDyzuq{l&JLZEs3T?(%{wvgj+FC1 z1g71T-n0)A-Qf9rTNm=z-3M3!rT8bG{>Uoc`dq2v@dj>2sFA=f2+Xxx)KBNpi4D`c zI8BkwwceX;nkv^c8jDwJKVPBpJ%>&oo}U_TvE1{0&GoMIGrIOq^bC{9{&8sGmc(=x zx=+I`F*3x?rADJ}Wui&p{1rP?s^SMt-uHYqxT(!s7o8YdlRQ;AZy*Zn(G67}&3*tamv?eeI&Y9R6tBp- z^@h&P?LPtsXD_AHLbrNlx(|MHu%VWoQjX2olCI7euv&7VO@Xf4%h0R#Vasn*l0A=w zO7_;z(ubcJ*I&MAAzA+-{-uB68kCDSto*ocY8gn*`hMfr3{J>L%$eW=--kWl^*7qC zx}FqJ;oCDtp0DK}PM6i3?43>I&&<*OZkWaxEM$JLMkFQ6^{BJ^bOw$F|Dw8jZ9X?4 zd>UKg)ALVikzY`F@5T{uMvlG$kv_(r@`=XKgW%nN;&g6!LcdY?SDw0mV`2qj4$4LWou_5y?Da1aYpWmdLZWk8zp8&$#%}!6d;?k|z)|>D?L&gLmd=lK zI65fZbF+{!bsE(FwEUGmCU)cwSU52l=Kwygw8Hb4+T!n`kB}h1%YRnD{;edrAOWnV zJO5EksXT(;XV4y=8{?cexZN3yJ|X*D=1UMSsEsI%{0sllYk_iyOY?uU1zDyiF>a+P zOE+w`z~Kf-09>NsBY4|CaHC*;YU*LuKRZ*3jZ;cO8XAo6_r!=j@XtT|j}tzsw&gVb$P^zjEHC6t~qZ%`z~e|8$1@hqZW6H2BSENE(@gn?C0@`vYZs z<6kFruJWYgSXA|b3hn9DsJ}fnY)HJTURBk9#dBUxh75s#Le6d`)fLxdjY1 zDkMyFQ?^}sI|Tm&OZd_10eMjL?FRf~Dow2dUamj8Uv*F^DqeZ+KL)RkDhZaiM5?x+ zXZoA_4AzW1KPm{?Tm8NK%fSjGdqUc{C^B0C{Z?%`O%xA+fmla$)&L}pp4mCXSD${( zNu{R#*6#pG#Ta%>=>f{Xvl_C`yXKbLCv!;}cwI?UiaZipB>WM>gvdFz$Q7gN#h*mChjx0%{d3Nbi5!3xSjq=H&7N%Vj{qSLF%ty+1ib%kGBArC!$1lbPL5ffc0K?}Tr~#CB)u$2WLGUj(O;^fu z1TIYUA8MJ}@a~XD&^6$y@Flv7XV;B9?*jufz_T`Vgibug=u%|S5aEM(H|6b~9tEMq8}+ks#y4{lt!h<^0_0DC z^WFqT>{Bc)0~j3%n#2oO__XD%KWO&;@?ztEvAlIR?MUXpFPI1v>7v!n{6DEKE- zyM$C7nr~4cB@U3f)tA#S?K{xzPlHr_a$x#ENPNrom>R%Z!o;mubxhIHMcAw2T|gM< zh#?eU6h(hix+m%c9wKi>uvkS3jhYcIJ|WZZK!NLcWUu#3X(Gm81GoiOy%}JVJqQK- zN9?Cq5~Y3wfC~)^4y@&KjTQkJRH^(w(Vw1p1CIOu8O8Ijgy{cg6wmDc2Ncgr_u=3E zmvSew{|k!8( zoZJjyZU#ex$J;iygfeFJDsIVz3!}!(_U0is>9o}<;6MRoaSO9gl_@?|9*7ktV%06AaK2ACy5Xu99X-P2g`pQ0 z`SSI_Yg3HRnzpjQ{)}i&1H-^gjvlfPzK^XJi<7^@N8U5XFbBnY}5*$y**klp!RpJXQW>O}D%{%R`W_GR@a=)(5bO?=MkcW<7o`LeM$Pnbx7 zZsuip*G^aXO}$a4tp4NG%=nxH5l(6nqdZL(w-|0)0}mkElF<}1cv_B_p@tKzOY1CU zOc^5$FC3C8tzyD!sV}r2dPLjmVfl}a8yVc1Xf$BS7pp#afExMBeyyNH>DE~r>MAsa zaTe~m{b_iiHiu8bY$+3B{2zu-x2#ZGW5$xS75J#O z!e)a-5Gm^Rbhd(MpRu!Bq6I(kc%B&mPth`(e?Aa3;M)r~ZUlvqImk{7pe*<#(;;4-y zH<^b3HRGt2c@2`f1aH*D`MmY(9v@|0o+b|ruwS1C$Yb@82^C@d$ zWkMVGkUt?8KjWzb+^3DTQfR{iAEWIJ<&Nd|)ke(q*^HA@i*qqejC%0)qSEU4{cAW+ zW1&PnUd3zJawGjn0=X6r&t+ucWXnXy=%tqfW}!Tzx~YW*>d6N-xzS^6@qqhq?!&p0 zwq9py&pJp2a*flA@d?>v-+I*Ilf8M{JZhqldEPOylcIy1E)kIG8&I57Ol)y$P$-X_ zQYmbUYkt^i1Pxn!2GbdNP6@<|B*mi-JFdzrBe(hz_L+JlTF#HAOw~7Nn9r5Fp`%9T zm}$*m2wIkqkV9E%9T_x=X{PqBCsI*@G))RJ%{$3$rDeg9{LUA_D_@}osS58$wjeuW zsdA}zYd_6HMn>dZ&TA07Jn3MLdw}4Twt-NB+S+Eu2;yc`dr->54%l?mH)l|DVZHkn za26=b_>jk-xO0O%u)b2VY7)@e%Qg30rLG%^A3|f-zTNm(2MXsPMBpVr6`3WX%j*SQCR8^#GsaUdr~-4 z%VMKdHUxrMb#Km-u~rQkUbRe1DM#harmc5|aAXLSQzL(v$J=g{Xf+$K;P}oxqXd(v z$;sVUH(M0QG0eg}ohSVtHu=eeOytkxT4GF?7gkjl7tCC-z*BMXfnIJKJ%@GV296|| z62`1IvAO9Cp;efC0o#vx!$Hv(BxqHx$MmQKKNgCmE{i+3aB}@~p|{68@3A3OZGdI| zI+Nvuhdm#KSc!UZ@@T<&pB~e^tBZAnP@iayXGP&h(W~&>Ou>a|{Vn|LI&$LATcc;m zJaSJa50!F+*_x3l_=8@n!NO!`3J`au>CaJMnBjvBP>%CHQxK?@{~b0?;bEy}slp$4 z&k{A#QVu)%$X+otQu5Y7qphrWt6k{lUpGke;Q-_+V8UKD4BKZC50msw;e_^jl&d?oXBafFUz6Gz z6wHgG2J^P)$sF&DBYsw$!V2zh;D)c@=t9>Dg=}htU0<(AxBO1=2yH=<9UxxL&kDMMr zmb$%y&zo@yB`sw-0iLn^xiWGN?>GIb)SC5O8>xM6qaPO3ALjD05r!DS(X zprcqvv4BVST0g{42}0Q%GJm?RC>E#b?Wb0Y>n#Sv6J|S5PuFe2E^q7#v%|`hVmBJw zS-&*~96~(}RfVW`gSRDzf!5J>!KuPJ?$ZllyMX7ZF!rP6sfd?6&CxD#mM`!d#Z4>L zvhZZ*?e~%|8~MxJ+taUG5`;Gn@#=CjG8x^1(+<;}mZuo=slfc18ZoiiFW`qy%kSa) zP^(HknJv0P3trT!JoWu%fm*H>WmXn8A_j37;W;+T)cXcd-YNhabZFg2E z$it&5m9JX}z!#|H4T?e=TIb%;Q@}i&MJckJ)o;(sx`ub(jJ)l2$d_i?WxC&4{j&6l z_<}hVQ>3N$3SKQsYue4REY)9-45wbl%|SdicHz}^QVknz?hKThDWPoNjx3d7`bw3L z77tt+Xp?>&fdn!%Dsm2V-u6ltf7#Or%Bvag3^wH7UL~>6t|?Vp>uyayV;#O|B*ON) zRWph^e}*44J(c$p4kVLp#iRuse&yjJDVaMauW*RP5j+u-6?1pB+?sY_)uJF2w_$iD zww>(QlI$7V^4L zj2hIA3JaEu!n`-WX~D5qtQ0%vHPRhowPI0?E4Fv;$`GFIW;V=5ooZV#GRpc}d90i)3TJk#1lu&1| zHbd}C2VKcy@rlyj88f~b%{ovHXFB5w3}Vr-{Onu=Uz>e8F~BLK4MihXRNb@KHFWQ8 zAEvFM{yX{Z>iGdw;;?TuzRThc`{nZ%^4$K4*muc2n3?%~=?3kaS`|h{x3sg6e#za? zn=F&59l{+f`;3pADE!inZE-b(eq;2uWqzej;oPp`=2Mu99>Lc__cEM1ynajam~WZ{ zHG<5kawsDJaKtJ08F%R*%^XPK9H^LDa)Sqgtx{VMkyjSi-#`FV--6dZK(9xz8bxYpL{Gz$aS<*6vV#_IILES z{*yP^`Kcv^m+sKMZL=}5rp$icNYO)8g{p)puY#}as=oxm*8pr8laiq>8Sx6qn(X7t zHf|v4r*e*lB~{d$nIyMi9?WZWoB=cfv~+huSY9^rq%VzBJk%APrKQWcx2pLM?nl8N ze%b^S`}B3S+jplvMragMZ+pc~etNs2d}biENd;w7Gy zjwl=tVw!i68Q!}7OW0*zPT8iWMjCES*v3i@-6gu7Iy4?`NOc500k-*arpu^{(hZdU z^h9W)2~S}!eP6=&%j*Sy@_|vG%2ycimj@~gPg9>Hg{bD!J2 ze9u_PCuS1N31R32Dy#DZCTXD7f+Q60C!LyZvuIdXW`NQO&P9w8vvUiqyOV!ge%jOk z{-MKfQ2il<3!?}3AYZgEOj#z+=HB3=9^&repArJ3Lh7hxdIZ6eYr&Z3%c7- zz^Pa9uM!T_-#=UIpi8w9E#Jdy#ZrAYk}mLe9H!(O=yH`sPAac`55EGw7!xtIa|ep4 z`3~)ec#r4Rw1#jmwA#0PojQFiV_RZ{u0=!n4z#HFg%~FE9;DbD-|`yk!c05h-G(_^ z+}mZzTmJkaJOuh`$v6?_QgbUKlm2^S+|p-?)5Semwj(2H7&A>fm^^1T^huGX$qy+=$VRp0iWv6?_dy68Wy}PuwtT~%P2~`uV zxmXsm-ClC^K__Uf!b0ekK1A8vUZznxC#im%*MlaWu4DPVwW8?5PS~)G z?dZ1j(iY&|6j6%iiuCZ!oVl1+O}og5cJOJ(Wb0rbk=;;`6Ww3E-g&mQySbLSi4wf=K~ZE1KAcD?2%wuXb{DC)4y| zRch!0G@Y+9Y6UD|{_msi^ZO?w-yn1O)S1ktT|@Bwqxs(Ln;Or*`rMtm3Od0y-d~Bc zp>Yyvw!ZnIUA$J4s%t{WB8NL#Psq-tz10LoR2+ZkApMf`nb>Pd-WL6O$*3YlQF^%n z=m-}-GVzXT)~Qe5OOtn*Cc-lzu>`o(*p8Um{sNCozp>uA+SyZC|pQar{sQr-|$B6#DXUEwViTdljZNUI#R3=}6oR+mSTBeaZqG-@a(+wL9QuHLOnL*qIUh|0Y>sJ} zOryhee}7~Tx_FT)dBE2w9<9bCPO4poDe1VkiN)?OvT+qdYuHhy6{Pq5GI zPa}lFE^nL_;l8=O?@>1b<%KY_DDNE2kX^GHG-$H0hX3_c>wCTui~P^LfQFy~BMvU< zJ%#m=8kP9M>AS*q4f#9S!uU#hfUKnG1m1`2oF#`#ix*>Wlb!35i7Ccn_)VNWZBHNK z1^Ie~M+iu-(T3Sie+w7nt+pnpZ)?GlScqkGa@-yPdKCNr)QkQ<3*4mR>Vt6)EA za;`3~LMcrCPS{vY?ux!UqBq&_bVX21P?47IuLK&93pZ|Rk3}gqDzTJ$&e(Nc6JFII zmWVFDU(gzcxisw_3jMdjUo9P+zOL0=HFtEc-aE2>2Y4BK5PAq)BmTCjvA45aH29vM zcBsDEkd@??iO?1vC!BZ1g+K(`z+$~$P1sGKCI*QC##Osn9DgKVC$=f^#DURjnFfmc z$~SZR6(p^A=r+gzxN3qThFEg7h@38R6(MhtFG>}~I6Xq4+=ZDGP%S^eyR@|fPDz^2 z>h>)?&m5B!hv_t4Oeg9QSYr{I%(Je*Fh_qD1@5QLSUi&&cbP5%Of$<-e|IInR(MlV zg>J0_;10E7WgX8a;kNj;OzFc8!#>;#&sgclB{h38U! zr+ePL<6w#sa@L_@vLPf{3Wsv=2NNiU1$jV=SpzY0zh6%tdq70v8GjRUFd=y z(+aveD+v)|r`jex)M50Ba1EN;)$$S$4^)K`?5eI}ujqV|yb%T@!^yw~hPb$bk`lWO zPvpfdnUp~e%pdTq525ViYj}dQxGrWu=)8X~HQ$>6aY=_1R?>gR{JX}f`D%NCn0nK` zWD@{TuJvhBt1+){5N>P7iY?vs{@`A+dWzh`Q498r6}!4C9b(<~dY=0jFlvK?|} zj7dHta{3V2_Zc&^m=xBmD;d{ws5 zP1{*ZpvzuoHP#oYBf69tFi0w_tvUa@2mM)gE>6 zLrM;i!<0G3^-ncrQNUey{ep$WjB~3zdi>SWzRSiHL*6L-{gY zKc8G0aM`#PB z{jiyJT7Ij_)Xvl)xD7iqjBvpi|Lep4hi89gPDSbpI#Yo^wgHJP&UGWo+&+fYt)vlYZ21Rm7BZB~&8fmY5s4daQ$#I>BSUe_2#qX!KV9 zC9PxBnK3l60;h<~84d`c$MSdR(GL>yA-cT8`Mv4f-^KAmDJO(p8}H{aeLP?QTT-=R zfebdtj7rv+tUr%WHN+6d+;nT$%E9PZsqrVnPvG&boh*wdA|Nveup|0NKP4gVLfuEy zTL8H`VXb0M5^T^kOR8Nin<)msFg6}!pWIlndN+{aEb^TADlCW{+i=mb&CpzlbA&0d zuLA6;x3zYh(6)vf#}7Kf%12ycUuV`%-ypQ1cm?n7BhT?Zm{wimE7aHURqA9j6*=!@ z4_acy-}S3}7#nr4~@G(}1-16>+% zY?sMTc)NxgG((rMfiaVSJ_>qIl!#VEI(F!p5x7=j|6MK_sk~BMUE+OCvn?i0DEc1$ z2AxF>hm0n{GE@F&R21KTzoLK_s7W;{L3`=t#nhRYY;M2=<(#%&;SyvCcNy69yEui{ z0GkDv0tz6>55$}vbF}2m>I?_G3(lhk{b?IQm%$mvzLTE33CqV8zQJP>NciZ>c$MZvLHXIeb4 znMMA0TOB}(9$aUNk8ET!{x&SB4B8N?GEj^EYr3r|VZ{F?)ev-|9F!%0%^#W>i#V`M z1hPZ1-xzkb3>-BAsy{%)-^XD3VI5lmwFBL}K6nohIKb<%-9cit{iJFvwL^C~YJuV6 zHF|^PgE?ZS^mU8nM7>Q>g3{5YUC!fd@QLZKHz+=mXIN*;6b!fz+$Z^5kzxgFh#OdB$5nl+xOG)FIFS4ezCY4Ls)$509q&uCwz2PIGaaUh z;Wz2KY$gzcG+Yn*c#`ja>TOU`Um79=ridx)I%#I&Rk0A1sAj0J0irC+BI$z2>4+MOe1s`{zDcYU-FLB2se&c?W~zY&u{Dkb!v6rAEsoWO z@`lQ4N+I~%2W~QFIuQu0M2~6-ih?}{IuF+0esA&jy2@(d-KjRw{hJtFU)sf+wl$ee zSJ|enI2E2YD|F+Rt&xL-Q)Fvk?tfVwt&Fl^`E9;U zq;PzwYM4ruQJ2vPU=&m~c8MI_0alHFwY-48gVpp>@=4?e*x@ciz!EPj44~MCGItCR z9(F|&q{bBkx248r2&wfFIKAm-0qULiU&*P_2|}kD?0IcP4%8K@Ld)yJ^@tvY)2o(@ z7d`G^kCk>_>uih0j|dv%jciH)c6&3el${wQR;^vV0y@F#uOT0}Aqe7J9k~(jgK4jn zUlqORk1Db^0xfLr4AME!|8Ky!?3QqCcQ^Osn5Bps{<+0~@ndb_S1 zmN;KX1ILP#nXM*F8DV~umXR!uYv4Y!2ngHd0eJj2q|F!bZ~9(we2w|07tv80z(HC$ z06{V=>FsKI1#zL7#)>&zhKtVU@)R2t`TLizx(mZ)E|Qsfw9yGN;u-FJhib04?f1A9*JZF*5giS(EP zK$8`8Q@OUpycpolcUX6&B%XiIC!WT;EE$!N*EWkD^d1MYZEpJus;d;9h!_(Lir{N8 z-b>m`E`6b(JXz3I!86B86? zp~JQMueA6V;#Xw=xE7ffFmG9PwuE|W;OmVHYm7|?aH2?9D+8J73hJexkNtQ5*MNZk zS&s8RNj|9muKA_8{@;*%&X*Kfn_euw>XVimXaDt^{~?F|;rGTz$HK0M+P*vs2LIl8 z+U;kH@SX_gmW8_(ofpG1GekrxcZ$5c{Dp#VUGv7WEU7FZmJklK$tDU#>3} z905A7wVC$%$?I%vxx@D41A{Z222c8v zR!)S^;rlQ9>?ZH^TjQ^LsOOzDy*i;=o;J0&_#P`8l{$LDjzP*W0y|V1pU)1?YuM{~ z&*Uz4!TY7hy;lR1F%j}>>CdwthOz#6@qiJ$u06rM^PyYiW@FRZ-VBXfc=d+hn%;kc zQH5?C`;5zF<(Z8^Hc5@f^?bj{vIF7h(cEXvNoV|SjU^k|Hb1bNN+paI$2aBef1O%g z5*aGUG%=VGY`WKXi{YPV*EOw3&oL<={jRObm90n!2p)FX`j>ot$F5v4C zoZ6j_;=Kl%p7}!Z&}>g!M&oNslk(8J9~!OJ>jk!XWv64_JgF#4sXDzHV>o)9QW~+9 zGNv(sn^8NfZ%3HnY7!DlP8rBs#R!U9@U0Ctp=#jI@H?F^zTN-I@G?>!K$Wk5#EP-!^U(YEI-g}ss6AxFiXJJm6MqH`|AB16 za$oIitpySG@VR}CZMydTE^e{t|?t$m%iW^Lt6zr|X+ zGqh9MveCxh)uIlTc{|x| zdMy0K|Fkb%SyOL1;!Cmm+OyyifF5%@@AA?Rp>*Qf{kP~ioyF|1!LKTk-^EW>->Qdv zb)H&d7IsnCarWSrQ0vw**t_*MxvTBWmo2Nc|HOy*@UB^!a@ISZvK6tv7+ilq{Znp4 z{xqvFwcm?&iht=r;}B^PHm_pPrH_6Y8F9YxBizY$crUUTUE(m-F!RAwCoq-U=C*tG zJmzf6C=TNYyBk*HR9Y(-!~|A$;g43DcvDNOy1pcRHIVt%lCs{D3fc5%lw z>FXfbjVULP3TPMr0pyv!R;zSt7?f4pVZmJK^2kaZea2yuT7_%phf6G5>k~I93<;vlA@y>ig-YC``iM^OXO_%P1EQC z^rBX>cT`UMb9Ol(hDmAB2d-0eoPRf`J&5IeAP60mu;#a@4P`e;egj}Hp1+hMu0Ia6QBsB!DUUUqg0`Z&|cSK))qAXGp z7Xxgc0_+t`y6BjQ5N17}8`o4oDGM$kT?ZAh%0=TjDH{&~^1w9<_^-1$C9Dq@wr}HR z9!q1DH-9v60q_@72NCmoAj5iN1H_1dgJr?L1_9$IPVD46x6opCjhr<53Y0f1(-MNK z)wb>PjMj)IQ|BnNKY}jG0$I-U1c-k#wK~snH-GX5XH-+EPOL1evbY0`VA41 z?|6bR-o|Q{~D5Xh%hkrpY2S}ervs|73x83A zzQY#UnT0Zidl@}H{x9V@|Lv;(OP+)NZ&&?4SU#y5rOt1ZdtEFSw9M7+>j)|=pZH~7 ze0%?eM&&1Sqz℞1gqHoYL=q{km`%UTv&m|HUqEr|#OR8)nSG*XBEUe}9Earz4xk zYEuA~59U}46+;J5KC7%Goz{qZYw6m4H)y|e8|>E|T7G>P>^<^o!Hl$;dYLUY1{Uf1+$<>Z+AG5UVe1DGP8-)3Sj7tfJe_})2@IsUN@for_0Cce-E zPS`;AcT2aH&JcwQzlW3aXLx%(1ew|Q_9(igO#0qH(k}{$)|Vt;UctMJS8o@`^9(k#|gij z4_J~md&0c4Z+!XeACidVot7V%0VI4Q)4J#5d+;5e-M!1w+!E?W|Fe@hdNgQ{>2sc< zDhd(wXx(3xvT1up*43z@!M>p8hW?V1PmgxFIFiwYgY%KM&IHcAJ*0P3(HnLx zvbYPqcQ5)-ab%4@7AuYa!#TlzKGK$e^>?jlH2+{I4!%*dliiAm+0IvB&VQ}djT?BV zOHauaMtvBKQz)KUtsdD+Zzk~NUWqCJW6XsP0fLX?xTK2(Q96Y2U@KLb*Ig0wcdrfm zFhWMSOHnaAI^%Z>mIQv^eKdUfX)$$4DaSPKcQe!87S#!dnx_tLhJY!VbNLs(Qiu7VWgGluht3BX8*^jvzYc1 zukvIMZbdF#TS`A{dy+o8=uKi_8fxNUzCA7 z*W86Os3`A+*zgZQln~f;yBFcB4gM;KC&m9{3-xe~`#~+EJ+>Wu@%p{JOAh!L^mRcI z?)IBTH`lp6>!*549VJOUUku}GwGB#qr@lPNv8rnF)I#K@(klCs)~pGWnb2tO&q@aB zy1ELCH_74Rq@UF{bu9<$FNi$F+N!VBMFfJrxi4DNdCF_n_-7$s zjSjx=9ixZk3C~aWb|NP7vN&P8Pu(s0ev=z!EnTg{8J&o-&R%+>g(C8NG7^^56q?ki zQ=Cl&^dNZ68oE1OdF3i3KyH)Zov%<=;TG#P=0nmZw=ZNAHp*;Wt1_kpLu8Ysk?@)fsEvB@)^_@m}&@L>Hot4yIx z6R_xGlGl)w4S)#5e9Tt#%x~`5Aq0RxkTP`>gOanJr=VYz`Ocf^_NK$O{-AP$v4(2t z*@Z+p3F3fMFfdbMeR2#9-uOFtyy2tm$z1%9XH$Mru#+0oEu!lwMBCS>FZGi>Ld`pv z_4pF(n0lFJpBMj{iNR|%$EJMITgVmuC8?$-fS}`j+`)1M{PWs1z@m2qaq9Ir{zR@9n-`_+y2CBm-Kn9_QSQkvY z6tH^?GW8ZoNy<}zGSw9A1ZUpC@ zKt*&8{SS8d5^qBCyJu7r;ri4;`S$S$Eyy6M;T-fQH6<)<;vc=lIZg; zvRlpZ;Rf4-(wr6`(3-lfI_uj=_-3U5yC@BeE{IVSAH%exzt|pE@4L4dD|;_IGO{yb zRvz{i+y4*|Fws7%00O$n^r>v@0EHAp`%D;ZVqciY6CNv1NGtmtzrw~5cyxM@oF=}d zX(WUiGaG-L^sHAq4zPS_A?&)fyMJ#l(1I>AsE6V{3{Uunh2r*>4Ksc@z&Cr^8$p~SF7_}V#M^P9T=k2znSoj zESM(*a+QL__5F@*7X#?Cx|7mB$4wnP3t$#Uw?br5Qud@2)4Z5n7Atxb{gDZSy4Es8}BJngR@8r`O~ zeAvhD$g{1|k!;^c$PRFfy7N&-@4fGw2MoJpOXAj*xIf%LIE>GK+%oT2YUE2R|s*+KSc2WA0z8rG2fp!nJ>XXeoNhg|1c6Ka8TZ z1t{36SZc|Xq6v$F^@eC%$`FOYk;x62a<_}qofH_flddPtlL+{%Hs7~U7ao1ajU`&?cI--lMejom)>2?(8c(4>FHV0%=XyFkP9m96SLnl^o&agd!yD zWxi?-UEH)=ZVIFDzs>pDVh~tv6w7V3SvrWzqyHJ>vr4FHxQ8`b{$Oh|wb0AU$)IDA zUDAm@grc0kqED=%0~3ej;hL7m!F*$Vy13v`EP~^2g9w{3fLfLlm)zkTl=x4MzsUi! z`NYWJ2V6Dn_k!~j@;{j5QEp@Z_o4W}uN@pn#P?E?jMd`z`5}vBb-y1!-4Mts&w#X` zy=!^Fwcw^eW{cn0YCTEIoSXzynM_l)*7{NXy)jV!Xe8)sN1GTDDG87Hu>LxLhT>64 zQ{$77Lt^!lGJj22Zsc4!3C*PWZM_O5rl3QE5u1El5GHE8znx`L_-1PTQ;+`m`Zke1 z?w7!4nKWAon`hg%awoKEABb~Eo~MZJ(#bdqX70OrE5neRxV@kN@@GtQypY4>Ubv|v zNmvQ2|v=Zz3v6J z3i?#xw}pj83wVT_5zB|0_Av-yT2_B>=gDnenj3Z52*T_$g^=6wv-;VoRIHR2Lk-+V z;7yypv4R$&=qS+5knTD1`ch5&YE#E$qip62XIaC%FNX1?oMOnCMEV(Dg-;44EeXYf z4sE8DiLkuW4q@Q68K3rW92FhD=4cY_x5214E?m+Qo$#1(*tB==h$%d7Yc3SeeGq!=-wf_BVH)i1WVA4>wubX^+wg$eH=+lDx#j^UShq#Hyx10DHi$?X-i=tXys-!O*jtLEJp!QuBv>=|nOs^>VA=`6NAT zc}kyxau82u_TmhENU`JFeXixnxNr`6RM5EY3v{cZXGHDz#_+$m;qjKIx!m9e z^j}u|a38xi)MN_Hjrr|?|)wm&-H5yLfz^l+J;VG4)$tVz%D}dY0^JT(sRF0q& zJFrQXwQdV~Ft&IeFHtB}qaf+N-WIJ%XdQ`wdqC*9ZoX-TaTsD{aW3E-;}R{=8Meu} zlbS*Zs!zaOPlKX5@_7@S#6~XHkoN=Km-z!#9q*Wl)5;=brZfy>3Io>(IP+k60fq%- zUYyJ7O&OQC#nR(-T%SapGQO4#t;1X^E@rI zFrprnEnA*amXvkOq{Y%gg-{q$rjpQ@7-lJCNlZuxGZiK4D3v8<`l`H%tMoiTGwZ4 z$Y5a-&%4$WZP_(c`ml&5y%R&3<7L`39=$OGV}Tw=aAl`V*U_ zZ6ck?t6DiVzw@+sDP!>WpsUeK9*W@GbOJ;K=5Xq1HZt2-2yP`L>P53$ndH~$QlRM( z`hij}>Sp4Wn+U(j8Iu1*ZaN~Dwdw^JAH8N{I2QSSMrl^DYH}rwEuJnkVfg!rXYgd{Cw5@ zMs}Jxk&mn%$$X&9dOGU8V1wW@jE14OOm0j%=_YWX@8 z0A#8sYv@)}M^VL%L<>mPffuA4GbM@bglKw>qq{@VU(;XFfAMSm)7IG?vx=b?bhyv0 zN|?uJ2}?sQ8i`%aU>Z1>{1x1tjmk5nRT-Jcs!}V*zN&aS)VQoheaogdYd;S`HI*PB z!xa&`@P4ClCoNw%D1l@PooBK2qxEA*b;ZVPBoXtVwq?x~VlK>Mhx{J3sKoo>8p#`s z8p&~@g@7?W)ZtF8H0u{eL8Pt&j=?CUVU$G%ksIqDVx9|P`L|B3g-y8#hCpq&8-Ya3 zKKc;!#n~sdH}O^R*dpVI2QHNibqI>3D8mFXB&BT&u}PuwYt2s@@b|CFy0Li@D|)YV z4BaI73*3ZyR2yftQLqJ-+%|peRLus|4qBsXB(OJvf5na97{{?$Q`A0`jv%l@w_CqU zHLqGouH8U)g`A&`>d3;3g0*M3yBzkt0GXH*-;Gh&A|cZp>ge4{vcNl1U^30#K^z>K zRr3f64UK;cja;DBQsl^soU{T|Y;9bD)kf29m6pG?z}S>c#5>Gg_-+8Fgfa}1r{TMb zp5%mJ@&(TY!vQs>0khE#B+$|(l4_ap?7y=hHTCd;29@eNwRLo>)|M9CE^fD0m$qlM z>-C0+)!I0k@t{K`4cJl3WqA(lpk^4~7j%9Qdx{}ZW?G+G^BTjyclpBr3edW#Ie{V8 zZU|V*$;)cgPE3MYe!y#J`^5d(6w!^f;W-`U1V7?kqX`MwDo${+!|=O94S{+-pRtt3 z)gaQ+J#x+}xoQTE#@5DKJ+eAwP5JEn+FF&5VSbygq9$WT)gOumPFv!cyn(YO_g6(Z z8{9^8!nOkJ1dYh~@4;gwNX?`Kz0_-p6O5}pPT?~vnS!TC}@L4@$>r)w~@8 zPM)B&RR^i2kSx$<1VnBOpl$0?1vA-Q76Z3T*TCNfxtc%KV^Ggf8Sj}Mvv6My6Ttc0 zRh$qIkq>$QRGgo-W`n@5CzZ+q%0hf)^+qp-qkTSUHnGjXP2T@dfg12CY`m47m5Q9K!%s<@*oSPSXMM zo%;j>`3>%24xVD>QxW;921XNH!DClT*^Ka6n-nW4e?H>PQn>^HLB}OM+_?kkcblw} zAD=8F>dqhN(&$0TG}0Ay!d~CLBlVMPCnoRAFwzM-3Zc(}q$4&>67>w=*;^{E%Gq5X zd#3{|vx%$3y*#Nz;QTmgvR1z(VZ4qB3kP*EM&QylG4S$0>ADP5rUq`a-pC2eU#^?x zB_q4*5?kg~vi3FU*DF>*nhiYZUbKcW5x6N}ZHp7AaZq@^bs=PsW)g2>c}2C+3Lo$b z*Qi?tpNX1Of@H-wc!K;jClDWZc58SOC!(G_c8I*wa75gQ+&im0a5h8ksYSMnB_ov3 zI9UG>{SBaz&5sO-wZpbB1Ln~==pWXbVG&ZtaA`Xi0)uyPon*(Qw^PUKhXkLvIOdG| zSV+Fzq?`{=VMObr48>L$U0O>waH~rxNo|HYypeN4>x9Mc>}AmTD)=R)(fqx#tho;D zOPF}1@_@GfXgYF-RP(CA#2l)nPJUJmkyM!B_!Aj4yOm&3?ixUtMXmqCwV^fZ*U+NA z4zf40x6->CfNN*X`du2}&yXg%m#UX>IlfXcjc1Xs>Zvt>kkiSF`A&Yw-M*$$(Ys^? zc#;99NXk@{LgcAQr7?JpLnLLu-Pm7o!dii?Tb4Z6p?k^4ewS5S0POdB{*1Rp!je@X zDo$5$qQeladE55Xq{={YuESH~u)Dbc{6opf;$lA3=B@ar0RGrX_2NwPbay?TZSGB( zK#y^*0(-_zt!Q)1+c$5jOkARQ%v<&#lA!DSwAJkgt{7*$u8koXERNT`EX;DOlc(Rx zwyAM$W*D&dE8{8^UzgBV>c<7T^ZMS#vw-EfJZojSF|QF#w>GQUNY5cn>=-Zux)6oi zXreL$Uyt!^Wd_9%-LUWh3$`}E^316BcMzlkSQ&0B>zP}+fzMuU$qA#^lUe( z$4C@Jn(Px9sXJ{qiVhM*Cg%7htO5kshPBv=PQUGd(QhZGV@d+ti!ROs-xq<|EV@f~ zQo&G4LpBC5zh$q)6fPp$CeVqu|fG8QJFjz1n!L7U0=}wQXv8tmIP%;;dIe7 z1>#V>*a&WdY?;U86?sJc!~9JqiZvoN$1Vz-_3r-QG0)1dbpCmDo&K zo%wy)vTn6{vM0zc4iJBSR%_BpVDP^V`vd(}Yq4+a9I!%#0f(<@>O=U*JD5|Gifc)J z6u0HXkDw|-CPv+KUK^N6kKFMDP}F|4f@H_~MqZbDemuJXgUv?kphx-nA%KS1JjHo( zj*p$tJVg!|8;?q9IZ%dhWKxn`o>qsA3J5&K3Pz}J&Z^LdeMA}mj-_;IG={gefkT6v4`)iPJPoqs0DZ#sleO# zQa@^)e_ivU;L%%8!5QF?5M?cm>44x5I|4J!J=UY2i***DY~gmqR&K|%YU7}|SG@`H z{1p3Z*Nq%iSB(7%^S$(M-@Nc01lgV{=cRh08L^TQhe`FMmGP_aZ`eoDjsB5-<+W%p z@LQtpYQzC}AFZy2m6)os@iIbJKFSCZ*KWK)ic zlj!tV5hRBiKyV^34j)4Wd@}cJDsM#pb!YY9XU$AH~B`oO%7Hzmj?PNJ8Nd&4hJT_dfc^4R%4B!8m`Oe8S6m`8_X zg^wLSha8_(p4~ycx&WFW@1^3uS1rKj!QFyv?$Op+CBWrE&q>VErP?z^PJBP=7H5HW)@*eC{TZ=j)86 zsAeSlw`atNM>Bi()U+xPEE9;~%DzRvxz`mh3Qn)9$KHgV<@dg0nI!^)=uxNMBs+>5 zMHUqXwsHJ~1;bjR5FW|M5f5gBoGPK4;FqMoMjlFP1Ax`n$uqYNco^h==BBq806WAj zD74`Z*2z^A36tHjLbQ^mJ>~%>&F;$>vrB-fFz^m}Y8lU6Ji~d4);y?jDvB7+sBeW*Ss5pRc+D!?lf=W&%+b~6vpkMs+a1v>?vEY3W-89R=TFnvRsAPeV@$%eD12K zhG@VgGb2bUYdfxujz_JeRN~VFv22qr#_!VWj5pJ2z!Z6eJl#LC!6hnj=a5GvbTSOM zI`|WFbfK#M6Qp1zdF~3y-zQEGi>#YfY`16<%JaMbxZcyUa=<_B$kf`1ddLMawIRkl z!EK)RO1~Md(phI-_aHRU4VSj^ZCWkO@^lH^5xLQwD97HHmvz-5E%F48eMp%x#|p|> zKZV2SfSscfOJw#X_+pj~AS*KIJR(3d4U&}!X&O1)Z|D_qy#g-H5(d^d>jH*H3+#-| zET+IG-vG--USBH@r?|}C5G`echP9g1{~`ZX9cXI;_iLE2BAxYyYgFNHT;W`5h^Jp9 zk(>Y#|CtGl_TN)MuQZC86J8XBTvc6`@lrxD_9etmMmjtfuJi}5VHPy+-DNE=vUkJS zmM2tdUG4X11~-XNuh~b?&hw&T68ufMMjd+G3mI2|f$ErJV*Yu_<#f>P z$GeJ5LQ2s(Vp~)Ek_fPfTsihjFjNnlWBCXZG_JBJcU{_1vy$W(Wk&nbp4H>s#D1X5 zju+WR`z#Aj9(AyQyF`8MWA^g)Xo~8;va4x(y0jMzW;_L#uaKNR8odtEQs88zyF|{Y z_%nRF#yNz%@V1`f4|mYd%z$u= z4;>5mCQpyF@ICIhAJe*N;mS!M(+ae+q}CDqI*8$d1C;@Ygzh|@WoT=~aHVe(TBjRS z>zN2{AW3a@@JYkiSFI7)FKNdBe~2`MIhHu9 z1e`GREQww=<;(aD9CjM@*oZ@3*vinX6?ZFJ5AB?R)-7{5mgTn~x$0izj{z)N=&JPN z3hAS!&b$r~aguY{^FTyXkdgWespd{jTTy8pIF^0(sT`0l!58a0k-Pg*h)EUqQTs`i zegUdSW}xZcaEc`xf6rZ?uKMwbV(%W2R&%mO<&Cs>!dq3)$dg03y!Vg;5vl zEp08jCDNCR^owDMg+T!OGYMJ<5N*`bgg5nYN)EWiOE@51SdhCY@Y+xGU<1@iNDQYI zb9>JhZ0A&oX0y04fPO;{m-pDAP_T>YCp+T{*YuYz039O+WmN$_@9*je0N5>dZ!rKJ zOq^Ez`HA!=psjr*LhYB1Lwsiy2Alw{Pn|q*xKK(MD6aEuw%#Io=J7w!M!;GF?U|WC z?p{ZX#~d=0ogo^$#S(lZIsmgIFfV*;07y@2@%w1G9(vNR+4beDkU8!RZbAt@9JRq_4Ax((0(IZoCpojRA0JssU>`v@@Y@z4-G+ZHx6pq2H{5}U!@%!98d70FE=`*5Y6IP}pPzCbsKFH?liA2ajQx z^7A!Tkg00L#RPM^-b_9>Ne=o-d(j=MBW3TSB@RwaaU`TM7Mw- zkeeV~1AMfpGR_e&+gEdH0B7tdIf_<<`j?1J(PU|YHX*=yvvMcjqfyU`ZW2L=3ENWo*OoNGR=cf3l0a13BrF2kqmJ>QGnrLt8QaT)( zzy}16|HmcK;e}zi+Bk_v*WbUd-f~V8M%6LwXLJKnZz)a;!Wd_OJXwv4?idsvoeiVW zZb4ksUx=3O4ycMwWCM)ivo)GO!A;`EI(hbXAGuo$dH&Bz&@)V_PZq!%1A?P~p3~vH z(sQP~-giROWwZ`2*2NpB#?awzb%_2eJ;(Yvokao-)R6$ zR1G+TC;5yad=C)M?ppYz+K4I>#BqoF@IXOO{EJj747`j@Pcn2Hc~#1|;f{NFx4@9; zh}@a!a)TvzWjVf&ZYGf|s9hAK>iNVKa6BZCa@Bi2t}97E(MfF54=;pR11c%}AI_q1 z`>YKjp(WUsQl}Xk*5f)zNf!)DAPq*582{0SE`_`{WE>DbN5-{P0P%p@V!;H`2FM+K zj|fgh5+@{yw6hDi8H6ga6A-8|hn$m`D4uy$!)lw7gSB}PqQ0+54LWUOIF zm`MOxM+%+U;uP{*+>D$q#%&Hj$ccnS;^LBwY7&g)@RViNBe>t=0K|6(jCocKG=@*n z#Tgn9=#Oaga^9`m<0OYvTpTeL!cN;Rt%HzDDPPw3=c44<{yhwv{(x+oLNcM4Xg?E@ z!!q|y0HPou0?snYI!ZamgRLc)01B+YuI~caP4WaTm`(0l3EfeE z?PQ!0IYc&a>pc2z6hhn=i-3wWz|%=A#D_9~GLqh(!v<8fO!dZsMCGRlV7dd&4!dKK z_#EB+TQ?2eJE1hdtuxN!SB za`2=ORu=|NuhI>4c!G11U6*7}`smvE!$1!~*n-8#XH^EAvK_Kd zdcp$9o#-xZaVnLIa?b*yNIDW|@%UkvU3Ap|kXuhVL&pE4#`bi7htnaY(@`}EyEy#|Cj|FJ@ z6B7%wLJ70hDbpLWffk2~F`>AS;lkU~PJ1982F!^5LElDpWJS+`)oR-5m7hQwnPgCi zE1KNb&U{VrZlm$D4D=NnkvOt9B%U)R~?9h4od&mYNf;CYD-AD z4%x0if;9`9jhMYPi<%8!@B2f%v6|(rX&g!L^EraAbOCm0&^Ts}*C%ddhj?gCAfbRS z!_<|vo%3!&sx1U5XZdM86YJCsz}+Oaqb89n|L0tX)<^`E8QD%c!s7#( zK8u}$o(3N9O&_S%2+jdNugWMuUwnkGz~hSCgm61E)(J`sS9I5~?}*0SC9F(O$BtXe zy+$f!;;;7{&|@T4n9gemhZ$9q8c9KVkc<5xfcm#HkMMX4tgBwUpOrv@B#+Z7u~uMI zhm={J7HSS_#c)0`@sAy9C`E!;K?&0a2xb;^8^OtojijmC=?%}&IyE%wrn-MPKp4q! zBifw<9E$dWmOsXS=IT6m!)r`&hKoMk6>5aoLQjceOj^6HpJEmG16i_rF)5x8U(2W@ zXG(l}>{hFR$!b7J-V?|Zbq?YZ-HIjv2~t+fy^k4eMmUV_mI@g}%^_wq3WK5nr(kRrc+(gPF6K2`$gNy0l8 z(3eY?Rcd#5T?%Ou$kDr1FX6uSae4*mNTq>`>*|&>A;lE?ez<9WuqEdllj(!p(eli= z2p9y2TZuRalnav`c{|&)UY8PY^tV;9dcA<0Q*x43eNk}v#Ys0>&dKZ$eV8))b{7pO z5ux%Z#!ep3(1*G;0HLPH0G~xKCEHfCRTAG|4tDDUVK@2tV%CHp2L>{s^rX-4rDcuu$W8Nlx^+sB?&<=>UKuUYkHWT@N)a3WcWhIW$Mf zx0T>F|CIccs(NqS8}|R`9`gS`&?o)>cMlnJCk4K{HMZjFfA(K;sEc} z6K*YclD^(Hs_`WtXr*?&u3 z8yfJ9O=C~|`#b9TdqJbovy}j+ceCUgV_d~ z&p~fD!9S)Dhn~Ufo}UUPfX!(~SI2mm!2#X<^F6?%Te;a6*5n z5Og^E^GMc>&mIe;b$#RBk7JK-X79+oH7Od4ythW$?y8n=Zn<(nlqn-c!CO1j5FheB z)#`_~G{3xK=0~fhTf5g9QfS!c(s_yQL??PG$Af#Tv+*CeEH43}_?u9F2!Tz@@ljg4 z<`nwAwhH;XvTsh=!1*-a)mbreI0!pz<^TKLU&N#`08=zHYgVcn;;E(N%WCnl+Rdmz zkK{j`nf0~{CS|gHG{2Kpe(cQ+m5F=X@@Y$7;p8Ill8x9%W&$yw&0^TgXT6a zGYRPimjPPJjGa~G@>k%RcKuLIq9qZ5=+McMi&We*#?2XqMi0+#1S^3eBQ5^ZIs7H) zRWTt{;(0Tv6g2Y1oxZ4Hjhso$NO;;+PUu3`I%B`93UrB|(Zdi!m$Fdi)j?+8XC^{j za|k5-h8=>U3vf336^Dl?rJb9i+8eZ;FBXv&J3IK+QQK5oa-$tJpKV)#_ipK?R0#QH zecLQw`c{J0-gt)+-qI$=O0*`C>qU2uhK%&jf4Xr$|9FJ4;*)I^#HXAQ=wiWuLay`E zkaDfLjYOooW~34CAI0%!nUW7U>PdhPL-i3vBd=Ym^U>ORCF`hul((YiPdPMDNRwOj z;obtq*pI&S{hB$TU>PU3YRGpwSKT{$R)g?Uj`d0?Kl4Bo3wc>}#p0uHD6Cz@`)e#K zwgO-hMVElqcda_r`Vkj~--6Z+R{tJ#1DGbckgtF9-6b0Yef^iUmU4i9tYsNW1oEuS z!k6H|)rWO<>OW!P4Th)-M&EP0p>wyVn%{!@^lK{nxAAu5C2%JU#{bq@4qJHiz&GJ+ zUd=6_6=mB@4EliTFX(@&pRKP=*&+XFXyUw73GGJx*h1|TByHo{^Zp*yr(Urbx8psm zKLC=V3(N1`Y`Bnry!s9#2JvPZ`eNGV;$Q_Z{(fn+CE4;GCDFL9j28obr80d{LnW(+PDGKkh+?vHwfRW=IOw8MUa42t8$+~i1O0;k2hx~1&V0Uf-1Y;H*s($Mxx1)FZf*lP-7<`L2OKJ^ z$?ABxmR~2FIw5i^SFqkM>rjCBeEBAs=}>D^qSIuA&t8qi$ko zJm=n#k_Mwep&Nz-jOiEo;})_c&6_as!HRaa`pzx1HArm#KtwtehcS@(y4GTRmkis6 z=i|w=%CJ|Q>TtzZ+%wc-;qivb28K=raQj&1*DJR&7qaMAstR_%!~sjdz5>muF@OGn zAr%2Y4otl83|a$qLiL&4u&7IB(>7ogR}M7kuAe~zgoYmu!|2EB7jeaHOUFlenD`XA zVQ>?y;Rp7#$R*#S1P`DiUf!LHHtGlT>jwaXlE(nFh^q%dpZVUuidgloyob9mz<0^> zGS>sCo$4_)p*ZQLu;lK~|1W&_|Mn7L{?~`6t`^uHsM`hoC>~}rF{k&3vh<}*)V8kwt0XlL(C1v5-M9K}h^B8M4IEuIi8*eI##zhl2Tnw>S~53Wp5%u4GwQC+yuHSS!zD*L&M)+R zxk_Mr-JRSICj2=1y31R=NA@Uy>ECp&*%2rlw%J6UjH}unc0q$v^P6*zTo@L z=Yv0d-#GGH-Lv+?SMl=fvm}AR%K0qzPsS!$9{k#KQ1tEjhu*HAN<|Gd={|2!p zhlcixm%8gJ`wk(#;M_QnEVJ_`Ca>E0@Hs~x(vB8Y*Aphn&Q3ZTy-RVn&>m&pUowB| zMQ1w^vPT-p>~!4ag#PNcxx&M`YA?1%Sn{W=sthb%1m_+B26^8qx4P&>#qLKRm38f* zsQ0obS6W(Ldc$R#HZ{CblA5sj z`CgYZlfR1iS=M3o!t0mLO%5FC6+r+O0^X?AuzcqG2H%8jE16j~H&3viZp|1(Me!1I z$o1)*xVG0u{7v1wejMna#)iuRRZ4LR4zewO=iRR ztNpbTBxpf$zHlF;59ECTW*8%PC%B}`lr0(4)R4eFtKe2Hi3GpAeBwX#Z(09=_8`_Z z+#2q1W78%c_MZp%X6w9XbbL?}4Y_VFi)5^S|3*{~Iut$`hWz>@cevq;24){t+ugLN znfcsmxu0y8-xSN*Ac&JwQ~fM$?2vqfeJx;Z6g`5E$&F2DVe}N|Heh104;O!}zM4%Q zyhlqpWMDjqC9^#0aebCTXkfzqy za$IFki08!L8rBvcIa05QV4$w?gEObLq$r!)D2Z8rS=6GC?{3rwedqktxW*63R`D)S zVHwmI(=KZL4O;3TPy9`t(8Rn9d53?=cnzAXU~NQQP<#i!{9A1rx1J8#uMy2CQYlgG zNbB}^4O()NedSl;W*%CwrRw|#x`h1 zLdSCJzB+u}VEqts0Ur9pfcf^%r=~e!8S^N zn5{nC#n`SIMSV$BHU>@z6-O}Za~KS7`m@qs#*vy}z=p#jk87=pi^-Qno1_mdStv!! z=lR&Hj8N{5&(aBVydP{KgZw|+03Jkdlw_^51d5vPJXYRfo6f7=!JNeC^J8rykCWWA z#&;X#S#@Hh?pp6uRvXO$JR&O%e4&VCSR7;vzexz@9?XZn!#!~Lt=gqEhxldjY+L@x zn(m^+s$Bd&*j-GsIpt%w_Mg>#Fb@BR-~p?>Z@|AubRIYCcli29C^z|ze2KZE;~^{d zFauagx5tNrIO_yI+(It7Ncxa#&N~i%r-oL=sA~R@V_jrsPCGE40l^tF&*Dzh1OVal zZMTXAoGB;4sDz~F+>#R24p9N=x#Z>J?1M4Tw7w~;WLiz53Veu8zMa;PD z4hCqD6Bjd%h5NRPT(w%{^1pigio5{3#a6X;0eXfcP3BoK+awilA^$K6Feht&U|%tv z#g@XC0Nd~arm|aigd8*^1f-9g&SZ}$V*w(hu{eEA!Sc9PqFPibKo0x}8x+Uhd&xae zjd-`V%@+5I9Q|vS&NAeQ47}&~&co<4%pIRO-sZA*;5(X{nA_b+#y*mbe?GE>SBA`- zphU3Lf#vk=5(f3kRiomB-`9jr)m#JGjT<=YqCWB~Zjj|m{3JytcVRVY5^&f5*MOft zt-t^GfS^HkNLe_&FsYULCQVrD%%71lWgn28@3(f zv;RK;eim=({R_P7p}&m(Li`o*SIA$Xf8F@Yl{%-tr5BS9D{{;9k`rpQ< z@_gJN5i!(Owe!GHFF`))zn<9s>lb|@4=L|I|M*P7-mPJNo+&6XOYSww@yYw4E-YsZ z@97jNf8KNc*6D!va^*V3?Nb6a2G+J*ho^`6T1b$ZOr{V`;WtDxILLe=7ei)nUWtgy zb4JW_cOaEhU%weFL!6Pn*$_#=4aa(kLpI(By!Y`GPg@;V&ORJ+u^vRX{iCaFW_;{$~& zhRzsT4>_z&gom);9Kwhi+-L~ZVmf7D0c+sGDdc7m;1T> zi;P>dXQRuiMy3{m7RRKmuUudF7)i%oPN?!zaAYxqWQ**37C2U;D_4dtNulN6r_XaO z$nP!4vK9?lIMvs}yyyve^=v|3Xh1JwI=NF$_Oko8utJUzMstz=YE@MfjcDU)MXiB9 z30a3MS6&u(-xB@)fsR<}3mkl^TOp$qyXLAUG*nJe1BN4oiq#<>!o3*l&5a!L^ovh# zj|G`G%qg^S`dUXVqOc1Iso4Whd^l#b20@c~poAFo8FNSY*c`hq2+@d|-E6`0k}azc ze?|8O+-0Q_&8^~4LOV8b>t&mZqJz^qBjuJvb!dGWQgiZf)#FBS=x}`)2b7e@Cc9V=; zDzP9UgVZ>qoR z5b-0ByEeT1D5qOrE%@H>i^i%KuriCg^k~qF{(2tIFhnXH^y)V76%LxWIR|k&2}I0H zCG0aBWNUV_87bGbm{JQ_sW$YjTTUG6j=LcupnpBWOnnf+EB2E8?wie3RBKqxEe~~L zD1zSml%adh2yVgIR2x*jRd*HTSKv3_lgy(bsP!MEA-^ao9L#uA^XAms0RwnSG>?YE zT~vdQn%^T@RexN5ZL=ANptJ{*qkbN)&g1pv14WpS>S3!H;FOLMaJaK`1 zS+Wi85+?OhiFN(H!Q#bfEls4dT12jPmXR;#^_Stu@26=aJUWBt0~O?6O_43Yh9iei#PuKf?Czl16e$0jh8&IE zZ2<}H)JT<1*3Sye2oUL;4a1hJ)3F|7(Sd3Xge4-K%;`aO#~I5wFaL(#or)>=9Jw@j zBUsu=!>?0gU1&kl`|DOBY-qWlfnG~kUu*t%d-iIopu5Qa4z`uPmcu}gXOv<;E;{Bfe?K7+v@yt9-|FgKFo3G=ST*^E0!7QQkdQ5t(b_4On|VE4b=fz>CA zx6B!wtW+VURd^`jaJ>XGh3bqC`0&=PbgpFdR^P)u8|w_F@ZFP zwb3=C@!^}FeaXx3Ju19^peH8GwA5@v{fWp~Z{XG)2Q>OycUvxTO!E05J=J^g3C?fU z9aR6NTSbd9Rluh#8wRT{WtbUR`lA^bFPD+%+C#OKiB5X-6gzp%%tRAKVYr}}G&_0Vmb@S`i}fUT#@GHnTo26`TP-%zrkS#RjT_11_+3%9y5p_S7 z03`_fI)gQGkps^WJoXa&+f1P_3wlHkNt40w(I!5Cw=wyW3W92I**_!y-j%IxZu zeZ(H*zo7`{wuIz`F>``tN3BG4UT|Vonq;hm)P?Df8Y^NYTqWj8!r7e&B5(dT-?aXr z<>s)hWG&p?M;6nPb%emW*?56JMMuGj!ig78U= z1FPBNxv^LM?GwpxC5E4Ej~cE05>IK@;fO|qDyo2x?r59PferU@}<$-AN4LH zsGKZJ$a=#Ej>hu$3d_yQTPBJBqM#+$7K7?J%UDNn%=*2>qLy3~Wmb~EevAl0rA`Z; zGUb;MlWa8BYnuD?=hD{bv3p}LDeIePr~{Vrjvc!=EZH_W1=2|4$&J< zMmG79@YE2kc4)OKy^50w@v10-wo3nnWnmVo!}23HF`p%cRFc}VAv&Uz7TdvubA)W{ zeo?MDGoO5jUMfAvpb2Zet1)>*1FS!Wxs~R}_*H>uFvPuVGCe46T;ILGtdfP)Z*LBG z;@~gd(tK=t$OIcTdhOBhZp|Aq7$9W`w~0Hg^l`FxH4R0Ei3vO8rpb?q{+9Zj4Xw0s zOe|PoEFlr$hF18Zb^n{`cDf@$M48wXA2bd8;M;7LSY)8w=GevU3>CNB)_fpN0pqQ3 zPnlNCJ?mn)#)XN!NoCMWStff!V#QDToZ6Fuc8BQlJbj7Qhf%CAeaVf-E`c_a{O*Dk znonM0%rf;{Y&#vnvAlaa?At45mLYwaMRwi=leeA%!?U>_UKWJSG^n|%JzCdveNzB6 zxNDCU=lMuz%BiUvdQ5NMrEOHs9+z13cET5gEsDxC&-#u)QzbrODW`G^t`nPPO?sK~ zscG3#`Q?=97SqHCPsV<3ah~fjU`BF5%T}7B9yX0P{bV6pA9#=`w5y%6&sbMtwn{BW5qtJzo|0dzw(A(FDRtX^(6q|AtMwB4dh7G+N z*Z++Nxq;C^FXyHnDg5)>BZ2BgB5disX;nK~f{6)fpml`u)r3muPPm z18lRSenyh>@J<>p*m)HHh7K#ufrjGbV8#E?3RIQ%Qs+@k@8-z8V9=mAV`48jVJWW( zv3>c;R{FRgiD@{wr(Fes)x|dEnKGS#qr5k^{jMEAg}ORBeIv92&%8OgyFCf!X37+I z9llrbGhA~!&4e7!Gfsp*EwV#5FKutO7|imA8CZ$zl2D9=De)oHp1vL06=}#t-Mfv_ z-C^4*)LaT!y=g>$K&kSEJdQSGud4Mjt`pO_!+9KMXK{?gV=cHGmit|5EUJ$WQX=l7 z+KCH#v_Ft7L^2=b(*BW~OD*#IBaZ>$WB%F_Et)Qd>Js)ce-bihvj31Wm)A;(xk(bc9YnF1k3O z=52x9yc|Bcrxm#9oyOb~7Cq%3TJeCpsl{wXoyLXG5R>YcwVpDEc2V}F+G!qSYvs!J z0b{c_Ay$CqH%&Rzo?=xmi?;;8`dH=l6%|Lc)5g(?Y@gm-oz%vtT!eiYUB!!us7R{c z-U^6jSN$%U;q;BCMN}(e*7+gyZf3{3lkUq$pHvf3)zen674glQ_~j$tX(o~b&1RMs z*^Z+d2~#SD4F@Lo_GKMuI6%F6IWm4???RTFWY-#eu-YHi7XJ1LDGf8P^`3LeR%z|i zVA~Oh{n~q6Yps88{u!-QM0<vNf`Sl`+h#sE^rdY>LsHRC&9>ed2Ka-8xqv-m5Z32rl6av!qnf{SiXRc#1(^ zG8BQA_*5np;vfLn3%-}W!H!@orcUojnC90C$=s+%vC1yTykq&MQ#UTaAFpo~?A=9Z z6j=(?S`OE-&h0UNDoz1>20aNL>ij_H+LzT)|2Il4&!LhDSyrGrnd~L_BX;L8Or#|f zd-~F@HJl!aK^UTZSYH>+FvVT%q4~%_)+H+4G=|1SEtbh?$k59`lfD7}Zx6O~#adpX4ehL{qm z@ZfIp@ln0zO(oLkZ&U9gmESt_?}{j1{jj}lAFQwkqr+(qRcW?4*kB}*nSnbYa`6Ff z#L}%tWbPI0jpuU(Lp(u{&^10HdvT{A&xd(nuKFhomU3!xZ_-eRH9Z1W|0&Gg2rM(XFou{nFp*j3Ym9y_rp05JaMDzYV8;hsR^FTPZIlx0pVG;<# z&cPoe0$b^Rh0>kKLC-6Jyt7?VRN2C|Y{)Um(Sty+qrmO` z4w-^qVCb0E*bB`Tnw1#uBKMkug4pv~d&n2(op&%yz_Ey?w+@*Qjpc1?q8_jf;chjj-xLw0Z+I@}K}9TPkHh$<72`p8pq@*Nm1yWKsn4BX%;I`TBrM4g&49W* z(^&*tYsucrH&HJTO1`X^ZYLH?jdnqr^N40E{@dwC2y!xpfGi%_!)T5?(R%iX`1MNf zKB_+>2#ZH)y%QgK0%{exya9?Lf5ahAH*I|ruXrxwI(qw5&{FNiWs5GCSnQq_QK{$r zh2`3F^|~|!mu510rXqK7X8>!r@KIWIqji#WkGVy9-4pWO)o=S`&*?b^dkJ<82e@Gc zTIzzmmq8gMJHlr6V&7CIZZq%gp%=t>0bFk{v^gBv?h2o-eY|e(Fuu3oBXzJi{?;;$$!m3x9XHK$9D2QwRidvq+3LWfZS5v4J3KEp%u9_ zzjIp2*4pj!rFPi(<`>OjcR{Ngh%L~GYt>d0(UO7)+AuzCVCYFuwN z{NDRcY;urb_vUIJOnTfy)A3u;KE>m(rD=q-*2nhmu3y;4bAY++NJ;c%p-0R45=m=> zsn(ONoOj`+=Mp-Ww)eVBiJlfU^3C!OG%I`&#=3@dMEcCOxeDUdoQK^bXp6b^pj@q* zc^7xc-qlS8V|MDz*WQqB0u-^>T$m-``=hZ2$`ljtf|Z|u`R zsn!?T+nXx||56)G-bxEWkt|w01X&>nHhH0CiG2G#!Cbw0^=%g{mbqc2ex7J2xoSfi ztliJl&fQRjG68OkM(%lQaT>amx8e8y!PuF{L%qL$|8$(lDTF$<7@?eWvP8x*W|9gm zlqD%la!Qgd#4yXZ$WoRh2U+HnJ!`2fF_R^`8QCk0eJ~hfjM-=Icfa3#Kkobf>%RY~ z$HU{n_`E;w_jSFl%lmpg1y`3H7`Z*6f3b@CY-6G%O?B^3L)whN))wv{*{D`|M~&x_ z|IQcL-e0*^6Lg9Y$Bny={yvjNS;=HT5c%WlzI;wAbxtW zV@4NT7p0tmm*iKNA_L)F8S4&i;8B$0B9sHYIcFCnycoOm5Yn>>)qhAWKRKEut`lkq zS)Xc}j;1&-qZk+_s+%?M$$FCz8a@)g(xdbSPFbw>j&jb>a9yBJp14vEpb3|JtIOA4 zFw7E=S)>=PbeokU$Jm$ zw@$9}M%VO6<+812mEwXfWj;a64CTy5Eyy{*+FsA7EzP@5C8S#Dr_3J`ZPT5n7@$LSYp#$@s7P zL4<1yyJg;a8XiHCNh-Fy1y`N%53VX7#2;5jF2YKH6pnIkKcSb~wm7$h_YOtP`^*dc zqx$+T`u1$?ORBT>XxmbI7Z^1n=sNe&YG=CD))f-vs%?QqCcs(EXW= z?WkGR3^6oBYF2%JP{E+zo2}xm+Ucmr*Ih&^U|0x2ob`2iJ6q%ub!|B2b&AVqB4tCI zLzF|{htrQkWU%^W@vaQx1gR^H0Ucw88vBWC@O5>)v$HBq^u3uyL3@3Q5VzRZ&rX$~ zDA0+QY4uQs>=4vsN|W*Xbto=)^MGP9-aE==OG+1+&WYp33M0kN3+wMS2s8gJep(-SXs-B;k z;J@LqAAIR{JJc+*BQ%ferwsU-K1`_+hvVc=TVFK;z0orHwcSIS$e8-Leq&X@uDiRH znduFa={Ohvrq#HQn!|G%&O3)o;9YJ%(e=sDBL-8k^`%cL7rZN|US1ify$BSKI`cau zXT{VT#CI#9F9Em)z(nS5xe#^~|M0>1GRaFT_#1?2PoX-GR$>i%byWQND6U;_LJtx$ z=Y0wK1ig!o>X<9tNEXeW+Ga0R%esD{8RoXZ>$nptfi1Lon{1McR}iK5_qrPXuMAR; zQWMea>|@^zf}hC7lM7z7A!W~;Zx*E9Dk1^7fx0rIv?Vt6&~8{h|CQY%Xq6$CE^6x` zWfqH#Z?P_|=+5~o8;pjXauyc_>UddW^@T0&Zp9i4gyH0^h+YwRAm+*8%$tEM)N97^ zNLj%5OLBIYXxuqTwU zm$`ScD7sYBWuz6Ur!D&6nmW`ArbvHx@ zM(OmGAy4jeH6XtBL)s!iP>nC;=yv$d(5d3f- zoECZ}C>VYiYADgQ=lwRYH`zw(x?Y!;FR4%}8^wd<1fEmJ(9%k|wcru?;1J*z6?BbF zhrWQTZSAfGmFqD>C`4w{*vZWhns+3pcN)vPSL3AxU~|*1dAH5tXVm8XYX~}y1)DK* z9a> z_REkV==>$js3oG{%B0IPDjj4yFRt%6`WO5sw3iyPZBe<;Ry#cI%&7Vz-kbL_&gZJt z8I8YKC1&voxh*TEE0^R;4AtLM3@O2Axu1+6Ata`<0jPM}p^Yn!uGpE}r?cEgA{DTJ zglY4!EP!c~a!}XHC+Z2OJ*eE&M(0GB+vY?!({Dj8(9D)ZHizg^Cp1I$Y#JtIwq((k zrN#x?A$wMk#xD&@xsRNwt>_kW=s9rYRaQcQipG34m+FNN`Lp_KSXDw3rr1=S(}RfN z#Ilt75e0+9YS3)BSebe^bZxX`fyOI0c4ZiLQEEit3y`S~;sA6?6?W2t-s5kG-!r@4 z6LYL_)3zQi;QMH(SoTm#XyiI#{LJC4wl0b`F_jUrhdv9(P9+p<2)PY&)M0|sV*1yS z)VRpP8I|sS+fKpfGQ=%{RXg+@vDKhHknPUgO@Cv222>5-+l~96F-=M5a6O!a;!e8> zJlUN&Vkq9Ta+%TKctG2ndN{#%qmf~sh^!%bTfK;SVv#q@)j`G(X4(-6J^)?_+Bg_c zmMV1r0JU)b*_D|mN*5`?yt~SBIc9NRdTc?9C)nX>l(V_qPU6xVL`KtK-pWdO%N+rB zR^@^0+8fJ^Tv~=fJ!ok7j|;T;JGR8P5y0XBmw}IC*=D5!=9F{qQ0FV;n`MWPlz+_P z`@cz&?MQGH;mu|3UQ97HklEgDte1C$`L%Lu-8?=3OUUgs#T=ofz6|yI&lKg{;+%f$pC!8b zI8;U78?Wd^rS`xn^Pb9H-XhgSaR5wa&fgHU@7pB$vyPZw7sV>9_9q}zaXSPqHXcjx1hfR36u;2GuBqzrP zC->lD8(lmZPk|u!U4(dX1D}<6*PmWE?}L_k>Pe($P$cQbfAs5ig_ZQV#qgY)=*Ja0 zyQ02yYNmigzaJSxE}KW?8-a3XQSu)4^H#AQGPvzT1=eu#$n5?KBA7GowEO4|M1ylD_Wi$Z)wn|6&YRpUXNC561i z?hKLHgenBA4{sHhwxz-4`4;m*mnboq!@S@cVg_6pL{k4SKsN=Cg=`BeEoI+?tF9ILXG)K{ z3Pt-J+qX&*VqD-lXk6RFK1k6~?B(V3@C9FGdJJKjo4=P@3c82!+nD3(=2)>xV(3fJ zK8EbxRw$ zV)g|6_vPIpaC!Gi_Ii_qv^@laKAHcY5Iy zrz=IM)z=)`y3-gU+K_ALxZccPj~zg#Pkvv^U>@P#rcQrRy8>OzdBF({m(cn>spM6c zG5_wvhM_J0h0Tgnp+}a!#6OhVeev3lF9g3tss4EB40m0=#&P*c%PR+dKX$zNpS+Dv zBUk_a{?7&Ru{-D9`t?*|-f7j2ANaHvZd_F`zD0yb%{A2?Dz@#aW2M^u^YU@!<^A1L zPR5}d5BZL)BgRwFZvpd0&sckKEC@@xll`qhGt52ULIZ&0+(!xrexF0#6uq#j)w|#@ zFu1VOz|F!ayp1;bGU&?7{C={|mZgW^dTd2^*^1jOSO9rVdFx_^IU(Te{3K7$_z1c; zyFlHwc(E(>=ulhJVRrSwc-!i5pYNq%;vwJW!)DsvUTg`k^u~?1*w>Dg#-3u_ca6YPptd?RO&zT=JW#e=bb1`MEk_cRL74}2TW>@d)2?y`L^K0 zTAr>M1An+VUHOE*OXjGNyAclQaP%*uBO|_lh&AY&C#{glIMc>EX}uDx5{T+1A<*Ib zRqv*YBJF6;Fs|KGE3t6cBvVdJPF^}fl7wFm*TP&NMtq=i^X?ap_3XTi0+;5eo2MVy zX>`ejoNTL9tUJ|{*Ko?K+c-4AqWug-pZlILo;dszSjKa1Xh<3asBJpYu<>#{3HbZe zB?Ddxw?bG3+o1M5-fRh{so_?$8jEUE{?vV=29qKed6-l{t~Ax@S1Yoc48ZdRx4`UW zQnM}JZ2xNLA(~r#C1n%R}%-8S<1)<$JydbRqpI?1( zTCMThdG}v2Kb7-e7+77_--53I#7qLX9J(7g)}syGx^zPU)^gLj*~OsL0DTF!sEuhk zaK>OsH-~&lf7tg9;zyWGfye=MQnwxeS6s)YCG;Z^oRbDF&@Qz!Q~`eW+}pkdX#;QC8=YmMns>?1kk8yac2 zl~a>1b}#0we_fIkzT!hMzbTm#UkTqF2pe(xSBb~&**P}h*r543h=7(1-Jw6=x|q<9 zYugFWwHV)O?bvyl{pW}b=LY29_wCZEb!XFNU_B4_2)8K!8-s6wKV^HuasDz!5~X`z z|1~@plZE*mKCb9uFkTJ}>OKC1ti&&0pRB$IeoBtGh8v902y5$*iq@uA^7nZ>gK_}c z$#3gXjCj{*k(Q9fQfm6c``GKe9-~LguCHSKXCAaX1?Mrll4|obB zL*vo32pIvMC-Z~7hf{+Y11|*kjo`aCAbKBW^}Oy2H6+%iVT0A2+7-PywPKv57X0w% z6Oc+?>}IK$iYptvnG^!aAHxm&VZMLN6z^?G9HFB>(p3OU!d8CfSNtmvL*Fg*lcpb} zl40<&(s)C1ADep~z>BcCqW2*iNFtj%C^vG1rSy@G>DieE?qt`m?Bu%Qt*x@$R@%fn ze$V|5^7Aix|6f;N1ODsM?k`d)|2skd6TYw0|9_Ht_B8=gkHH-;_H~qWzv0u4ugO4*3jg)V2ER|C znC7=lhGzcp<{D{MrruYcgsNLTh$>|#=B2EStR+4U5k_QNf2!lhxfNP`^qUw#bdDBg z@75oq_Co1K*;aFS*k)9t{mUuN*{jzYvki4lyjv;5bbNYqyS>HNxNkBgs3Y#LE<<@UA8HNN|+Z{|S*1MJbp3xbpvhx$kK zoe{Xcrc${leY5W#bUJ$-IbKr5nIK`S#`isNH%AY)-W)Qh=Ded<-JEm&(MBJjd|50X zf0iDCkoH}(X?@b68knsfd&4_}?=@(z_%9h;mf z*CRwAY1D$-R$gl#Ua=?*c#*~!Ope^i^eG=Su5CU)K?PI)?ri4NnPPgjRHF1NedoQG zqL`gK^g9up-H2H?K@#QsKZplbrI;$kOhBJ|J^l5YLsNow$d_%qtHy1>HPeTK0C*t`xGvw}VR=@Qnhu;rv3H340+RoCq9pDP`Q4%!kdnN(#cnsQ)`buoxVz{W z&YhO|Q=zZ@Zl6tkcS;w6zNU3l!b4xzu}f|9&)34&4+^0BKg|AGj(W50gpQp1qk0sT z@>pUa-hZJ_Q7Je{#&pEFYFO#R?4ziY80Cf|Ax>=tr;HC(kQJTTjWnt7ow!*_?riCQ z8WTL6;oiOLs{&!M&38*GxoE+R;a(H6C3y7mFWtZob8;D`qTHGG?5JHz!{;M4k@q|< zOhGQGZ7tWOfMJ!^omW^bw`WQ#Jw8j2hnm(m3kJ&r^*b;oH3H6{OXqzDQZix@&s%W1 z-{ob@ne)Bxqczd^5HjpQNBlC6787 zQPeizx89_K?Dk)HM4qu`SyyujQ*sWR$vkW5KVx~v9{lC8A?Puu&QA0H8mnjOp)*|2`q@3Q?1b+_1IJ zWh~ZfWVZ539>^S+f9^kAFrWF2`$ehL*y@^H(jCkJW2zn4Fq=0?lkfD8LTJs zz@(<^hPCh%bV(xNXvksF)vy@Cull9c^Xd0rq3Qv~a|D4bu^hJ16x@O` z!*5?6mL8E26o*P;N6jVl6BNT`gl_r?mZ+21&pVlt+(M5_sogf4L!-8SQ$NC5i?w-} zwSs%0lH~r}9rRo|_)^JqOyfgf2~ChFA#!}?|j%pvSot{Sd&C&2X8v`dvUwLESlB3RF1 zuW(NK?}Ns;r^0QRZhX!D2* z;3(#p$0gmFU(Zush1UP%?Tkn}0#2Yok;nM9>r%$L)U-SM;|3YUh~EYPy(R4L;vt}W zVB_1)?bq4P*P!8mC^*pkLbrJUeMSB40uhU(`wZ>Q19Hg>LLK4#i@xOeLheWI!$9z@ zfj3xI z_yE#L=#s9BLCt(-C4LZY%lzg{DWvZVue#K{v6b)0{%8^J4=M7vgDi^jo&zIk^x&4< z9ZJL2+xh@6#O?rEaeT^me5E-+JnuI(z%TP!YMS#ymWP^!?*P2VouXm$n8v@OIkFb2mL%l${W;{OhXUt%%?D0Qu{L`fwGoT>9Z{eWkanpqU zf6sQ<=|1@_YNw0EpD`wdiI0>I@91`U_U!F(>leRh$=ez4zHIm($;-Qr4Ga{@pXTF5 zE0wH~@3f}Y5nNt4J(`HrbEQv4lL*mtZpcie zNn0G3(E%Q#p=0rIcJj{tz%dVEOFzPEpdx%^q&d|ptJ5ko zU)-}8q<`lh+AORtH!uCKYBU^EMA{fAW1Y{hhM}9XLVMv`~)vx_w>WhoUe<31D z@(}k{*O6DLJ*M0F{L~zM;a#y2K6+;BShe{Q>2d#a+_(93WHh*5jopfJ#L|l%TsVN; zRHfv98hwprS|(ky+M4NCVtIm_s5oISnIh`Z7tUpL!Y%I9`6!*}b>Q?f)YJz^#*4hJ zncm_9m?+DsHD=vf^x_#|b*ec>DuTEc@$_X`yy(u4lJ)Due<9F3;<1LZWOPbBd52QU z_e~ZWQ>m>43uP6GC{$GE3bk}GQD8|}M|F${3F~5mG)hUQfS}HeHotEr6rvm=1D&=) zJ7HuxpTK3fzck(ERue>o)BqUq+sqfsAd7kA4_Y;X$XWVI z37Xr;gp5aa8j$_}v9jblW=(0S9-uXab}yuxAT z2J=~qC-}18m;@wpASHV2+s$>a-l&{fiqU+nuAsP1rS|I%OA+V&)a|yGHU|{*`&6;- z5Uz2l$6$LKlS0$3gGa9&^xro1mlO8vuw9+_u}WB29*S!&!J#oF_SgFxF@eVDv79R@ zFAeLyd1q!*J;Qt~MeFMk=)2;e4?A81u)&Ug~;#b@@0@@7q) z5yuykARiede@fjQ1{qAOKsD-nYn&HN$o*F9MM{VhCFUE&#<$56cN}U(dFgeO+uf_T zx8CL8JyG4Oi!D-gqNq_mU}7PYsAhy_f9qc7F&W&jPI^uA7@LHAk-Hs4mx4Usp5ri{$ z@Kc~wEx=Pv)!y4~HhxKkH!>e1^UMm&m(uZ5^cbJpCdO>;><>J*FX^^W!ts;O;BDiV z@hMFY$o<#{KE(Ro%tbLbGm|oY!Hkg)apas+b4!g{QNvF%J8m;n=uzR{jE8dA`G66l z^jF}V;+*U^RPtO2wEvKUQ99`^P5XJV)aAB&h^8D{AiH7$o+m<*x4R~ z{Wz2EtU-9?E^b-eeLWXtV@%6mt1@5bndUbN5-vgzg~@W2oR!U93eLuObvg7fdVPeJ zGh#scK27ldUe6(?CR2harW(yWUv{b`i+8*B1kxh7|KbnM{B%EEHTt?EF{GBEPsOcD zS@r)bcc8XIkjgemH>Ke4Bf2aT?T>1qV2ek;3_w_fq*DKI2+$X_zecc^;#{doZ%v{MH^XPNP1L%340g_vO zq`$%jsrGSp%{iy{EdKq<>wprIaz}_|fEaq1ot&x>y=+g9ym7ylGvRlA(g<~*$TwAs zp!Edhef9FafZlxP+S&B+%lz%tFR2$M=011#dyO>(Jbf%aQD#tOj_E9a(0JF$_$Fz} z)(Usu65+SmL4*hRi8;*2wm~KV)eripbUhs36cSKDuo79hl_ z1rE96P~yruM1wc)czD~>w~x&UZI6Lu@gv-j6H|!DFHb_~QJW~H8j1p-V?Gz=qw7ihK0YbBKPx<@E9!IOY!`3N?7<{} zR13NJgzzUz;VI$4t)XrQ2rX7)?8@|{=6nVBcz2|fXEdX9^2&RfsZTYfPchR$40>kd zoY5eh*~+jRqEYlrE$1zD?ZI~fC7^Q^s!jc&cU(%Wd-;)TK z7s8P=OxBX{B}Q24`okk)S>TT0Tk#P_3%mQ$kC!47NF6rRdI z9BBM5dET0o*;Vk*S}=EzpIMg%dkVY9z1>-5F*g+OcRo4=oeE14JnIVYn14L~w2rXd zr%hg;TFji!n=hZo&zJS3msn6d{l7H$25f#bg&am4w!ldOo7BVf!;dV@5V}E9@xMf9 z%Ho-;4-HnoFN%}O6g_N}@&8T*n@rsRzHc%tITpBgc4V=vBw^Thf@+Z*^{h)+CNd^H z>liNRb0+iPD^4qa&+V=X%GPbHTI8c4U&GDu=gm&Kf=hxAXJsUsQ}IL|w?02OV~eR4 zVJP}M9+^frmYty<{ZjYDMrWdh6B8micfSeZsa+q-*hM6Es3l!jaCbZ4?%O1b%G>01 zSS+zp!Us8(W3C+&oxHxNK3<;%3Fb2=-v=?hvzAG|KKUae4-?x(Xu+J`R^O1^J~k&e ztuRHc;!U5?ZQjDK5q3GWV7swBfkBw12Al&6W;?sfm%R^trJ&N+zYxqQ5an<2RZWe+OTRd72V* zV1oZFWY^8_^gaM8x={bySN{6$Xwgb_5TZ$J{)iolw=px&BzYVc&0i*epsK;Cng$0| zBQzZkUWtrl9wLP%?1!}0OZaTUs1Ye7HNZexw0Ra(9D00iZ+RdpbO|CFoRyvLoNrFD zqNB56-_r!S=)9&WZZr9iC&X~kg1KKb-T1gMj*r%$@w(87zvKQTz4r3SB|S|Xj_)|4 zpzn=tH9Os^TbkORYDl_4h(+ynwaSl_?NCvUanosfNH5c(w2f0TXDLtoU0{j7)9qo> z;wfQ-5#>wME`&kHK^T6+h#8S&qeF24H{~{EsM;OceNQzh4AdS@3`+j=re3i0#;!Sq`2Z62 zGbG6-7=A`$*$8zwjcLfd-va52-$3PrB(y)(d~U1TEXjoHnjl&Q@v)9sw*A84{T?Oj zhK@PBFR;Ls?6CE_Xsr?Fq-0yeVmPzmez?Y@YpQkgU2rxIdeq&=)4b;7I*utgeir=7 zJnB)W>)C#iwO<-(XYp*`(Mh{T3g_3kSiZ}ckUtOnSEm+RI1Tnj%dvvoO_&;P8dc4a zZwfli)T|hq#=Q4xi;SV*=HycRJdGe)%UufM-l!8y?SzWzX_+MVI`>Sj#%Rd8uR3%+ z7euk$oIR`14($r6<~zK5weAo-dftGLGIAEKNF- zi`T)N9lWI+zhTC>lnvFezJSW-%1_JBC$_hz+EINvw7Yzh&+n}qD-BbH%f0uCG2)`X zJhh9G8I!lq^d>x*#pFQOd0p&={Nu9L6{KWOO2c}AMj>g-{K2esAv3%)EZ=L{Fv(WA zx`q&w6)Be(|BSP5$xzSZ;PUA~3_57g#|06=GZ*kLpcA&D4Fl7H6AFp;^){{LXd>Qm zLlQymfE*AKvJ5UaNy%z zP4%Lt2a?Ss__A!H+Z21YVvAZ*oiTp<483G}FI=j|tBtyQ(ROd;4-&jarEzpcf5Nsc3z! ze7(aW-^ugdfr3^5z-klxGDgn`I_(CxSrCJHr~QsvLXA(e@?f__o-V@Mi{uy2xI`sy z!Cp#v!%q+TaWEU<9i!f6FQwALu3*W@mha$^>PC=W+NI48Z{-|XJu7|AW96q?Pt#13 zoNK&(=(a}5Z0{s1w&*5V*3hpyW^v>@X1_G|c@G}T z4B3oi>LgiaLGn2A!_tf1&bY#;FVqTq-#|}EvshZ8OT;1EF1)rIPxvOqMD%RsYpf;b zK=YgQ^dOsAd6%dJ7q;btg(G1jU=)!-ypE|B<2q!XleC5KqfJ^ubw&C?#@~a?Tb^-v zx4FBCO2A5)U+Mzlv20VFG}sTVoY=9{Cvuk=S_;HonDm_d^hYn-Wak>$AIL}H-y+|k zf;$SDkr{dHkC{{;%OXj_ALCwCYR+)=Y2Elq6SxxL#@4K=*6Kupk1C|`%Z6lmpA zfJ-yq|4?%rW7p>KIl1{z5?D2zW=W&v6AGefBePgWLZPpQwzy|szniIkS|l0#Vwkwj zjzgt36`jE*^cJ%ivR`F%g!(@#CsrCBu9yyTKhV0{fe}MShTIOLWhoC_Nu$M#?0&i? zLs<_VgVUeeWpCg#^T!Ag9~@r=|EHVyt`*>p%o#e*DR#3nI$o#2ISn#Q@y&h2Cc$>W zePLe-8H;C>Gwno1`wdzJ3GL}OtU}*A0IJS7d5|MPdxz0n4fUJIW~m=&6`krh1PC;k zPJz|70aHr>gf{Cr`IM>nWW?TdhqlPyz|TsVS0UK~5Cii#gIgGq*iNh>*(bZz%if=S zQDywm@s@>@Dd}XhRG@b=+$hiOlV}Sw(hxZqpyPS=O?tA@ICKzyKJw&aIi37dCmb4+ z3l;6zZWbxOBkf?)oliQSa}1;-X6b>HUO*JtQW-_MfDL%w@S}bYR$gMn-_R7&YBZDM zCp7YUIa=9c&`K*}?CkLHZN5EuE1#9ivSesK4=Un@P9dAbI!y;)t!QG8uGX^p(F2b# z->JJsJ>O9*4K`45n3CtIw>E2af)3*C>tn;qx05x$1EkDV)t|QGAdRHkp%Y?lb@1mv zB!=UG6h(jM-I?19cMKyYwc~z?*a+tja|OoBCdxTr8hRId$Sx{*#baC`J-5H(AaLKG zrId)IyOEk)r^8;couhsniV+x1v%S2m^M;4up4LIP389iJN=BFR;)F&Dh@1byfXS@+ zC689l=_189fbQhqjRp`G#Q874)&9gPB#q}+gZ&RQ(t`!AgsRQ3281RF6>W?5!9-SQ zMQ?|ClG`|mv?IH89)*tClY-lUCGqrb&EeQaEshK|8%=n2M5BV#cbi2CjNwjH}>>qnjPvRO1|Te0z7R?6<%$!KK+)F z5bIbkGFqQz{xHFZsikxrMNh3-3`8Xj+iG(aiwVD%VJii{dl-C@g(BKSnf3->U41XJ zmJO%UIQzFV?aa=BsK>({!o-D00~2B5d9hVrkUc?uzy2+aSeA`+gq@kqia~lXp)mgD zl$jR2mV0qKwKO=Pz3v_E;%2!Cr56s~jRLU`V^T4;LCyBfs|$M(H^ zHFMOL)3nE<_2){>z|8XKX;4c!D6V1Fwq8TI6t2D8(VuPycB+4Mm}*sR5`q(*IO<<$ zVVLLRMxC(7d4uttqjo~$H@r!U6qn8j7KU@3`$vZO?}goI965;*;Dv3U#2i=BVIeDn zrSo^E3Y!jjO0u)%E_2UjNqK8Hxq-mse%K12JxuU=!2Ul{el(zvpt}8Z!{RRdx5(dU zhHMq3k7C2f)0@X`i-VDuwq=4Px0TpmH$?5|h3kH>M^|U$M*YUC2l?iFD^T<-UOr4Y zviUok^{cO;#!QyrX!7~1CEzpx>wExRsIo5ss0E+^%&)dW&?)}}gW&%2sGUi#{z2|H zi)E=)DLOSjBUvSuIlP*BOD^L7b{`)S@8VVzI$bzq$4i@qA?O7-X8dnLEl1bKJecr>psU9F_Qq!gY1kZ-SmtTew;|{LxZRD1~%J> zHc&(+w8z**#*)cNh%5u}iKus|y&Z>=9Cfg+!2DPs-QH- z3DVY{4m3o#*Jj!3if?wLB3#Ka+<$O~PX2FWiuuoYw|-VtD$CJ&L`f#ZiIQCRU1=B* zG=eOcwQ=Dk4g0oX3+(GIv*Irram%k@;EHlutV$!2FIwv-2IMHSsL2b|Sc5=P)abX> z$Bvz!llNdZ`XkS=Ss-(wwO5gT`FU)0I%3p!@0wB?M}7(d%;gE!z}|s)d&M#mhaI&U z2fK_XD7~T#X`JS@Oi+Wg7n1D&P2sFEkW9dV+zBDWKdmE9n7{64b#_+1M5IFM>>f)e zSuhGJX%9TTLE;;B1rGfZy2TcHTza-{OZ^*h?I( z*6#k)n)Lxj<@VQ_AaHKiQ@;=bDSz^>r5osoio#Xk1|b(LWICiXZ%he>3IRtDX&*+o zgWt7SDe+)x8LJA!8XiciL0>bxsX=SxZ>Uco_}G|T!JJS)YUQP0!|xDH^SZ+K)<0tW zzETYqGf%rDN`C~`4cH3o_bJ-k1FHBMz*pPnI++_OSM|vmk<`O(!IuaB=Ly(^kIt2R z%^-fwfXonW#t8ZL@oABAep4K`BHiQQ<+;ECq%j4A8AE#zg%=)O zo9jStK0Hr-oXoyr|o?Do85-+lf+~!|2ll!g$f(nUCsY8y#somwj4S5OiRwIsdTRhbFk)vGi#gg4 zptW4N#SiWgzVKYWUly`clpd+DYTMDhuXSm zTaPQ@%B6rOo;djPAVe+1WNqyjrj7djNAW+ld!@cZ3kDH|WPY?B?!35Z^r4GL-jk7d z*JIgC5Mkex5DP7WA5GLvyE#GuGEYH2Elh2{{tSBz$WdDtVdNCxfkxE=t{$wFRyyXk zv6B+yB|(c?p)CtrI8dLePxv^tE?>Q&TG#9`7vKZWZYBe)&v)BaQ3gpuPkb);_swVR zshU7dp^4r(a=At_e zRXAP%1rwTP@&>EAoqPiUl?5VlJLg=K4E50Vr*oi=vEk%)P|Xr`K@rBSw8zhc9-*B8 zp9z+C?QmWkirAL}l8v?=v1k4|s5U@{a7>~@U61lJ9ME(4lk_22LOTu|Rs{&)$y^X+ zl#wogCPnprN(;xP2w<`zXvTmpGBWmh6Qx{Au^E93b561v3N7t<>E}9UxP_nW-})oS z^U*JXkdXDeU?K<9e=$L2yV^tpFsMMzAty27-%sfPc64xS*>m?~NGSr&q*!`#6?d$nZ74(`Na zg&|}>>6vT@X~m3`gLIKfy92;a0NqCEd7_&ZDWBocW_Eh^sPTiTK#(Ujlbj9dp`^kg z(D%p(;lxueTj^(0psj>?lR4R+Q>UTLI&UXbe2&$gk*m7yiHL@C9d$fy+`JyLlsvin z)>G5qZY-IL@V(Lo_GZZ}ZRt*<^@05co0LhiO_Z!&^Vy;ypljRXZ@{^qRpVzgrf>v-lDR^q;4p3owM`1u(!^iA_BDwmV(rY1ucRK`Y1)UE2 zXTH4LK3)=l@w7rJdhNJ%D249MJW6ha{a|e@TZGJz^L@;B z!5ps`s;N56@M)Y?0CJHb&D2x|n`}#M-Psugc70GsD_39ORlTpO5fz5N8T8#-iL8ak zETKldt0~h`6@WIlj&Bi8DLvO1K!d*GIvu>WVaEc{$fQ6uepYDJwW-mRI(=h?&gyk( z{mWdp!+DZMELH^%WkES-kqlak20)xm%g$%~EE3%}pVr8JYw~7lPh{$IuY4yS=U%;r z^W?fef(B1U{uUm`kXmP&mt&Z3u=$I&E*3IO%~G-?2wSuEI)a1C$Dfk`%%rN?%0Z;q zq3DFk4}Saxf5wg2`viBH#kLbYA7Nibe`G|s;q*vFjZ`- zAfMBG9&@5E-p=eas3Qa|^|x0_(t@R#jS8|ecLXg>#v8xWsP&{M6Pk0VD*reKw@?& zDz4w~I=w>8j#TJ4gx2sCCxqowLlJX|9qOx~rSPSS6BDiqm%1p}#nh@NnQ^Z?SfedB z{>2z`#$+xkPCZ-cZ~x&Kes6?)kbAt|%YhZOf&_BBW4{37$@*h4Kv}m`G+Bi?rI?b2 z)&?qPwoC`6wd@a02P>vha4Z?Y;Z||1JyG(Y9JjjABux}|9MZ$a@>$??!V%JrA3!I> zrwkuA=01wtkfxa>jPPVdp9wRlV99ES8(f&bm3#Oo_+*4UF8K!t}$ z&&h3nI4FTBLZf&fRkl)6NmUE{-35dTy zP~6xjxl(&+W`EEJkmD3GQVLq3l5c3Bbs-JJh=n4)2%ve%j&2ZF=D|L?J^Y_CgTN88 z@$!l1L9rnT`e)><}J)W5uZA~!RO?1xwfeHf}4kSM~DM7S;3=m1Rz zDj!O1IRJSR@<&hb50GI#cqv}f00x%sn2Q<&5M3cdQ5J}{mr@|ot^CQi%~G0S-k_N{ zQT71PDy64A-cIyO8R3LR8d^b-^h}_hBvDA%=Si%dHFB{?ijC0GuqoQDQcAzQ`Opq~ zz*S6+b=0v+5grtc!t-5m%H)VOnmf0HXH~hSk|;HU0((rmN#8x_HhP5S(dyrfeJ5K$ zypd;WkQ_c~VL8`3y7pGC9;sUosJ-ps>;lOWyC&ird`U>c8!${Q4@hztPz~ctgj&x5 zuM~6~x4VxUfV}e_S=6~`n?=5RpcQ>}PENdgLPcio|Ldmn|H%SM`tO_0dD)l?8Ml-> zN)%3F;fak6iFLcQ?({+PTCzyLeIRuDy@sMbE68#pk(u7h;1+ z)^>_-FCSO3$a9yz=f`=RK6u2*e;oKu)_Sgf>*di@=5m3*kWjGxlLjOcy+F;*#%I2a zd6l0TJ$2;7tLn^>(V_#!O3W(gS#)?`7}_U@R9bdxF}JR<^GW!v!GYl?B}OJfb=dp!RMF|vHyrSqXGXYcVfwYlu;EPE!l zy1`8It=k&sROrTBZiN5w)U4t2Hn+FbE=^YmBQOz_HfIR-Vk7ggwnu1Z#`e~J=xyLG zxDefovwBXe7zxRaxhXqu=HFYn)VM6&aJY<|-03Tp74`S9Z_6+oJpbr2ez>#QF#sca z=C`Yi8$6b6bNKen`L*ZX@p&iiM$zh3WNiDM69u@#xXX7QtVWkL*v4xy9j=Dm#!?GN zDC3)rFOCu2?@7iP^hMn{ci|B+2wf6==-m^VYKq+yr;8Tc!i@{Nc>N{tK1q~7ZMJ?+ zbBhqq8Gm69I&R~7gIeRmaf{mqSO7R_LUW`4M`d>&4R!SY58Rq9hLk1Ch{`@HWoM{t zg{f3iOh%MFV;fA2T~bPjWF1?!MA;Q%DQgB{h%g9aFvc>L!T7%Wetzfo{qK9u_net? z=G^zpyzjl|ea`Ftaqshi>|2)lYu`m7tM7s$^opz$xITK>&xYTjhfkTxk8cd<> zguKq4gQn$4;UTWTdBkEgNdAZw2PSpyRq((_>GxQ6?^x($gHOA5b`rerDK-AJ68|SM z`?jH7V!0QNLAtByH|I;^09b=ggCa*-v%xf5({!eujM{T{oonCT@=-X~&N7Ey?tE6> z_J{HKu!1xMZmR)Oj5O)Rl=IwfiLh6gm6rhJ$kTf_;b7gFtKR8PjhKSabw26bK5&CJ z=Ao;EKpmLEsl44)^0YtkP4Mde;FJxpnVHmF=Gn}tR}o6#)Wd-?z|x(ffifXv)m@Ws z(*Wc%nb<+**zRnkG|tL-&?8n|C&HkC_E2()PYZ^`!knJo0VgXK|2D$P0D1C(GlQg- zUbqrS11pAcwit7+ezNqOC^{?-+!t_xi~#&5!8h;Sj`EDL^v!@S_4IgB2MI#pw&^z$ZLE;X59ITlQz(_tqD+>VJYcb}vuZ3m74W;jPg`{>&>yR={@h-D@E+Cnf`51L#kTRWtiSj^`ULvfMvn z0O67`9Uc-NG|*&?zPtb;NA$wnvRR!qAXRoalumX;D1o2L3JLM$o+;Ykoez}UV~y$fPO&dH8NO4b;?gu$yH^C z9_67G^h^ybpc)0Aq4U44KD;VVifG6I9w7~+`L|@QOjz-xZ+VS&!FX`DCAjJ^%Fz!n z6JBOWfQ=5#5gr@v0EnYSWt9pj1@>T%*#huXGkZg{K~cQnYCjJ)+eq&@sNvdvr#fAz zJCdMU4f9HeHw^Qws1G55)c%DLo$Ops^4?JZqT<|r253|buga?$r+x+1>~gJWj*UCJ zOf-W^4f+F04Bm(WYSNbYcL@l|Xu-OM88N&Sa(&^RPJF+1O}aq^YJBf#VE^v%-8^6J zbxSPAHjAhR)&&^d0e~G$IlD|1BU~B{!9{sB4dIiNJuBP8*w;xNhuiw^UUAWdbg~^n zm*Hr(iM&4l`7PKCE9ftXd5a#dRcbZLfB|!WypdV4du`4T4R{ljy`zMV`Yyf>q<$B8 zW(zE%_r3f7P`&@707d_&dM5)1iHUdqM*%wi-daMycH?HW#>N{`K1*XA?r#s^H?yhL~1@-$sa`;RGAexudh)_wt&p)sBB2VltHq?YJygyX$D_$5MN!GvZ zG}W7BO)sE@M)_?x$ zXQrR*u1%+Li{cTT^=x*GRlSFHmfy}JYig4d?|dP}gge_c5@u!NG2 zDfl~%J$qGk|E~)+++1Hud(*=$=lz9t$t>VV){H!-|LXcYL_%|>Zl5vGWC_34TfmwG z)jBugD5AM%_xj+6W4##NbGUv?*iLvosCU2KIrwbx>`?dgplzRo^yaq*eSqhrEb7$} zG@WD1SN>SSKv($9qHEiah~_hmp;}er)XLRK??Ij8BIzY>8}Q6H#V>1NFQJoZ7f&4q zHr*HWr|(nprJe-3NJ?&oJhpoM3cAp;+swJpS+4~0YOZ|UEwsT2ok$(nH2E+$dlyg6 zYJ@!gjlT2Ozfl62;f@J&-(nXvPiQhq5Yk;?#`YvL2R%L3RxV5q<==s=D1Tm)%R-j_ zJm`kscbomB!wQPa$j(w;$lkfP`Qy@uKL%enBQYckwCrXl9o{NU`%SMfAWGa73UVVY z-<9rkE+;+>nyEa4njQ_-F^{x3^~IO$}publsfsHOc^!- zYni^Ysxz5;j!6||1|Gh>Z|t5emE=edM{m_toK{pk-A9q$i#pVeAF{~O%I$BD+-bhD zztbTs@vIgoB4Gx;Fm2Jf@IC4}|6C+q8Ag$ae(xx^E?-UISbB)n2VJeQ-AwHGlzk9q zu9Z&6oAIQF`!T+- zYylU5rprN8paNwKXkkb%JdJ--ER(3mCaqPwN9Q*&h=(+@Z*16^4092SLs&r+iJh~E zqW}KhOc}ru;}#&;e!Z3{Zc!cZ)9;-+2n+`T7y2IG+jQ|;%_X4B3W{zXhmcsICi2g9 z5jc=nj@~&RCPd(3y!~zLjm$8vO;+iC9snGotU10*jCfy$IU^}3N`GZF;?Tr*P@l}# zD_8f99Ladrqo$!J?CUePrZ!HFU}pAhV0*#0vq|CT*7L#YiBOURtr;86H8a2d>hmCB z^#OL!QJaM5+}2W~)&d1K5-LrK#b7|IKh%aa@0Bw+cN6E6zq23s%?UxK3~ROJ-&uK4 zyTg0r-*TC3nl14WBazMQdX?ZZ^-=u1&>wIeDeHV|ogB~~ z-FF6FknZs7V8m|zb*P^Koqs0^PAop)Uca_^V}lD&@JM#WNYeNpn3laC{mlLxh{?D` zk?3Y;7)t*v!klSpX^M?0g&IrNqjrwyd8u7GT#%k}w~K}1_-q1N#W(UmG%^L|nwfK; zSO)DXuL9+upOrE<-6)TGXnL#aQllsGTKiN>K=_ zd>XVaICB3k@NAc&ZopbtcaQ6YXSp2|12dID6fXgN3W+L(7VPG46;uJqj{`h)(T@AD zrK2lAwepIuVh%CimclUQ2kxR=X)wkh4=|$Ug@5YeK?B2@$rzzXlz=jlw!jEXRH-zY zt}1>9e6jpdV;bBoGY3-u+(6jISunH=S{+)p0HpctdjkU12jFq4V+eLfZpQ5+)e=XXFTm>LOD zScOm7X9AHg1I**w;aUo!f8?p62Z~g%p3Bs)I{}Oy32H4gT3{{2kIn89K8i2U89x>y z0~yP1)kNs+>`hp2u)q%%OLT8L4{2@&Kt@)@$I7pQY1iS0V? zj9AY@7yg?pFJ4hv9LUWs7S_tCCn!%HJk%=*paEt3f7gKi<$wH-1_aQ3tfasUM+X)* zPK9UTD*PSs;_T$PYS&duF1DUaRuEive*DPA-s2IO?BL-! zW0rO5iX;mQ*ZdhVptB;tS?GTlkS9O@xw1I_zs|X`u<$%!VL3e;m{DNk9zR}p;y_yF zuq8FuID$}g>`i$@6)4iliNtK+R^xheU2{vlYkVo}AL(zQulfq#Pp z)WF+sz8$0QLCuZC2+R$}i4N00mRAMSN7|z!JPpMXK)a4I{E{*3w6J38%GF2##`Oa@^TF zDwIV02jk1xJ3oD$QDI9>E8gA^^PStXjn(KCqa|q`Wiz4>-@yB)DYH70zcz9vB@3gi z_k6da=JN%YC7Cp;N~gZ#&c2iHx<=t6emr&lI7*WiOUgUYE~}vrcQBSDRqU{fD66me z1!V^ex2b-DzVoO0($I5S37JHR4o;EpF85|JwM!C%!y~~U4f!w2ZZ^0{gSt`wP}tWx z&PJn3owisH#AokfMz$jK7u}VW<3oJBM+Q@uOs;sj7(kwe?*z~0>;~6tePflI(>5s3 zK6gy$$3 zBE8LiVU@g`1dY>#V-mC;?zkT1PN8m-oT&t+hird_?Ed9zY*@1+f`pzpFB&hp?AxU; zt<&tkACgmfx)XfGAX}#kV-Z@fi!+Ke5;q|oqsA>_0{UXZ_%n+GQ8H|9u8}!fCA=p3 zRIzUS0#3W$c2qG(clPY9{^KRm8ryGtjJA(1SV^_*UF%moBA4NmydDy3lBmxEg%RcM z6|`zlr8>L222Xdrr5!o{O8q4C8FVn>sBB6LdWTc?=CbAKQ{o2k?MC4639E~H#_GOp zAaRjOq>F2wM{M(S@3p~?M@rE9rMKewKRK%^OiCT^TWc~iP8KYT!0TB|wlIuS);Nj< zeic^9q*~snxy_x1{8ju4Jc;28*s@rONV)6%`rbp@M>P|Bei>sG=Qd*po3|&9{tVvg zwGunx*UPL3gW!cD^3%h}VWt4IJ8Uc^0^B?6+TOAfbts-PK8D`nA^C z@bv;8oXbe=<)8$`$FMJLr4NvT4UJ*Ow7WBJ1@cXlifED{MPEKn6+NDkwb@+qH}Svx zj&He;>)fX8jL;(%G86Z~xzs5A)#d-u37H7c7fRT?$&e^UgwRPnw_e4q@o|N_C`g}4EURhZ2=$ty%kU23$2x*L7T$-)kuLKgKmZt=q zFTTCgGhAR?y3ncONmW7g1w7D9RTJd>Lw_zRTl`24$-(_h?4!hm8 zgp*5);Yn4on$IF{9`Lz*XS*ZTRR`LGXmA$88zd$$9OBd-kR+UK?Nojei zjeNQ>JjN&DNHybgvEl2?<$N^4me$X9!FG9jDdKjdz(pGxIz5Mz-L=YowB3fbVnge& zp&@achrFs6B}Y*mMq6q}>WyRc)8o=mv1w2t=_z|ohQ%;^1^3DdHy%e8GZU6-(|B!V zA8&Q;wG}Ob%mKeDUniJJa`0nw_lsC0bEJ?tga>&1h@yR`I43VhOmNNfeHjgYsai2Q zFEZZhU~A)1`dO7Swy;>^*~H~LBBS{tZAqh`i2G&RPuk5M#S0#C+QwU_WlqYo4)7(0 zkzbs~%@vt?d`QcEnI1HR8s&3LNHOG@x#7bob@jX!NUiw(Noj^*Ql1Y(O$OC6$0Z~t z`P)Fy6Q&sjUFo$~Y{OvI-1zHHBYyBcVV81=!uLOEq$PNIMxjRATWf(WtXi|Nc5l|M!XN3WcAVXR`Q9Sx zLsa~7tLb2?X&*QEfqbxEG;ILgYU)3o_p;U0y4CdVbRN&Ym)uuc!tth4n$|m=f=!PL zV^wr5AN_Wf?XeQR@1NHBW$-V@#tR|LjrR1ya$%fKvx2%~t>s4I>AL5S1$rLJDlW#~ z+z{6&_$^Yo6|1J5TxVvM3m&eD(~+9d@5r1l`s$XhPVdyq%e7OKJ{KhE2y>Mc_`pla zOS+Pb>VT1kZ?!&X)TRss{m^=hfb~q6ztH}5BoKG`oFw$MGEvO!WU!n?{&|80arecu zj6$1S!a~*BNxe0)ea{<3m6X0#-VU@|1iq%#j=dbA90z%~C81UEP5|;QOS57@;Kj(p z;r5x-M0xoZHqeva?_xLQmnU)vf}P|Lwc50Bak%-ln09O7&p8Kr52seX_vh;$NM2sQ z;p(DXB-4=4)}#O*@v67^L)b@$wgu`0(aW>$)wEYOE!MQhiWj$uL|4-S*_uc{L%ozB zpx+bJH~JOo+0NB5Os73&t>YmxstLozdQe*W>k7pKzZAPX`$>Nrs;WUnNo-HOSaJ;s zh6Ui_4#gywYYB<=R~xr1*+X*=)^j2JaaZZQ^J5+iC9Z*auxN$=9aBNSk4-Y?oBZ28;T#;3_zx!N0 zu=cX57aM9Rmuhah{Ap#BGxD)Fru<%c@(IUt31JG^3QZyOARDh1rDWdD z+PFu2VM|YjSffj9LLwWw%!vz6j-7-Ob^= v{pT0H!2g{F-eQ3nFA0{GDiGCcy_UVoim&J9ln5WMRbL{_v9b7?aVz{6>&l4C literal 0 HcmV?d00001 diff --git a/zz-solutions/04-Lissajous/Board/ise/lissajous.xise b/zz-solutions/04-Lissajous/Board/ise/lissajous.xise new file mode 100644 index 0000000..076ab77 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/ise/lissajous.xise @@ -0,0 +1,331 @@ + + + +

+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/zz-solutions/04-Lissajous/Board/ise/lissajousGenerator_circuit.bit b/zz-solutions/04-Lissajous/Board/ise/lissajousGenerator_circuit.bit new file mode 100644 index 0000000000000000000000000000000000000000..117f66e7cb9427676b079e2b92d8071807916e10 GIT binary patch literal 283887 zcmeFa4RmE!btbsaeOJXqu2O12A76{l3PBfP5PTR&t36q!?H84I@?1fhD2laL2x zoPv;UHIUT~VY-j13J?hxCC2HlESph=F50L)gN9CKxnow(HFChl%A(TrB$??UBmXd+ zwX#+%0cM8fct!K=^Yh+)Pwz=jDn0$x-O|1L?0xpx=bU|h?tN$9b1!x7S+IXZ;{9~= zBOm(M$KLtZ$$vv1r0_j@ z5iot}%>4;zK|~#iC^0T2LINNCK_XHiz9}C{C}lo5xz2<*zD$dR6pf1BKVaUr24zYg zZ|gd2_rmXtHjvxB8FoVX+eVkGMM&{}^*Q?OZH?X zgyu56PNZ(t`&X=Kq*oNFD^Q!Rmady9XV$lXuFqbPTbr(yUQt0VkXs@7RVQqH^Rz!9=Qds7YoEB ztE;N3NlO_A9g(g?Iu2Dh_0l!X^J=216xuDqR&%RFb^?(!szg)u4yh@zMtLmd*Qo34 zG9ooxvfQPqw>hWmhE)PTE?uE6FAFr*oqdYbcC%~ zy6dA%>iVc=Udyd+fyKoqp0Ec$3&n7Cn9p49#l`QYE0zEL3>=?3d6LPKCy&p0_L=GX z?>|0wW;(0K>(BDGPq-DAHP)Gs7#K5$4_lk8oL`SPcEd4o?7-J?EQ3FvwK3*G2Fs4zxjlwg?{en0b!g&3e49TAWe0^@B~i2AUb` zUIx!%&T+*T7w4w^+{1B;i$9rKT>Sn7hn6$U!k+0fmo818nLaZ+J9m8U_$2`2%a^Cm zT)u4a?ChmWtcStoRgIU^zfI#b(Hfpkd4{ME(%VDLkH!X9Ds`8NsLs2)PpS_xiL=mk@*fV_TN&iI;iZq>x-&P30c z^YTS3aI`rAo5dUuDJ~|Q78syu(BPB~8{Ft5T|`~1h}hE4JpI(u7oL6&N}q#X;AgTe z?G#*Z$Xr{rsdY>tNg^p}(mdX%$Uf5-I-4|k8FJD!sO%0Zpv8HNMIx{(Q@Td-x+TYn zI@vI6@5GLaB52b|i(}LWcK33|xseWu!9edBYljqYz%~bNC#(Sm`U{{UYD-T67f#>= zIB5~#q2e6a0*VTlDwG&&qv(swn5Ul&!KF}<>;DO}8^K=44qem-$6tg*4;>UDrlEp7 zc$DsZWGK9F@Qx`GL!~g=j*&Ay21O3W0wGN>#rT7F0G)~LfN(PeN#Zr-C zC6eeAje;cVkKe^)L|O@>hbaagF*#%`5T}K4Lkb-{Vfn)L)2Fn(B4>mf1_OPc=+H27 zaYhjJ^2h-;tJMXxN{7A$)X#Z+rkT;e4mZ?#y+oMn?t-ap-;C|RXadE3n%;d++sb`b zXuk2$)TWKuhua=x+{5X)8K_-TJlGZ>gn?#Yx5J*c-0gnWt^$#(9Za$W6gV1(ip^b# zA~1ABDj28NP!t_P6e;w~E*c5JCv}QB5m}XiV59Pk6Jl~fAOwm3hz$+tz(gYuL8W35 zF`;p>$K9>g5Qrm+MsbyfUIm6k-b?_#2x$gJCS*y&+m5HOnLXBCfG>aS8R((PhL0&V#_WU#z$m7Vehi7Rt|Z#uH3D`tIwsIPN5zPW zcP9`yir)xAj45LP5#3FnGW!zqMwnszP3 zZ5T6@?2Y&GIPgV8G_%dMgK-87AGF7rsI3{)rdYma&6d$OT3Np6uarS#s~(uy2!<|l z$Mv`@k8UhM$Tr4-4ZE(*{6UU$hn>x`XVZMP2vK=hK-xgj4I7U=-?cqR(+4W-GF{8h zh_p6nt*;tovc%X{(04J9D>FpV84D9RL^-)~7^nh5i;IUaySD?&2$ZIa+;f5TArj+c zv96@Zgv{JyY115;C=Pm_$3EKA9lflA)ixk9hiCNJpAn0uYQn2&z}9(Ls$3OzvrL7y4N1h8@Rf+vi5&< z_@$R#c%jw`KA!m;ZWgk7I8BybDg4SStCL?zUvu$EmDuZU{=~y?x%m^1^6})&pE$rr zhBkcA%rpEsHpFHr3^$*FwiZ1ixPkqa&VOnAn#Y`flQHvw%RWuk z?+?ynwfQw$u638Iy$G!$gT=*Hi*|lspCfx5EoT3v^E6nG;U)$6AaCVz0^j2B z9S*W1PSI)B7eE4?K%2x8kceo>x{#x8&BLQJ%Q(;(XR&VrZ1Z z%6#MxtDziRYK_+&Ssc}1&yT&_iy>0#-sm$1i!%SPq2p-W=n13Wt=1cJcFjP!T*HvY zQjs`fuynrC=rhAM|A&q+4rFSS3K~}|$C)+@q$7cOaw`a_$i@a zsD>Z}#|P+~@Feh(Xxbab7>05x2*b%p?^kUh$yn;W;UOLXap6=Ho<|TGvEHF{%7sR$ zn}enYW^?M+C?y3Fb_b*KAQg0X5R1MOg~>k9?>j>Ao*%E@Z4&?|)G3h^5d-NAWC zn(q)8@95thLKn2BfzekB`XKnp7>^6tQDpkQ`Tve+*Hrh*@@YNzS^ePH;79s~gx(Vm zQLhfEo#3*-KHWgOr&0IUb}6S6RkM zsN$s5rVxCqd$6JpVTQL_wCWp8HJx=4ucvuTLxn2`nP$v1_ywjP z6RQ1u(uwN@=$8;LzS*sQ5K}?iegM;#4hk1>?W8VU&_Bz~O7stjM^i#=0@FGT%~R&N zh#MRGnMW~Tf|Tz%73QnH3D(g@$McTO!k=5CL)X(E&@_d@EnE`;vY&eB)D{; zl%!A=!{PGsBxeu@w;_~_AJP<@2WM15WyYiSkD27VajzQDA73WKb=tvE5sNkf?})NwirWC1jr&DvKGR7u}nY5LrIKt&CAyUM=$D;!cQVm?}HNYB^ts$osk|-0e zTx&S0oJPSW49c8CX)zvwFm%QkMPrvbwc=+)yIF~Cb(;?JiGcu?H364Bt_sS?WpgzG zn3@!F*ZshTQ8`)1o!5}%b9gD=_a@t?D)OG6+*HYArklR6d<)C<2P@4Yk!hihq$1CQ66${+?* zIh+TUT3~T;>2ne+H9hy_gqOzL)6e0bOz|E}_Js2O%S)FoU*=tz)wJhl{gc_S*O}vu zwSY4BLFR^aV>8ZkD_bBnq3i0lrCj%B06B;L^(tOv%shI{u8Yj>OGlS_IuE7E& z-}S3>L~K}$oDg14ZYCD8ICZp&gf#s9jB{wh0x+VgCx+($@KL>Tj2Q>8J+Dp+@E_ng z2}?O+*m3}Wsio2L4P64}rYV(^bHKUFs(3l!EWoS%OQ22v68xCT^Ua_rf#PMqg*_^1 zLmT}N+weo|<$rmP!qYJ^Eg+s9J&YMVw$ycUhNXKK z{I3OkGLP4yqqS*_d8@Km%5moRQf@4aS(;sEma`kiN60*pWjO3XV|NZXJc2qq@c~z;wbaV}rwf9KhhH zebv(Z#MR}rJcNxnVOwQN; z;Y#gZKZOYCQ)Dq7+{lpar!#~LX5v{rg$n9@bJ|9;PgHW&Oe-7~yqrnrnL7k8F22%b z_eDMaIKKyy-%0yI|J4_K^%fWZ>aTpb5oUjb^*HcbA7^Ln+aNi_?|!s1g$qso(og3kw&YnV$Cf{{H{Du<(uVR%p*(KP=Zz)v7clkgh&@ z!Ur-vmgANOeitpjhc^2z+DsUJw_~`es_gCb+BhG7Ft0jaOJ{jmS+8SVG0(_b8JwTf z`8Q#2yC2hG_I>W|!8>VzO&l<6UnS$ypgopCPdHL;cOUk+R=N?tR&Ld_o#dNNwlMvQ zeWtKZ3f_y!>>sBKc(-h2za`Hzwc>uRSzP4(ny-vj_G-RruAqyHJV)`~P2PdYZ@A{; zuHl9Lv;}f$2XSugpXL(tcG~9-1$bI`W){g?Y*^Jg2#;f9m>Qb@lFOi zE5xm?q8LUF8XMdO_!bY7@kNtkqzw}EyGIbvm76dpA5EJ0?Q)XM7IbQ|f6ZM^4R-#DmLU+|t$fQ+3z8{tY4 zguDV(#Vr%c$9qmK?fIL4749Y*Je8R#4L0I+iE}l@-k%XL!8RB|@~Ub3dC<}L9qptL z_|TVelGuY;Xb-VMhdBYisc!Po>%e>O@ z03FdqEUv4;sK63$jdz*JUc|d3rC>yE#^OlxV#oq7L@7hD@u?uWt@?N$D0Z~K($dE9 z8JmDYBTomGt5qez=7qQ_48{sJ*x(!uvwOfn$hB6aRXWI=r*NQKBOaGcX3%JWlMc+H z(rINppIAxdPN{H?6v5{|F3Sd0tT{qQW;|hZBaj6d&_E|9{SRmwz>D@6WS3pZeGd~S zc2TfDb5XF<8$WWeMc@Y2s35(FFy#!ZMXV(=slvB0AxORWN7mjQFt^gDj?Np84$+VSDen^oF~kq?i65>VWSEdqB}6-iFQ0j5*zW zWpWYFF=*eZmqdj&(^j_Nl)ytl(L5!CvxHnM1QK}^s9p2w_Pph7?%7QcD;46NiU2w~ zQHBPE-(h>OWs1S;!|0LJ{S7KHlj9j1h?&DpQHt9wAdp6t!#x-Kiw#@pAD8*b-7o(!>$kM6jKGQp3Q8LW|)6jk@3moxt^6 zxF|;0BFG3QgNal>a?R*)>I!H)1eh{5=Z#WkO{Hk5dtz^M%A(luZ?lDU|L zxfj;RzH2^NrNyZ6YLVGL- zG)4sXH8lZo1q_D6l60Al-hs)#)jjkFSS0O$O>NW@qAEut~9{30uL@nky!oQ(YG0GyrMN?gYY2 z8O*FX%*~19GY*5fGuvl0j9n4qR08{uVWtmXep{4M@{Hs`r%yPqk`TQ2;ysh>=7{41jtf2Zq}@BDjheV9)quRh_^ z{(Jp*&-n0W;OG9<`Z;U!{`6uie40sZHVtT&^G8;s+4MF|=fDFd;BnSZSnEH`=CaY@ zY+15-H{xeyRvixHs*|jgKs2e6eS=y5VCTTdN~(8eyuD(ssct+s)k~OtmSJJK;?t~^ z<@qK%=RE%S*QRP^nxz+CwLZ{h<#)PVYHlrg^=K)e$rW>fM{&(ffz359FmD@@3fKVn zV>Yz$XQ55`1L{&4pB7Arx7Wz3B^jT?5fk8vl_F)r z=5)dZZ!`FrAbcymRCpKr07ximkMVLke!nn95|R>u=Aey#T2O;1T#~`guh0Dfx||J^b0A5 z5ibISp=+MK9|T3_^>m-&P*dP?Yf82$A@-xzy)UcNE=?(12O*)+`D6Z>41<-IsFl?W zZUeC6KviPfd10>ydowj~aY%gn=Z5BYwTlHh$*VHs30D5bIEwiF*sG}T0;gF|=tDj0 z%tge{6qM_~o*AyJ3l9Yvq1W~Vq;K-_Fx!oo%l(3>XD@?&wYs_I{#+tH-TT!C+HN69 z@kvsoB=w&Bz$B-SXI9k)%VfExsCHF9*^PbZ80$Xj>gQEA8^?@&5;Q>>mL_nIUKt7Z zajuBYYZ02a%y!}>2hkfS>}ONs5`$qDMtDnYKN=f6kjn$QDee#;Gih=@jgJwI@<_!$ ziX{htHDdWNP%gA*I1D{iA$YL!1wG7i{eR8qx=zf z2gUt7Rz^{?8B9S4M$uGpcd?j!67&o<`V}9EN2wTh#BqgqcfpDkw`SgzrUUPAH*041Cu^r@Jwuwd2u;^^kK$^ z;wUyTC~48?;C+b*>}Fy=PC%OqC=Dhn4KvqpfR9M@QluLVLj;Dj z7zBy#9LHBs*-3sVD4`>oyW0g$XF(%9=0FgY`%ew|B6$C;$$2*&jieQ(XpE9R2(y*> zK+u54p5g&i;n@)9R0Y;OYWmGa%|V&`tE`&gW295YZz-gSD|4zOErCwTPc=3M)i`QN zj9{}`;6C8`fisnlsYGNrs|!jBeS&OX^Sq70xcHR8%(=Eqk~@#{TNW{?7qyWpdoo=b zWwB-iU*P&x!{x_%xrBV6x1bzllW~-du%X81CQG%2e3^Kw3aTSBRpM%A=}eRlawQks2-=5E}X2z&#Hdi1!0c3oaPR#Pxt<=|`XnKylm-XM-*sR(w=!(yh)36VL z{g~``$nMQ-R$r^+{`U{p_h+tuesYy66Ug(icBu6SGHrQBRc+kbbGi1E8&;N}IeWhD z=65{&cQ?P|(VAoRb0xm5`6Rxp=?{Ek6W>8K^rPT$`18$LkN0C@4`y~BCWk%ku=x&B zvnsc=+#*c_=H?b@0l;{AdXdhY$@(pusQ}BLndUv*yr9yjB6Y8VBx4;#H(-iKul78Bk;*)kr zZ+Q5Qrg#R5_8lgXu0kP6CtQmQt5MQWw!dM_rbH<7Thu(^39E$yG=4Cc9^HI-jJ-`d zq|rExKK#=17_23ZAMlz6P>hGp6F15)t;t1A5Ri|S9bzyQ-f&JI8fLoU`Bvs{p$m2Kp2u){R`S0?RHjO*TG9sE_;9=7QdF-Ap`fOl^4LM#no`kFDDMCd>-Xtw{k z5=r6Hp5!e3F|ZwiBX;;u&)WV$UG1RWRA>0(KW_>#_ zGkE|9wE5%bU;y^qRAwy@Dx1Dyh!*6soXSl7Pe~T!slp#juL#+>g(ng|mO_2*Qn_U~ zr}Q$BYmds7f9+bfSW4cDIX6AGz{N_ZU!DH`#KPRw{>FQzeDN0-Urj3E@1`6EuiQ_4 z-1ftA!;8#5!tB0G4tsj}!jzi;Vm|Eg@+r4=tlZS%`MsFg{h6Cupe>yFD_!6DPAiJ{ z%BcNb?@Pm1Us_4OeHX1Q2fr(u<(aoqx;(zV1qvbkDq`?6x4@H$E`;LN`jR=f6&4VD zr=baN|HIv$#)?0Cf^;BTkyoy>fdx`54^e^W8H|HxAd~hBeEBkS7u+CS?D)K!&_bwP zAV;gSfHn(&coQ0WzrY#vsYvpZ*egOTS;U+;u*zSHHp%up8(-403qz}`mkM!DuZz^) z``bwP4!Y?JyN}Sjn|pg}JnZrcoILBg4#3P3jo+!cY6~cOD}`x06)qxa;UI|=7ldGN zB|RkwRF*C=F@@<$N%Htc78q>j3H=rq{kwc=rou@+FAEBZBJnoJ@Qx?*fji*h$g+uc zN@S=|6BuBfKqT<82wVliJ>yT{<+Ajnv!5Fzx$;~jQ@7f5iuZ{EgpLfpUQR`|ZZ(zg zyA&p&uDkdcE5!qNA7#7}m~L>pks6^kcO3}LOu16h?HXS*Iq2r=!6_jwO$r43D3Tlm zurW0Uq}hXssT~0eCcr23aG`)16zmM00uLo=&9rkVaR9efCcT8f`T*21ScJWp95BbG z@5|I&E(3`VtQpUklw2=kOyie8kUb4uUG_;K?9Jp@fN_~}pvuUZyP-sCt-JN^1PEed zNTA0!fq8(;1Xgvj<#OFZ`WGAeMp>1rYZk7VYhBS?4~F*fhBcVZiHA=gB^<~6Q5+>tfP*Inz}eBjW(BC~-e{SP&upV| z3cQ)Z&|q0yrhO0h*ehJw1!q&#rt|67j%7Bf$`YFxcGblG?bQ^*l)gNk0yBSedc&tO z?HU*z9Z(jp|H=p!v5@#ctFdf#2F>XAHiB#rG?Rd3eCPDo8@Eh1P?X86frMkr<)g1D z)(=>(q?Y#losJh5uMRIRejnekdo{uL?-uyGb~gNK5#QJZeYKzYYzGc+UwdrWh+cz< zxNOZFta>ZgV}+^*as`34N5AgoyB@|qOWtdl-FtZ;OCxOdXfp3fw?C74zj*WAt2tJ_ zc(1h@*hgBk7d5*#b$#+JthI0}r<-}_ADnsQxIZ|=e~%ouJWG4(TMvEZ;jcXW>{&j4 z>!D}QKL7mHy;t}0*@o)jtjucnhpt?DD}g!B>_(lQURdD0sB?3?FV%*or;i_RRld!Z zZ)G`N;NfdHW3!JF_>J1qM;+$PZXADu!+RZ;+VTe+?su2~EYFv2#zwzt(OjfgC_nOz zX)A->cPYyII4$k>b@r~n_wiod>siW&p#Ur0ZW*Cfov@5$!1$t5(t(+4{6LT3KAL|#a+$Fb8~5o zdc>g4JPong8MIK~LSHLvVcUQ%3hZGIOzzA<{>otF%WbrkA>rc9?^20CLr8qgkG%%K zpgTe1eYL0n)4S5{=;YW0^ak)HvN9l6C3Y#hBw7O-zp_q{3U()$JV?F9yX;7v)v z(99n~Jtj(6*@H{w4GH)2l#a?kv1zdu7njH^V0f%S24+Fy3PSU|7o`1s#m5O=iy*Uz z!uf6s=%%UtT<#)G4KkjfDWCvL)KdeFZyb^n_{Jg+YvwW5xJl~67{KVKKOn8)G@#dx zzX9)5Al{me7NT)Qf;UT>iQobnOF_0(z~w^6z@ZH0rAE#eoUMIRgfi-O>>V!Q)rewY zH}8*(+EtLGT%!~Ve-Y_6Esjc#*C(*AQqO}qRALj4#US+4?FzNrPm?G9F|QN~y7`N6 z4M6_Hjh)GSho-jQkIcSKXf%xh+zPO5fbm%cjxJR(w;Z7gT7Ki-;G3{~S^dx0e;H7x zei_B=Op9+lw+sAK=zH+4#vm=8oDWnQsnQ=WV1IX@ixK1$4}`P29V|@+=wSSjJ$)*` z82OC8`&=MT^#1q@_i)76WUH2_c4G%xu7kOd%5u#*|^M?Idk7 zht{TJy;EtUFmCcqw|uGPMzWt3m9SwEy$Tp0LyxCG;R>99K0yPN$U{gI^4k+Qg5`_h zs7*IPCs+fN7IDAI@g7f=^^P&Sl^|QGe091jBLL|{Ijs#jntMD0aBL+!?J4uPsgbZ8 zduH8Ml4+lYvDfIi9KSZGD*xJ4Y^R%y%iRoaNdxt^p3J zbp%UAz2K^Fc`{p7s^*0&eW>{~tCJLJb@Hpbh34|tztgDHtP)yfNt}3n{cF=zFIB7c z*UPh|yv4;Qp5P4p-lvvkmMd>y0o?c)@VJ3k&fYN==n?G?|46hy+TdvQwWOgPzk?m! z4I^6+vAFn?sl~;|A7|Bzi(i{+K^DuXeBZzLjW>Vt$N%WLci;arKRwa=z`wn1_Cv4v z$i83xOLg)$X=eY{_gyYiwLB`fKyHCmSwKRXf7!L+;4tIO0r&fIb286!t!DvMkGU~C zjcl&I*ddugr8*CCx=2OXF&wLFDU{h}?4;gvpuro(uAR-SgD9yTRH?01qG-0-OE^EXjh$j@-hidK_%wg4Wo!Vqwz;D7c*ic(SzMu_Lz=dZo+y z1L~D|QG9J`;fHg7wkW3m9pkw_v)I#|hWS|;&+{^jnYSqB{*3YVf@DLAyWBfu{X1qG zVJ={8+;Y4PsnEJ+BG*-0R#~T0?Y?VyAp0%LVW%iXO(7iL9dO>_Qv){-$%)8A}Fv@(Fr~v*j^Z6~cI2Q~R5c3%zpTiOhDCVe$cppd> zl!+IKAZD;+f~2F(yrg+G4-0L;Ig~9h;zBXCa|rfx zAV?muL!&DIOF!TJ2!(}%vFiT6V90~?R6Dl#J@k4?v6fVtJc#t{&^Z_{?1`w5M)3l4 z6;g^91pServ91g}W3$-k#cp4#EsZrrkHcuENJwlnC@A!ASPPd@(BP}Fo(>v18@KB? zjD~{3InmSo_z+zwioLfy{$`O5>b3{Jfz}w*cj$?sP`^R{DYk!vn$G_~KN5pJFKKcp zyzrqhGFOC^-_c3e7qC?{JW)K?XjgS$rpvQNDBC+D+~0({J&M|t)P%{UE07@;kOwgz z!G&lxh9FTF>k&olMFE7N_%IM(k_{g(4*qkdIWC843n=kv%qdZKidJ|7g(?*ZFfO_< z-cgM$XqZ+8&(8mT5S0X-o%+4Iz@qOJZXCaIqaHl_Z+{Q3v=o#U_RtF*zZ{(X)PwPS z+(-Pq&6jTKqq7s(BR)ohXZ3^Fr`$uO%(8!VF|JE$q+IKtA$oZ?sgO?S1-^7r(cyyu z=s@nFkA~_i@LR_EC+a-=FHg3qx2bebJQ1qXVSp+A9KO%^BT9q&C*K$Thz`>CuHgN^ z-CZaD@(OgE*rh*n!JBFCyaWv_=eLz83{f zSki=n?Hw-oZbLE^%?~nen2Abju^?`{5{oP6%rLAlw{3`R(iCWGKayo>>C*zUQJAj8 zvNZt?S)zQFF63iDdu3&rbr00-EbE@j8Wi#z-7M71{MyqFgO<9Y%No@@VNqdnNY?ao zOE(;6oTMJu_N&u$jRuJ?Zarn2$O}%drVUI%Gc}Z8@BzR>MGW?Ag7}TVP^a@r6Jbuq zjv2ZVvvQ~z{VQw9C2|Yo7RW7-TOhYUZh_naxdn0yqr)mQTc%Pml>9Z-bC)xj->&x~36;VH-W@omQbpghBTuaSkuoaB_lWweA* zj>!X67SL7HQesK`62{Ur*9FJ>63dls3Yow}iP@_cF&^eh~Ah$qnf!qSQ z1#%1I7RW7-TOhYUZh_naxdn0y(!dO|yPL#lY%j?$h zvg6vb32)63ktK{BXO+A{xdn0yq;pd?iTR>LyXMz=G9?C7SaTZV;msL$p_1wYr zcs0p(Ah~(-u&!gxdb~PfIV-oo4z&P(J#*(&O>WDoEcgA*ZC9bD_uX%9%{m80Hnad6 zo!^F5ZjG7ocYD{EbWO?l3&LwkxN{R}e2xW21@NX4S)tZFr1Q^9RogTmem6|zuGqXO zMz^jFY<}BhlCCyYOR0S|9n*YL6FQbKAn6T!(koMDE85J8BY~Q%7t}&<1N*mY@V%GWG+%;yg zNy%%w$0ik{iEPmXV^w0J^5eOR&qQ2)EwGD$PRj;#94)KNr2#`de(CjaNT6y|_4W zb$Xg}p18V*V|tn~!_+HtbLp!#Y#8~eB^KzE3H5{zn7+%{ADme>+>*?5r9^Eby-(hJ z*MYa(eAlDEe3ZkE_xUYprtN-5kumBb;QsqBU79<7>C*I>=`)uv&mFg6%e#E}(j}J3 zB*}y8ZULDJ8c2y`8if7hj0+)OQ<)BN|0EUYWqwnvdx5Syg%=1*Z90(WLtql|1;)BJ zvUEV>e3j74{jR(2Ot$_N7Kd@44X zhXHy#j|IX=I7QN6K}t!_zaFfyNp+GaMAxNwo^&4bYquX4VBXVeo1#sal z(p!m!3X)1u`{o1DMgk6A;2(5uf){Sd4)= zTn7ANW?l~0Zh^czU%L&jRfC!RuT{x*Nxx|;EqPDh)Gl&$czTWt@M`i`f5mw5?}hmK z*XQOYt}ZO_{-{MUy@2E2xo{P(<#{>JXPR{~EQ-zC`y@>nw(806zx0?dtKKKcylXvt zy({`XX9IDtfqAVms~!vo$9Oq@4#9j}lXT7^uS+w;PZ($oO{{7Nu_i3_x&to~(Fgu(3I2*SV zZhA@_3w&Qz3O>nOnFhYa;X53DyTk8t*!)_6oVl_Co8JV$ALDp<8qA_bt$vQn!7Vvx zlIG@9S1cmfs?4`Is==NgufUJp$_BSD>)V;I9`}@mSy(!SefX$?0ISf24$CSOsGmdC z*vEUEiAQjl<*A&`D$36_7QnSiPwXef(+3t7svtlwHuH<-XN0jL3aG_C-eVt6B(g%1J;k7OR?q^L;r68>9=(l<9~gZ- z?R%cY`_(~;4~Y|JFB}vv{x$puvk@(zh0dm(NQbM-g@cCvwOPbg%Sm&wHFJP(T~|nCR^zdw82PkOzo_85RXsLJU#N}@OO$lOon>G(~KYZE!j?S zN8eEI#dC;%Y^YbiA&_(hcsKlL@lfy6=L{X{&qIDM^**gI$atvn9=Dwd@|vm@DM`0e z&=wK&#Af~wY-*_w3HWA${Sz?Y0Ok_35rw@}jBu5}F51BeSK8i-;%;C#FsX~>(0-3F zpW63+0P@T~0feF2If~?n*m-a_s&s3*_Ij1zgXB449#5!*rSKip_H8Q2s9WHfIi2p3 z`qaOgNY3gnk$O3zvr`Y!{Qvhwi*IuDO}&_d!2jocWB1?r1#U$XpSBl8L3fKYlYO93 zKoKCHCH{rAmwfA_R4B@axreP0bOgK1@1azD8nY6~B>2x?LbHhEg?XZTh)%uS`;n6! zS1^<8`D^M(Uk}*>xMaNk==`299cfoLB@5jLsBLop(S?IAN1-}>Z2qq$jQzsHOONb<~uyQq-d{4?%S=cus-v~SR2(Fkd28yJ4dE;!F7 znc0c{Y$24!#=8^Alg|thKVsAdQRxJ18!+M4iCj|BMo3wVh2hc>GfU&t6lExkVNSaMevnhOtZ%isea{$f6K;rj5$Us&)pL zd1F;o(Y4a11z1nL!wTDGa0x4qS|C(uz*@D}4_zMO^{cGvv#YqK8Cj3O-?+n`wvy!s zC#n)MQE8ARQI4@f35`^vvC>FqOZi&2RQ)o_O-m3BIBW(*S==)Xqw<7MB~+GN5~xbL zB-SyS5^9t<=UWT>IGLOKZu&~s^qlpJ=62b53$X9g`#d*3_vo~d~o=|2lFkNY=?~jnZh@tkry8T-j8Yb zW%4em99nOI^SF)Wn_2&E+HtnK##*bfzld93f;@-A*oKLN+hHHKMuBF70v%2dQ-7g9 zH_rxH06rNv7Pt)}Is^v z%@vf-E25AFu|n6A!e4;3>>OHe0gWYSb5}$PnejpsgAUAWWKV{ZpIT>u5uu@+ugN7` z3qn0iotpM)z8oii^n(;AhgMr4DQM0@@peFjl)8fbm|?ts2+X6VeK`7h06PP$drkS0 z8sIS4(Sz+*?|XsHEshGk|Jo?VRR zOlyP70ttpk$w`;sq(sCd9i{s(-il|v=p#vNb}Cal_}2xf7S>WEHOe!-%uKLG2qe#7 zRj{mdm1It=U;$By&jc$>AL1FR3YL|wlH59k+8RY^dIqoV=hf8KsLIYQ#p2@Jg0J25 z+=B5Vcsj=$Wr4~iV^jBAZd7%*a6at2^v4!1W}Ul*EnV6b3%9uV(o0_G$^Pl-C;Jx` z@^@T&i);qwcg_;-horI(>TO0DuJ1hUJ7>+i9y@MX8IA5Ak@*xW=1 zSBZ@)$M(!AboVulFn(!rw4n|F0#LDz|oxESjN-a(@Yy& z>Y33olcT{iaA<;_1jqAfbZpcX$>JIREl0zbR<4_N&%9^Mx-*^plJqX04X)lsWvACG zBRiYKxX9LOELAb8eh(ywD&e#wO;wWZL}*i3m|^|F&)3-hYM@NJtd^mT(U*xgQMK`` zmK$i>fwjKcV&z_QAJXM0@TYyX(`ah2HTpI==3$SX^epPwU6zm+z7O?v$1G2cc27A6(f$!tJyw_9VYm;NXP*FB~ zHh_;=?h}V+!77M-`xx&#d_jDVzjtY{i7(887KerT6z0CePjM{h3;VdEKXdp(VV_3e z@NhE>5D8EP?FE zgi=cxj3DIff}9dMR_N=XS?&-Cq`_13DP(vz6nHr-wZP=A)G&X#D~&mj!JcpML`a9C zQlOJU+9k#c3U{1+^v^!S?>^x4k+Y}O*)_frZJ;L3Un{g z;AcU{luC}5B&AYJ?l-@mqM!u3??WF~cz-hH3|vin8B=NZASRY!niuV*4%m8uZipj&Unq1J zCa9O5Mn?xt-=e*kYbJ-qU)&J=G*n4Jqv0Zr7hc~$3!y?Ayz#`sb!6C8R5c8AkzQ(p z?@~mzmc)+v)%Nk%DMImSog_h|8 z%(dY8p|tN7G_*z>8V3Kk(~=Z}_V1JR@`%czt_94y!!t2UXl$??Yqw-onmfG~|Xi zL8z(SsEbH*8uOh@Gy-397_1%>+^#3p`ht(RES6?vt+JxpC{u*7%*V z{u*#?WpH!WwJF)u)WP}~VwpO$dbVh_{$;ARHKV2%rWd$m-vO*=3E|hQ>hHDmdgitL zb>Vtxz~l9*QLVmOdcE?=_2d?~HVZ7wz4X%6;j6fqW!L~Pq(;u zb(nb-{GIPCF536ZdYK&O7RW7-TcFwkskAg!nhjV#jw6~zaYhnLe&$V4%#%2P1>+nV zSU@ShUzemVy8Cw3K=7K_@|sfb;KUDzc6Pj=m)^!poI!dmbuCpAYZHvjGLl>0BbsmP zsYv9ZvIPXXeu?Pg;-(mQaI74$h5%1I4oWrHk=o%LWh@Yi-(vR*jne>IP+=9sI24}B(pZgz$T%W17-F59hee<{*3Yj z;T-B%pk#fH{7uVI^DqjmT+P>^2wtbTfnqIkrg>OH7&uI{RcpXV}h}9aB>v$3_q~3ygM_88Vi(PLLHAbc!|LbQb-)uh-RxF zJ2IIZlkCZ47zIjzXK*C=>jUlDK*c4F;MlSf6V6W!EKq+O)ChYgsAF5#WmYCy;#%{* z9kk7M1>1`@rS#r<&1Sw@`DXQQx}4th5;R=^{#BO4`dVPe_UrXEQwz26cQ5%pvObH- z`(v5U?~;9W6X!`^s-2G6#91?YI*WI@4f6Ws7O)oJ=OgSsOZzR%3Vn5WH8$e+V6R3# zm(4AZTOhYU#sXEpdvztEieF*pp%z#`W_4!PGphJmnLNDC77*J0_&sM{VW;VwvOnC; z?XvuWZaTwE`!$^=7c>@#tsNMJ5gx9_9K@Rqs((2c#tJ93LVO-w#{%4O1C5hy29R(C zD7@+suZq18xdBlpUCvrR3(T8|CN7B-+Yj8nC}Ql!x^rIg6N!?(FC`PX$0Yp{S& z?V6L}!;1#qtJ?WO5WW9GaU3{#zcTD*PMWu1Ei@x}oyuUkS#q-(Tx5q#7;5zUDAroU z*!nGd#IUi^D29d_ISyvM~mtfwI$3Vn=I?AjD}#~(TEZ_ zkumMyzu2Rq(~YS}tV1ER6ZvmW)?(_yTg;=3u3At`zTc=?U@0 zqZOe+xe6;L+%y3(pqAz>sQ2q4c(mSe417KicL4bFOA}XfdPHyo%lZRpOgMvC-|n7p zqzU^v-EAYie|Pgc9&L2|*3Iu&di%S@+ThjVD_!{RE{?BF@z}+CVlgj$e`0a*i6^+0 z-=CO1GkxYV;L;_FEA-rP<}!bFmT|Skmr}>)j?dkHzdi1~_kI9}8BU&L`uNt;a2fBK$6EVrTG>Jd+xa`<_2sjSdOP9nyJ%=bAZ!ZJnq9qxCSHU!L9IyA{^&1~{o6|9hcrE;2n zr2XoJq3UY%dID}S{F*#(u>W4C|9+?cZYRDpwn31tB_Bn8-j(P>(4cXoZnlhtc`Xz; zF+SR5A@%`>9SWMfsZ61TkA^U7W1gm`D>96~!hm(Gqq{XPD+*giprv)Fv> zvn=1@0G88Mp96{y2Z1p^BP`e9eZ4#%8J1*>pbDxD>4*lRFRAVP_bgQUu|NEU~VvsB0Wb0Slm)$08pIaQlY^`Q%VDHsL8-^0YG z7e`$2wO!G9Ubi2MWE|b2BYt^o@x5C4j{qvr&A?A9n{*C3dB0lKg{DV*OFQA_!Sx~yit`-rKW7bAemLT)5`Q~d>j*O3g+&3ENglo~Ckwds@;jIdRt80DU+I2;&D!o5IoI}&5~ zU^x_cCD5eg1cd%I4wlw9SQoHuN;9DlmB63E(HI1pfTWMNIlJPa5~i5I95O9xaLZ4^ zE-$Y(AFhh3Buek288Hd^{d$nO3EmwGZ!#VIwq{A5_QlvPO@H`hj>T|DQN<2?(=jDc zTDiZ$R(*qJ?wg0EHhiyh9`hV9tUf#sjPKVzfi~9ML^v%2T&nVPWZR&yjQGYx{mojk zsd_8;9o%K~F~RT2Lu3_Js`~Q6B@k`HYIu_7K1c`@4$jaa#Ej-)Z3%p zs2JUihb%%o_!9EB9ic56u^C0t1SdpWvOH-TVMG(qXaN(S3Gg#BdK}*pJ1W||0T*!Ijp#ixx1V>x8%?>1^y@W;^M93(v9nvc^3 zmcwQ*CiF=;1tqXh3Gsa(Iv+3UNA6a4vph_#9*bmDeC+NKe%z%PqlHS~Pn|19r4P~m zDKrn{%9ffMvq3*Kb9)8Uj+|kABNuXazyZ1w?O{m5M8j+E@cKA7KVh8>|dH5&*LS$YAgo;|LV-R|<_NI80XouGnG_ z7A{h!Mr#RiI-)n6melz+CuZc)xMhjT?wwf>{Pz9W0gNo+zth_W$dg&c@f71wWu_}O32{BuoY@uwtJ6o|T*2WVv@4S3z_R?41J9~+7{e!vBzUiy) z<-^Ka>e)9vd-mD0-+Jg<4>A7A!_S`m>Ic92!K-`u@A>ELkqz;hzJ*uHSAM3s)-Iw7 zWvx*a;fTwZX907^+5f;^i0Lzo`OG{Udj7fTY1Z?{AGSEF^Xn%nJXV>FYaC7*N3AjD zbvJ+F;kVrUiAR?^p1k=J2WsLEI3Dx;VRSrs^Cu7dV*LZt!%-z9*P&96ivJOD@Tq2x zY?ZQnAMO#yZa5~6!Jl>vzPK`mZ>~(kuV($09%pq{^BVXrvk5p{khPr6qu~d9%guM8 zE$)Kt?%Zn7|bP2Q*ZB>bBT za20-kg6qb##rNNTX8PWH&rF{@d1l&rBiSE$@+61POtYub+rn$~*!woEtkuJ*IKTZZoc z^DFQrK##fRdHn%XgSbx(sj4@58h1Wsl7jrLoD%%V794da0k*OEJ^lL==y(VopioqSOqDhQ#zfVF3|7`vqoq*eF64y@-37hZhk_Gi94|Gj6Qnx)||xI&5-D9|7T|=pvY5s3i~=2#7(O zv%#>cG?X?Y6hTWG9-oAEL1+8`izbe<;b;Ll7N)v`1u3wO%ow!A31d1$BVxV~ERY^y zIVs_9_@WHc+u}i?9t&KhD6xK}&Q&1-Fnwe0NB)CoJd(#{yY= z<`;e&hYUDP7iT93<||qN9vQ23~0C=zYxbd=x=tVCk97%DXg}mnOu7y zxRDT~_&QTSL!74$wXe3pT1>$|jCmu#FH`Yf8TZh9iY4PTNQx=iY?kHXq4Bg341Al0 zIv|m@(-EN$lKcoB8_`8d--eZlv_1ZT?i(y>h!3AsIA@?wfl%m|5fv06&vU>~Ts)T% zLXfW%3^5n>got8=Fn&E36MbRmJeCT(;9fW}A0hU9PuzVsQHuJ^<2K+tT5X=-QqnXg z(m0B{v?8U&!k+)R1nFPDQXtSLMAD@}ZUS`mbE&anH-K|My@e9`BzldbPlyt+m%Mjh ziMl9(r$)v>sHm?^LQc>fZS(}X8EGmGa@R~LOn6hEq&8^dsY2r1uMe?y+q7VUupr^o z294lnk;sG?L)*jv&RA>-;pJr30sd|t{`b3V z`%t(6@h-y5C=e|Ma6&nXK$`<2=;sBwhrfYIn0p%H=RbpZx{;24rtgUY`uX!WVaQK) zEBfbbv^}>|XCDUl=?{L%%XbdOl1C#iW2W<48<)(?WaSH|Q>& zuj2<6;1d*DoDkpTj;Ya@{0s~QupyxD6H1K*7=f-D7- zdW=Y`zoD)N#23(%@Ftuiq56r=oht+o>Ecxibqks&#I%)yV}biV+})ohp8pkw+|bK= zc;UV`z;{47Zj%AkbTxH2VizIzU$_>{U=6X-9El(bVq6|0hPxl= z>!m|@ZU8@G0>R^{xEGEGo)AMJ2=~z_X&9Oed?mO#E{t*^3}OU-%v3f`A;>8eepQ-~ zKUGtn+d4esRKsMpNT{HhpV@0`fftMcC9VdIo+DYjv70T5)nlaYrcP-Z$#mkWnJy-} zXS$1M5TdEC(+zD&J1d_F@KH=O-94NJ{xqJwXp)NEh-GL;!eVi%lYN%dZPr)Onju_r z7#rpeD#i`emoQTufFo}b_fS)anGD_?6TO-Kq=&CL385ua(AE}TreYfk!hm9%_UJLy z;8D00!3b+{@~k-asr61#0CNl%bcofi#`(3kZ^Ou<^u z=zxBSlZ=7KH>D9BBl=`ChQZq5=O$R#IY2p3fl(8@f)QEal|!KfSRartCmhnaM z{1Y1ABqTcN6q_6Ju(+A2ILU6XLIEKLzE{p-L->-EvE{mRxeC=4{(`@_zv8c)DcSJSJB z&o|OhPcQSbKFWIGEU%G{hWypcEe(}idxRKJ%PR5lJtBaOjlYq7jIgoYhlw!z8)0Wd zf4&ED8QSnOG|O`O^0i^P%(H&W<>b~Jhu_*;0altDd^~#;@4fdVfIXJS=RCIiDD8eq z>wC1jEZKW$y^)-XJ(=F4iS3>6P&QNdCps$gP&4w|QAQGJl$(#bfcqm|6@hCm?t7Q^ z9$Xf|U-5Q?hdz$cDxJ#^qgpCF?|5HU1o@cXdeAe#eBj}1{B{fT{X2eOH7s_&iO-nU z)VfZBM*JGhLV=-cn9Gpk3XwXjNL;i*uLs*!R;90^Xp4me?OU!y*x*Rg3Ghl}Kd-nF zrOc8JGwQgrG7eH_wp#qBGzdRMTUx%GWF^Z&S`({k=p90?R4p6tq$B|_Fl5ayD;OO= zyQJo5B4y5XuqLTH>x?5OUyD?^^?i&q0p&=K9l2eRT%k|GbK(Ij7$F^iv&)0lHl!b* zHj$L*99G=mchHggvPP4{5&dC=EzK9AV9G3OoK#N}Mithhc>=8Hv0Cb!$7l~f315ME z9~9zN6BG*NH#&XH4#ee)!60{QkseeUjya);berw(ny02hG>nCb618K|DLl<~fIO!S zPNZ1So9{^8@RCNGq_JyM{Hk6VU|-~8^jKkqmETY!9J0>>Sx{f5lYrcw&}<#}0co~I zLG7nBkhm!DDk@m$?wM2{SmBVh>-0LeoX(m3_%5E5wfpD`?W z4Il*nHQD>9L5kV%==$0YBjY?ZoNavK<>%!f(_M|E*)mgdDN0o0&8&*3)H}wwGbLIe z{nArxsSb@W4Bnwjn0roB_*n8IqBlx+3!o9V0zl`faK5IwxM9%$Q5p%1TUGlE2Q#-R z*zVN0@WxMRqp`?e(BdSzIOcq?tT7nF)R>b)Dz$e+(f!y_OWi!*|Nrfs&u<(_6~|xq zwC%RlHob`t0R>cXA{bB(!zx-Cv4;+9 zy5G#WiHG=C(zmJLdaEl1(FIFaa;c2^`wKYpwZDJ9@9phuVWs*KFO0r_|0a)LiGY#! zOyW||ys|h5KxE2pX3YO!#WyjDUd`~W%uD!)Nm@^Bf`ClSU*(G_eib^;vO;d9IBLm{ zOCvs>tfe({!~gNJ*kUQ0e$Q-RVZRd#W$`g0L_a0s$0?X(8>O~alJ{LaT+JW4y_vzz zo&>=`zf0KW?OQvY{Dc}qM(lC{yjj19Kn$W}xX{kVh*8HYX&TQWAPpR|v$M1mV>3G& z&n&Gka?q1zrQ!4qw9}k#%B6EO0pvKouIR{v6Ps zzk(QM{9@dA7if?NsD=$Flzzro%4p+BH0@+bxO3 z!nLrozAZ04T4vamz-9}?bBC$*89l~8kNjPBymvspv%6(5-k~f{*ZA&Z0@A&-S z0K(kzXZ%JY@i#`&%!nFcrZ}z0_7YN@Gd+zDf06ksvhw{*gHP1)Clci)#_|R&{i2*7 zOdA*Tm4Dr9`D zL;5fS=2+&uIZO-t)UEB~KY?yO2k~x?>Rj~C@BWuQ{j~bC948RZcy_tq*yFOvjr*SG zVLSaEnKo`D>|xuPrurQotR?f#D|pw4?|B|}?7t+mjeR@!i@{2+l@kZWIko zQyZ7J+-T2_;@M@vkEs)aQrSVE{9Inr9Q^cG0r{4P!5IWEgMSrLX{;z$W%9jLxu(yy z>4(f3r;Gk++n>I#;md>tAq=q)4u@t)_?mmDR^KOWOIE69yBY}*gMO70nzDYsgWh`> z;<^+%}qWI8dVMTv6j3=DP2z5a;7RBRT-zj7S2XN?;LaCv*8_0#reEE zjit)Al-@g8-ShA|Q#(~5?7JJyU@UWYiG3{Y$UFGuVaTNK)60$+_P%A0LxI8AYldHf z;fqBDsc?uKa<@m+%pvzet1AH|pahhF5>Nt4KnW-TC7=Y9fD%vwN>(?6i1zMhq*NOfl-vwN3 zEY9HTl=zmo3xDF5Yf%Z`pM+^wj1o4MO{e^|V!6555;Czoa8D9uC#lrfTcSCp(@I zRIm7I>v;A^dzl!4h1SHnp7M_IlRq<4jzEX61eAahPy$Lo2`B+2pahhF5>Nt4KnW-T zC7=Y9fD%vwNNt4KnW-T zC7=Y9fD%vwNNt4KnW-T zC7=Y9fD%vwNNt4KnW-T zC7=Y9fD%vwNh0&fD%vwN?-~IL{l)vV=}}u$y#zuc4caJNt4KnW-TC7=Y9fD#xhf%ZPV*$Mmi>0aGRKna{O0@?c*kg5G= z*swlxm;J+kHP8F64y*6lkG?X@KhN#c{LuQt>YXNg4L=43!hat&Cg+bI9@77G&-#{z zwm+=i>9)5isy*(8F`jL0)N0hO&C#!G?TFenq6h8nN87S49s?oiBZKB6B=)KmS`$zCkr%ot}Bl)R3 zH~w2Dr5L|$o)n3>FmEYIQj-E#NeVfZ@GFzIk&2CNk|}xfgkt{Py@-|*%l6_9&sY$b zjwntG;(F+PYJQ;1&=rv7VLQ!fk;}yPmRS}}CeOrdzL2=`PPa_9#`cu#s%Ue@mzUD0 zpL>+=I)ibFF|)MrlJ+=*lBv1EDeO;a{+;DiZ?E~$iN^Xj1Ob>fR^!&cV!F=RB8pbn zUeNMemJ`tI-=;{ib5zW6qD}ToQ1px2#*8PW9?+J8WF z(~@-h4itS7M{U;AAz$>vv_=wk*5%uxUdanS1F^XE-w4aL5F=J@Dt@fto#&3owUd+g z`*I2Klt2vCu`P1z4+&cnGZkk=%bCkrPWd)!xK)e=YORblx=)gbh_+$q+$5^O5M8)i z@3Q0S{V($gWCq6za`Dq=yyW4ZvviH^k^Nd*^j*3JbE9KdZ?ONNm2&hZ<>H?^PuDHQ z?T2t$ygGJs!$7}9s~eoZL95$P(;#M#K_>8R$vg;_sZQSoUTtBXWH%^U`zoEd(8}CkdndfPm<$28Shl-VmUVtM# zm*(@#h%cGR=Y)TXD{{e?Wm;jFIVB5eoTGsvNwTE4lVo)ZFZ^+yq!2!Vad~VK+2(nX zTI4JyEjMZUKAlS&X_}uyCtQ_vYU*o>Bn?FAcm2+MQ*}b`aSq zCE(yipTxH~;r|p&(q!i?dKU@@53b*7*2rJSOA5i-qsj)$EwDt*h8$&v->jOZw1%`u z!)sm)`0tMZ-(c{aEM4$2E=ggK;TPKt8Ei$0xDYKCgQSThFZEZ5)?%zowxb?<3Qii< zNX1CU1y2Ku+wuVdav6M)_}uL1~}joGb`V99VWN zg9FzZWkKV>^_nyTsgUoN3l@jc*%MmnwPOTwCTqPsN=3f#&9i1(xeUAT+(9aZdIcLHAs;9^YsJDd z>_H=VwJt%}f`|93Bf@|&Y}IprdKD$AI~T4r1}p1jv^L{ zxBX8;dxP4y&ky)2<)Vu=4E0x58liE8czyNW7LKsx!!@<>xZMpc)No^PaijKlX8TF| zlP3>5h*@?zPoDJrT<#G`_EEe0$xS>fY}?Mi+o3+|IRsEG;;Co5wDAaf=3#gY4ks!< z;zHXuyR}F3fbMmz#7@~Z4zc6tT|52T_cvw_V+&mQ8aKhGgyXFu@*eJ&P&f#P9i{3H=mBpdl_6 z`Yg`gL_TW8^dq*;+TtPd)RFcOD~${Be;Cs>n4|5ue#0VBiz9<9w}0Crf%dyC^wEi< zXPp0#qBf0F&iKIlIQ#7N^;w_tCk`8aSFgtQBsYlTmJ-*^t@@8X#@G&0*Q#5`xStH{Rn4n9c)KV7xSb=Gg$7pTR4cU+myGKv4& zRIop?nJa%|dG!CyLJsZc&b{8ux7Y)jahBX`m%~;fwY}Vg6BhmRYe4*Hf;IAG zctO*QrTj(bLGY75s3EJcfrSJ=m&ndHFrUa{n-+4gfTHE=s(d;r^UdGlplG@dnA}_g z_3>t;Nw1M@SQt{n!P4A_OFFsax{^+0!@co~d$O#Z*pL{K_bqy_;n|l1G*oLeU>k)D zEb9X_xmS(yq1tcC)qpP!{)FG}2$oQaW0maXqy0N82}zdH zKA{F0j`^677WqsO@TmdO-(ol|D$BfqJq;25g!=z63r$-yqAYe8KCQK}KJbW#H#xrh&(p Sm=1n(0sX`8d;8+x{QM6exY7#% literal 0 HcmV?d00001 diff --git a/zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhd._fpf b/zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhg._fpf b/zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhd._fpf b/zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhg._fpf b/zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Lissajous/hds/_lissajousgenerator._epf b/zz-solutions/04-Lissajous/Lissajous/hds/_lissajousgenerator._epf new file mode 100644 index 0000000..aa82986 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous/hds/_lissajousgenerator._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lissajous@generator/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/zz-solutions/04-Lissajous/Lissajous/hds/lissajous@generator/struct.bd b/zz-solutions/04-Lissajous/Lissajous/hds/lissajous@generator/struct.bd new file mode 100644 index 0000000..997ee19 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous/hds/lissajous@generator/struct.bd @@ -0,0 +1,4708 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_sinY" +duLibraryName "SplineInterpolator" +duName "sineGen" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 2053,0 +) +(Instance +name "I_sinX" +duLibraryName "SplineInterpolator" +duName "sineGen" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 2090,0 +) +(Instance +name "I_dacY" +duLibraryName "DigitalToAnalogConverter" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 2162,0 +) +(Instance +name "I_dacX" +duLibraryName "DigitalToAnalogConverter" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 2187,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds\\lissajous@generator\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds\\lissajous@generator\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds\\lissajous@generator" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds\\lissajousGenerator" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:47:09" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lissajous" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Lissajous" +) +(vvPair +variable "library_downstream_QuestaSimCompiler" +value "$HDS_PROJECT_DIR/../Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_SvAssistantInvoke" +value "$HDS_PROJECT_DIR/../Board/svassistant" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "lissajousGenerator" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds\\lissajous@generator\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds\\lissajousGenerator\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:47:09" +) +(vvPair +variable "unit" +value "lissajousGenerator" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "33000,30625,34500,31375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "34500,31000,35000,31000" +pts [ +"34500,31000" +"35000,31000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "28200,30300,32000,31700" +st "clock" +ju 2 +blo "32000,31500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,7800,9900,8800" +st "clock : std_ulogic" +) +) +*3 (PortIoOut +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "91500,30625,93000,31375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "91000,31000,91500,31000" +pts [ +"91000,31000" +"91500,31000" +] +) +] +) +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,30300,97800,31700" +st "yOut" +blo "94000,31500" +tm "WireNameMgr" +) +) +) +*4 (Grouping +uid 51,0 +optionalChildren [ +*5 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,73000,86000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,73500,69200,73500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "86000,69000,90000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "86200,69500,86200,69500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,71000,86000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,71500,69200,71500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,71000,69000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,71500,65200,71500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "86000,70000,106000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "86200,70200,100300,71400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*10 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "90000,69000,106000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "90200,69500,90200,69500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,69000,86000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "70350,69400,80650,70600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,72000,69000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,72500,65200,72500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,73000,69000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,73500,65200,73500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,72000,86000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,72500,69200,72500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "65000,69000,106000,74000" +) +oxt "14000,66000,55000,71000" +) +*15 (PortIoOut +uid 429,0 +shape (CompositeShape +uid 430,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 431,0 +sl 0 +ro 270 +xt "91500,20625,93000,21375" +) +(Line +uid 432,0 +sl 0 +ro 270 +xt "91000,21000,91500,21000" +pts [ +"91000,21000" +"91500,21000" +] +) +] +) +tg (WTG +uid 433,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 434,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,20300,102100,21700" +st "triggerOut" +blo "94000,21500" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 441,0 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 4,0 +) +declText (MLText +uid 442,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,9600,10400,10600" +st "triggerOut : std_ulogic" +) +) +*17 (HdlText +uid 443,0 +optionalChildren [ +*18 (EmbeddedText +uid 456,0 +commentText (CommentText +uid 457,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 458,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "68000,20000,82000,23000" +) +oxt "0,0,18000,5000" +text (MLText +uid 459,0 +va (VaSet +) +xt "68200,20200,82000,22600" +st " +triggerOut <= squareY(squareY'high); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 3000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 444,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "67000,19000,83000,24000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 445,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*19 (Text +uid 446,0 +va (VaSet +) +xt "67400,24000,70000,25200" +st "eb1" +blo "67400,25000" +tm "HdlTextNameMgr" +) +*20 (Text +uid 447,0 +va (VaSet +) +xt "67400,25000,68800,26200" +st "1" +blo "67400,26000" +tm "HdlTextNumberMgr" +) +] +) +) +*21 (Net +uid 476,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 6,0 +) +declText (MLText +uid 477,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,10500,10100,11500" +st "xOut : std_ulogic" +) +) +*22 (PortIoOut +uid 569,0 +shape (CompositeShape +uid 570,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 571,0 +sl 0 +ro 270 +xt "91500,52625,93000,53375" +) +(Line +uid 572,0 +sl 0 +ro 270 +xt "91000,53000,91500,53000" +pts [ +"91000,53000" +"91500,53000" +] +) +] +) +tg (WTG +uid 573,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 574,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,52300,97800,53700" +st "xOut" +blo "94000,53500" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 611,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 7,0 +) +declText (MLText +uid 612,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,11400,10100,12400" +st "yOut : std_ulogic" +) +) +*24 (Net +uid 617,0 +decl (Decl +n "sineX" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 9,0 +) +declText (MLText +uid 618,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,14100,24200,15100" +st "SIGNAL sineX : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*25 (HdlText +uid 1324,0 +optionalChildren [ +*26 (EmbeddedText +uid 1329,0 +commentText (CommentText +uid 1330,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1331,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "19000,46000,35000,50000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1332,0 +va (VaSet +) +xt "19200,46200,33100,49800" +st " +stepXUnsigned <= to_unsigned(stepX, stepXUnsigned'length); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1325,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "19000,45000,35000,51000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1326,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 1327,0 +va (VaSet +) +xt "19400,51000,22000,52200" +st "eb2" +blo "19400,52000" +tm "HdlTextNameMgr" +) +*28 (Text +uid 1328,0 +va (VaSet +) +xt "19400,52000,20800,53200" +st "2" +blo "19400,53000" +tm "HdlTextNumberMgr" +) +] +) +) +*29 (Net +uid 1631,0 +decl (Decl +n "sineY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 16,0 +) +declText (MLText +uid 1632,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,15000,24200,16000" +st "SIGNAL sineY : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*30 (HdlText +uid 1637,0 +optionalChildren [ +*31 (EmbeddedText +uid 1642,0 +commentText (CommentText +uid 1643,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1644,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "19000,24000,35000,28000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1645,0 +va (VaSet +) +xt "19200,24200,33100,27800" +st " +stepYUnsigned <= to_unsigned(stepY, stepYUnsigned'length); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1638,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "19000,23000,35000,29000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1639,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 1640,0 +va (VaSet +) +xt "19400,29000,22000,30200" +st "eb3" +blo "19400,30000" +tm "HdlTextNameMgr" +) +*33 (Text +uid 1641,0 +va (VaSet +) +xt "19400,30000,20800,31200" +st "3" +blo "19400,31000" +tm "HdlTextNumberMgr" +) +] +) +) +*34 (Net +uid 1652,0 +decl (Decl +n "squareY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 19,0 +) +declText (MLText +uid 1653,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,15900,24700,16900" +st "SIGNAL squareY : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*35 (SaComponent +uid 2053,0 +optionalChildren [ +*36 (CptPort +uid 2025,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2026,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,30625,43000,31375" +) +tg (CPTG +uid 2027,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2028,0 +va (VaSet +) +xt "44000,30400,47400,31600" +st "clock" +blo "44000,31400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*37 (CptPort +uid 2029,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2030,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,32625,43000,33375" +) +tg (CPTG +uid 2031,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2032,0 +va (VaSet +) +xt "44000,32400,47300,33600" +st "reset" +blo "44000,33400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*38 (CptPort +uid 2033,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2034,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,24625,59750,25375" +) +tg (CPTG +uid 2035,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2036,0 +va (VaSet +) +xt "52800,24400,58000,25600" +st "sawtooth" +ju 2 +blo "58000,25400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +*39 (CptPort +uid 2037,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2038,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,30625,59750,31375" +) +tg (CPTG +uid 2039,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2040,0 +va (VaSet +) +xt "55200,30400,58000,31600" +st "sine" +ju 2 +blo "58000,31400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 4,0 +) +) +) +*40 (CptPort +uid 2041,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2042,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,28625,59750,29375" +) +tg (CPTG +uid 2043,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2044,0 +va (VaSet +) +xt "53500,28400,58000,29600" +st "triangle" +ju 2 +blo "58000,29400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +) +*41 (CptPort +uid 2045,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2046,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,26625,59750,27375" +) +tg (CPTG +uid 2047,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2048,0 +va (VaSet +) +xt "53900,26400,58000,27600" +st "square" +ju 2 +blo "58000,27400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*42 (CptPort +uid 2049,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2050,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,24625,43000,25375" +) +tg (CPTG +uid 2051,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2052,0 +va (VaSet +) +xt "44000,24400,46900,25600" +st "step" +blo "44000,25400" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 2054,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,21000,59000,35000" +) +oxt "32000,16000,48000,30000" +ttg (MlTextGroup +uid 2055,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +uid 2056,0 +va (VaSet +font "Verdana,9,1" +) +xt "43600,34800,54000,36000" +st "SplineInterpolator" +blo "43600,35800" +tm "BdLibraryNameMgr" +) +*44 (Text +uid 2057,0 +va (VaSet +font "Verdana,9,1" +) +xt "43600,35700,48100,36900" +st "sineGen" +blo "43600,36700" +tm "CptNameMgr" +) +*45 (Text +uid 2058,0 +va (VaSet +font "Verdana,9,1" +) +xt "43600,36600,47400,37800" +st "I_sinY" +blo "43600,37600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2059,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2060,0 +text (MLText +uid 2061,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,38600,62200,40600" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*46 (SaComponent +uid 2090,0 +optionalChildren [ +*47 (CptPort +uid 2062,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2063,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,52625,43000,53375" +) +tg (CPTG +uid 2064,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2065,0 +va (VaSet +) +xt "44000,52400,47400,53600" +st "clock" +blo "44000,53400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*48 (CptPort +uid 2066,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2067,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,54625,43000,55375" +) +tg (CPTG +uid 2068,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2069,0 +va (VaSet +) +xt "44000,54400,47300,55600" +st "reset" +blo "44000,55400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*49 (CptPort +uid 2070,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2071,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,46625,59750,47375" +) +tg (CPTG +uid 2072,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2073,0 +va (VaSet +) +xt "52800,46400,58000,47600" +st "sawtooth" +ju 2 +blo "58000,47400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +*50 (CptPort +uid 2074,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2075,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,52625,59750,53375" +) +tg (CPTG +uid 2076,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2077,0 +va (VaSet +) +xt "55200,52400,58000,53600" +st "sine" +ju 2 +blo "58000,53400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 4,0 +) +) +) +*51 (CptPort +uid 2078,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2079,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,50625,59750,51375" +) +tg (CPTG +uid 2080,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2081,0 +va (VaSet +) +xt "53500,50400,58000,51600" +st "triangle" +ju 2 +blo "58000,51400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +) +*52 (CptPort +uid 2082,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2083,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,48625,59750,49375" +) +tg (CPTG +uid 2084,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2085,0 +va (VaSet +) +xt "53900,48400,58000,49600" +st "square" +ju 2 +blo "58000,49400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*53 (CptPort +uid 2086,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2087,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,46625,43000,47375" +) +tg (CPTG +uid 2088,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2089,0 +va (VaSet +) +xt "44000,46400,46900,47600" +st "step" +blo "44000,47400" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 2091,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,43000,59000,57000" +) +oxt "32000,16000,48000,30000" +ttg (MlTextGroup +uid 2092,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 2093,0 +va (VaSet +font "Verdana,9,1" +) +xt "43600,56800,54000,58000" +st "SplineInterpolator" +blo "43600,57800" +tm "BdLibraryNameMgr" +) +*55 (Text +uid 2094,0 +va (VaSet +font "Verdana,9,1" +) +xt "43600,57700,48100,58900" +st "sineGen" +blo "43600,58700" +tm "CptNameMgr" +) +*56 (Text +uid 2095,0 +va (VaSet +font "Verdana,9,1" +) +xt "43600,58600,47400,59800" +st "I_sinX" +blo "43600,59600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2096,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2097,0 +text (MLText +uid 2098,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,60600,62200,62600" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*57 (SaComponent +uid 2162,0 +optionalChildren [ +*58 (CptPort +uid 2146,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2147,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,34625,67000,35375" +) +tg (CPTG +uid 2148,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2149,0 +va (VaSet +) +xt "68000,34400,71400,35600" +st "clock" +blo "68000,35400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*59 (CptPort +uid 2150,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2151,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,30625,67000,31375" +) +tg (CPTG +uid 2152,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2153,0 +va (VaSet +) +xt "68000,30400,74200,31600" +st "parallelIn" +blo "68000,31400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*60 (CptPort +uid 2154,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2155,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,30625,83750,31375" +) +tg (CPTG +uid 2156,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2157,0 +va (VaSet +) +xt "76601,30400,82001,31600" +st "serialOut" +ju 2 +blo "82001,31400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*61 (CptPort +uid 2158,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2159,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,36625,67000,37375" +) +tg (CPTG +uid 2160,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2161,0 +va (VaSet +) +xt "68000,36400,71300,37600" +st "reset" +blo "68000,37400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 2163,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "67000,27000,83000,39000" +) +oxt "32000,14000,48000,26000" +ttg (MlTextGroup +uid 2164,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +uid 2165,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,38800,82300,40000" +st "DigitalToAnalogConverter" +blo "67600,39800" +tm "BdLibraryNameMgr" +) +*63 (Text +uid 2166,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,39700,70300,40900" +st "DAC" +blo "67600,40700" +tm "CptNameMgr" +) +*64 (Text +uid 2167,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,40600,71700,41800" +st "I_dacY" +blo "67600,41600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2168,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2169,0 +text (MLText +uid 2170,0 +va (VaSet +font "Verdana,8,0" +) +xt "67000,42600,85400,43600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*65 (SaComponent +uid 2187,0 +optionalChildren [ +*66 (CptPort +uid 2171,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2172,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,56625,67000,57375" +) +tg (CPTG +uid 2173,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2174,0 +va (VaSet +) +xt "68000,56400,71400,57600" +st "clock" +blo "68000,57400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*67 (CptPort +uid 2175,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2176,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,52625,67000,53375" +) +tg (CPTG +uid 2177,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2178,0 +va (VaSet +) +xt "68000,52400,74200,53600" +st "parallelIn" +blo "68000,53400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 2179,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2180,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,52625,83750,53375" +) +tg (CPTG +uid 2181,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2182,0 +va (VaSet +) +xt "76601,52400,82001,53600" +st "serialOut" +ju 2 +blo "82001,53400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*69 (CptPort +uid 2183,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2184,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,58625,67000,59375" +) +tg (CPTG +uid 2185,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2186,0 +va (VaSet +) +xt "68000,58400,71300,59600" +st "reset" +blo "68000,59400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 2188,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "67000,49000,83000,61000" +) +oxt "32000,14000,48000,26000" +ttg (MlTextGroup +uid 2189,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 2190,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,60800,82300,62000" +st "DigitalToAnalogConverter" +blo "67600,61800" +tm "BdLibraryNameMgr" +) +*71 (Text +uid 2191,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,61700,70300,62900" +st "DAC" +blo "67600,62700" +tm "CptNameMgr" +) +*72 (Text +uid 2192,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,62600,71700,63800" +st "I_dacX" +blo "67600,63600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2193,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2194,0 +text (MLText +uid 2195,0 +va (VaSet +font "Verdana,8,0" +) +xt "67000,64600,85400,65600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*73 (Net +uid 2339,0 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 20,0 +) +declText (MLText +uid 2340,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,8700,9900,9700" +st "reset : std_ulogic" +) +) +*74 (PortIoIn +uid 2367,0 +shape (CompositeShape +uid 2368,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2369,0 +sl 0 +ro 270 +xt "33000,32625,34500,33375" +) +(Line +uid 2370,0 +sl 0 +ro 270 +xt "34500,33000,35000,33000" +pts [ +"34500,33000" +"35000,33000" +] +) +] +) +tg (WTG +uid 2371,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2372,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "27900,32350,32000,33750" +st "reset" +ju 2 +blo "32000,33550" +tm "WireNameMgr" +) +) +) +*75 (Net +uid 2510,0 +decl (Decl +n "stepYUnsigned" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 10 +suid 21,0 +) +declText (MLText +uid 2511,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,17700,25700,18700" +st "SIGNAL stepYUnsigned : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*76 (Net +uid 2512,0 +decl (Decl +n "stepXUnsigned" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 9 +suid 22,0 +) +declText (MLText +uid 2513,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,16800,25700,17800" +st "SIGNAL stepXUnsigned : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*77 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "35000,31000,42250,31000" +pts [ +"35000,31000" +"42250,31000" +] +) +start &1 +end &36 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Verdana,12,0" +) +xt "35000,29600,38800,31000" +st "clock" +blo "35000,30800" +tm "WireNameMgr" +) +) +on &2 +) +*78 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "83750,31000,91000,31000" +pts [ +"91000,31000" +"83750,31000" +] +) +start &3 +end &60 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,29600,89800,31000" +st "yOut" +blo "86000,30800" +tm "WireNameMgr" +) +) +on &23 +) +*79 (Wire +uid 435,0 +shape (OrthoPolyLine +uid 436,0 +va (VaSet +vasetType 3 +) +xt "83000,21000,91000,21000" +pts [ +"91000,21000" +"83000,21000" +] +) +start &15 +end &17 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 439,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 440,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,19600,94100,21000" +st "triggerOut" +blo "86000,20800" +tm "WireNameMgr" +) +) +on &16 +) +*80 (Wire +uid 450,0 +shape (OrthoPolyLine +uid 451,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59750,21000,67000,27000" +pts [ +"59750,27000" +"63000,27000" +"63000,21000" +"67000,21000" +] +) +start &41 +end &17 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 454,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 455,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,19600,66900,21000" +st "squareY" +blo "61000,20800" +tm "WireNameMgr" +) +) +on &34 +) +*81 (Wire +uid 575,0 +shape (OrthoPolyLine +uid 576,0 +va (VaSet +vasetType 3 +) +xt "83750,53000,91000,53000" +pts [ +"91000,53000" +"83750,53000" +] +) +start &22 +end &68 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,51600,89800,53000" +st "xOut" +blo "86000,52800" +tm "WireNameMgr" +) +) +on &21 +) +*82 (Wire +uid 579,0 +shape (OrthoPolyLine +uid 580,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59750,53000,66250,53000" +pts [ +"59750,53000" +"66250,53000" +] +) +start &50 +end &67 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 581,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 582,0 +va (VaSet +font "Verdana,12,0" +) +xt "60750,51600,64950,53000" +st "sineX" +blo "60750,52800" +tm "WireNameMgr" +) +) +on &24 +) +*83 (Wire +uid 583,0 +shape (OrthoPolyLine +uid 584,0 +va (VaSet +vasetType 3 +) +xt "63000,57000,66250,57000" +pts [ +"63000,57000" +"66250,57000" +] +) +end &66 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 587,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 588,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,55600,65800,57000" +st "clock" +blo "62000,56800" +tm "WireNameMgr" +) +) +on &2 +) +*84 (Wire +uid 589,0 +shape (OrthoPolyLine +uid 590,0 +va (VaSet +vasetType 3 +) +xt "63000,59000,66250,59000" +pts [ +"63000,59000" +"66250,59000" +] +) +end &69 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 593,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 594,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,57600,66100,59000" +st "reset" +blo "62000,58800" +tm "WireNameMgr" +) +) +on &73 +) +*85 (Wire +uid 1335,0 +shape (OrthoPolyLine +uid 1336,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "35000,47000,42250,47000" +pts [ +"42250,47000" +"35000,47000" +] +) +start &53 +end &25 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1339,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1340,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,45600,48100,47000" +st "stepXUnsigned" +blo "37000,46800" +tm "WireNameMgr" +) +) +on &76 +) +*86 (Wire +uid 1341,0 +shape (OrthoPolyLine +uid 1342,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "35000,25000,42250,25000" +pts [ +"42250,25000" +"35000,25000" +] +) +start &42 +end &30 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1347,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1348,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,23600,48000,25000" +st "stepYUnsigned" +blo "37000,24800" +tm "WireNameMgr" +) +) +on &75 +) +*87 (Wire +uid 1613,0 +shape (OrthoPolyLine +uid 1614,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59750,31000,66250,31000" +pts [ +"59750,31000" +"66250,31000" +] +) +start &39 +end &59 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1615,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1616,0 +va (VaSet +font "Verdana,12,0" +) +xt "60750,29600,64850,31000" +st "sineY" +blo "60750,30800" +tm "WireNameMgr" +) +) +on &29 +) +*88 (Wire +uid 1617,0 +shape (OrthoPolyLine +uid 1618,0 +va (VaSet +vasetType 3 +) +xt "63000,35000,66250,35000" +pts [ +"63000,35000" +"66250,35000" +] +) +end &58 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1621,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1622,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,33600,65800,35000" +st "clock" +blo "62000,34800" +tm "WireNameMgr" +) +) +on &2 +) +*89 (Wire +uid 1623,0 +shape (OrthoPolyLine +uid 1624,0 +va (VaSet +vasetType 3 +) +xt "63000,37000,66250,37000" +pts [ +"63000,37000" +"66250,37000" +] +) +end &61 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1627,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1628,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,35600,66100,37000" +st "reset" +blo "62000,36800" +tm "WireNameMgr" +) +) +on &73 +) +*90 (Wire +uid 2341,0 +shape (OrthoPolyLine +uid 2342,0 +va (VaSet +vasetType 3 +) +xt "39000,55000,42250,55000" +pts [ +"39000,55000" +"42250,55000" +] +) +end &48 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2347,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2348,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,53600,42100,55000" +st "reset" +blo "38000,54800" +tm "WireNameMgr" +) +) +on &73 +) +*91 (Wire +uid 2349,0 +shape (OrthoPolyLine +uid 2350,0 +va (VaSet +vasetType 3 +) +xt "39000,53000,42250,53000" +pts [ +"39000,53000" +"42250,53000" +] +) +end &47 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2355,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2356,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,51600,41800,53000" +st "clock" +blo "38000,52800" +tm "WireNameMgr" +) +) +on &2 +) +*92 (Wire +uid 2357,0 +shape (OrthoPolyLine +uid 2358,0 +va (VaSet +vasetType 3 +) +xt "35000,33000,42250,33000" +pts [ +"35000,33000" +"42250,33000" +] +) +start &74 +end &37 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2363,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2364,0 +va (VaSet +font "Verdana,12,0" +) +xt "34000,31600,38100,33000" +st "reset" +blo "34000,32800" +tm "WireNameMgr" +) +) +on &73 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *93 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,0,3900,1000" +st "Package List" +blo "-3000,800" +) +*95 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*97 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*98 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*99 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*100 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*101 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*102 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4571,-1604,138105,75916" +cachedDiagramExtent "-3000,0,106000,74000" +pageSetupInfo (PageSetupInfo +ptrCmd "Microsoft Print to PDF,winspool," +fileName "PORTPROMPT:" +toPrinter 1 +colour 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 2732,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*103 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*104 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*105 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*107 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*108 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*110 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*111 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*112 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*113 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*114 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*116 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*117 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*118 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*119 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*121 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*123 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,6000,4000,7000" +st "Declarations" +blo "-3000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,6900,400,7900" +st "Ports:" +blo "-3000,7700" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,12300,1800,13300" +st "Pre User:" +blo "-3000,13100" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,13200,18000,15000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,13200,6000,14200" +st "Diagram Signals:" +blo "-3000,14000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-3000,6000,3000,7000" +st "Post User:" +blo "-3000,6800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-3000,6000,-3000,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 22,0 +usingSuid 1 +emptyRow *124 (LEmptyRow +) +uid 1406,0 +optionalChildren [ +*125 (RefLabelRowHdr +) +*126 (TitleRowHdr +) +*127 (FilterRowHdr +) +*128 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*129 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*130 (GroupColHdr +tm "GroupColHdrMgr" +) +*131 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*132 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*133 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*134 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*135 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*136 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*137 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 1377,0 +) +*138 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 1383,0 +) +*139 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 1387,0 +) +*140 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 7,0 +) +) +uid 1389,0 +) +*141 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sineX" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 9,0 +) +) +uid 1393,0 +) +*142 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sineY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 16,0 +) +) +uid 1646,0 +) +*143 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "squareY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 19,0 +) +) +uid 1654,0 +) +*144 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 20,0 +) +) +uid 2365,0 +) +*145 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "stepYUnsigned" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 10 +suid 21,0 +) +) +uid 2514,0 +) +*146 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "stepXUnsigned" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 9 +suid 22,0 +) +) +uid 2516,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1419,0 +optionalChildren [ +*147 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *148 (MRCItem +litem &124 +pos 10 +dimension 20 +) +uid 1421,0 +optionalChildren [ +*149 (MRCItem +litem &125 +pos 0 +dimension 20 +uid 1422,0 +) +*150 (MRCItem +litem &126 +pos 1 +dimension 23 +uid 1423,0 +) +*151 (MRCItem +litem &127 +pos 2 +hidden 1 +dimension 20 +uid 1424,0 +) +*152 (MRCItem +litem &137 +pos 0 +dimension 20 +uid 1378,0 +) +*153 (MRCItem +litem &138 +pos 1 +dimension 20 +uid 1384,0 +) +*154 (MRCItem +litem &139 +pos 2 +dimension 20 +uid 1388,0 +) +*155 (MRCItem +litem &140 +pos 3 +dimension 20 +uid 1390,0 +) +*156 (MRCItem +litem &141 +pos 5 +dimension 20 +uid 1394,0 +) +*157 (MRCItem +litem &142 +pos 6 +dimension 20 +uid 1647,0 +) +*158 (MRCItem +litem &143 +pos 7 +dimension 20 +uid 1655,0 +) +*159 (MRCItem +litem &144 +pos 4 +dimension 20 +uid 2366,0 +) +*160 (MRCItem +litem &145 +pos 8 +dimension 20 +uid 2515,0 +) +*161 (MRCItem +litem &146 +pos 9 +dimension 20 +uid 2517,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1425,0 +optionalChildren [ +*162 (MRCItem +litem &128 +pos 0 +dimension 20 +uid 1426,0 +) +*163 (MRCItem +litem &130 +pos 1 +dimension 50 +uid 1427,0 +) +*164 (MRCItem +litem &131 +pos 2 +dimension 100 +uid 1428,0 +) +*165 (MRCItem +litem &132 +pos 3 +dimension 50 +uid 1429,0 +) +*166 (MRCItem +litem &133 +pos 4 +dimension 100 +uid 1430,0 +) +*167 (MRCItem +litem &134 +pos 5 +dimension 100 +uid 1431,0 +) +*168 (MRCItem +litem &135 +pos 6 +dimension 50 +uid 1432,0 +) +*169 (MRCItem +litem &136 +pos 7 +dimension 80 +uid 1433,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1420,0 +vaOverrides [ +] +) +] +) +uid 1405,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *170 (LEmptyRow +) +uid 1435,0 +optionalChildren [ +*171 (RefLabelRowHdr +) +*172 (TitleRowHdr +) +*173 (FilterRowHdr +) +*174 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*175 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*176 (GroupColHdr +tm "GroupColHdrMgr" +) +*177 (NameColHdr +tm "GenericNameColHdrMgr" +) +*178 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*179 (InitColHdr +tm "GenericValueColHdrMgr" +) +*180 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*181 (EolColHdr +tm "GenericEolColHdrMgr" +) +*182 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 2460,0 +) +*183 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "16" +) +uid 2462,0 +) +*184 (LogGeneric +generic (GiElement +name "stepX" +type "positive" +value "1" +) +uid 2464,0 +) +*185 (LogGeneric +generic (GiElement +name "stepY" +type "positive" +value "1" +) +uid 2466,0 +) +] +) +pdm (PhysicalDM +uid 1447,0 +optionalChildren [ +*186 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *187 (MRCItem +litem &170 +pos 4 +dimension 20 +) +uid 1449,0 +optionalChildren [ +*188 (MRCItem +litem &171 +pos 0 +dimension 20 +uid 1450,0 +) +*189 (MRCItem +litem &172 +pos 1 +dimension 23 +uid 1451,0 +) +*190 (MRCItem +litem &173 +pos 2 +hidden 1 +dimension 20 +uid 1452,0 +) +*191 (MRCItem +litem &182 +pos 0 +dimension 20 +uid 2459,0 +) +*192 (MRCItem +litem &183 +pos 1 +dimension 20 +uid 2461,0 +) +*193 (MRCItem +litem &184 +pos 2 +dimension 20 +uid 2463,0 +) +*194 (MRCItem +litem &185 +pos 3 +dimension 20 +uid 2465,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1453,0 +optionalChildren [ +*195 (MRCItem +litem &174 +pos 0 +dimension 20 +uid 1454,0 +) +*196 (MRCItem +litem &176 +pos 1 +dimension 50 +uid 1455,0 +) +*197 (MRCItem +litem &177 +pos 2 +dimension 100 +uid 1456,0 +) +*198 (MRCItem +litem &178 +pos 3 +dimension 100 +uid 1457,0 +) +*199 (MRCItem +litem &179 +pos 4 +dimension 50 +uid 1458,0 +) +*200 (MRCItem +litem &180 +pos 5 +dimension 50 +uid 1459,0 +) +*201 (MRCItem +litem &181 +pos 6 +dimension 80 +uid 1460,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1448,0 +vaOverrides [ +] +) +] +) +uid 1434,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/zz-solutions/04-Lissajous/Lissajous/hds/lissajous@generator/symbol.sb b/zz-solutions/04-Lissajous/Lissajous/hds/lissajous@generator/symbol.sb new file mode 100644 index 0000000..31b89b1 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous/hds/lissajous@generator/symbol.sb @@ -0,0 +1,1722 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 163,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 165,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 166,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 167,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 168,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +uid 427,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 169,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 104,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 107,0 +) +*22 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 109,0 +) +*23 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 111,0 +) +*24 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 131,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 132,0 +) +*26 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 133,0 +) +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 134,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 426,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 105,0 +optionalChildren [ +*29 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 113,0 +) +*30 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 117,0 +) +*31 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 119,0 +) +*32 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 121,0 +) +*33 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 123,0 +) +*34 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 125,0 +) +*35 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 127,0 +) +*36 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 103,0 +vaOverrides [ +] +) +] +) +uid 162,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 171,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 160,0 +) +*50 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "16" +) +uid 479,0 +) +*51 (LogGeneric +generic (GiElement +name "stepX" +type "positive" +value "1" +) +uid 481,0 +) +*52 (LogGeneric +generic (GiElement +name "stepY" +type "positive" +value "1" +) +uid 483,0 +) +] +) +pdm (PhysicalDM +uid 172,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *54 (MRCItem +litem &37 +pos 4 +dimension 20 +) +uid 136,0 +optionalChildren [ +*55 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 139,0 +) +*56 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 141,0 +) +*57 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 143,0 +) +*58 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 161,0 +) +*59 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 480,0 +) +*60 (MRCItem +litem &51 +pos 2 +dimension 20 +uid 482,0 +) +*61 (MRCItem +litem &52 +pos 3 +dimension 20 +uid 484,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 137,0 +optionalChildren [ +*62 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 145,0 +) +*63 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 149,0 +) +*64 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 151,0 +) +*65 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 153,0 +) +*66 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 155,0 +) +*67 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 157,0 +) +*68 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 159,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 135,0 +vaOverrides [ +] +) +] +) +uid 170,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds/lissajous@generator/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds/lissajous@generator/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds/lissajous@generator" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds/lissajousGenerator" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:53" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lissajous" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Lissajous" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "lissajousGenerator" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds/lissajous@generator/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds/lissajousGenerator/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:53" +) +(vvPair +variable "unit" +value "lissajousGenerator" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,17400,35500,18300" +st "clock" +blo "33000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,19000,11700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +font "courier,9,0" +) +xt "41500,17400,47000,18300" +st "triggerOut" +ju 2 +blo "47000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,19000,13500" +st "triggerOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*72 (CptPort +uid 93,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 94,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,15625,48750,16375" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 96,0 +va (VaSet +font "courier,9,0" +) +xt "45000,15400,47000,16300" +st "xOut" +ju 2 +blo "47000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13500,19000,14400" +st "xOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*73 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +font "courier,9,0" +) +xt "45000,13400,47000,14300" +st "yOut" +ju 2 +blo "47000,14100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 102,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,18000,15300" +st "yOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*74 (CptPort +uid 428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 429,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 430,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 431,0 +va (VaSet +) +xt "33000,19500,36000,20500" +st "reset" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 432,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,19000,12600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,21800,37100,22700" +st "Lissajous" +blo "32600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22700,42100,23600" +st "lissajousGenerator" +blo "32600,23400" +) +) +gi *75 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,25600,45000,31000" +st "Generic Declarations + +signalBitNb positive 16 +phaseBitNb positive 16 +stepX positive 1 +stepY positive 1 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "phaseBitNb" +type "positive" +value "16" +) +(GiElement +name "stepX" +type "positive" +value "1" +) +(GiElement +name "stepY" +type "positive" +value "1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*76 (Grouping +uid 16,0 +optionalChildren [ +*77 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*82 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *87 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*89 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "706,78,1648,805" +viewArea "-1900,-1000,73522,46902" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *90 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *91 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 507,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hdl/lissajousGenerator_tester_test.vhd b/zz-solutions/04-Lissajous/Lissajous_test/hdl/lissajousGenerator_tester_test.vhd new file mode 100644 index 0000000..64427cf --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hdl/lissajousGenerator_tester_test.vhd @@ -0,0 +1,13 @@ +ARCHITECTURE test OF lissajousGenerator_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + +BEGIN + ------------------------------------------------------------------------------ + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + reset <= '1', '0' after 2*clockPeriod; + +END ARCHITECTURE test; diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousGenerator_tester_test.vhd._fpf b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousGenerator_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousGenerator_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhd._fpf b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhg._fpf b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhd._fpf b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhg._fpf b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhd._fpf b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhg._fpf b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_test._epf b/zz-solutions/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_test._epf new file mode 100644 index 0000000..66cbfb5 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_test._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lissajous@generator_test/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_tester._epf b/zz-solutions/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_tester._epf new file mode 100644 index 0000000..335f3fd --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom lissajousGenerator_tester_test.vhd diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/_struct.bd._fpf b/zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/_struct.bd._fpf new file mode 100644 index 0000000..30e9f19 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/_struct.bd._fpf @@ -0,0 +1,9 @@ +ARCHITECTURES list { + {lissajousgenerator_test struct} list { + TASK_SETTINGS list { + PLUGIN_SETTINGS list { + ModelSimSimulator atom {TaskSetting Arguments {} TaskSetting Communication 1 TaskSetting DelaySelection typ TaskSetting GlitchGeneration 1 TaskSetting InitCmd {$SIMULATION_DIR/lissajousGenerator.do} TaskSetting LogFile {} TaskSetting RemoteHost {} TaskSetting Resolution ns TaskSetting SdfDelay typ TaskSetting SdfMultiSrcDelay latest TaskSetting SdfReduce 0 TaskSetting SdfWarnings 1 TaskSetting TimingChecks 1 TaskSetting UseBatch 0 TaskSetting UseCLI 0 TaskSetting UseGUI 1 TaskSetting VitalVersion 95 TaskSetting autoNames 1 TaskSetting coverage 0 TaskSetting excludePSL 0 TaskSetting exepath %task_ModelSimPath TaskSetting minimumSimSetting 0 TaskSetting saveReplayScript 0 TaskSetting useCustomSimDir 0} + } + } + } +} diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/struct.bd b/zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/struct.bd new file mode 100644 index 0000000..11d0420 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/struct.bd @@ -0,0 +1,3941 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Lissajous_test" +duName "lissajousGenerator_tester" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 421,0 +) +(Instance +name "I_DUT" +duLibraryName "Lissajous" +duName "lissajousGenerator" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +mwi 0 +uid 1594,0 +) +(Instance +name "I_filtX" +duLibraryName "WaveformGenerator" +duName "lowpass" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +mwi 0 +uid 1612,0 +) +(Instance +name "I_filty" +duLibraryName "WaveformGenerator" +duName "lowpass" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +mwi 0 +uid 1699,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_test\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_test\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_test" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajousGenerator_test" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_test" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:48:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lissajous_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Lissajous_test/concat" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Lissajous_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "lissajousGenerator_test" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_test\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajousGenerator_test\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:48:46" +) +(vvPair +variable "unit" +value "lissajousGenerator_test" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Grouping +uid 110,0 +optionalChildren [ +*2 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,65000,79000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,65500,62200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "79000,61000,83000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "79200,61500,79200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,63000,79000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,63500,62200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,63000,62000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,63500,58200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "79000,62000,99000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "79200,62200,93300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,61000,99000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,61500,83200,61500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,61000,79000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "63350,61400,73650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,64000,62000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,64500,58200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,65000,62000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,65500,58200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,64000,79000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,64500,62200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "58000,61000,99000,66000" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 421,0 +shape (Rectangle +uid 422,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "11000,52000,91000,60000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 423,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 424,0 +va (VaSet +) +xt "11700,60200,20500,61400" +st "Lissajous_test" +blo "11700,61200" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 425,0 +va (VaSet +) +xt "11700,61400,26900,62600" +st "lissajousGenerator_tester" +blo "11700,62400" +tm "BlkNameMgr" +) +*15 (Text +uid 426,0 +va (VaSet +) +xt "11700,62600,16500,63800" +st "I_tester" +blo "11700,63600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 427,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 428,0 +text (MLText +uid 429,0 +va (VaSet +) +xt "12000,64000,38200,66400" +st "signalBitNb = signalBitNb ( positive ) +clockFrequency = clockFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +) +*16 (Net +uid 1554,0 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 10,0 +) +declText (MLText +uid 1555,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17400,15200,18400" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 1562,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 11,0 +) +declText (MLText +uid 1563,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16400,15200,17400" +st "SIGNAL clock : std_ulogic" +) +) +*18 (SaComponent +uid 1594,0 +optionalChildren [ +*19 (CptPort +uid 1574,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1575,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,39625,23000,40375" +) +tg (CPTG +uid 1576,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1577,0 +va (VaSet +) +xt "24000,39400,27400,40600" +st "clock" +blo "24000,40400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*20 (CptPort +uid 1578,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1579,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,39625,39750,40375" +) +tg (CPTG +uid 1580,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1581,0 +va (VaSet +) +xt "31400,39400,38000,40600" +st "triggerOut" +ju 2 +blo "38000,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*21 (CptPort +uid 1582,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1583,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,37625,39750,38375" +) +tg (CPTG +uid 1584,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1585,0 +va (VaSet +) +xt "34800,37400,38000,38600" +st "xOut" +ju 2 +blo "38000,38400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*22 (CptPort +uid 1586,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1587,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,35625,39750,36375" +) +tg (CPTG +uid 1588,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1589,0 +va (VaSet +) +xt "34800,35400,38000,36600" +st "yOut" +ju 2 +blo "38000,36400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*23 (CptPort +uid 1590,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1591,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,41625,23000,42375" +) +tg (CPTG +uid 1592,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1593,0 +va (VaSet +) +xt "24000,41500,27300,42700" +st "reset" +blo "24000,42500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +) +] +shape (Rectangle +uid 1595,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,32000,39000,44000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 1596,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 1597,0 +va (VaSet +font "Verdana,9,1" +) +xt "23600,43800,28800,45000" +st "Lissajous" +blo "23600,44800" +tm "BdLibraryNameMgr" +) +*25 (Text +uid 1598,0 +va (VaSet +font "Verdana,9,1" +) +xt "23600,44700,34100,45900" +st "lissajousGenerator" +blo "23600,45700" +tm "CptNameMgr" +) +*26 (Text +uid 1599,0 +va (VaSet +font "Verdana,9,1" +) +xt "23600,45600,27300,46800" +st "I_DUT" +blo "23600,46600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1600,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1601,0 +text (MLText +uid 1602,0 +va (VaSet +font "Verdana,8,0" +) +xt "23000,47600,42200,51600" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) +stepX = stepX ( positive ) +stepY = stepY ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +) +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*27 (HdlText +uid 1603,0 +optionalChildren [ +*28 (EmbeddedText +uid 1608,0 +commentText (CommentText +uid 1609,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1610,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "43000,3000,59000,7000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1611,0 +va (VaSet +) +xt "43200,3200,57300,6800" +st " +xParallel <= (others => xSerial); +yParallel <= (others => ySerial); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1604,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "43000,2000,59000,8000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1605,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*29 (Text +uid 1606,0 +va (VaSet +) +xt "43400,8000,46000,9200" +st "eb1" +blo "43400,9000" +tm "HdlTextNameMgr" +) +*30 (Text +uid 1607,0 +va (VaSet +) +xt "43400,9000,44800,10200" +st "1" +blo "43400,10000" +tm "HdlTextNumberMgr" +) +] +) +) +*31 (SaComponent +uid 1612,0 +optionalChildren [ +*32 (CptPort +uid 1621,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1622,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62250,39625,63000,40375" +) +tg (CPTG +uid 1623,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1624,0 +va (VaSet +) +xt "64000,39400,67400,40600" +st "clock" +blo "64000,40400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*33 (CptPort +uid 1625,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1626,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79000,35625,79750,36375" +) +tg (CPTG +uid 1627,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1628,0 +va (VaSet +) +xt "70700,35400,78000,36600" +st "lowpassOut" +ju 2 +blo "78000,36400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*34 (CptPort +uid 1629,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1630,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62250,41625,63000,42375" +) +tg (CPTG +uid 1631,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1632,0 +va (VaSet +) +xt "64000,41400,67300,42600" +st "reset" +blo "64000,42400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*35 (CptPort +uid 1633,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1634,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62250,35625,63000,36375" +) +tg (CPTG +uid 1635,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1636,0 +va (VaSet +) +xt "64000,35400,69800,36600" +st "lowpassIn" +blo "64000,36400" +) +) +thePort (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +] +shape (Rectangle +uid 1613,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "63000,32000,79000,44000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 1614,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 1615,0 +va (VaSet +font "Verdana,9,1" +) +xt "63600,43800,75100,45000" +st "WaveformGenerator" +blo "63600,44800" +tm "BdLibraryNameMgr" +) +*37 (Text +uid 1616,0 +va (VaSet +font "Verdana,9,1" +) +xt "63600,44700,68200,45900" +st "lowpass" +blo "63600,45700" +tm "CptNameMgr" +) +*38 (Text +uid 1617,0 +va (VaSet +font "Verdana,9,1" +) +xt "63600,45600,67600,46800" +st "I_filtX" +blo "63600,46600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1618,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1619,0 +text (MLText +uid 1620,0 +va (VaSet +font "Verdana,8,0" +) +xt "63000,47600,83800,49600" +st "signalBitNb = signalBitNb ( positive ) +shiftBitNb = lowpassShiftBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*39 (Net +uid 1683,0 +decl (Decl +n "ySerial" +t "std_ulogic" +o 3 +suid 15,0 +) +declText (MLText +uid 1684,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,24400,15300,25400" +st "SIGNAL ySerial : std_ulogic" +) +) +*40 (Net +uid 1693,0 +decl (Decl +n "xSerial" +t "std_ulogic" +o 6 +suid 17,0 +) +declText (MLText +uid 1694,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21400,15300,22400" +st "SIGNAL xSerial : std_ulogic" +) +) +*41 (Net +uid 1695,0 +decl (Decl +n "xLowapss" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 18,0 +) +declText (MLText +uid 1696,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19400,27200,20400" +st "SIGNAL xLowapss : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*42 (Net +uid 1697,0 +decl (Decl +n "xParallel" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 19,0 +) +declText (MLText +uid 1698,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20400,26400,21400" +st "SIGNAL xParallel : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*43 (SaComponent +uid 1699,0 +optionalChildren [ +*44 (CptPort +uid 1708,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1709,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62250,19625,63000,20375" +) +tg (CPTG +uid 1710,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1711,0 +va (VaSet +) +xt "64000,19400,67400,20600" +st "clock" +blo "64000,20400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*45 (CptPort +uid 1712,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1713,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79000,15625,79750,16375" +) +tg (CPTG +uid 1714,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1715,0 +va (VaSet +) +xt "70700,15400,78000,16600" +st "lowpassOut" +ju 2 +blo "78000,16400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*46 (CptPort +uid 1716,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1717,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62250,21625,63000,22375" +) +tg (CPTG +uid 1718,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1719,0 +va (VaSet +) +xt "64000,21400,67300,22600" +st "reset" +blo "64000,22400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*47 (CptPort +uid 1720,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1721,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62250,15625,63000,16375" +) +tg (CPTG +uid 1722,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1723,0 +va (VaSet +) +xt "64000,15400,69800,16600" +st "lowpassIn" +blo "64000,16400" +) +) +thePort (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +] +shape (Rectangle +uid 1700,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "63000,12000,79000,24000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 1701,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 1702,0 +va (VaSet +font "Verdana,9,1" +) +xt "63600,23800,75100,25000" +st "WaveformGenerator" +blo "63600,24800" +tm "BdLibraryNameMgr" +) +*49 (Text +uid 1703,0 +va (VaSet +font "Verdana,9,1" +) +xt "63600,24700,68200,25900" +st "lowpass" +blo "63600,25700" +tm "CptNameMgr" +) +*50 (Text +uid 1704,0 +va (VaSet +font "Verdana,9,1" +) +xt "63600,25600,67500,26800" +st "I_filty" +blo "63600,26600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1705,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1706,0 +text (MLText +uid 1707,0 +va (VaSet +font "Verdana,8,0" +) +xt "63000,27600,83800,29600" +st "signalBitNb = signalBitNb ( positive ) +shiftBitNb = lowpassShiftBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*51 (Net +uid 1744,0 +decl (Decl +n "yLowpass" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 21,0 +) +declText (MLText +uid 1745,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,22400,27200,23400" +st "SIGNAL yLowpass : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*52 (Net +uid 1762,0 +decl (Decl +n "yParallel" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 23,0 +) +declText (MLText +uid 1763,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,23400,26400,24400" +st "SIGNAL yParallel : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*53 (Net +uid 1827,0 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 9 +suid 24,0 +) +declText (MLText +uid 1828,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18400,15700,19400" +st "SIGNAL triggerOut : std_ulogic" +) +) +*54 (Wire +uid 1556,0 +shape (OrthoPolyLine +uid 1557,0 +va (VaSet +vasetType 3 +) +xt "21000,42000,22250,52000" +pts [ +"22250,42000" +"21000,42000" +"21000,52000" +] +) +start &23 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1560,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1561,0 +va (VaSet +font "Verdana,12,0" +) +xt "17250,40700,21350,42100" +st "reset" +blo "17250,41900" +tm "WireNameMgr" +) +) +on &16 +) +*55 (Wire +uid 1564,0 +shape (OrthoPolyLine +uid 1565,0 +va (VaSet +vasetType 3 +) +xt "19000,40000,22250,52000" +pts [ +"22250,40000" +"19000,40000" +"19000,52000" +] +) +start &19 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1568,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1569,0 +va (VaSet +font "Verdana,12,0" +) +xt "17250,38700,21050,40100" +st "clock" +blo "17250,39900" +tm "WireNameMgr" +) +) +on &17 +) +*56 (Wire +uid 1637,0 +optionalChildren [ +*57 (BdJunction +uid 1645,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1646,0 +va (VaSet +vasetType 1 +) +xt "46600,35600,47400,36400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1638,0 +va (VaSet +vasetType 3 +) +xt "39750,36000,47000,52000" +pts [ +"39750,36000" +"47000,36000" +"47000,52000" +] +) +start &22 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1643,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1644,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,34600,46000,36000" +st "ySerial" +blo "41000,35800" +tm "WireNameMgr" +) +) +on &39 +) +*58 (Wire +uid 1647,0 +shape (OrthoPolyLine +uid 1648,0 +va (VaSet +vasetType 3 +) +xt "47000,8000,47000,36000" +pts [ +"47000,36000" +"47000,8000" +] +) +start &57 +end &27 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1651,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1652,0 +ro 270 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "45600,31500,47000,36500" +st "ySerial" +blo "46800,36500" +tm "WireNameMgr" +) +) +on &39 +) +*59 (Wire +uid 1653,0 +shape (OrthoPolyLine +uid 1654,0 +va (VaSet +vasetType 3 +) +xt "59000,42000,62250,42000" +pts [ +"59000,42000" +"62250,42000" +] +) +end &34 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1657,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1658,0 +va (VaSet +font "Verdana,12,0" +) +xt "59000,40600,63100,42000" +st "reset" +blo "59000,41800" +tm "WireNameMgr" +) +) +on &16 +) +*60 (Wire +uid 1659,0 +shape (OrthoPolyLine +uid 1660,0 +va (VaSet +vasetType 3 +) +xt "59000,40000,62250,40000" +pts [ +"59000,40000" +"62250,40000" +] +) +end &32 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1663,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1664,0 +va (VaSet +font "Verdana,12,0" +) +xt "59000,38600,62800,40000" +st "clock" +blo "59000,39800" +tm "WireNameMgr" +) +) +on &17 +) +*61 (Wire +uid 1665,0 +shape (OrthoPolyLine +uid 1666,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "79750,36000,83000,52000" +pts [ +"79750,36000" +"83000,36000" +"83000,52000" +] +) +start &33 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1669,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1670,0 +va (VaSet +font "Verdana,12,0" +) +xt "81750,34600,88850,36000" +st "xLowapss" +blo "81750,35800" +tm "WireNameMgr" +) +) +on &41 +) +*62 (Wire +uid 1671,0 +shape (OrthoPolyLine +uid 1672,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55000,8000,62250,36000" +pts [ +"62250,36000" +"55000,36000" +"55000,8000" +] +) +start &35 +end &27 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1675,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1676,0 +va (VaSet +font "Verdana,12,0" +) +xt "56000,34600,62100,36000" +st "xParallel" +blo "56000,35800" +tm "WireNameMgr" +) +) +on &42 +) +*63 (Wire +uid 1687,0 +optionalChildren [ +*64 (BdJunction +uid 1752,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1753,0 +va (VaSet +vasetType 1 +) +xt "44600,37600,45400,38400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1688,0 +va (VaSet +vasetType 3 +) +xt "39750,38000,45000,52000" +pts [ +"39750,38000" +"45000,38000" +"45000,52000" +] +) +start &21 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1691,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1692,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,36700,46000,38100" +st "xSerial" +blo "41000,37900" +tm "WireNameMgr" +) +) +on &40 +) +*65 (Wire +uid 1724,0 +shape (OrthoPolyLine +uid 1725,0 +va (VaSet +vasetType 3 +) +xt "59000,20000,62250,20000" +pts [ +"59000,20000" +"62250,20000" +] +) +end &44 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1728,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1729,0 +va (VaSet +font "Verdana,12,0" +) +xt "59000,18600,62800,20000" +st "clock" +blo "59000,19800" +tm "WireNameMgr" +) +) +on &17 +) +*66 (Wire +uid 1730,0 +shape (OrthoPolyLine +uid 1731,0 +va (VaSet +vasetType 3 +) +xt "59000,22000,62250,22000" +pts [ +"59000,22000" +"62250,22000" +] +) +end &46 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1735,0 +va (VaSet +font "Verdana,12,0" +) +xt "59000,20600,63100,22000" +st "reset" +blo "59000,21800" +tm "WireNameMgr" +) +) +on &16 +) +*67 (Wire +uid 1738,0 +shape (OrthoPolyLine +uid 1739,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "79750,16000,85000,52000" +pts [ +"79750,16000" +"85000,16000" +"85000,52000" +] +) +start &45 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1742,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1743,0 +va (VaSet +font "Verdana,12,0" +) +xt "81750,14700,88850,16100" +st "yLowpass" +blo "81750,15900" +tm "WireNameMgr" +) +) +on &51 +) +*68 (Wire +uid 1746,0 +shape (OrthoPolyLine +uid 1747,0 +va (VaSet +vasetType 3 +) +xt "45000,8000,45000,38000" +pts [ +"45000,38000" +"45000,8000" +] +) +start &64 +end &27 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1750,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1751,0 +ro 270 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43700,9900,45100,14900" +st "xSerial" +blo "44900,14900" +tm "WireNameMgr" +) +) +on &40 +) +*69 (Wire +uid 1756,0 +shape (OrthoPolyLine +uid 1757,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "57000,8000,62250,16000" +pts [ +"62250,16000" +"57000,16000" +"57000,8000" +] +) +start &47 +end &27 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1760,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1761,0 +va (VaSet +font "Verdana,12,0" +) +xt "55250,14700,61350,16100" +st "yParallel" +blo "55250,15900" +tm "WireNameMgr" +) +) +on &52 +) +*70 (Wire +uid 1829,0 +shape (OrthoPolyLine +uid 1830,0 +va (VaSet +vasetType 3 +) +xt "39750,40000,43000,52000" +pts [ +"39750,40000" +"43000,40000" +"43000,52000" +] +) +start &20 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1833,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1834,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,38700,49100,40100" +st "triggerOut" +blo "41000,39900" +tm "WireNameMgr" +) +) +on &53 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *71 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*73 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*75 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*76 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*77 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*78 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*79 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*80 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-1400,-1400,125575,68200" +cachedDiagramExtent "0,0,99000,66400" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 2048,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*82 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*83 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*85 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*86 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*88 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*89 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*91 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*92 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*94 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*95 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*97 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*99 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*101 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,5400,7000,6400" +st "Declarations" +blo "0,6200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6400,3400,7400" +st "Ports:" +blo "0,7200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7400,4800,8400" +st "Pre User:" +blo "0,8200" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8400,21800,15400" +st "constant signalBitNb: positive := 16; +constant phaseBitNb: positive := 17; +constant stepX: positive := 2; +constant stepY: positive := 3; +constant lowpassShiftBitNb: positive := 8; +constant clockFrequency: real := 60.0E6; +--constant clockFrequency: real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15400,9000,16400" +st "Diagram Signals:" +blo "0,16200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,5400,6000,6400" +st "Post User:" +blo "0,6200" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,5400,0,5400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *102 (LEmptyRow +) +uid 727,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*110 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*111 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*112 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*113 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*114 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*115 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 10,0 +) +) +uid 1570,0 +) +*116 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 11,0 +) +) +uid 1572,0 +) +*117 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ySerial" +t "std_ulogic" +o 3 +suid 15,0 +) +) +uid 1764,0 +) +*118 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "xSerial" +t "std_ulogic" +o 6 +suid 17,0 +) +) +uid 1766,0 +) +*119 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "xLowapss" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 18,0 +) +) +uid 1768,0 +) +*120 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "xParallel" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 19,0 +) +) +uid 1770,0 +) +*121 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "yLowpass" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 21,0 +) +) +uid 1772,0 +) +*122 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "yParallel" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 23,0 +) +) +uid 1774,0 +) +*123 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 9 +suid 24,0 +) +) +uid 1835,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 740,0 +optionalChildren [ +*124 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *125 (MRCItem +litem &102 +pos 9 +dimension 20 +) +uid 742,0 +optionalChildren [ +*126 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 743,0 +) +*127 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 744,0 +) +*128 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 745,0 +) +*129 (MRCItem +litem &115 +pos 0 +dimension 20 +uid 1571,0 +) +*130 (MRCItem +litem &116 +pos 1 +dimension 20 +uid 1573,0 +) +*131 (MRCItem +litem &117 +pos 2 +dimension 20 +uid 1765,0 +) +*132 (MRCItem +litem &118 +pos 3 +dimension 20 +uid 1767,0 +) +*133 (MRCItem +litem &119 +pos 4 +dimension 20 +uid 1769,0 +) +*134 (MRCItem +litem &120 +pos 5 +dimension 20 +uid 1771,0 +) +*135 (MRCItem +litem &121 +pos 6 +dimension 20 +uid 1773,0 +) +*136 (MRCItem +litem &122 +pos 7 +dimension 20 +uid 1775,0 +) +*137 (MRCItem +litem &123 +pos 8 +dimension 20 +uid 1836,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 746,0 +optionalChildren [ +*138 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 747,0 +) +*139 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 748,0 +) +*140 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 749,0 +) +*141 (MRCItem +litem &110 +pos 3 +dimension 50 +uid 750,0 +) +*142 (MRCItem +litem &111 +pos 4 +dimension 100 +uid 751,0 +) +*143 (MRCItem +litem &112 +pos 5 +dimension 100 +uid 752,0 +) +*144 (MRCItem +litem &113 +pos 6 +dimension 50 +uid 753,0 +) +*145 (MRCItem +litem &114 +pos 7 +dimension 80 +uid 754,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 741,0 +vaOverrides [ +] +) +] +) +uid 726,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *146 (LEmptyRow +) +uid 756,0 +optionalChildren [ +*147 (RefLabelRowHdr +) +*148 (TitleRowHdr +) +*149 (FilterRowHdr +) +*150 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*151 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*152 (GroupColHdr +tm "GroupColHdrMgr" +) +*153 (NameColHdr +tm "GenericNameColHdrMgr" +) +*154 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*155 (InitColHdr +tm "GenericValueColHdrMgr" +) +*156 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*157 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 768,0 +optionalChildren [ +*158 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *159 (MRCItem +litem &146 +pos 0 +dimension 20 +) +uid 770,0 +optionalChildren [ +*160 (MRCItem +litem &147 +pos 0 +dimension 20 +uid 771,0 +) +*161 (MRCItem +litem &148 +pos 1 +dimension 23 +uid 772,0 +) +*162 (MRCItem +litem &149 +pos 2 +hidden 1 +dimension 20 +uid 773,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 774,0 +optionalChildren [ +*163 (MRCItem +litem &150 +pos 0 +dimension 20 +uid 775,0 +) +*164 (MRCItem +litem &152 +pos 1 +dimension 50 +uid 776,0 +) +*165 (MRCItem +litem &153 +pos 2 +dimension 100 +uid 777,0 +) +*166 (MRCItem +litem &154 +pos 3 +dimension 100 +uid 778,0 +) +*167 (MRCItem +litem &155 +pos 4 +dimension 50 +uid 779,0 +) +*168 (MRCItem +litem &156 +pos 5 +dimension 50 +uid 780,0 +) +*169 (MRCItem +litem &157 +pos 6 +dimension 80 +uid 781,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 769,0 +vaOverrides [ +] +) +] +) +uid 755,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/symbol.sb b/zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/symbol.sb new file mode 100644 index 0000000..4af22fb --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/symbol.sb @@ -0,0 +1,1248 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds/lissajous@generator_test/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds/lissajous@generator_test/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds/lissajous@generator_test" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds/lissajousGenerator_test" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_test" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:27" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lissajous_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Lissajous_test/concat" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Lissajous_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "lissajousGenerator_test" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds/lissajous@generator_test/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds/lissajousGenerator_test/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:27" +) +(vvPair +variable "unit" +value "lissajousGenerator_test" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "22600,14800,30100,15700" +st "Lissajous_test" +blo "22600,15500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "22600,15700,34600,16600" +st "lissajousGenerator_test" +blo "22600,16400" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_tester/interface b/zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_tester/interface new file mode 100644 index 0000000..22c1928 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/lissajous@generator_tester/interface @@ -0,0 +1,1793 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 41,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 35,0 +) +) +uid 684,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 36,0 +) +) +uid 686,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "triggerOut" +t "std_ulogic" +o 9 +suid 37,0 +) +) +uid 688,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "xLowapss" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 38,0 +) +) +uid 690,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "xSerial" +t "std_ulogic" +o 6 +suid 39,0 +) +) +uid 692,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "yLowpass" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 40,0 +) +) +uid 694,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "ySerial" +t "std_ulogic" +o 3 +suid 41,0 +) +) +uid 696,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 103,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 685,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 687,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 689,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 691,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 693,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 695,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 697,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 166,0 +) +*54 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +uid 624,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*55 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *56 (MRCItem +litem &41 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*57 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 132,0 +) +*58 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 133,0 +) +*59 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*60 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 167,0 +) +*61 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 625,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*62 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 136,0 +) +*63 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 137,0 +) +*64 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 138,0 +) +*65 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 139,0 +) +*66 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 140,0 +) +*67 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 141,0 +) +*68 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajousGenerator_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:48:11" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lissajous_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Lissajous_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Lissajous_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "lissajousGenerator_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajousGenerator_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\eda\\MentorGraphics\\ModelSim\\win64" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:48:11" +) +(vvPair +variable "unit" +value "lissajousGenerator_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 649,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 650,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 651,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 652,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10800" +st "clock" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 653,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,62000,6800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 35,0 +) +) +) +*71 (CptPort +uid 654,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 655,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 656,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 657,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,11100" +st "reset" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 658,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,61000,7600" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 36,0 +) +) +) +*72 (CptPort +uid 659,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 660,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46625,5250,47375,6000" +) +tg (CPTG +uid 661,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 662,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "46300,7000,47700,15100" +st "triggerOut" +ju 2 +blo "47500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 663,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,62000,2800" +st "triggerOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "triggerOut" +t "std_ulogic" +o 9 +suid 37,0 +) +) +) +*73 (CptPort +uid 664,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 665,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86625,5250,87375,6000" +) +tg (CPTG +uid 666,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 667,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "86300,7000,87700,14100" +st "xLowapss" +ju 2 +blo "87500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 668,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,73500,3600" +st "xLowapss : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "xLowapss" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 38,0 +) +) +) +*74 (CptPort +uid 669,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 670,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48625,5250,49375,6000" +) +tg (CPTG +uid 671,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 672,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "48300,7000,49700,12000" +st "xSerial" +ju 2 +blo "49500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 673,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,62000,4400" +st "xSerial : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "xSerial" +t "std_ulogic" +o 6 +suid 39,0 +) +) +) +*75 (CptPort +uid 674,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 675,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "88625,5250,89375,6000" +) +tg (CPTG +uid 676,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 677,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "88300,7000,89700,14100" +st "yLowpass" +ju 2 +blo "89500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 678,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,73500,5200" +st "yLowpass : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "yLowpass" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 40,0 +) +) +) +*76 (CptPort +uid 679,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 680,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 681,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 682,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "50300,7000,51700,12000" +st "ySerial" +ju 2 +blo "51500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 683,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,62000,6000" +st "ySerial : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "ySerial" +t "std_ulogic" +o 3 +suid 41,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,95000,14000" +) +oxt "15000,6000,93000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "49650,9000,55850,10000" +st "Lissajous_test" +blo "49650,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "49650,10000,60350,11000" +st "lissajousGenerator_tester" +blo "49650,10800" +) +) +gi *77 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "28000,6000,46100,10800" +st "Generic Declarations + +signalBitNb positive 16 +clockFrequency real 60.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*78 (Grouping +uid 16,0 +optionalChildren [ +*79 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,50500,32200,50500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "49200,46500,49200,46500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "28200,48500,28200,48500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "49200,47200,63300,48400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,46500,53200,46500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "33350,46400,43650,47600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "28200,49500,28200,49500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "28200,50500,28200,50500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,49500,32200,49500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *89 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +uid 49,0 +va (VaSet +font "Arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*91 (MLText +uid 50,0 +va (VaSet +) +xt "0,900,17500,4500" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "59,33,1075,723" +viewArea "-500,-500,85152,57543" +cachedDiagramExtent "0,0,95000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Lissajous_test" +entityName "lissajousGenerator_test" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *92 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "0,12000,12700,13200" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *93 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,7600,44400,8600" +st "User:" +blo "42000,8400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +) +xt "44000,8600,44000,8600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 697,0 +activeModelName "Symbol:GEN" +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds.hdp b/zz-solutions/04-Lissajous/Prefs/hds.hdp new file mode 100644 index 0000000..9eb87ee --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds.hdp @@ -0,0 +1,46 @@ +[Concat] +Board = $HDS_PROJECT_DIR/../Board/concat +[ModelSim] +Board = $SCRATCH_DIR/Board +DigitalToAnalogConverter = $SCRATCH_DIR/DigitalToAnalogConverter +DigitalToAnalogConverter_test = $SCRATCH_DIR/DigitalToAnalogConverter_test +Lattice = $SCRATCH_DIR/Lattice +Lissajous = $SCRATCH_DIR/Lissajous +Lissajous_test = $SCRATCH_DIR/Lissajous_test +SplineInterpolator = $SCRATCH_DIR/SplineInterpolator +SplineInterpolator_test = $SCRATCH_DIR/SplineInterpolator_test +WaveformGenerator = $SCRATCH_DIR/WaveformGenerator +WaveformGenerator_test = $SCRATCH_DIR/WaveformGenerator_test +[hdl] +Board = $HDS_PROJECT_DIR/../Board/hdl +DigitalToAnalogConverter = $HDS_PROJECT_DIR/../../03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl +DigitalToAnalogConverter_test = $HDS_PROJECT_DIR/../../03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl +ieee = $HDS_HOME/hdl_libs/ieee/hdl +Lattice = $HDS_PROJECT_DIR/../../Libs/Lattice/hdl +Lissajous = $HDS_PROJECT_DIR/../Lissajous/hdl +Lissajous_test = $HDS_PROJECT_DIR/../Lissajous_test/hdl +SplineInterpolator = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator/hdl +SplineInterpolator_test = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator_test/hdl +std = $HDS_HOME/hdl_libs/std/hdl +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hdl +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hdl +[hds] +Board = $HDS_PROJECT_DIR/../Board/hds +DigitalToAnalogConverter = $HDS_PROJECT_DIR/../../03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds +DigitalToAnalogConverter_test = $HDS_PROJECT_DIR/../../03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds +ieee = $HDS_HOME/hdl_libs/ieee/hds +Lattice = $HDS_PROJECT_DIR/../../Libs/Lattice/hds +Lissajous = $HDS_PROJECT_DIR/../Lissajous/hds +Lissajous_test = $HDS_PROJECT_DIR/../Lissajous_test/hds +SplineInterpolator = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator/hds +SplineInterpolator_test = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator_test/hds +std = $HDS_HOME/hdl_libs/std/hds +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hds +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hds +[hds_settings] +design_root = Board.lissajousGenerator_circuit_EBS3(masterVersion)lissajous@generator_circuit_@e@b@s3/master@version.bd +[library_type] +ieee = standard +std = standard +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/zz-solutions/04-Lissajous/Prefs/hds_team/shared.hdp b/zz-solutions/04-Lissajous/Prefs/hds_team/shared.hdp new file mode 100644 index 0000000..41c9387 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_team/shared.hdp @@ -0,0 +1,23 @@ +[hds_settings] +version = 1 +project_description = The standard HDS shared project +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +synopsys = $HDS_HOME/hdl_libs/synopsys/hds +verilog = $HDS_HOME/hdl_libs/verilog/hds +vital2000 = $HDS_HOME/hdl_libs/vital2000/hds + +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +synopsys = $HDS_HOME/hdl_libs/synopsys/hdl +verilog = $HDS_HOME/hdl_libs/verilog/hdl +vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl + +[library_type] +ieee = standard +std = standard +synopsys = standard +verilog = standard +vital2000 = standard diff --git a/zz-solutions/04-Lissajous/Prefs/hds_team/v2018.1/hds_team_prefs b/zz-solutions/04-Lissajous/Prefs/hds_team/v2018.1/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_team/v2018.1/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/04-Lissajous/Prefs/hds_team/v2018.1/title_block.tmpl b/zz-solutions/04-Lissajous/Prefs/hds_team/v2018.1/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_team/v2018.1/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.2/hds_team_prefs b/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.2/title_block.tmpl b/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.3/hds_team_prefs b/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.3/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.3/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.3/title_block.tmpl b/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.3/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.3/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/hds_user_prefs b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/hds_user_prefs new file mode 100644 index 0000000..832b396 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/hds_user_prefs @@ -0,0 +1,6075 @@ +version "49.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Atom" +second "atom %(p):%(l)" +) +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl %(p):%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl '%(p)':%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Sublime" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Favorites/ElN_local/Labs/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs_SI/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/04-Lissajous/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/SEm_labs/04-Lissajous/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"\"USER:Xilinx Project Navigator\"" +] +tasksMenubarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +"\"USER:Prepare for Synthesis\"" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" \"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Update Project\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "899x918-9+1129" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous" +showingHierarchy 0 +openLibs [ +"Lissajous" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous_test" +showingHierarchy 0 +openLibs [ +"Lissajous_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 152 +yPos 1163 +width 1066 +height 937 +activeSidePanelTab 2 +activeLibraryTab 3 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserXpos 59 +componentBrowserYpos 18 +componentBrowserWidth 413 +componentBrowserHeight 846 +componentBrowserLibraryNames [ +"PHA" +"gates" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk new file mode 100644 index 0000000..0b7cc38 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..ef38408 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/chronometer.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..4eeef16 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..6cd6247 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,114 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "/usr/opt/HDS/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update Project" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilinx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$SYNTHESIS_BASE_DIR/../../Scripts/update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR/$DESIGN_NAME.vhd $CONCAT_DIR/$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$SYNTHESIS_HOME/bin/lin64/ise" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exePath" +"/usr/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/hds_user_prefs b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/hds_user_prefs new file mode 100644 index 0000000..9186cf1 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/hds_user_prefs @@ -0,0 +1,6831 @@ +version "48.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "FinePrint" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Letter Small" +type 2 +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A4 Small" +type 10 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "Folio" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "Quarto" +type 15 +width 780 +height 997 +) +(PageSizeInfo +name "10x14" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Note" +type 18 +width 783 +height 1013 +) +(PageSizeInfo +name "Envelope #9" +type 19 +width 357 +height 817 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope #11" +type 21 +width 414 +height 956 +) +(PageSizeInfo +name "Envelope #12" +type 22 +width 437 +height 1013 +) +(PageSizeInfo +name "Envelope #14" +type 23 +width 460 +height 1059 +) +(PageSizeInfo +name "C size sheet" +type 24 +width 1566 +height 2027 +) +(PageSizeInfo +name "D size sheet" +type 25 +width 2027 +height 3133 +) +(PageSizeInfo +name "E size sheet" +type 26 +width 3133 +height 4055 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope C3" +type 29 +width 1175 +height 1661 +) +(PageSizeInfo +name "Envelope C4" +type 30 +width 830 +height 1175 +) +(PageSizeInfo +name "Envelope C6" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Envelope C65" +type 32 +width 413 +height 830 +) +(PageSizeInfo +name "Envelope B4" +type 33 +width 907 +height 1280 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope B6" +type 35 +width 453 +height 638 +) +(PageSizeInfo +name "Envelope Italy" +type 36 +width 399 +height 834 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "6 3/4 Envelope" +type 38 +width 333 +height 599 +) +(PageSizeInfo +name "US Std Fanfold" +type 39 +width 1013 +height 1370 +) +(PageSizeInfo +name "German Std Fanfold" +type 40 +width 783 +height 1105 +) +(PageSizeInfo +name "German Legal Fanfold" +type 41 +width 783 +height 1198 +) +(PageSizeInfo +name "B4 (ISO)" +type 42 +width 907 +height 1280 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "9x11" +type 44 +width 829 +height 1013 +) +(PageSizeInfo +name "10x11" +type 45 +width 921 +height 1013 +) +(PageSizeInfo +name "11x15" +type 46 +width 1013 +height 1382 +) +(PageSizeInfo +name "Envelope Invite" +type 47 +width 798 +height 798 +) +(PageSizeInfo +name "Letter Extra" +type 50 +width 875 +height 1105 +) +(PageSizeInfo +name "Legal Extra" +type 51 +width 875 +height 1382 +) +(PageSizeInfo +name "Tabloid Extra" +type 52 +width 1077 +height 1658 +) +(PageSizeInfo +name "A4 Extra" +type 53 +width 854 +height 1169 +) +(PageSizeInfo +name "Letter Transverse" +type 54 +width 783 +height 1013 +) +(PageSizeInfo +name "A4 Transverse" +type 55 +width 761 +height 1077 +) +(PageSizeInfo +name "Letter Extra Transverse" +type 56 +width 875 +height 1105 +) +(PageSizeInfo +name "SuperA/SuperA/A4" +type 57 +width 823 +height 1291 +) +(PageSizeInfo +name "SuperB/SuperB/A3" +type 58 +width 1106 +height 1767 +) +(PageSizeInfo +name "Letter Plus" +type 59 +width 783 +height 1169 +) +(PageSizeInfo +name "A4 Plus" +type 60 +width 761 +height 1197 +) +(PageSizeInfo +name "A5 Transverse" +type 61 +width 536 +height 761 +) +(PageSizeInfo +name "B5 (JIS) Transverse" +type 62 +width 660 +height 932 +) +(PageSizeInfo +name "A3 Extra" +type 63 +width 1168 +height 1614 +) +(PageSizeInfo +name "A5 Extra" +type 64 +width 631 +height 852 +) +(PageSizeInfo +name "B5 (ISO) Extra" +type 65 +width 729 +height 1001 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "A3 Transverse" +type 67 +width 1077 +height 1523 +) +(PageSizeInfo +name "A3 Extra Transverse" +type 68 +width 1168 +height 1614 +) +(PageSizeInfo +name "FinePrint 2 Seiten Letter" +type 257 +width 783 +height 1210 +) +(PageSizeInfo +type 256 +width 0 +height 0 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x867+1228+98" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 297 +yPos 173 +width 1070 +height 838 +activeSidePanelTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/generate.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..4490ea2 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR\\IND.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs new file mode 100644 index 0000000..dc45370 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs @@ -0,0 +1,6853 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\04-Lissajous\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\04-Lissajous\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\04-Lissajous\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +pageSizes [ +(PageSizeInfo +name "12\" x 18\"" +type 512 +width 1106 +height 1658 +) +(PageSizeInfo +name "11\" x 17\"" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal (8,5\" x 14\")" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Letter (8,5\" x 11\")" +width 783 +height 1013 +) +(PageSizeInfo +name "Executive (7,25\"x10,5\")" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "5,5\" x 8,5\"" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "A3 (297 x 420 mm)" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4 (210 x 297 mm)" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5 (148 x 210 mm)" +type 11 +width 538 +height 761 +) +(PageSizeInfo +name "A6 (105 x 148 mm)" +type 70 +width 380 +height 538 +) +(PageSizeInfo +name "B4 JIS (257 x 364 mm)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 JIS (182 x 257 mm)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "B6 JIS (128 x 182 mm)" +type 88 +width 464 +height 660 +) +(PageSizeInfo +name "8\" x 13\"" +type 518 +width 737 +height 1198 +) +(PageSizeInfo +name "8,25\" x 13\"" +type 519 +width 760 +height 1198 +) +(PageSizeInfo +name "8,5\" x 13\"" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "8.5\" x 13.4\"" +type 551 +width 783 +height 1235 +) +(PageSizeInfo +name "Com10 Env.(4,125\"x9,5\")" +type 20 +width 380 +height 875 +) +(PageSizeInfo +name "Env.Monar.(3,875\"x7,5\")" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Env. DL (110 x 220 mm)" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Env. C6 (114 x 162 mm)" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Env. C5 (162 x 229 mm)" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "8K (267 x 390 mm)" +type 520 +width 968 +height 1415 +) +(PageSizeInfo +name "16K (195 x 267 mm)" +type 521 +width 707 +height 968 +) +(PageSizeInfo +name "8,25\" x 14\"" +type 522 +width 760 +height 1290 +) +(PageSizeInfo +name "11\" x 14\"" +type 524 +width 1013 +height 1290 +) +(PageSizeInfo +name "13\" x 19,2\"" +type 525 +width 1198 +height 1769 +) +(PageSizeInfo +name "13\" x 19\"" +type 526 +width 1198 +height 1751 +) +(PageSizeInfo +name "12,6\" x 19,2\"" +type 527 +width 1161 +height 1769 +) +(PageSizeInfo +name "12,6\" x 18,5\"" +type 528 +width 1161 +height 1704 +) +(PageSizeInfo +name "13\" x 18\"" +type 529 +width 1198 +height 1658 +) +(PageSizeInfo +name "10\" x 14\"" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "10\" x 15\"" +type 546 +width 921 +height 1382 +) +(PageSizeInfo +name "11\" x 15\"" +type 539 +width 1013 +height 1382 +) +(PageSizeInfo +name "SRA3 (320 x 450 mm)" +type 530 +width 1161 +height 1632 +) +(PageSizeInfo +name "SRA4 (225 x 320 mm)" +type 531 +width 816 +height 1161 +) +(PageSizeInfo +name "Format papier personnalisé" +type 256 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size1(215,9 x 279,4 mm)" +type 257 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size2(215,9 x 279,4 mm)" +type 258 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size3(215,9 x 279,4 mm)" +type 259 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size4(215,9 x 279,4 mm)" +type 260 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size5(215,9 x 279,4 mm)" +type 261 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size6(215,9 x 279,4 mm)" +type 262 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size7(215,9 x 279,4 mm)" +type 263 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size8(215,9 x 279,4 mm)" +type 264 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size9(215,9 x 279,4 mm)" +type 265 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size10(215,9 x 279,4 mm)" +type 266 +width 783 +height 1013 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x619+-1073+193" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous" +showingHierarchy 0 +openLibs [ +"Lissajous" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous_test" +showingHierarchy 0 +openLibs [ +"Lissajous_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1936 +height 1056 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"Board" +"Lattice" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk new file mode 100644 index 0000000..43e7dbe --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Diamond Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Diamond synthesis Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.ldf" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Diamond project .prjx file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_diamond.pl $DESIGN_NAME.ldf $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.lpf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$DIAMOND_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Diamond Project IDE" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Diamond tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\start_diamond.pl $DESIGN_NAME.ldf" +"captureOutput" +"1" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$LIBERO_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/generate.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..7a0938e --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/lissajousGenerator.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/zz-solutions/04-Lissajous/Scripts/.gitlab-ci.yml b/zz-solutions/04-Lissajous/Scripts/.gitlab-ci.yml new file mode 100644 index 0000000..9d1d7af --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/.gitlab-ci.yml @@ -0,0 +1,53 @@ +stages: + - deploy + +image: alpine:latest + +scripts: + stage: deploy + rules: + - if: '$CI_COMMIT_BRANCH == $CI_DEFAULT_BRANCH' + changes: + - deployScriptsAll.bash + - deployScripts.bash + - /*.{pl} + - when: manual + + before_script: + - echo "install additional packages" + - apk update + - apk upgrade + - echo "Install Bash" + - apk add bash + - echo "Install Core Utils" + - apk add coreutils + - echo "Install Git" + - apk add git + - echo "Set Git credentials" + - git config --global user.email "silvan.zahno@hevs.ch" + - git config --global user.name "Silvan Zahno" + - echo "Setting up deploy-eda_scripts environment" + + script: + - bash ./deployScriptsAll.bash + +libs_trigger: + stage: deploy + rules: + - if: '$CI_COMMIT_BRANCH == $CI_DEFAULT_BRANCH' + changes: + - deployLibsAll.bash + - deployLibs.bash + - when: manual + variables: + GIT_CHECKOUT: "false" + + before_script: + - echo "install additional packages" + - apk update + - apk upgrade + - echo "Install Curl" + - apk add curl + + script: + - 'curl -X POST --fail -F token=glptt-0235c37f03e3060733df7d1151555fd0c63d0adb -F ref=master "https://gitlab.hevs.ch/api/v4/projects/762/trigger/pipeline"' diff --git a/zz-solutions/04-Lissajous/Scripts/LICENSE b/zz-solutions/04-Lissajous/Scripts/LICENSE new file mode 100644 index 0000000..fdf8bfa --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/LICENSE @@ -0,0 +1,11 @@ +All Rights Reserved + +Copyright (c) 2019 - HES-SO Valais Wallis + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN +THE SOFTWARE. \ No newline at end of file diff --git a/zz-solutions/04-Lissajous/Scripts/README.md b/zz-solutions/04-Lissajous/Scripts/README.md new file mode 100644 index 0000000..618a38f --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/README.md @@ -0,0 +1,238 @@ +

+
+ EDA scripts Logo +
+ Hevs EDA Scripts +
+

+ +

Common Files and Scripts for ELN Laboratories practical sessions.

+ +[![pipeline status](https://gitlab.hevs.ch/course/ElN/eda_scripts/badges/master/pipeline.svg)](https://gitlab.hevs.ch/course/ElN/eda_scripts/commits/master) +[![coverage report](https://gitlab.hevs.ch/course/ElN/eda_scripts/badges/master/coverage.svg)](https://gitlab.hevs.ch/course/ElN/eda_scripts/commits/master) + +# Table of contents +
+ +## Description +[(Back to top)](#table-of-contents) + +These scripts can be used as for: +**Git Repos** +* [EDA_libs](https://gitlab.hevs.ch/course/ElN/eda_libs.git) +* [ELN_labs](https://gitlab.hevs.ch/course/ElN/eln_labs.git) +* [SEm_exams](https://gitlab.hevs.ch/course/SEm/exams.git) +* [ELN_chrono](https://gitlab.hevs.ch/course/ElN/eln_chrono.git) +* [ELN_cursor](https://gitlab.hevs.ch/course/ElN/eln_cursor.git) +* [ELN_kart](https://gitlab.hevs.ch/course/ElN/eln_kart.git) +* [ELN_inverter](https://gitlab.hevs.ch/course/ElN/eln_inverter.git) +* [ELN_synchro](https://gitlab.hevs.ch/course/ElN/eln_synchro.git) +* [SEm_labs](https://gitlab.hevs.ch/course/SEm/sem_labs.git) +* [ELN-kart](https://gitlab.hevs.ch/course/ElN/eln_kart_sodimm200.git) +* [ELN-display](https://gitlab.hevs.ch/course/ElN/eln_display.git) + +**SVN Repos** +* [CanSat](https://repos.hevs.ch/svn/eda/VHDL/labs/CanSat) (TODO) :rotating_light: +* [ELN_support](https://repos.hevs.ch/svn/eda/VHDL/labs/ELN_support) (TODO) :rotating_light: +* [EPTM_AudioAmp](https://repos.hevs.ch/svn/eda/VHDL/labs/AudioAmp) (TODO) :rotating_light: +* [EPTM_Radio](https://repos.hevs.ch/svn/eda/VHDL/labs/EPTM_radio) (TODO) :rotating_light: +* ... + +### Files +**Linux** +* ``changeDefaultViews.bash`` - Changes RTL <=> studentVersion + * Usage master => student: ``changeDefaultViews.bash -v -a masterVersion -n studentVersion`` + * Usage master => student: ``changeDefaultViews.bash -v -a master@version -n student@version`` + * Usage student => master: ``changeDefaultViews.bash -v -a studentVersion -n masterVersion`` + * Usage student => master: ``changeDefaultViews.bash -v -a student@version -n master@version`` + * Note: use the ``-r`` otion to also delete the specified actual_view +* ``cleanGenerated.bash`` - Deletes all intermediate files + * Usage: ``./cleanGenerated.bash`` +* ``hdlDesigner.bash`` - Main script for starting HDL-Designer +* ``deployLibs.bash`` - Execution from eln_libs repo, copy required libraries to student repo and commit/push it. + * Usage: ``./Scripts/deployLibs.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git`` +* ``deployLibsAll.bash`` - Execution from eln_libs repo, copy required libraries to all student repo's and commit/push it. + * Usage: ``./Scripts/deployLibsAll.bash`` +* ``deployLab.bash`` - Execution from Laborepo, copy required laboratory files to student repo and commits/push it. + * Usage: ``./Scripts/deployLab.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git`` +* ``deployScripts.bash`` - Copy required scripts to student repo and commits/push it. + * Usage: ``./deployScripts.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git`` +* ``deployScriptsAll.bash`` - Execution from eln_scripts repo, copy required scripts to all student repo's and commit/push it. + * Usage: ``./deployScriptsAll.bash`` + +**Windows** +* ``cleanGenerated.bat`` - Deletes all intermediate files + * Usage: ``./cleanGenerated.bat`` +* ``cleanScratch.bat`` - Delete scratch directory + * Usage: +``` bash +set SCRATCH_DIR=C:\temp\eda\%username% +./cleanScratch.bat +``` + +* ``hdlDesigner.bat`` - Main script for starting HDL-Designer +* ``searchPaths.bat`` - Search for required Libraries and tools + * Usage: +``` bash +:: Define required Tools to be present +set REQUIRE_LIBS=1 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 +set REQUIRE_ISE=1 +set REQUIRE_LIBERO=0 +set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries +set HDS_HOME=C:\eda\MentorGraphics\HDS +set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +set ISE_VERSION=14.7 +set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +set LIBERO_HOME=C:\eda\Microsemi\Libero +set design_name=eln_labs +./searchPaths.bat +``` + +**Perl Scripts** +Perl scripts are used to launch different tasks from HDL-Designer +* ``trimLibs.pl`` - Comment regular libraries in an concatenated file + * Parameter : ``trimlibs.pl `` +* ``update_ise.pl`` - + * Parameter : ``update_ise.pl `` +* ``update_libero.pl`` - + * Parameter : ``update_libero.pl `` +* ``start_libero.pl`` - + * Parameter : ``start_libero.pl `` + +## How To Use +[(Back to top)](#table-of-contents) + +To clone and run this application, you'll need [Git](https://git-scm.com) installed on your computer. +This repo is normally used as submodule to the laboratories and projects. + +To deploy the studentVersion to github cd to root of Labor master repo: +```bash +cd eln_labs +./Scripts/deployLab.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git +./Scripts/deployLab.bash -v -p ete_eln_labs -r https://github.com/hei-ete-8132-eln/eln_labs.git +./Scripts/deployLab.bash -v -p isc-eln-labs -r https://github.com/hei-isc-eln/eln-labs.git + +cd sem_labs +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 01-WaveformGenerator +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 02-SplineInterpolator +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 03-DigitalToAnalogConverter +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 04-Lissajous +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 05-Morse +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 06-07-08-09-SystemOnChip +./Scripts/deployLab.bash -v -p sem_labs -r sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git -d 10-PipelinedOperators + +cd eln_chrono +./Scripts/deployLab.bash -v -p eln_chrono -r https://github.com/hei-synd-2131-eln/eln_chrono.git + +cd eln_cursor +./Scripts/deployLab.bash -v -p eln_cursor -r https://github.com/hei-synd-2131-eln/eln_cursor.git + +cd eln-display +./Scripts/deployLab.bash -v -p eln-display -r https://github.com/hei-isc-eln/eln-display.git + +cd eln-kart +./Scripts/deployLab.bash -v -p eln-kart -r https://github.com/hei-synd-2131-eln/eln-kart.git +``` + +To deploy the Libraries to github cd to root of Libs master repo: +```bash +./Scripts/deployLibs.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git +./Scripts/deployLibs.bash -v -p ete_eln_labs -r https://github.com/hei-ete-8132-eln/eln_labs.git +./Scripts/deployLibs.bash -v -p isc_eln_labs -r https://github.com/hei-isc-eln/eln-labs.git +./Scripts/deployLibs.bash -v -p sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git +./Scripts/deployLibs.bash -v -p eln_chrono -r https://github.com/hei-synd-2131-eln/eln_chrono.git +./Scripts/deployLibs.bash -v -p eln_cursor -r https://github.com/hei-synd-2131-eln/eln_cursor.git +./Scripts/deployLibs.bash -v -p eln_kart -r https://github.com/hei-synd-2131-eln/eln_kart.git +./Scripts/deployLibs.bash -v -p eln_inverter -r https://github.com/hei-synd-2131-eln/eln_inverter.git +./Scripts/deployLibs.bash -v -p eln_synchro -r https://github.com/hei-synd-2131-eln/eln_synchro.git +./Scripts/deployLibs.bash -v -p eln-kart -r https://github.com/hei-synd-2131-eln/eln-kart.git +./Scripts/deployLibs.bash -v -p eln-display -r https://github.com/hei-isc-eln/eln-display.git +# or +./Scripts/deployLibsAll.bash +``` + +To deploy the Scripts to github cd root of Scripts master Repo +```bash +./deployScripts.bash -v -p synd_eln_labs -r https://github.com/hei-synd-2131-eln/eln_labs.git +./deployScripts.bash -v -p ete_eln_labs -r https://github.com/hei-ete-8132-eln/eln_labs.git +./deployScripts.bash -v -p isc_eln_labs -r https://github.com/hei-isc-eln/eln-labs.git +./deployScripts.bash -v -p sem_labs -r https://github.com/hei-synd-225-sem/sem_labs.git +./deployScripts.bash -v -p eln_chrono -r https://github.com/hei-synd-2131-eln/eln_chrono.git +./deployScripts.bash -v -p eln_cursor -r https://github.com/hei-synd-2131-eln/eln_cursor.git +./deployScripts.bash -v -p eln_kart -r https://github.com/hei-synd-2131-eln/eln_kart.git +./deployScripts.bash -v -p eln_inverter -r https://github.com/hei-synd-2131-eln/eln_inverter.git +./deployScripts.bash -v -p eln_synchro -r https://github.com/hei-synd-2131-eln/eln_synchro.git +./deployScripts.bash -v -p eln-kart -r https://github.com/hei-synd-2131-eln/eln-display.git +./deployScripts.bash -v -p eln-display -r https://github.com/hei-isc-eln/eln-display.git +# or +./deployScriptsAll.bash +``` + +
+![eln labs deployment](img/eln_labs_deployment-staff.png) +
+ +### Download +```bash +# Clone repo including submodules +git clone --recursive +``` + +### Pull changes repo and submodules +```bash +# Pull all changes in the repo including changes in the submodules (of given commit) +git pull --recurse-submodules +``` + +#### Update to latest commit +Update submodule to latest commit and update parentrepo +```bash +# Update submodule to latest commit +git submodule update --remote --merge + +# Afterwared you need to commit in the parentrepo the new pointer to the new commit in the submodule +git commit -am "Update submodule to latest commit" +``` + +### Add submodule +If the folder ``Scripts`` already exists, delete it and commit these changes. +Add submodule and define the master branch as the one you want to track +```bash +git submodule add -b master +git submodule add -b master https://gitlab.hevs.ch/course/ElN/eda_scripts.git Scripts +git submodule add -b master https://gitlab.hevs.ch/course/ElN/eda_libs.git Libs + +git submodule init + +git submodule update +``` + +## Credits +[(Back to top)](#table-of-contents) +* COF +* PRC +* ZAS +* AMA + +## License +[(Back to top)](#table-of-contents) + +:copyright: [All rights reserved](LICENSE) + +--- + +## Find us on +> Website [hevs.ch](https://www.hevs.ch)  ·  +> LinkedIn [HES-SO Valais-Wallis](https://www.linkedin.com/groups/104343/)  ·  +> Youtube [HES-SO Valais-Wallis](https://www.youtube.com/user/HESSOVS) +> Twitter [@hessovalais](https://twitter.com/hessovalais)  ·  +> Facebook [@hessovalais](https://www.facebook.com/hessovalais)  ·  \ No newline at end of file diff --git a/zz-solutions/04-Lissajous/Scripts/changeDefaultViews.bash b/zz-solutions/04-Lissajous/Scripts/changeDefaultViews.bash new file mode 100644 index 0000000..be6fa62 --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/changeDefaultViews.bash @@ -0,0 +1,94 @@ +#!/bin/bash + +#================================================================================ +# changeDefaultViews.bash - change HDL Project views +# * Usage master => student: ``changeDefaultViews.bash -v -a masterVersion -n studentVersion`` +# * Usage student => master: ``changeDefaultViews.bash -v -a studentVersion -n masterVersion`` +# * Usage: add ``-r`` for deleting the specified actual view **dangerous** +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +project_directory='' +actual_view='masterVersion' +new_view='studentVersion' + +usage='Usage: changeDefaultViews.bash [-p projectDir] [-r] [-v] [-h]' +while getopts 'p:a:n:rvh' options; do + case $options in + p ) project_directory=$OPTARG;; + a ) actual_view=$OPTARG;; + n ) new_view=$OPTARG;; + r ) delete_actual_view=1;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done +if [ -z "$project_directory" ]; then + project_directory="$base_directory" +else + project_directory="$base_directory/$project_directory" +fi + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "Changing default views for HDL Designer" + echo "${INDENT}in $project_directory" + echo "${INDENT}from $actual_view to $new_view" + if [ -n "$delete_actual_view" ] ; then + echo "Delete all $actual_view in $project_directory" + fi +fi + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +./cleanGenerated.bash + +#------------------------------------------------------------------------------- +# Change views in configuration files +# +find $project_directory -type f -name '*._epf' \ + | xargs sed -i "s/$actual_view/$new_view/g" + +#------------------------------------------------------------------------------- +# Remove all acutal views File +# +if [ -n "$delete_actual_view" ] ; then + project_directory=`realpath $project_directory` + echo $project_directory + echo "Delete $actual_view" + find $project_directory/ -type f -iname "*$actual_view*" -exec echo "rm {}" \; + find $project_directory/ -type f -iname "*$actual_view*" -exec rm {} \; + # For HDL Designer file naming convention + # making uppercase letters to @lowercase masterVersion = master@version + actual_view="$(sed -E s/\([A-Z]\)/@\\L\\1/g <<< $actual_view)" + find $project_directory/ -type f -name "*$actual_view*" -exec echo "rm {}" \; + find $project_directory/ -type f -name "*$actual_view*" -exec rm {} \; + +fi + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/04-Lissajous/Scripts/cleanGenerated.bash b/zz-solutions/04-Lissajous/Scripts/cleanGenerated.bash new file mode 100644 index 0000000..ca088c6 --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/cleanGenerated.bash @@ -0,0 +1,38 @@ +#!/bin/bash + +#================================================================================ +# cleanGenerated.bash - Clean intermediate files form folder +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +find $base_directory -type f -name '.cache.dat' | xargs -r rm -v +find $base_directory -type f -name '*.bak' | xargs -r rm -v +find $base_directory -type f -name '*.lck' | xargs -r rm -v +find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v +find $base_directory -type f -name 'default_view' | xargs -r rm -v +find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v +find $base_directory -type f -name '*.vhg' | xargs -r rm -v +find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v +find $base_directory -type d -name '.xrf' | xargs -r rm -Rv + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd diff --git a/zz-solutions/04-Lissajous/Scripts/cleanGenerated.bat b/zz-solutions/04-Lissajous/Scripts/cleanGenerated.bat new file mode 100644 index 0000000..0084edb --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/cleanGenerated.bat @@ -0,0 +1,42 @@ +::============================================================================== +:: cleanGenerated.bat +:: Clean intermediate files from folder +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. +::------------------------------------------------------------------------------ +:: Delete intermediate files +:: +set base_directory="%cmd_location:"=%.." + +echo "Delete intermediate files in: %base_directory%" +del /f /s /a %base_directory%\*cache.dat +del /f /s /a %base_directory%\*.bak +del /f /s /a %base_directory%\*.lck +del /f /s /a %base_directory%\*.vhd.info +del /f /s /a %base_directory%\default_view +del /f /s /a %base_directory%\*_entity.vhd +del /f /s /a %base_directory%\*_struct.vhd +del /f /s /a %base_directory%\*_fsm.vhd +del /f /s /a %base_directory%\*.vhg +del /f /s /a %base_directory%\*.DS_Store + +echo "Delete intermediate directories in: %base_directory%" +for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a" + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/zz-solutions/04-Lissajous/Scripts/cleanScratch.bat b/zz-solutions/04-Lissajous/Scripts/cleanScratch.bat new file mode 100644 index 0000000..098bf97 --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/cleanScratch.bat @@ -0,0 +1,39 @@ +::============================================================================== +:: cleanScratch.bat +:: Clean scratch directory +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +if "%SCRATCH_DIR%" == "" ( + set SCRATCH_DIR=C:\temp\eda\ +) + +echo Delete scratch directory %SCRATCH_DIR% +if exist %SCRATCH_DIR% ( + echo Scratch directory found, deleting! + rmdir /S /Q "%SCRATCH_DIR%" +) else ( + echo Scratch directory not found! +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof \ No newline at end of file diff --git a/zz-solutions/04-Lissajous/Scripts/deployLab.bash b/zz-solutions/04-Lissajous/Scripts/deployLab.bash new file mode 100644 index 0000000..10652b0 --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/deployLab.bash @@ -0,0 +1,559 @@ +#!/bin/bash + +#================================================================================ +# deployLab.bash - updates github repos for individual labos +# Example usage 01: ./Scripts/deployLab.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +# Example usage 02: ./Scripts/deployLab.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +# Example usage 03: ./Scripts/deployLab.bash -v -p isc-did-labs -r https://github.com/hei-isc-eln/did-labs.git +# Example usage 04: ./Scripts/deployLab.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +# Example usage 05: ./Scripts/deployLab.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +# Example usage 06: ./Scripts/deployLab.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +# Example usage 07: ./Scripts/deployLab.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +# Example usage 08: ./Scripts/deployLab.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +# Example usage 09: ./Scripts/deployLab.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git + +# Example usage 10: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 01-WaveformGenerator +# Example usage 11: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 01-WaveformGenerator +# Example usage 12: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 02-SplineInterpolator +# Example usage 13: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 03-DigitalToAnalogConverter +# Example usage 14: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 04-Lissajous +# Example usage 15: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 05-Morse +# Example usage 16: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 06-07-08-09-SystemOnChip +# Example usage 17: ./Scripts/deployLab.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 10-PipelinedOperators + +# Example usage 18: ./Scripts/deployLab.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git -s 1 +# Example usage 19: ./Scripts/deployLab.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Parse command line options +# +project='synd-did-labs' +repo='https://github.com/hei-synd-did/did-labs.git' +dir='01-StepperMotor' +sourceDirUp=0 + +usage='Usage: deployLab.bash [-p projectName] [-r repourl] [-d directory] [-s sourceDirUp] [-v] [-h]' +while getopts 'p:r:d:s:vh' options; do + case $options in + p ) project=$OPTARG;; + r ) repo=$OPTARG;; + d ) dir=$OPTARG;; + s ) sourceDirUp=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "-- $DATE: Deploy Laboratory for Students" + echo "${INDENT}for $project" + echo "${INDENT}to $repo" + echo "${INDENT}in $dir" + echo "" +fi + +#------------------------------------------------------------------------------- +# Clean current repo +# +echo "Clean parent repo from intermediate files" +./cleanGenerated.bash + +#------------------------------------------------------------------------------- +# Clone student repo +# +# Create a tmp subdirectory if it doesn't exist +echo "Create tmp folder" +mkdir -p tmp +cd tmp + +# Get repo +echo "Clone student repo $project" +# Add login and access token to url +repo_access=$(echo $repo | sed 's/https\?:\/\///') +github_username=tschinz +github_accesstoken=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 +repo_access="https://$github_username:$github_accesstoken@${repo_access}" +git clone $repo_access +if [ "$project" == "synd-did-labs" ]; then + cd did-labs +elif [ "$project" == "ete-did-labs" ]; then + cd did-labs +elif [ "$project" == "isc-did-labs" ]; then + cd did-labs +else + cd $project +fi + +repo_dest=`realpath "./"` +repo_source="./" +# If Scripts folder is at root of project, needs to get up 3 times (scripts, project name, tmp) +# If more is needed (i.e. see car-labs structure), can be added with -s switch +for ((i=0;i<$sourceDirUp+3;i++)); do + repo_source="$repo_source/.." +done +repo_source=`realpath "$repo_source"` + +echo "Update files in student repo $project" +# Copy needed files per project +if [ "$project" == "synd-did-labs" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + rm -v -f ./Num/hdl/sinewaveGenerator_comb.vhd + +elif [ "$project" == "ete-did-labs" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + rm -v -f ./Num/hdl/sinewaveGenerator_comb.vhd + +elif [ "$project" == "isc-did-labs" ]; then + # copy root files except some specific ones + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + # iterate over folders to choose what to copy + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + rm -v -f ./Num/hdl/sinewaveGenerator_comb.vhd + +elif [ "$project" == "car-labs" ]; then + # bem + echo "copy $repo_source/bem" + cp -ar "$repo_source/bem" ./ + # isa + echo "copy $repo_source/isa" + cp -ar "$repo_source/isa" ./ + # heirv32_sc + mkdir -p heirv32_sc + # copy root files except some specific ones + find $repo_source/hdl -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest/heirv32_sc \; + # iterate over folders to choose what to copy + for folder in $(find $repo_source/hdl -maxdepth 1 -type d ) + do + if [[ "$repo_source/hdl" != "$folder" && "$repo_source/hdl/.git" != "$folder" && "$repo_source/hdl/Libs" != "$folder" && "$repo_source/hdl/Scripts" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder heirv32_sc/ + else + echo "skip $folder" + fi + done + + rm -v -f heirv32_sc/Board/concat/concatenated.vhd + rm -v -f heirv32_sc/Board/concat/car-labs.vhd + + rm -v -f heirv32_sc/HEIRV32/hdl/ALU_rtl.vhd + rm -v -f heirv32_sc/HEIRV32/hdl/extend_rtl.vhd + rm -v -f heirv32_sc/HEIRV32/hdl/aludecoder_entity.vhg + rm -v -f heirv32_sc/HEIRV32/hdl/aluDecoder_rtl.vhd + rm -v -f -r heirv32_sc/HEIRV32/hds/alu@decoder + rm -v -f heirv32_sc/HEIRV32/hds/_aludecoder._epf + + rm -v -f heirv32_sc/HEIRV32/SingleCycle/hdl/mainDecoder_entity.vhg + rm -v -f heirv32_sc/HEIRV32/SingleCycle/hdl/mainDecoder_rtl.vhd + rm -v -f -r heirv32_sc/HEIRV32/SingleCycle/hds/main@decoder + rm -v -f heirv32_sc/HEIRV32/SingleCycle/hds/_maindecoder._epf + + rm -v -f heirv32_sc/Simulation/empty_ram.txt + +elif [ "$project" == "car-heirv" ]; then + # heirv32_mc + # copy root files except some specific ones + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + # iterate over folders to choose what to copy + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source/" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + + rm -v -f ./Board/concat/concatenated.vhd + rm -v -f ./Board/concat/car-labs.vhd + + rm -v -f ./HEIRV32/hdl/ALU_rtl.vhd + rm -v -f ./HEIRV32/hdl/extend_rtl.vhd + rm -v -f ./HEIRV32/hdl/aludecoder_entity.vhg + rm -v -f ./HEIRV32/hdl/aluDecoder_rtl.vhd + rm -v -f -r ./HEIRV32/hds/alu@decoder + rm -v -f ./HEIRV32/hds/_aludecoder._epf + + rm -v -f ./HEIRV32/MultiCycle/hdl/instrdecoder_entity.vhg + rm -v -f ./HEIRV32/MultiCycle/hdl/instrDecoder_rtl.vhd + rm -v -f ./HEIRV32/MultiCycle/hdl/mainfsm_entity.vhg + rm -v -f ./HEIRV32/MultiCycle/hdl/mainfsm_fsm.vhg + rm -v -f -r ./HEIRV32/MultiCycle/hds/instr@decoder + rm -v -f -r ./HEIRV32/MultiCycle/hds/main@f@s@m + rm -v -f ./HEIRV32/MultiCycle/hds/_instrdecoder._epf + rm -v -f ./HEIRV32/MultiCycle/hds/_mainfsm._epf + + rm -v -f ./HEIRV32_test/hdl/universalTester_test.vhd + rm -v -f -r ./HEIRV32_test/hds/universal@tester + rm -v -f ./HEIRV32_test/hds/_universaltester._epf + + rm -v -f ./Simulation/code_mc_disassembled.svg + rm -v -f ./Simulation/code_mc_disassembled_labels.svg + rm -v -f ./Simulation/code_mc_ghidra_labels.png + rm -v -f ./Simulation/empty_ram.txt + + #search='design_root = Board.ebs2_sc(struct)ebs2_sc/struct.bd' + #replace='design_root = Board.ebs2_mc(struct)ebs2_mc/struct.bd' + #sed -i "s/$search/$replace" Prefs/hds.hdp + +elif [ "$project" == "ele_labs" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "sem-labs" ]; then + mkdir -p $dir + repo_dest=`realpath "./$dir/"` + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/01-WaveformGenerator/Scripts" != "$folder" && "$repo_source/02-SplineInterpolator/Scripts" != "$folder" && "$repo_source/03-DigitalToAnalogConverter/Scripts" != "$folder" && "$repo_source/04-Lissajous/Scripts" != "$folder" && "$repo_source/05-Morse/Scripts" != "$folder" && "$repo_source/06-07-08-09-SystemOnChip/Scripts" != "$folder" && "$repo_source/10-PipelinedOperators/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder $repo_dest + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for sem-labs $dir" + + if [ "$dir" == "01-WaveformGenerator" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "02-SplineInterpolator" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "03-DigitalToAnalogConverter" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "04-Lissajous" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "05-Morse" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "06-07-08-09-SystemOnChip" ]; then + echo "Info: Nothing to be deleted" + elif [ "$dir" == "10-PipelinedOperators" ]; then + echo "Info: Nothing to be deleted" + fi + +elif [ "$project" == "did-cursor" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-cursor" + rm -v -f ./Cursor/hdl/amplitudeControl_RTL.vhd + rm -v -f ./Cursor/hdl/bridgeControl_RTL.vhd + rm -v -f ./Cursor/hdl/decelerationPositions_RTL.vhd + rm -v -f ./Cursor/hdl/divider_RTL.vhd + rm -v -f ./Cursor/hdl/findDistance_RTL1.vhd + rm -v -f ./Cursor/hdl/positionCounter_RTL.vhd + rm -v -f ./Cursor/hdl/pulseWidthModulator_RTL.vhd + rm -v -f ./Cursor/hds/_amplitudecontrol._epf + rm -v -f ./Cursor/hds/_bridgecontrol._epf + rm -v -f ./Cursor/hds/_control._epf + rm -v -f ./Cursor/hds/_decelerationpositions._epf + rm -v -f ./Cursor/hds/_divider._epf + rm -v -f ./Cursor/hds/_positioncounter._epf + rm -v -f ./Cursor/hds/_pulsewidthmodulator._epf + rm -v -f ./Cursor/hds/.hdlsidedata/_amplitudecontrol_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_amplitudeControl_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_bridgecontrol_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_bridgeControl_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_control_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_control_fsm.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_decelerationpositions_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_decelerationPositions_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_divider_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_divider_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_findDistance_RTL1.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_positioncounter_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_positionCounter_RTL.vhd._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_pulsewidthmodulator_entity.vhg._fpf + rm -v -f ./Cursor/hds/.hdlsidedata/_pulseWidthModulator_RTL.vhd._fpf + rm -v -f -r ./Cursor/hds/amplitude@control + rm -v -f -r ./Cursor/hds/bridge@control + rm -v -f -r ./Cursor/hds/control + rm -v -f -r ./Cursor/hds/deceleration@positions + rm -v -f -r ./Cursor/hds/divider + rm -v -f -r ./Cursor/hds/position@counter + rm -v -f -r ./Cursor/hds/pulse@width@modulator + rm -v -f -r ./Cursor/hds/rising@detector + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-cursor.vhd + +elif [ "$project" == "did-chrono" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-chrono" + rm -v -f ./Chronometer/hdl/coilControl_RTL.vhd + rm -v -f ./Chronometer/hdl/divider1Hz_RTL.vhd + rm -v -f ./Chronometer/hdl/tickLengthCounter_RTL.vhd + rm -v -f ./Chronometer/hdl/lcdDisplay_masterVersion.vhd + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/_control._epf + rm -v -f ./Chronometer/hds/_divider1hz._epf + rm -v -f ./Chronometer/hds/_ticklengthcounter._epf + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/_coilcontrol._epf + rm -v -f ./Chronometer/hds/.hdlsidedata/_coilcontrol_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_coilControl_RTL.vhd._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_control_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_control_fsm.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_divider1hz_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_divider1Hz_RTL.vhd._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_ticklengthcounter_entity.vhg._fpf + rm -v -f ./Chronometer/hds/.hdlsidedata/_tickLengthCounter_RTL.vhd._fpf + rm -v -f -r ./Chronometer/hds/coil@control/ + rm -v -f -r ./Chronometer/hds/control/ + rm -v -f -r ./Chronometer/hds/divider1@hz/ + rm -v -f -r ./Chronometer/hds/rising@detector/ + rm -v -f -r ./Chronometer/hds/tick@length@counter/ + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-chrono.vhd + +elif [ "$project" == "did-kart-ebs2" ]; then + mkdir -p $dir + repo_dest=`realpath "./$dir/"` + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder $repo_dest + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-kart-ebs2 $dir" + + if [ "$dir" == "01-StepperMotor" ]; then + rm -v -f ./01-StepperMotor/StepperMotor/hdl/angleDifference_RTL.vhd + rm -v -f ./01-StepperMotor/StepperMotor/hdl/coilControl_RTL.vhd + rm -v -f ./01-StepperMotor/StepperMotor/hdl/stepperCounter_RTL.vhd + rm -v -f ./01-StepperMotor/StepperMotor/hds/angle@control/master@version.bd + rm -v -f ./01-StepperMotor/StepperMotor/hds/coil@control/master@version_counter@demux.bd + rm -v -f ./01-StepperMotor/StepperMotor/hds/coil@control/master@version_shift@reg.bd + elif [ "$dir" == "02-DcMotor" ]; then + rm -v -f ./02-DcMotor/DcMotor/hdl/dcMotorPwm_RTL.vhd + elif [ "$dir" == "03-Sensors" ]; then + rm -v -f ./03-Sensors/Sensors/hdl/hallCounters_RTL.vhd + rm -v -f ./03-Sensors/Sensors/hdl/ultrasoundRanger_RTL.vhd + elif [ "$dir" == "04-Controller" ]; then + echo "Info: Nothing to be deleted" + fi + +elif [ "$project" == "did-kart-ebs3" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-kart-ebs3" + rm -v -f ./DcMotor/hdl/dcMotorPwm_RTL.vhd + rm -v -f ./Kart_test/hdl/txFIFO_tester_test.vhd + rm -v -f -r ./Kart_test/hds/tx@f@i@f@o_tb/ + rm -v -f -r ./Kart_test/hds/tx@f@i@f@o_tester/ + rm -v -f ./Kart_test/hds/_txfifo_tb._epf + rm -v -f ./Kart_test/hds/_txfifo_tester._epf + rm -v -f -r ./Kart_test/hds/kart@controller_full_tb/ + rm -v -f ./Kart_test/hds/_kartcontroller_full_tb._epf + rm -v -f ./Sensors/hdl/hallCounters_RTL.vhd + rm -v -f ./Sensors/hdl/ultrasoundRanger_RTL.vhd + rm -v -f ./Stepper/hdl/angleDifference_RTL.vhd + rm -v -f ./Stepper/hdl/coilControl_RTL.vhd + rm -v -f ./Stepper/hdl/stepperCounter_RTL.vhd + rm -v -f ./Stepper/hds/angle@control/master@version.bd + rm -v -f ./Stepper/hds/coil@control/master@version_counter@demux.bd + rm -v -f ./Stepper/hds/coil@control/master@version_shift@reg.bd + rm -v -f ./Stepper_test/hdl/stepperMotorRegisters_tester_test.vhd + rm -v -f -r ./Stepper_test/hds/stepper@motor@registers_tb/ + rm -v -f -r ./Stepper_test/hds/stepper@motor@registers_tester/ + rm -v -f ./Stepper_test/hds/_steppermotorregisters_tb._epf + rm -v -f ./Simulation/Kart/UVM/uvmCommands.txt + rm -v -f ./Simulation/Kart/kartUVM.do + rm -v -f ./Simulation/Kart/txFIFO.do + rm -v -f ./Simulation/Stepper/stepperMotorRegisters.do + rm -v -f -r ./Simulation/UART/ + rm -v -f -r ./UART_test/ + + rm -v -f ./Board/concat/*.vhd + find ./Board/libero/designer/ ! \( -name "*.prjx" -o -name "*.adb" -o -name "*.ide_des" -o -name "*.pdb" -o -name "*_syn.prj" \) -type f -exec rm -v -f -r {} + + rm -v -f -r ./Documentation/ + rm -v -f -r ./CommandInterpreter/src/ + +elif [ "$project" == "did-synchro" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-synchro" + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-synchro.vhd + +elif [ "$project" == "did-inverter" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-inverter" + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-inverter.vhd + +elif [ "$project" == "did-display" ]; then + find $repo_source -maxdepth 1 -type f \! \( -name .gitmodules -o -name .gitlab-ci.yml -o -name README.md -o -name LICENSE \) -exec cp -ar '{}' $repo_dest \; + for folder in $(find $repo_source -maxdepth 1 -type d ) + do + if [[ "$repo_source" != "$folder" && "$repo_source/.git" != "$folder" && "$repo_source/Libs" != "$folder" && "$repo_source/Scripts" != "$folder" && "$repo_source/img" != "$folder" ]] ; then + echo "copy $folder" + cp -ar $folder ./ + else + echo "skip $folder" + fi + done + echo "" + echo "Delete solutions blocs for did-display" + find ./Board/ise/ -name "*.mcs" -type f -exec rm -v -f {} + + rm -v -f -r ./Display/concat/ + find ./Display/hdl/ -name "*.vhg" -type f -exec rm -v -f {} + + rm -v -f ./Display/hdl/test_pattern_calculated_rtl.vhd + rm -v -f ./Display/hdl/vgaDataCreator_rgb.vhd + rm -v -f ./Display/hdl/vgaDataSelector_rtl.vhd + rm -v -f ./Display/hds/display@circuit/master@version.bd + rm -v -f -r ./Display/hds/vga@data@calculated/ + rm -v -f -r ./Display/hds/vga@data@selector/ + + rm -v -f -r ./Display_test/concat/ + + rm -v -f -r ./Board/concat/concatenated.vhd + rm -v -f -r ./Board/concat/did-display.vhd + +elif [ "$project" == "eln_support" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "eptm_radio" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "eptm_audioamp" ]; then + echo "Error: Not implemented yet" + +elif [ "$project" == "cansat" ]; then + echo "Error: Not implemented yet" +fi + +echo "Source taken from $repo_source to $repo_dest" + +#------------------------------------------------------------------------------- +# change from masterVersion to studentVersion and delete all masterVersion +# +if [ "$project" == "synd-did-labs" ]; then + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a master@version -n student@version -r +elif [ "$project" == "ete-did-labs" ]; then + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a master@version -n student@version -r +elif [ "$project" == "isc-did-labs" ]; then + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/did-labs" -a master@version -n student@version -r +else + ./../../changeDefaultViews.bash -p "Scripts/tmp/$project" -a masterVersion -n studentVersion -r + ./../../changeDefaultViews.bash -p "Scripts/tmp/$project" -a master@version -n student@version -r +fi + +# add/commit/push changes to student repo +git add -A +git commit -a -m "$DATE: Automatic Laboratory Update with ``deployLab.bash`` :shipit:" +git push origin main +cd .. + +# Delete tmp directory +echo "Delete tmp directory" +cd .. +pwd +rm -rf "./tmp" + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: $project updated at $repo" +echo "$SEPARATOR" +popd diff --git a/zz-solutions/04-Lissajous/Scripts/deployLibs.bash b/zz-solutions/04-Lissajous/Scripts/deployLibs.bash new file mode 100644 index 0000000..3498c2b --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/deployLibs.bash @@ -0,0 +1,320 @@ +#!/bin/bash + +#================================================================================ +# deployLibs.bash - updates github repos for individual labos +# indend to push libs from [DiD-libs](https://gitlab.hevs.ch/course/did/did-libs.git) +# Example usage 1: ./Scripts/deployLibs.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +# Example usage 2: ./Scripts/deployLibs.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +# Example usage 3: ./Scripts/deployLibs.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +# Example usage 4: ./Scripts/deployLibs.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +# Example usage 5: ./Scripts/deployLibs.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +# Example usage 6: ./Scripts/deployLibs.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +# Example usage 7: ./Scripts/deployLibs.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +# Example usage 8: ./Scripts/deployLibs.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +# Example usage 9: ./Scripts/deployLibs.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +# Example usage 10: ./Scripts/deployLibs.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +# Example usage 11: ./Scripts/deployLibs.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git +# Example usage 12: ./Scripts/deployLibs.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Parse command line options +# +project='did-labs' +repo='https://github.com/hei-synd-did/did-labs.git' + +usage='Usage: deployLibs.bash [-p projectName] [-r repourl] [-v] [-h]' +while getopts 'p:r:vh' options; do + case $options in + p ) project=$OPTARG;; + r ) repo=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "-- $DATE: Deploy Libraries for Students" + echo "${INDENT}for $project" + echo "${INDENT}to $repo" + echo "" +fi + +#------------------------------------------------------------------------------- +# Clean current repo +# +echo "Clean parent repo from intermediate files" +./cleanGenerated.bash + +#------------------------------------------------------------------------------- +# Clone student repo +# +# Create a tmp subdirectory if it doesn't exist +echo "Create tmp folder" +mkdir -p tmp +cd tmp + +# Get repo +echo "Clone student repo $project" +# Add login and access token to url +repo_access=$(echo $repo | sed 's/https\?:\/\///') +github_username=tschinz +github_accesstoken=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 +repo_access="https://$github_username:$github_accesstoken@${repo_access}" +git clone $repo_access +if [ "$project" == "synd-did-labs" ]; then + cd did-labs +elif [ "$project" == "ete-did-labs" ]; then + cd did-labs +elif [ "$project" == "isc-did-labs" ]; then + cd did-labs +else + cd $project +fi + +library_dest=`realpath "./Libs"` +library_source=`realpath "./../../.."` +mkdir -p $library_dest + +# Copy needed libraries per project +echo "Update files in student repo $project" +if [ "$project" == "synd-did-labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, NanoBlaze" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/IO/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/NanoBlaze/" "$library_dest/" +elif [ "$project" == "ete-did-labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Operators, Common, NanoBlaze" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/IO/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" + cp -arf "$library_source/Operators/" "$library_dest/" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/NanoBlaze/" "$library_dest/" +elif [ "$project" == "isc-did-labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, NanoBlaze" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/IO/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/NanoBlaze/" "$library_dest/" +elif [ "$project" == "car-labs" ]; then + echo " Copy libraries: Common, Gates, Memory, Operators, Sequential" + library_dest=`realpath "./heirv32_sc/Libs"` + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -arf "$library_source/Memory/" "$library_dest/" + cp -arf "$library_source/Operators/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" +elif [ "$project" == "car-heirv" ]; then + echo " Copy libraries: Common, Gates, Memory, Operators, Sequential" + cp -arf "$library_source/Common/" "$library_dest/" + cp -arf "$library_source/Common_test/" "$library_dest/" + cp -arf "$library_source/Gates/" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -arf "$library_source/Memory/" "$library_dest/" + cp -arf "$library_source/Operators/" "$library_dest/" + cp -arf "$library_source/Sequential/" "$library_dest/" +elif [ "$project" == "ele_labs" ]; then + echo " Copy libraries: Gates, IO, Sequential, Operators, Common, Memory, Modulation, NanoBlaze" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Operators" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/Modulation" "$library_dest/" + cp -ar "$library_source/Modulation_test" "$library_dest/" + cp -ar "$library_source/NanoBlaze" "$library_dest/" + cp -ar "$library_source/NanoBlaze_test" "$library_dest/" +elif [ "$project" == "sem-labs" ]; then + echo " Copy libraries: Common, RS232, AhbLite, Memory, RiscV, NanoBlaze" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" + cp -ar "$library_source/AhbLite" "$library_dest/" + cp -ar "$library_source/AhbLite_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RiscV" "$library_dest/" + cp -ar "$library_source/RiscV_test" "$library_dest/" + cp -ar "$library_source/NanoBlaze" "$library_dest/" + cp -ar "$library_source/NanoBlaze_test" "$library_dest/" +elif [ "$project" == "did-cursor" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Lcd" "$library_dest/" + cp -ar "$library_source/Lcd_test" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" +elif [ "$project" == "did-chrono" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory, RS232" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Lcd" "$library_dest/" + cp -ar "$library_source/Lcd_test" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + #cp -ar "$library_source/RS232" "$library_dest/" + #cp -ar "$library_source/RS232_test" "$library_dest/" +elif [ "$project" == "did-kart-ebs2" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory, RS232" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/I2C" "$library_dest/" + cp -ar "$library_source/I2C_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" +elif [ "$project" == "did-kart-ebs3" ]; then + echo " Copy libraries: Common, Gates, I2C, Memory, RS232, IO, Sequential, UVM" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/I2C" "$library_dest/" + cp -ar "$library_source/I2C_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/UVM" "$library_dest/" + cp -ar "$library_source/UVM_test" "$library_dest/" +elif [ "$project" == "did-synchro" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Cordic" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Operators" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" +elif [ "$project" == "did-inverter" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Cordic" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Operators" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/Cordic" "$library_dest/" + cp -ar "$library_source/Cordic_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" +elif [ "$project" == "eln_support" ]; then + echo "Nothing todo, no Libararies needed" +elif [ "$project" == "did-display" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common, Lcd, Memory, RS232" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Lcd" "$library_dest/" + cp -ar "$library_source/Lcd_test" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" +elif [ "$project" == "eptm_radio" ]; then + echo " Copy libraries: Gates, IO, Sequential, Common" + cp -ar "$library_source/Gates" "$library_dest/" + cp -ar "$library_source/IO" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Sequential" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" +elif [ "$project" == "eptm_audioamp" ]; then + echo " Copy libraries: AD_DA, Common, Filter" + cp -ar "$library_source/AD_DA" "$library_dest/" + cp -ar "$library_source/AD_DA_test" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Common_test" "$library_dest/" + cp -ar "$library_source/Filter" "$library_dest/" + cp -ar "$library_source/Filter_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" +elif [ "$project" == "cansat" ]; then + echo " Copy libraries: AhbLite, AhbLiteComponents, Common, Commandline, Memory, NanoBlaze, RS232" + cp -ar "$library_source/AhbLite" "$library_dest/" + cp -ar "$library_source/AhbLite_test" "$library_dest/" + cp -ar "$library_source/AhbLiteComponents" "$library_dest/" + cp -ar "$library_source/AhbLiteComponents_test" "$library_dest/" + cp -ar "$library_source/Common" "$library_dest/" + cp -ar "$library_source/Commandline" "$library_dest/" + cp -ar "$library_source/Commandline_test" "$library_dest/" + cp -arf "$library_source/Lattice/" "$library_dest/" + cp -ar "$library_source/Memory" "$library_dest/" + cp -ar "$library_source/Memory_test" "$library_dest/" + cp -ar "$library_source/NanoBlaze" "$library_dest/" + cp -ar "$library_source/NanoBlaze_test" "$library_dest/" + cp -ar "$library_source/RS232" "$library_dest/" + cp -ar "$library_source/RS232_test" "$library_dest/" +fi + +# add/commit/push changes to student repo +echo " Git: Add => Commit => Push" +git add -A +git commit -a -m "$DATE: Automatic Library Update with ``deployLibs.bash`` :shipit:" +git push origin main +cd .. + +# Delete tmp directory +cd .. +echo " Delete tmp directory" +rm -rf "./tmp" + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: $project updated at $repo" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/04-Lissajous/Scripts/deployLibsAll.bash b/zz-solutions/04-Lissajous/Scripts/deployLibsAll.bash new file mode 100644 index 0000000..46c6c3c --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/deployLibsAll.bash @@ -0,0 +1,37 @@ +#!/bin/bash + +#================================================================================ +# deployLibsAll.bash - updates github repos for all labo's at once +# indend to push libs from [eda_libs](https://gitlab.hevs.ch/course/ElN/eda_libs.git) +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +./deployLibs.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +./deployLibs.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +./deployLibs.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +./deployLibs.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +./deployLibs.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +#./deployLibs.bash -v -p did-kart-ebs2 -r https://github.com/hei-synd-did/did-ket-ebs2.git # repo does not exist !!! +./deployLibs.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +./deployLibs.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +./deployLibs.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +./deployLibs.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +./deployLibs.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +./deployLibs.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git +./deployLibs.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: ${0##*/} finished" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/04-Lissajous/Scripts/deploySEmLabs.bash b/zz-solutions/04-Lissajous/Scripts/deploySEmLabs.bash new file mode 100644 index 0000000..b36ba70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/deploySEmLabs.bash @@ -0,0 +1,32 @@ +#!/bin/bash + +#================================================================================ +# deployLabsAll.bash - updates github repos for all labo's at once +# indend to push labs from [sem-labs](https://gitlab.hevs.ch/course/SEm/hd-labs.git) +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + + +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 01-WaveformGenerator +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 02-SplineInterpolator +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 03-DigitalToAnalogConverter +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 04-Lissajous +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 05-Morse +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 06-07-08-09-SystemOnChip +./deployLab.bash -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git -d 10-PipelinedOperators + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: ${0##*/} finished" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/04-Lissajous/Scripts/deployScripts.bash b/zz-solutions/04-Lissajous/Scripts/deployScripts.bash new file mode 100644 index 0000000..a91e55b --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/deployScripts.bash @@ -0,0 +1,331 @@ +#!/bin/bash + +#================================================================================ +# deployScripts.bash - updates github repos for individual labos +# indend to push scripts from [DiD-scripts](https://gitlab.hevs.ch/course/did/did-scripts.git) +# Example usage 1: ./Scripts/deployScripts.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +# Example usage 2: ./Scripts/deployScripts.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +# Example usage 3: ./Scripts/deployScripts.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +# Example usage 4: ./Scripts/deployScripts.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +# Example usage 5: ./Scripts/deployScripts.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +# Example usage 6: ./Scripts/deployScripts.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +# Example usage 7: ./Scripts/deployScripts.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +# Example usage 8: ./Scripts/deployScripts.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +# Example usage 9: ./Scripts/deployScripts.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +# Example usage 10: ./Scripts/deployScripts.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +# Example usage 11: ./Scripts/deployScripts.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git -d heirv32_sc +# Example usage 12: ./Scripts/deployScripts.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory" + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Parse command line options +# +project='did-labs' +repo='https://github.com/hei-synd-did/did-labs.git' +destdir='' + +usage='Usage: deployScripts.bash [-p projectName] [-r repourl] [-d destdir] [-v] [-h]' +while getopts 'p:r:d:vh' options; do + case $options in + p ) project=$OPTARG;; + r ) repo=$OPTARG;; + d ) destdir=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "-- $DATE: Deploy Scripts for Students" + echo "${INDENT}for $project" + echo "${INDENT}to $repo" + echo "" +fi + +#------------------------------------------------------------------------------- +# Clone student repo +# +# Create a tmp subdirectory if it doesn't exist +echo "Create tmp folder" +mkdir -p tmp +cd tmp + +# Get repo +echo "Clone student repo $project" +# Add login and access token to url +repo_access=$(echo $repo | sed 's/https\?:\/\///') +github_username=tschinz +github_accesstoken=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 +repo_access="https://$github_username:$github_accesstoken@${repo_access}" +git clone $repo_access +if [ "$project" == "synd-did-labs" ]; then + cd did-labs +elif [ "$project" == "ete-did-labs" ]; then + cd did-labs +elif [ "$project" == "isc-did-labs" ]; then + cd did-labs +else + cd $project +fi + +library_source=`realpath "./../.."` + +# DiD Kart (EBS2 version) has a different project structure +if [ "$project" == "did-kart-ebs2" ]; then + # Copy needed libraries per project + mkdir -p "01-StepperMotor/Scripts" + library_dest=`realpath "./01-StepperMotor/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + + # Copy needed libraries per project + mkdir -p "02-DcMotor/Scripts" + library_dest=`realpath "./02-DcMotor/Scripts"` + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + # Copy needed libraries per project + mkdir -p "03-Sensors/Scripts" + library_dest=`realpath "./03-Sensors/Scripts"` + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + # Copy needed libraries per project + mkdir -p "04-Controller/Scripts" + library_dest=`realpath "./04-Controller/Scripts"` + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + + +# SEm Labs has also a different project structure +elif [ "$project" == "sem-labs" ]; then + # Copy needed libraries per project + mkdir -p "01-WaveformGenerator/Scripts" + library_dest=`realpath "./01-WaveformGenerator/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "02-SplineInterpolator/Scripts" + library_dest=`realpath "./02-SplineInterpolator/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "03-DigitalToAnalogConverter/Scripts" + library_dest=`realpath "./03-DigitalToAnalogConverter/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "04-Lissajous/Scripts" + library_dest=`realpath "./04-Lissajous/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "05-Morse/Scripts" + library_dest=`realpath "./05-Morse/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "06-07-08-09-SystemOnChip/Scripts" + library_dest=`realpath "./06-07-08-09-SystemOnChip/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + + mkdir -p "10-PipelinedOperators/Scripts" + library_dest=`realpath "./10-PipelinedOperators/Scripts"` + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + +else + + if [ -n "$destdir" ]; then + mkdir -p "$destdir/Scripts" + library_dest=`realpath "$destdir/Scripts"` + else + mkdir -p "Scripts" + library_dest=`realpath "./Scripts"` + fi + + # Copy needed libraries per project + echo "Update files in student repo $project" + echo " Copy scripts for Windows" + cp -arf "$library_source/hdlDesigner.bat" "$library_dest/" + cp -arf "$library_source/cleanScratch.bat" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bat" "$library_dest/" + cp -arf "$library_source/searchPaths.bat" "$library_dest/" + echo " Copy scripts for Linux" + cp -arf "$library_source/hdlDesigner.bash" "$library_dest/" + cp -arf "$library_source/cleanGenerated.bash" "$library_dest/" + cp -arf "$library_source/generateSSHKey.bash" "$library_dest/" + echo " Copy perl scripts for HDL Designer" + cp -arf "$library_source/trimLibs.pl" "$library_dest/" + cp -arf "$library_source/update_ise.pl" "$library_dest/" + cp -arf "$library_source/update_libero.pl" "$library_dest/" + cp -arf "$library_source/update_diamond.pl" "$library_dest/" + cp -arf "$library_source/start_libero.pl" "$library_dest/" + cp -arf "$library_source/start_diamond.pl" "$library_dest/" +fi + +# add/commit/push changes to student repo +echo " Git: Add => Commit => Push" +git add -A +git commit -a -m "$DATE: Automatic Scripts Update with ``deployScripts.bash`` :shipit:" +git push origin main +cd .. + +# Delete tmp directory +cd .. +echo " Delete tmp directory" +rm -rf "./tmp" + +#------------------------------------------------------------------------------- +# Exit +#s +echo "" +echo "-- $DATE: $project updated at $repo" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/04-Lissajous/Scripts/deployScriptsAll.bash b/zz-solutions/04-Lissajous/Scripts/deployScriptsAll.bash new file mode 100644 index 0000000..bb9e937 --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/deployScriptsAll.bash @@ -0,0 +1,37 @@ +#!/bin/bash + +#================================================================================ +# deployScriptsAll.bash - updates github Scripts folder for all labo's at once +# indend to push scripts from [eda_scripts](https://gitlab.hevs.ch/course/ElN/eda_scripts.git) +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' +DATE=`date '+%Y-%m-%d %H:%M:%S'` + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +./deployScripts.bash -v -p synd-did-labs -r https://github.com/hei-synd-did/did-labs.git +./deployScripts.bash -v -p ete-did-labs -r https://github.com/hei-ete-did/did-labs.git +./deployScripts.bash -v -p isc-did-labs -r https://github.com/hei-isc-did/did-labs.git +./deployScripts.bash -v -p did-chrono -r https://github.com/hei-synd-did/did-chrono.git +./deployScripts.bash -v -p did-cursor -r https://github.com/hei-synd-did/did-cursor.git +#./deployScripts.bash -v -p did-kart-ebs2 -r https://github.com/hei-synd-did/did-ket-ebs2.git # repo does not exist !!! +./deployScripts.bash -v -p did-inverter -r https://github.com/hei-ete-did/did-inverter.git +./deployScripts.bash -v -p did-synchro -r https://github.com/hei-ete-did/did-synchro.git +./deployScripts.bash -v -p did-kart-ebs3 -r https://github.com/hei-synd-did/did-kart-ebs3.git +./deployScripts.bash -v -p did-display -r https://github.com/hei-isc-did/did-display.git +./deployScripts.bash -v -p sem-labs -r https://github.com/hei-synd-sem/sem-labs.git +./deployScripts.bash -v -p car-labs -r https://github.com/hei-isc-car/car-labs.git -d heirv32_sc +./deployScripts.bash -v -p car-heirv -r https://github.com/hei-isc-car/car-heirv.git + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- $DATE: ${0##*/} finished" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/04-Lissajous/Scripts/generateSSHKey.bash b/zz-solutions/04-Lissajous/Scripts/generateSSHKey.bash new file mode 100644 index 0000000..c906a16 --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/generateSSHKey.bash @@ -0,0 +1,42 @@ +#!/bin/bash + +#============================================================================== +# generates an SSH key under default user location ~/.ssh/ +# and add the public key to the clipboard +# +# the email could be given when calling the script or be prompted later + +email='' +filename=$(hostname) +user=$(whoami) + +usage='Usage: generateSSH.bash [-e email]' + +# handle options +while getopts 'e:v' flag; do + case "${flag}" in + e) email=${OPTARG};; + esac +done + +# if the mail is not given, prompt it +if [ -z "$email" ] +then + echo -n "Please enter your mail: " + read email +fi +# generates the key-couple +# cat /dev/zero create an empty file to be filled by the key +# then ssh-keygen is called with: +# -q to call it silently (no verbose) +# -N with empty string to set no-password +# -t to specify the type of key +# -C to set the user mail +cat /dev/zero | ssh-keygen -q -N "" -t ed25519 -C "${user}@${filename}" -f ~/.ssh/$filename + +# then copy the public key into the clipboard +clip < ~/.ssh/${filename}.pub + +# print output for user +echo -e "\nThe keyfiles are generated under ~/.ssh/" +echo -e "\nThe public key is in your clipboard, ready to be added to your Github account." \ No newline at end of file diff --git a/zz-solutions/04-Lissajous/Scripts/hdlDesigner.bash b/zz-solutions/04-Lissajous/Scripts/hdlDesigner.bash new file mode 100644 index 0000000..4b54b46 --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/hdlDesigner.bash @@ -0,0 +1,154 @@ +#!/bin/bash + +#================================================================================ +# hdl_designer.bash - Starts HDL designer +# +base_directory="$(dirname "$(readlink -f "$0")")" +base_directory="$base_directory/.." +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +command_switches='n:d:p:m:i:u:t:s:c:y:vh' +usage='Usage: hdl_designer.bash [-v] [-h]' +usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]" + # set name and base directory +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + esac +done + # continue with preferences directory +prefs_directory="$design_directory/Prefs" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + p ) prefs_directory=$OPTARG;; + esac +done + # finish with other parameters +library_matchings="$design_name.hdp" +library_matchings='hds.hdp' +simulation_directory="$design_directory/Simulation" +user_prefs_directory="$prefs_directory/hds_user-linux" +team_prefs_directory="$prefs_directory/hds_team" +scratch_directory='/tmp/eda/' +synthesis_subdirectory="Board/ise" +concat_directory="$design_directory/Board/concat" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) ;; + d ) ;; + m ) library_matchings=$OPTARG;; + i ) simulation_directory=$OPTARG;; + u ) user_prefs_directory=$OPTARG;; + t ) team_prefs_directory=$OPTARG;; + s ) scratch_directory=$OPTARG;; + c ) concat_directory=$OPTARG;; + y ) synthesis_subdirectory=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +design_directory=`realpath $design_directory` +library_matchings=`realpath $prefs_directory/$library_matchings` +simulation_directory=`realpath $simulation_directory` +user_prefs_directory=`realpath $user_prefs_directory` +team_prefs_directory=`realpath $team_prefs_directory` +concat_directory=`realpath $concat_directory` +mkdir -p $scratch_directory +scratch_directory=`realpath $scratch_directory` + +#================================================================================ +# Main script +# + +#------------------------------------------------------------------------------- +# System environment variables +# +export HDS_HOME=/usr/opt/HDS +export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/ +export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE +export LC_ALL=C +export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin +export MGLS_HOME=/usr/opt/HDS/license/mgls + +#------------------------------------------------------------------------------- +# Project environment variables +# +export DESIGN_NAME=$design_name +export HDS_LIBS=$library_matchings +export HDS_USER_HOME="$user_prefs_directory" +export HDS_TEAM_HOME=$team_prefs_directory +export SIMULATION_DIR=$simulation_directory +export SCRATCH_DIR=$scratch_directory +export CONCAT_DIR=$concat_directory +export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory` +export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "Environment variables:" + echo "${INDENT}Design name is $DESIGN_NAME" + echo "${INDENT}Lib matchings file is $HDS_LIBS" + echo "${INDENT}Simulation directory is $SIMULATION_DIR" + echo "${INDENT}User prefs directory is $HDS_USER_HOME" + echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME" + echo "${INDENT}Scratch directory is $SCRATCH_DIR" + echo "${INDENT}Concat directory is $CONCAT_DIR" + echo "${INDENT}HDS location is $HDS_HOME" + echo "${INDENT}Modelsim location is $MODELSIM_HOME" + echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME" + echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR" + echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR" +fi + +#------------------------------------------------------------------------------- +# Copy synthesis data to scratch +# +if true; then + echo "Copying" + echo "${INDENT}$SYNTHESIS_BASE_DIR" + echo "${INDENT}-> $SYNTHESIS_WORK_DIR" +fi +if [ -e "$SYNTHESIS_BASE_DIR" ]; then + rm -Rf $SYNTHESIS_WORK_DIR + mkdir -p $SYNTHESIS_WORK_DIR + cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/ +fi + +#------------------------------------------------------------------------------- +# Launch application +# +hdl_designer & + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/zz-solutions/04-Lissajous/Scripts/hdlDesigner.bat b/zz-solutions/04-Lissajous/Scripts/hdlDesigner.bat new file mode 100644 index 0000000..87ed140 --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/hdlDesigner.bat @@ -0,0 +1,278 @@ +::============================================================================== +:: hdl_designer.bash - Starts HDL designer +:: +:start + setlocal EnableExtensions EnableDelayedExpansion + set cmd_location=%~dp0 + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +:: ----------------------------------------------------------------------------- +:: Define default environment variables +IF NOT DEFINED REQUIRE_LIBS SET "REQUIRE_LIBS=0" +IF NOT DEFINED REQUIRE_HDS SET "REQUIRE_HDS=0" +IF NOT DEFINED REQUIRE_MODELSIM SET "REQUIRE_MODELSIM=0" +IF NOT DEFINED REQUIRE_ISE SET "REQUIRE_ISE=0" +IF NOT DEFINED REQUIRE_LIBERO SET "REQUIRE_LIBERO=0" +IF NOT DEFINED REQUIRE_DIAMOND SET "REQUIRE_DIAMOND=0" +:: +::remove trailing backslash +if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1% + +set design_name=%~n0 +set hpd_name=hds + +if "%ISE_VERSION%"== "" ( + set ISE_VERSION=14.7 +) +set prefs_directory="%design_directory:"=%\Prefs" +set library_matchings="%hpd_name%.hdp" +set simulation_directory="%design_directory:"=%\Simulation" +set user_prefs_directory="%prefs_directory:"=%\hds_user" +set team_prefs_directory="%prefs_directory:"=%\hds_team" +if "%SCRATCH_DIR%" == "" ( + set scratch_directory=C:\temp\eda\%username% +) else ( + set scratch_directory=%SCRATCH_DIR% +) + +set synthesis_subdirectory="" +if %REQUIRE_ISE% == 1 ( + set synthesis_subdirectory="Board\ise" +) +if %REQUIRE_LIBERO% == 1 ( + set synthesis_subdirectory="Board\libero" +) +if %REQUIRE_DIAMOND% == 1 ( + set synthesis_subdirectory="Board\diamond" +) +set concat_directory="%design_directory:"=%\Board\concat" + +::------------------------------------------------------------------------------ +:: Parse command line options +:: +:parse +set usage1="Usage: hdl_designer.bat [-v] [-h]" +set usage2=" [-n designName] [-d designDirectory]" +set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]" +set usage4=" [-s scratchDirectory] [-c concatDirectory]" +set usage5=" [-y synthesisDirectory] [-m library_matchings]" + +echo Search Commandline Parameters +:parseloop +if not "%1"=="" ( + if "%1"=="-v" ( + set VERBOSE=1 + echo %INDENT:"=%verbose enabled + shift + ) + if "%1"=="-h" ( + goto :HELP + shift + ) + if "%1"=="-n" ( + set design_name=%2 + echo %INDENT:"=%design_name=!design_name:"=! + shift & shift + ) + if "%1"=="-d" ( + set design_directory=%2 + echo %INDENT:"=%design_directory=!design_directory:"=! + shift & shift + ) + if "%1"=="-p" ( + set prefs_directory=%2 + echo %INDENT:"=%prefs_directory=!prefs_directory:"=! + shift & shift + ) + if "%1"=="-u" ( + set user_prefs_directory=%2 + echo %INDENT:"=%user_prefs_directory=%user_prefs_directory:"=% + shift & shift + ) + if "%1"=="-t" ( + set team_prefs_directory=%2 + echo %INDENT:"=%team_prefs_directory=%team_prefs_directory:"=% + shift & shift + ) + if "%1"=="-s" ( + set scratch_directory=%2 + echo %INDENT:"=%scratch_directory=%scratch_directory:"=% + shift & shift + ) + if "%1"=="-c" ( + set concat_directory=%2 + echo "%INDENT:"=%concat_directory=%concat_directory:"=% " + shift & shift + ) + if "%1"=="-y" ( + set synthesis_subdirectory=%2 + echo %INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=% + shift & shift + ) + if "%1"=="-m" ( + set set library_matchings="%2.hdp" + echo %INDENT:"=%library_matchings=%library_matchings:"=% + shift & shift + ) + goto :parseloop +) +echo. + +:: Setting all concurrent variables +set prefs_directory="!design_directory:"=!\Prefs" +set user_prefs_directory="!prefs_directory:"=!\hds_user" +set team_prefs_directory="!prefs_directory:"=!\hds_team" +set library_matchings=!prefs_directory:"=!\!library_matchings:"=! +set simulation_directory="!design_directory:"=!\Simulation" +set concat_directory="!design_directory:"=!\Board\concat" + +:: Getting realpath +:: TODO + +:: create scratch dir +if not exist "%scratch_directory%" ( + mkdir "%scratch_directory:"=%" +) + +::================================================================================ +:: Main script +:: +echo. +call "./searchPaths.bat" + +::------------------------------------------------------------------------------ +:: Project environment variables +:: +set DESIGN_NAME=%design_name:"=% +set HDS_LIBS=%library_matchings:"=% +set HDS_USER_HOME=%user_prefs_directory:"=% +set HDS_TEAM_HOME=%team_prefs_directory:"=% +set SIMULATION_DIR=%simulation_directory:"=% +:: Changing Slashed (Needed for Modelsim) +set SIMULATION_DIR=%SIMULATION_DIR:\=/% +set SCRATCH_DIR=%scratch_directory:"=% +set CONCAT_DIR=%concat_directory:"=% +set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set DIAMOND_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set DIAMOND_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% + +::------------------------------------------------------------------------------ +:: Display info +:: +if !VERBOSE! == 1 ( + echo Program Parameters + echo %INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=% + echo %INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=% + echo %INDENT:"=%HDS_LIBS is %HDS_LIBS:"=% + echo %INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=% + echo %INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=% + echo %INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=% + echo %INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=% + echo %INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=% + if %REQUIRE_HDS% == 1 ( + echo %INDENT:"=%HDS_HOME is %HDS_HOME:"=% + ) + if %REQUIRE_MODELSIM% == 1 ( + echo %INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=% + ) + if %REQUIRE_ISE% == 1 ( + echo %INDENT:"=%ISE_HOME is %ISE_HOME:"=% + echo %INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=% + echo %INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=% + ) + if %REQUIRE_LIBERO% == 1 ( + echo %INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=% + echo %INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=% + echo %INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=% + ) + if %REQUIRE_DIAMOND% == 1 ( + echo %INDENT:"=%DIAMOND_HOME is %DIAMOND_HOME:"=% + echo %INDENT:"=%DIAMOND_BASE_DIR is %DIAMOND_BASE_DIR:"=% + echo %INDENT:"=%DIAMOND_WORK_DIR is %DIAMOND_WORK_DIR:"=% + ) + echo. +) + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +echo. +call "./cleanScratch.bat" + +::------------------------------------------------------------------------------ +:: Copy synthesis data to scratch directory +:: +if %REQUIRE_ISE% == 1 ( + if exist %ISE_BASE_DIR% ( + echo %ISE_BASE_DIR:"=% + echo -> %ISE_WORK_DIR:"=% + if exist %ISE_WORK_DIR% ( + rmdir /S /Q "%ISE_WORK_DIR%" + ) + mkdir "%ISE_WORK_DIR%" + xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\" + ) +) + +if %REQUIRE_LIBERO% == 1 ( + if exist %LIBERO_BASE_DIR% ( + echo %LIBERO_BASE_DIR:"=% + echo -> %LIBERO_BASE_DIR:"=% + if exist %LIBERO_WORK_DIR% ( + rmdir /S /Q "%LIBERO_WORK_DIR%" + ) + mkdir "%LIBERO_WORK_DIR%" + xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\" + ) +) + +if %REQUIRE_DIAMOND% == 1 ( + if exist %DIAMOND_BASE_DIR% ( + echo %DIAMOND_BASE_DIR:"=% + echo -> %DIAMOND_BASE_DIR:"=% + if exist %DIAMOND_WORK_DIR% ( + rmdir /S /Q "%DIAMOND_WORK_DIR%" + ) + mkdir "%DIAMOND_WORK_DIR%" + xcopy /S /Y "%DIAMOND_BASE_DIR%" "%DIAMOND_WORK_DIR%\" + ) +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +echo -- Launching program +::echo %INDENT:"=%Waiting until programs finished... +::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe +%windir%\system32\cmd.exe /c start !HDS_HOME!\bin\hdldesigner.exe +::echo -- Finished... YOU CAN CLOSE THIS WINDOW NOW! + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof + +::------------------------------------------------------------------------------ +:: Helper Functions +:: +:HELP + echo. + echo %usage1:"=% + echo %usage2:"=% + echo %usage3:"=% + echo %usage4:"=% + echo %usage5:"=% + echo.&pause&goto:eof diff --git a/zz-solutions/04-Lissajous/Scripts/img/EDA_scripts.gif b/zz-solutions/04-Lissajous/Scripts/img/EDA_scripts.gif new file mode 100644 index 0000000000000000000000000000000000000000..2e348ba6d713a957b7e0ddc6614a9c53a8531031 GIT binary patch literal 12105 zcmeI&a<_Kp7ZPL=h>ep;1t2M3fEz6{SPEL_kVPx+`+q_gnY=2lvIZ_F4O!v)}JGpS=~7y9~|``Ruyk{O>a%9}7723OV&71wT}97#DFFL_g6f<~FQ^ zn80|9s<=$UpXngDKQ(e${^T`_7S(IwwyNecui>|7=C%IKZQsIY)5dT6hu5K-?{mAL zEmFV{C1~3t=vX3QhI;&^Q`n(T$f;P?4k_x?Bj$_}cj=Y*nyhZqC-rUMg?GB9eaCAL zr0h4;E4P$)4yf1Oy>dPS3Vwr1-!pYxphm9!ZvuuigR)FLhP8sT&HVbcg9ko@eZ0%eMCE)ep_J@kQ%L4jM(EOu~mvqJ~W35O%?xR^g+cVn#kClza&uHB0QV zPAK{sI%b#9>y$d`1TFLXfp*RqcTOq)9yj5V`qM9d;%gStE3?lxXVSX>?U#>;N}miY zo{T6TiY@PrFK8?uFo#dFV5}Ft}Xn%R~B}bHka3yHde8#>nodUTYIZp>zix1we9`& zo$bw?gN@xC?C#Mf4!g5?jNL!kI>7B5Z0~LD?;alR?(F>i>>VBL?H=NePjGvu`?%wS zll>#y+2Q`_(fRq&!Nu|6(dpsk$?@^o(Z%W2)#=H}`N`$kHU8}M?Bew1{OtVl?B?S9 z;_4iKd2@MvadY+e{Ef@&zv<@Y(G6H#?%ms0FE!+Z1RfIt0RX@wJfWwFGvLuV5l{$l z1K|5x0{qi001zHFuZJWKm}BpZov#3Otu}b4kr)}lhvZdjFLrBXw+yER)A z$~wK7-gj$vX85eP<``+}_U7+;fSKp0>-U#$hw&O^Qa2pV^yLZrsxwv}Z4H;&T1K`s z9qlX}<2{*gq?*ssE2Bm)2AO!}k8!6jNg|R$u~~r0hMj6&9pUjM+%_Ih1^OR!LZ4q$6+=+runj8v9Rw27gVKq zIKdjvtEzF&iL%rwn5|wtXWdq%|M3MSyz6$lO%+nW)eh0;BWLq-$W`9D8m)Y6RWnKd z-l`T;YhhWpFn-sver3SLqG97C*t~Ju^Qn0gE?Um4`N%*2Q_C4v<5TN-1yZo>5&m*7 zhycuB^6Qph=;Myt0#L^giWg9$F7O-GFTZyT7@mBie`{yheLsNM2{{v`D)g1pHqr^j z!`3Z?6llW;ISO@N>GiW+2LJ4l*o6w)NgtA#4asK7Kkb!g&TuNsPBRByw$ptX$T zzm6pu@H>y|e%|>wVdzZkI++t?Ae?9spJ6_2dHVZgz@crn*4=4Y)os>wFh~TCaoX8& zp7&XovRLrSlXD-kX2gr6g$o*LFGsuG5?hLU!_=Ui^fuaKE$vf{8Exc|kec}M(Wi^e z;-ZQRY+2pz#TL9<;Bvcq!r^kKzSQuI_~=mw@~(;lQ~q6OWc=km5>k0}&?mBYwYliX z8uY{I#9dDCLbCGu1mm`MeR{ny+DrS=bAXKsxfA$9iQ*{fmE|^u$my?+@^erMm7;d@z>sa0tHGaH3tv!7lkLz{eJV0 zYm0ZGlxes?F0%~g*L3L*z5|)LBXh{4LMflXskns?UW!^EJDGTJ5AjkdnpzG=B+T^t zp_f0q$bE&_xDzPCG$Kt;ar=ai2v+5P#^&Cun{3wdY7duArKT}P{uW9bc0FSOlA3kB zCePfDatvgAL;xUsPH!VW9-7B=>Mm{xU;zp{4l|mY5lPc)#V`T@1U5st7cXt(y+!lc z2R{-60LBFVn3%|SPkPiHzR)BQ`Q6gZS9(C(8DAWj`&gF5Pq5HRQ)Ee=$8xjN7Jdi7 z>CT}(C!BXRe31Nvy_zxmt`SGUHKElAAq)CsV@dtbUdS z?g;}v^wr;P>?{C=v4h_|53{LO4Q10){VY9^IU+n(uSH@CCuJ@3S29u+jGSPRdmK1!&+TPRwPp_(4{hP39WP>dYA`jy|E>-f*)D!lX7D9cEacMCp@`8=m_|&kynfz@8Oz;0=5ljYwI%cZ|Gk!lc zFkaPezeeB?%Ys=O^C*uBW2j!#V;|8j_)SicFxl9=g6g9BVlP0MHPiQNPW!!TZpA?v zZ~P-T>$mB|M{emPQ$Qt==8!}bZRn3Vx{BnV1O$R!4Rz5F4LM4QvPzP@Z_`)G`N~W0 z4W$&R150r2)Q41XViJ8l!dFDU7Tzi_7i$F`D%bnpZC!P|bY9@%sQ2gt5x(z;jih|@ zxa%v&e$W$4s{z+{9xq%$1SROxFI4@@rzb^h#Rm(Zy?S4%R`YK=!k=jN1|Pa+E7Ks{ zSFSnEljO}0O8v`Tn5ur}F*BA=oOd(Vz743^pAy0+AJ;A1eiKBwN+*1YvRk9IO4ZgD z{}L=BNy}oq6y_vvR>^_*FyF2+fZ+m_I7zp z@)kk70y;d?IK9Ma$|UdI$dIcYU|W*O?9)X6J)RVnA=P9GPOhKZtf|yQZj>}Xuf@1# z)V&6aHEgjxu-i!mOn%<4kRX-@~gjnW8QG=Fx#S&u}AVtFZgF6sVm_C+Dd|< zzUj8+%hs;Xq^MWF`{do)i7SSlB_Vn5?wLHsUr8i#&!jCDF4xPJsIh(bD_J6`mVX#Z zW|AOswJ17hLC~JTX2ggI+``qpbFw=eD}h3HPJS54`gEN2f95&l7vGA`R8u|iT2j4X z5w34Hp;VdO5TpF|Tu+L<%rYZj5!v~{27g=> z0T<}4yU}*!l<#Oda z;dK&HbA967I=JcVyA#K3Ju1w6c1$c?3h|yQ@LeMI^@V&xeDUcyk*pJ;g4)}H7=+!<^{em&0LKYH2C?Wp%%sau3laecACJ==XtKwkWP>;u=4?chVHnvJ_?k?kx zd>Ck@7JTN|wZau18n!$}?b_}}f(~oi4;#B1PU#ky^f7#3J%s*;J84&V<6=09D1!1d zD3et!Vp98oiQxvv%SOeA%_*v_XO8wMe9~MJ#lE9KMChHA zP!9>~Fb~UnJkjSV{KYA9lkv$~sYxDwDX9S=7TnOO)TYx^x2cqTZuP>=V+lrp%;YdAi6Z{NI;); z+#ip$HCE`v^Ngo>os6N*r<|)#$Dd09bORGO1&>qphHGdW3fxWvOoYjaPga!t>3_v-;dHXomQ z=05j?iea-QrZuG+gF!Oxx9RhHnrQ)VJ@em-r+m(x*23MF+7zi@M&E)KaU_NFiSd5wa| z9b4Feb?u_Jj|_+XN-L{xEE~QJv@t2|z?QPOTO&!!O9ILbb<3M!u(`&$Kp7OYHvl(o~b!-J3Qn{vFc@pkXp<5y2S#gPS-y*Fz$5sHr?i|l6Z-XlX zEy~U=Dlwk$f@JtDFq}-niYD8L3S55Y(sggF;z+mhK3LxzR@GetXOpm|&4J%b$0Mf9 z^krq!H>Rq@*5RBF*@YfvK8^Z!gK!^$?mI>|+ZxLkrbtSe=v;nV|%kdW5EMF4)i(EIU&f8o`#g zP?OD49U`G6HA1r9UcH`8BG6m=y_YS+k4!a!EH@qD+}j{JLQ>-xxelYOfj6?*suqI5 zBUFeH0Jydn?$>lD0}O6pY3hMdHY_x8r&rZ~W6J=5;W)D0X|kcqrV+eyV}%4;Dxjr$ zfdYf2s0OseJVvC?Gz$@s41vL|#?5&!%C^|n5XUC5?`*!Yt#D(q9viZgCgS@ujcYTk zZpLlH-%8Q8ZPt!el3&;iS=tFDVT0}MuiN31rEi1?h|1gBQ9~uj_V!8^Albv(0yJw_ zdpjHlp6e|-0+6vwg6=TL3nOl`lYwjCO`p@qYp6Ok+u<4!G{Sd?il{m{FywmED&!y}I3@-JZ?eUYKs5t8Tm>1JYj-8K{p8_CmVpgAr8S z1khV#TWtX=tnuy01pS^QubvcWPg-*i6w{OG)e}kuu$O!-97m*o)l&>b!J1KJ7*qxH zPi`zhdoM(o^>!5wRS)fLZ0>C?LsdEwfmC}1y?R^p`~G67ONU za#R%@WRMM1S7{(bv+?2v83DH(SwP8Dc+%3?VZPZBwPw;BM-o2(vBuDl`sL6jhE2o| z@P1}gn$ey`U+Se6AvJDP-Wxp?IErClXYfO-d!t|SOUkkmA-4mt0c<|t>YpB!1UGaZc9uH_;| zrUXS&7?hN%(IH293ucH>nG`>SF%lXdZoxpM=*(o%6H=Hea#7Q;srmTXN$osNr>OaW@;S5tCr2y>Z!kV@K<6+rcWYq0 zRx?}Ae-dmsK1Ke_fnbVycZ_(B&e;@rACG>(v|xP)!-yYc#fQ9dcE~oRr4t6fzJ#PKCAy(a=%Ll(T63rV*u$vLRvp1LH zoV$_11n9fm8%Tr1GHo6WY=xL^2DRe+{J9#U*SdPz92h?AF=)?;M1 zmJzV3ck)OTa*#RwV(?@(5OT^ittog)qyphHKK7T|b#FrhvnJ!!PJCaqsWVN5X8@=* z&K#p46mKSc2G4wVIHA%La)Rf;e|r}9P1;KhE_MHlw4vvP5J_acSLxcY2LINOW8@~6 zz!1`c;SDGoauFn#-eO6IiqwSo#xW(a9V!Dt`yBr z@{Ej9K12_+Rutnn)G}YEj(j2m0`yx0AG}>tO?zfPaQCsvvU;YJH=c<8-NsN3PZX0} z+PjiydKw4mAo@fL0?_Mn!{2G|x3nuHlYMSI)Hc6eV&0EZUC7?jt+k(R4bs!x)^9X@ z0sRe5w9#+%zQ*5TsNOa1;MOEUrqiwY!@e`V2xibZXzNJiue2+fv;zQla^Mqc-7YP{xBGlFm z@ne~aT^bb`Ldw^U`~(Wh@R!8QE~P>sNFNu=zYP5^L;uUr|1$Ky4E--d|I5(-GW5R; z{ePRG-#rg}@;=aS-8YamfI~6hnWmX~sI3O*e{gehkZygDZ!%C(G@$Gm4WE24qj|6& z#6MFu$oRjJc|G_IC}cv!U#d9p;rAfVvtTcf+h1G8yZjaB|KM^!z2sdkR|U}rM#Z7a zHPm6Jp|KxBHB(%E>{E`s3$ah6UJEizs}F;w_@S2q5QN$}ZsD+`aKFElOdX!|A4-Ow zN&YxAMrzp=i+sat{gRL#9OHt0U#<6bC?2Th zd><4?;t~y-jN!13ljMveu+}R)!2jSo_Yp{qo#EmU5{pNEh)=oyBO@+eT*q6I#Cx9~H3nqD_Hglzp-%s9MO4(RX%_2#IyCp+Y0}DOU zij_RQ8`5kh(~8g1uB;LfIziPs>9sxXtI6rP_34kM(o+;t`+?zQ+)xT7=;B5gpg0|+ z6xgTa`K8Bi;$FsxSVjt7!S&(*`YSAhxHu!_{+Dqvg9V)*OFD_O)+yxfnG&g)SM>y| z4Mw{j5~&Yj!H=_o-)EhWWJQ!@HT=%n+sx8bbT8n}UR260Pg5wx zJ*i3EXgsrNZF26@y8>f#C>nDtr*h&zxf9&E$qKn*5CEr5CKoD)2kS^SoqKtn%T1q0 zVd+?RmV2rbBd(nDTs&8l-k!P9MIt;`iYJQCCSQg=-$5*Y=y{$heXb;F!O#idqA*9@ zQ(UPra&J6eeYybgG5>{ffuc>pkEARen?hEe!je$HQelDKG^GP7OiQ;=K)gscyfDDR z-UC+j236E9SBSU0DDu-S@~Y1_LKQv?FLne0Z5|crVT&!?t%G4j38X=Rjm630MX9=h z;q*n2@DhJgeK#K15lOL&E-ZS?EJM7cZ2DtG8Z3mqwBuRnGZ-uj>tBQ|>Rv6W^;F?F zftk>k7O*fv`snOrmO{Kv7lS_ z$3|aPDA|I$93E0uPtT6MNZeNTT)wCvDuC&GR=^S~&ghHFuoZ)i<@q+H$MoytDzNQNUT$Xp=t?KK7<>*$)=2B2cP>@`{eQ7Fr zeSs%0!)G z{L8`q(^c#<)kwceBukB#I6^dnEkgrbSYL3V0oIKu{DZEBvyl7oki#`z%+f~*>=y?EJT zadq$o@K?Q@3miD*8^|J^M)ZI<1q}XUocBkg@tbjh{=+vyPQ(S^dM@$$g7n+kC>oKa zTUESGv=?MeDnuHd^{QK}GDD2G|CiIi&$!cz8=g7oM2T`qGhfL8WCj8#=oH-s2_hx2^y1l+7L2>bnIV zF{aG4rW}!JyXw8Y`H)M1m1wuO4bB2?gOkm+QyBG7%)-esd)whGq;!A+(Wh(1fZ9Y8^OM3b-(iG*a= zYyB>HuP#MsmvVELDyB<55(I4j_39~`61-jA>-R_KZ{6nK`k3Eze5BmCS}!3sQ!22b z*B>kBADiYsI#;9$j!mDoTP*tixaj}G(3T9~cg6*dGqS>_xAB#J-T%$ddzYlzRE=yd zYKWNRk65MK9M9Bdi1;TpmN_NMXD-QRtjjs?0Wdp!7}I4= zTYJo}a7@$_h-N>g7B3EXA_Vc^pRL?xa9J9gj4B-Hf99+;KFgRlZ~wp@fm!y>S+$>Y zXPwye&Jki1c5#~B%g8KI6L#;IJ?76mwP*0qn!N0XZtYBYASQwMG{VfM?gUfB0;wd? z^xi@<*9^pLlmnb2$8f7_#`YF7gUQ zUGua0#LYYrSjI0w+Ps%PH46I6q9qMOY4HpJrXw#Mf?u@?`P`XRm_zTeavjaCjEgMO zv2tDTufi2p|Jv_T)T+FwfZXn?5uQI_Y0i|%RhNP-tljvvI?iBgm#%yaDAPb{g!72oR5944o>y~U+LZI&yHC0Mxr5-6X@qcozbX=s zeeOL<^5$Sj0s@borp`XVsX}TphuJ6(Wv@Bq1%|gA4)5%7wn-033?9y2a{g%@AbGqa z`$Fb3>-yFePAO}0v424Q=EyG(GfBVqZg0ribgdxmK*vbTTI+}>{K)8qsCmZ`yYjC2 z{Dj%TE>`WtmU6;u3CO5>_+@a;Sa#!teBbT!GvhnB$_9Yo{OP^9G2%B+$2VsS1{?_j zBVm==1o zy0;`RblBy7RAsa(<+S4kx~%)mDs^zEQ4h$NzXUq76=lVN9-no!2?+R~5Tu0xlG?7! zGFgWmLMgJZMtu3%b1y0HZ+B*0ryV@fr?e+n0MG*PXv0UQFI4|d2LA3o9AvV@FexkS z;c;0<*P4^SSO5rjeVTO)$a%FO^Zyyz|AygzG4!&0^#5k)gGaBD_zhtHkD=$fQr|*U Slcm0H|HIH4Q9vL-;=cf=r)(Sm literal 0 HcmV?d00001 diff --git a/zz-solutions/04-Lissajous/Scripts/img/EDA_scripts.png b/zz-solutions/04-Lissajous/Scripts/img/EDA_scripts.png new file mode 100644 index 0000000000000000000000000000000000000000..34917a9631c0dd368e83da36694991dfa10bf1f5 GIT binary patch literal 20462 zcmYg&1yodDwD-{62uOzr2m%7qBs5<_=~G)RZ^&>;QY z@&DHMo@=>O?z#K!{o8r=*~dstbp;}PT6_=)M5Lq$(FTFgPEo&cv4NiqOf5wLe_*Nnc86-Wp8;X~+41E`L(4HRbKW(|VDV7&HD@7ygd zT&#JW-E32klC&TYGe`;YLf12OZ^6slSg%d^a5GiH=dnr((q1Ps4-4_gQTcPCpFXA* z8L6M1?hif{k(Vw7%g0gK3#4DDVHn;b7Tyj92M_hg_{hnWTYhTLFw^q=Ay#wp4+!pZ%xMnDH~^KvMWmaC{a> z`3(V)%B{%N4lu_~&pRC?&3Zzq5@`{-@+;Xwe9-3d#Jh2;mL_}y0{GAJ&esJxlfwoL zR%J*{$aYkiI&>mR33j!>n*%neRL0ds`-*3tfHZ~%+h9#77QWRf{3bbWVl?&FMHa@H z4Q&M%r0Pj|Ann*=R5B;Rz;MIBZ-lL*X&+X}vC3b7ib0J|PcHlS!n@QEa%9`6)fG1V z?NzGwrrDv55LpwverPKvRIUX^ZV8pJ!qkO?|}S?$+`V(+r1aSf*pTMI-f3WTiC--eN{!aikQ zCll1(Wa_|}vn>s_a?{#b4Rzjck<0pHgZ(gN(~+u>XSg8G*Dr30iv0Vy(KQhmT27W( zpM@uNHe+9b{lWOYrf6%>O%~;^txjl?EIG#&C)0e3SrWt>kEz7-bRE0s3+%mRthjt% z;2L8)xq)Z9&<~k#kG{%b--}!BTaqmVal!yO*iAFuUvPE^NOL_xt_tTQP*A=O?R4g0 z_ZKeq?^9oJ<-D#K<+7(_e%t@Y6w6}X>okkc_buH9D+OslgaA{CgTWno*-xFy^3lHc z_cSuoCaHYR6_m|9fqjS*(!5W#pfifH4e!+7&G8EcTUIG^QCMtjkDHk@k=U9)BuKPq zcLUp<>4|Uby65s5pb=Snfa=kT)1?E7$|sueSE)e63tn&mMa*pg`eO1g=2jv zPS$BBxIjl&BSYo^RWsW1;{`+bmetNnyrZAVXDZWM>fr6LF!h7if*y-jI#JGOe>wl! zhHwsjr~blzkTO|km4}5&2&5EBz9A01SxT%y!=q_o zaEB@dTwgz%g|Q`9im&q>;E`vO?f5_z>qgrPng5 zFt?iMWR+bYmfabagD4ebb{^z$`yLEFkE_`tmh0;QkGRosJazLBCjkO04jSbau!@UX zlavHT{WIXcGAUr8M1qi=gh1*8ptB}g@Ev8VA)<=()BE4Kn)gE-!`6IM-c&{0W||Wi zl9+`fA@@uazarD!XksO7f81&nBblxE{AX;Fb6{ongsq$aoScsP6zCVPrk>uG01ug{ zyb}vc4EP1!epkpmBtt#7l;q3lW)Kv)@vKEM3%T%|5*C28bfgbX0{^mjj?lw;;*0-{%z-W}|38X?wQkz{GtAF&gI;ut5EiYVpt>u4XDogKmJ?X)KGbfjgDci3Is_DlsxLFhHDk<^DYUdBlgBEj^pZwWawPD&Vg*kLHH}=&EIRl6 zbwNzifV$kG+s9w&4ktIc9j>T%g9HYPMxIT*>QHl*2ZtZ!1YT!jc3jTDvB zpy1|h8d|gG_J!d3s>7&Qv%hj4Nepl_^ZQJ$enfJ1f;9+=IRadxxA`-uS}y@@lXb~~ zJjw^@{>ul6#y#Nay)Bcy08D;W)a#fGp_S66ljS!{h>&#wD#T-a(n;%+g9qqKSO;%7 zvIlLSzz=jMsik_=Oi#_T-W^_b`kXMNr<=W4mPc{F_bAkRIB&fmf0ECBI*on0d{oC_ zXyTGWEwTj!WEtQC>zYPH1S086bq*oONA;ltQ`&_H-$kPQ&bQ@&e8rJ?`INWh5auz;7@ zs1QRyK=w1he}@&gf`T_Miv|3hTK~&XgCXprLkGB43sB#sGF%4ZaC;aGNvOe;1jA)Y zpO}>^!V(Um1Oue$169;|Vq3^Yld=BTU2`rh)C0C<~~Z z|5M0c)>w}GWV7%>0E<9M{^x@em7K?>m@YLa@WoagEt2(hg{6;ckj%+}VT>U;Bh~?A z{~{6D207Lqxu*W{@3}a^cV{T%Bc?`(YTK4|~fh4OeTRz`#lo?}?t4-xS-LXGu$qoHhEP+Et zMl=(wH~wd2oB^l{o5D?Xgzv@K4|zaxDF3JoV`p~y@x$!+2a;KQ-70HZ)ksv1Yu6g& zg<|7V3HamGhTd+X{w{I+TQU7D1(>=KmU$ftUn?0jdN}RW598m1>; zf$&LY{S=Gvx$32HsgxS8Eq+tYn^-~eegAK9P?&hg%$`w|Fbf}1_i=*e!9be@EwfVD^8f02~-IS?uU%B&@b+;}}fIx6Q0 zhDU~#=d@S56bC~|`A5_!Yrs7;YQIr&?D7i(NUOY}LZF9sjGu6WHg`~(-Uh3}ze%<9 zO{J_?R*n`A{0U${7ugwp0X&gkCb$v%C~dv++me>Om6GM{;aG~DeRP` zKq+>`xA0;wK`-pCySky9wqJ|D9F9UTVTu@UAXRJjZ|`qJ$PD{C_4=~9Q{lKQdW{fk zbqJ(D#6W3{kK6X?=??Lmq#s;RXP=cE&=cq;GhhZSm&*uU|2MTK;|R6MA@9)CpaZ(=P@qW&!VTQy>U%@$BNE z0N`}>%I{8P2;7#^8E^y+U?D9orp zeGX4vr6Bo}%B(;sfG3qN6@Kj&3eQ<(-)irFf`Qf$iK|LKBNmLhOvTfF)}cakW0> zITQSXMzLJYcRFe92t%*a{0E95^>o6>bt96r;Pcdy=)Y<`LO>Xea{?7S+~zD)`c7;_ zc$~%TPFBV$+&$NU+xCXPE@T5=fqAMdzj4cK9utm z5dzv4l7lVdpoG)<(_70rjN=g@`uM5MR&hS>SKi#$A<(N}K(kP9s@!jeKb>Y@FTALx zE<_Lg_|{(B3h>LQ&!Oyz`5k|r&Z$5>E^mz5hV+3ixSMDSItHC{^xEIbSGY}q%Lu;@ z1=1D^0?Paqh`aZ`cT`MpN}6io!HZo&lh6r2lm+yA;#;bYH^|yzItrfde0Nun>lZ;= z-|m+Mf>lmwAwP$y!G&T~Y{gOUTQ0ypDpq)=p zxOI=C3ku%NXLH^$@KhiUFK#6%_>E^zLkhlDOM@6HaA6Gyk!?pZ&;JV39ALqueV@H{ z>4EFKR3O2amW~x^4}?tN{HxYjT_#dcu7w{C&q?*h6Ncc`-({^IKX7#De=U0<} zx4MX$i_#yiduj2%<>dEcJxqQ_>Tm$reMjeVcy!O)SgZ%r-AN10YsOp7*JTpj(D|{m zee%RS^0|1d+O2zr1b$BQ4GSR`9SPT^-v>?;!^E(uD@VJlwkllbUvBk@R|P!QdV@{| zrb+!F`TpUhov=0m4es{+PI#FgO^%EvmVJ3|qidci-BSyyQ^*{3oLJF zPxb|_Toew-mp>0jZKLf~;z-IZzMbx$#El)Fq!O1Db=fi57PgO$S z!nR_;Jz7*nz=p3xY4S*0oZ&O+lG4UeVL+Ez9rRlB82eoFg zf=*4UFhW-4Y&@nWO0QazTnTKnKyvCyRg;hL?^w9Fp!Sh3zl#!|jTi}2+1_2?Kzo?8 zA5nmp=Nn`&4NZ$A@X-Qwm(=?#;{5{kbf`^9G6iOPvhMH-f{s+2A}nYSIiy{(p>iD zZ>N@z+z-Eu|1r%Dob8juPD?L_;wmq~ngIxM+j8l6yVA1|I;4Rg^bK!Vb$+llPvVQ7Qj~=y&=*M8Askk6tzy!v!TMB4jEvdRg^weq!*nZfw^R!n(fbye z;BHw#aW&}^6E+LH-E=FW^aOBO$yc){fhnJ#33nCzjS^TOgL4w4!uDUnpAejxsNovr zBSq`?*2***d~^k{)s?dpR9&fs_J67$xDp-6E2lj0 zo9^7uJs!3qbnpRcioqki!jV}i-Y&lTIXB$4Vnzt`)Iw0i7#(C67hcX%r|+IUpT~?W zEcv=tccpIJSc70mmNW z#1vRRkW_i-r@N|hs_iK$iv1WuMxWKZ-}_mw_K29K5+2v$nKxHncDou8H03)W^+0v< zPt@u@5I_}}vxQcf#J3VM9PyEIK0>)HYQrhIQjRMR-V@us%Oc=H*0@X3(sXQ0pg4e! z?~8BP=2vPm*@1hb>=YB$60CQJTx%X&?ev+^=Oolkl^)qG6XHx~Q2g?tA6{%(k9bP4 zi#TV_u1kIT1=HoWAE?sa$JiQWaVwk>fM%GtA^BD?W7d9B@VQ@~uz7feba@h8eQsh0 z>7V`gL^cB){-`cf@ZV?#OER)gj3u8y!Z=3Io?b5eRRW+yb*PtqtCFRVolb(G*#FrX zh_)W*r%-c!4Zy+bt#a+Jq|JL|h0{JiaNm0v0f$3>lS>9-eBxbr<`$uCR&Mzfjrxji z97)TK$y>!nNJh3@kXim8190*>@3VEj*oRx97`)K$sTmcP^gv=}n(t-L%XRyjL4U}M z;Z=nm8c6Gc3;bM$g*(_IND6q0Md|WeHiKRy6Kp2s(Pj<$+v);rN<2j8V$Oi44~9#* zQUcm!v1w)qO`h=Tv9b2MUAL#rEG`sD$e+`h7d$rJ;(olajdQpXL=OaYfsXUh7f$Q( z4$AY@Kr=be2>$~g&wZc)eG+`KLAUA~+Cs2mums@9-7Dv+6KN|VT&GD2z zwU>_K2^7_HY;6+bvRMdXCKhrESv{uCj;7}CC_H!@-c@28-^QN0!xq{2tW5{`WJL!( zA?1f6rG)e??o_}E8B}P0njr#?UHFu?Go{TBVQIyV_GOfPzmDK`Yq6w?LX1YsZs>iZ z*TTi&)R^rk1>ttA90a4hpaA2*g)PadF1O}QTd(I5B`k};egTdqJruq*T4wg5V`Hhk zw524}{`fV$R{k8VWZ><5Z|MST|K>UgNH)j6XoS@&m{_dz#Dz-;lZ?{Iooh`Do63qwAy>h6r>lu36AGgEa&*w zez1u?1)`)7$vL}TITyu86uC*{`oMc4+7~mBtyB^6Y{%Q4P1y7n*u(F#`2XhUekc<3pst#Q zME>PwKt?Vw6!R7p3PAsJ8MWq+$usqrKkY$;q2@g zHDY?BQ63H1`G$-=-`0oVz3&y7!_D#9)>}su{%;Q7U%c4cURlzo^JM$f$o+zJw{)#*=hTvPh+4*AwQ<~pgu<^S0vaXz)51pv#+3_5i zCkhUynr6-`x@H=;E^upLbK=5evut+qnoE4FIkA!bv&@^> zvaI!MrijD22Cb!)mZ>+ldKZ>G&Ze@D2FHCM+xbsv+id{%;b)ngtY}15hnea(XX27R zz=(s?54(u+Uwupddex4>dDR(qPR#hz#k}vNu*HT9u$sKA{B+{IJ?UHtc^mCFuTcBt z@ut1%utm0s2OvTtFd5q6`X&ESdfc1UrDpVt32mdEnz@jfrbCgL!}>hC-@mMOXCOlS zMshf#rk-sV z^IwKte+p1bZVF*)X!gH_mBTi$oyL`OABwms^>$8vZV}CIp*}U*%GXVrqm9@SI>x46 zZ9E9TUc0X&Ev<0fr}upQ=Z<&}vFj?d*i5+JVC2A%0$+8X*^{?4=cBIbY7-dr2fl5z zrM&#cXN|Ng-*eSK_4Y(RzH+d{XW{qEaofS#(7`QPmb$4K$JmB!E`f6x@mB07G4=7j zTPj508{jNL$>a}q=GynSKU#Ls_I!`6A#8xX=5`DtK-f>e!@9ie}Q6b{H?WY^b^0a|hUS8B# zZtlx;UGYf<$L8PG#HvAVs}jI+nRq5PaY#=45h1;d0`6-bD9gmlzDT)*Ix9YRf|A)- zk+N&X#n)Ga-oY$JJ&&`SU&is;5*W?*=E=O0nf`TsC#3uJOM(zYSaC-{1Qg+ai%-jY zPxb!Rk$sR;#vHEAVkbXJx-8FoONOuKcUw9QcK+(E!5DZFp9t@L+-Lmfe`A>h%12op ze~}7fp6|qd8tw7#3zQ)FK8y;R{!Y9v5*(hc zsPAn_7fQ$@+~ji>x0`OWFLaRFx{sd|YmqWjWTq)?%tRKKm*s)ZB(V zhcpiYfN5y5V0YC|VGuAt;+pB20@&g&G6i8bd->kgkPLNZ?+j>$_Lc&5Nu07bb2g>o zxj=3D{?nPGZH4lqfw-LFpF>j>k+`@*b>2jApHI z#tqATruj;jLtDP(66c%r>8VJEDOx=9cW@0?NqMEzvB?4+H40_55JffSY+Y^TERWmw zdslN5aN*gOn?aga@c3)zc6gBzX?#E=eD7QxM&~;g_6*4iG|bw#1W$FQzY&}wnqxRW zdmts?u;!CT=w;3o0WJ}pqYX=OV@7;o9o7f5o~+`(Qrd106>-AFZxK0LdAj$4r*l!) z_&GKg54i{+305me#=Xn&V$t&T%iL%)PfPah;ReRVVETI(7G*N5u(O~hQJEIX%WxwY zeN5-y2cMCrW;I8@N-br?vPRi#My$}%T?z(m4XIw6I?9eu-{W%I-4m;qx+`lUwrnUh zRNH@gvhL{X8Um>tY<*`?mzyOK%R9F3l7VA>R>71C?Ke5P+)p@~gViplmEABdq@2%4 z*YF%O)T{fuKFl3bS%!1I|F78jy3H3xSuaNN0DNY$6--yDiA_)gTTSa4Sv_2unv?>@FJTE2Dh2uCngl3a#is5C1QqMhxM!inA z^0|P}bm%8ezeCGLb@hZlH4(2@KC4GVA8f_Ozik>BjaytXjlYtF9I5;f5HehT*@q!= zA}*$dJxe){QwGiMU72KH|I*DEP;lK4=9IiZ(JC>|HN@`m@LK|Bx;vJ#j0cvnY257^ zh1MATY;PW(;EBr0XEFDT4=xhXRcI4vJr5A%ZzL(pGIYFAoZNr;{Or7$ZiPvp_q-yK z^Lcw>B%+ip4@p^Hkvc@`CaKN^HCPjSQ2K)|$8=0>z1-a9H1$Vn>74rX(U|38Gr=dm zY)}Oy@w|yT%lq2}FtuiCw&rWVy{g-4O@hb74kA*f`ywl+($k6m5{W1;%=$f4!%cm? z1p*F+^(vFHF8MM-$F7|qcjav;KDKRD4nd7$$@_Hmsh<6)z9-+?H+9XD3A^G(#H(os zk8K}*vz%a#_CK_w5%xoe#7eWqb5d{g%JZ_L8lrytH5*dIAlweU{>hqLIf0}syjlvj z=5vb#V+ktYv)!E1ymqT*sHxINwiB|-A8 zOEukY9FYATzwqYLV9+;(^M*c`w_do1D2wzNhyS554E?p#`~^UzPysr33R;L(9$(Rr&Dtqky!cB< zEpPoxo)=6nB9|nKr%|UPz%^doG)UlF)IL)`-I0=EyITiISP;fCTK+^#`2H_cp(2u& zi2f;*y;BhxqMqC?c&i$10wsv*wz}8Jg!lR;>7@nz`jOJis4X2q}y8n#zr0ILga4nvBOi2K99g~U9Rs|#A3Z`gH-a1 z3WTfjITU2t5oz%d@#%vA|2*3FC=VPK@%L%IgC$9=+x<}u>XDowjkTW!XeeotYkyX1 zzbWrPj}j$eO*<~*>X_jRpz-Q@iRxDn3w{Yhls?w|6BowO;qY_#y zIff$>z8Y!ip}@k5?qbE3bXEE|HA4nFyf>9@FjqT-KJW>|W~o%;p*)qR)^Y0)jVloC z22)%3AqjNEDGPv0zN~L~WI6YXJbN??l)jle@sYgCy;OF)pe%G?Z7MAsUZ{b?YU0Ta z1yMB0c_>6iYQFs_cbFiN7ud1ey-tl(SX>4#Xu;ucDyyzZea9kyAql@;;8TiGLYk5E z3Ae^hBF0X`{gIqijSuHYGFJLSV~p|X1CNhr#XmK5FWkOJ^_V^bbS?i)MnA)jnI~^_ z)B})0k}W1_0^gGXy}d^V2|16K?{+?yg($pCh(xq90zjy(#TX}OJYn7+VIu{$x0FI` zK->@hQmXMvubm?<)r|wy9<6>UH$yp7AR%w&OAhw*C;(cx{g^{5#ElDnim>QKPj*U! zEeHhi%o`96C?^)4ssH&5jI8JY6s_Z9+NhwA29F-mbUAdzX`^#E96lERoo52)+o{o}j_{~MVQrgr0}53&hiz;T3CRgE1k9lDQ>m*Vg! z@agz0_W4ML1soj@Q5|%6?v5QGZM~II;d4E@dI&ov_`4`M8JQOE9F4;ZAE9kMx&+P9 zTDw@F*)YKHyO-UH{Vdc|6Fv2xoH{cCTGNvWgE7Wn?La{&0I!wG4iFl!@`B%>7Uapk zdldMz?N*7#5R;wxA9q}p#RWtS-gA2lx-5^-=xboQtgNg%BZs*)DJSYi;%(=qCWd(y@iI!eGB;J%3 za|dRVf_$=3;)Vg6Z-}nLz%1sdPQ`gvhc*abc2$2B0KNAWnqYKy>*7~{arA4f%=KRZ zf9zo>a&G+Ot$)l1w#^D-p>>#`EYc1MKqAgBKxbz;ZQOail1cRS7U^4!ctPM)KnuMF zo5VaohOVA7%%t@fSRcHnuEh%=7}c>Y?Dh%0A;?k?s#1Yc^H{?7e<{a_+q?fDLG$1N zA|9I{4WJxzW}r0zd)}ryg(p*+xhS#0`?NwuPWT@Yiz+(0;HMlsw(jx_2ScMo3e?U~ zY=GT?P$`4T`{L-?jx6UKCjHaXhwqpOg5UiDJ|xiodjY4Spnw7Nt#qNL5l8gB&OF)g z>Rf*y*=byml-PR5zfmj zCWkU~Qm-*Adf5BhCa^xR4FpudauZIMXq_%DS35sN#hjlu2Y{qlCE1bZo&D$g^l0(h zL?-OfT=oY5NL^jdb?;4pG7$!I{KRqd%G|OaP!4c3YdjXHA}UOOUqyj$!sVds_y{Gl zemWFGw4zEQHA}AiA8y9!E5U){D?hFx1)&E2seDt!n3O}UU4s+4{fe$tVgUv3>*ke; z`NXONa9NR|zX3=>n+|1(Z`heN?L2D|pG(t=-fbSQQ$Hz0Omv~3@LZ>JQe;uz-T^B0 z2lr5Ns5%Z}I%(@(p zXmFht5vg3D*{Lf%K{21q^5`b~-3V3;$$`uztbkG+#F_c{vI6xIqkjhxT18Rq(%I`R z&3|G*b?iJrzkC!fq~(%cdAPBmSZKtjXXIq}-rq7MSYY>=0>K|Y{|BM<#|}Cz(_gzo zyG>MA(Ldv`&>Xh*VJHT@8Mj0A#dmDVUeoXFUo@G?;bc;J&Y=uTjnK`LC1LM`u~wSE z1ivvcRS{TES=L6(l+ao1ciTJy7Wv}KF7}^DA!*WK@E!Nc1>39BS6OoL(TtP2?i-4uk#v!$>a8OP0{Y zcMgn$iSiA_&n)UPm=2uKxK`QO=TN?{uUc{$@Zar4 z0vtmsp166gP< ztV0-D;lC$LUMw07sF2f7YRd>BbHC^|-eTOi5}`y;D8B?~JrfM=G>_o3qG@|j(aiA& z%=gY$6cqFo=P_W3orLaJ7K)9cz|dlw!4idg7Diz3UzMBx5a}?v3~V1iYUC&d!)Uv! zK-sQ&DC_+y7TQUwVA>f?Gtza^T!-)1J;(GDeVK6^_?D8u#CAl&Y98viIBL_+^}!Vx zYpD(hB~imlsc)gEMlA*&B?20rfo)hUMzB=$r8~k{ zBQDA}BK-stKeHQeS;vsz1pb}w)7m+Oy7MuxdTYSo8F<@8oqD)vXX~h;=E&GjF8|=2 zlwH*5n`BV@JAy@Kou$eD$4{Cw3=>lxfp3nEu`_}8z+3M&ULUjEkJ(c57*nF*-$@0z z==^sH^t0@l?GOc8Bil(nqvs!8v30l5HI7*7gUvmz$xo&URMMiX3+=V^v*LwQ&DQ-mEUS8hc zE@{WQJd6(GyF%+evzh1lj$!_%e?*Pc={~A?FJ6yLkBtR8NsGmD7C>RJj6-W_+MQot z!(&CdO)$ASmw@B{ntivQeu7Yt=9Gp5Lmc*FO0SES*NI^a67LTuB(!47c?g_aZqM-o zHgjH3d5eDpn^za(;vW~(#J<}!*CQIZCki@yAFC9pgQM=NZOlp8j$FVnefR?M(EI|q zP#_t>I@6x_Zri+0kV)O%p|Hs4hDoC5CoG&;)?k@O%A}fJdPAY4q-3T6Ru&G_hHnoRoBZx> zotA1iy6m^Cu!u>b=+iOs6{IaLW@@OfP==}b*Q}u@@2{cJ1=_F0vSbkv5letG-zf>L zL``Lj=~5FrCnx0D#j6R(??e+W^_LuUyNsB5og>(lYH!UP_@JO3r`Qjv=N<{hE1VNX zeti1BTLNTi9A<;l85cR_68hR#_-mXNqA+lXPcOg8(Jh6~GN@ZT5l>bSe>VSx>Ak4= z?vP8*qrA~LUW;oTf$sdj2E~iWi;EyxP>P6i_ucKam=Q<6EDi}{=bxdJUz3yf@B7rs zCJH#o&Nfs>%oT%{MhqGraZO8p76kH7?q5bw>8CNr1zzTv`&zegp$eZ1r^d@aDR$1z z8e06UwD9xu_YQN_coHzA@0QK>N}O)z z;YBA{{49n&nKY26t!u$w`S+^%Vj!$`@7~E78PO$ZyEH8DN9W#>v1E(BWF-UadNmEU z*cOC=^TuELI@Z=y?p;UCqk^2f)D5m~^Q431B80V)5#Argsa6m(C1Y@_$G=nJv-Zcm z103k4wyI?ZNMv`ql+WnI1ZLUvhnpMU^^J|TwWHRxBU#)}>z$C?1TYLHf@o+U!^Iq( zo%QNR92-E;7iKO;b{;*-H2`9>9gGFDv!hQ^eM}~~cwn=IcsbaLDdLKwOcdvM>csJ( z3NBg)zo-v~GWxiX#kYLNi)x3PrOm_P`{D{~9_7L0^f#&d43*hM{@WV>5p7!_LNebe zyttJ@os%2#IR+Jq6RZxdqQ&JUfQ4u`-bX|1OZ|488cz-;5ti#hT$suWF9R!^Gfpkp zuR&kVRMI2RM=rMVDF-u}(J|{B!Z@h`ehZCm9+|tIMX9rwg6s0uVv52y?$ysL;!U>{ z3@!@(h)$Hrp&7Jzqo&5Pf8?tY5UFHu$H;xu?Yr77_4pI{di)i#;d|ZZJVu|Q*7EGai8=F{KNVSO z-RqtaWBOk{N$BgrB(;8^VsSfiAHHyJSS+D53}j8i^f!v^Op24wbY5-g5viEz6Idn+t2rxnId)j;w?TO@z!b-Jms?8RP(?1vG2C!3yGchO>2lf9f&_S_4s6J1W6g zA#=u)_VwsVt2=Jv_{GU$BH0er7iaRJBt7>u2FlHNi&>)MIyY9OFl8AQE$8Adt*?Rl-<@MBpXx)lqsKnJ0>z7kE6&!$HRh4{y;MiVr-^4`v z9tO+}Pak~`uo!^&W#aAs0*0o6BjFXvC70;;)VIKuk8N8Idb8hzv)aepAaq2m#;v;& zhTw5eW~WJ^1U{M8+zFjiLfr>(hVZ|LQo=cWzxN%hk8#gFmadI&E8(gh$FxG0_;D9I z6d@A@k&70A?J(OPCYAd7*d^2DPvegG1JlF>N4H}jz48|JZh zWkhL#8^k-67NX9Vtty21&6TsAT@V7X^~AOehHXU^UF9Y14#!h%YCg4o3TjOQ3k2%W z6duO4(ZD{TWPe?s8&oCP_4pCh)-Zam5~|8J#mVw7k-+Ja2Z>IL&~ILb%N=J4LK1Si zeZpJ0)-6%9PdoqCVg%YFnt?lDFyg2MI~ZC2iSjaKIEpCO7w5WX{U#GmG_lUx!)~f` zlvk*|hd%y&4nWryE=ckPE3a3u?mGe5My$JU2Qv%f*MIZbRrH^Q0l!dizFf)&R`zh1 zd`&isdSjc8qN*e^XA=4%{6H;&>?RV))1y)hZh8Ovm=Wv93j~ZgN5$#Td_^+F2ugJU zjW06bDwViP4Gd1MJpcUPy&JFCq*nm#s-2`p!sY32mYV38fmKa%{Cy(9A_HVvuf%&K z2#INA${dW3cZDh*&9P{fKMZl)oOSXni)fmHCuayfoJCI()qP$5XdW_;-*1@_g0 zB`)S#XN?$KYes0l%>vq1b*vH8UoP_w>6}olE$l&3aukG|9@EuV?E8q5)5lK<7(h19 zd3?xQs}Sy*tBqrk$(MOFJEyy`V2BX2tyk2_mslBnVICiOSYmUoV-|+2?pGL^;(#-l zk}l#S=S9+?jTmdcfqzGgpyW%2M1ir@NEbh)U2qF(3Y)!i8oHSo82amn*-rv^fQ=wZtg8)?dEmSO`7CBD-~*wjwDKIaI7nCc z#~Y3mcQ17HA+s%q@wd zui-O*=1F1bs|*Wby{1mvt*QZB@^!~R^E8`YsCPx~J8TpQe>mJL*!IwHYkViEnn1;u zJn*{&!K?~?(08vKz!*6pHVdmjc{j*KsM<$o&t;I&Xm_je8@j0kk*7l8$Lmj|DKnZD z62AtNFRCX=tt%$U*djGnAbJiVXu>D#|4B~6F`3@J*TAGZmvC~8~qy>Pg z@5zZu115h{k^ig_;)D<)4@BhU;GYAva*@@GXc5@mT!gyoaq^K3|6X8Kx5(sirVMRO zOE&!@O9iwNfYf=J7wByacnKq3kWw?**DbnkfRkRT&8zSZjx?E)BBOJO1;f3M4*>VW zX_#2R2l62f`?SFYGs;3Sgu6{b$x3g&g_ul%fo1V}I%%k5c6qVEpZLod?Nz9z=#?x* zu+6^~IzLu8j^IhpUK0KT)Lvw3*fQzIw?BjPhiePvojo9khi%sSF`X08=j}2t&_Kz^ z%xj-HDD&h=sH=+b7qO41#QP;i#Z()A35E0sAl~1e%n2z))LIa_0;BN;S?RUSB%hZd zjIqIblIy|}bq#Ox9c(F#_;SD(l;zk=usA)4ymjhIepglu2Jxh2F;&^@ z+(hO>h(NsN!(=bGqk)D!<{&Vi1W9-tJCCV9$3+NSW?YCLLYx4@>%*gQVlA%QRI+}w zhF6Z!>KmVVQNn5#n4!pH@NUc2w?LG*q(2W?6I*!!(L?XHvgHXJ$73^d!WB2tEdX!$ zloAzdXq8wr6Gh0Kwr_0o7RCxZHkE2p>z4m|s+@8A$PbFkfi_U$;)1%=ndZlWLVhu8 z{36}Rboc9cHot$r@#88F06!kzP(}_XcK*?(P;ds$#0S(HG4H7@!UG76$Fcx#%NqrX zMj*E~r7|)4Mj&~<$4`oMrTsv-Iia;5dvK}(cQLfNtzK$QPlobVDWD{%1UB!0cl_+b zaBcf9WacWXKM)7~yWyqz_5r5+)-d?H^%H{K5T6Q}d7yVr#@@#qK|OwJc@F+o#anpY zCxJN0)mBe+lUe+6#V%n{xC_EWDMBC=;$@20?d4TPuO)o^P(9&VB^bRs!mVU5h@J-s zimz)ON&@S!P(GWtC^|x_Iz)#RB2hzTO_U_WzaTRQ#lJk7CHkPhJDejo?4PYS#ph+p z2{3@O{jB{<9R9r>V9p6xv1xt-6s1^tX9IUd5^=m@MnHs_EkE7VGyqcCw$}fIQ9@vb z0+PUt76f>LGbp>#n{}8Yje?WMy(G#@deON zzQ67de{r!hoNY8reKn*ZWsUqcdSeDkA4>~6#GMU%=Il*$11^x|%1#Z2iw9_#{*bTd z7;JHTFHJSd^j`mfx@SN&R?ribEj=0?Ylm_)@nJ=Mw8xt<29Dv9`lfXoIB9^&D5$*o zcKWF)!?H}ZTZI6=m{hocFmLYs7v&3>=0Ke!5YXqn9c}um{)z)IXeAMz)BkS$ghvBO z-57YK3^=l=csfxefvu&^{r-;yqGkPQ$HOMiKi?FLfHsC;m@lM0HUsOauVoNv! z#v3?K;=FOj>fFu|U8uMZ<2j|7e8ofa@cASG=rM3*%-c|NhJHQ*7_?gWO2Wem0+HyT z{$Btsc;(bDfu&WrccI= z1?Z^(23+4qIi*KEB{lX#LL=Wit7rQs7mUzNd0K`UxH>_w-2(*bz2|`Sa(S^$D<^po zCFOTTgrx_fMkstthFicZZU9Ivyj3`7L60%j00S2y1p?UDb*7_l(C@oNZxc_@NL}A# zaTNYL4Zli9o0vpYee@@@&Sn{nmLo%X1YG-qS+$q$Tw6obt;3p*X@4w@gJR>x>0Kmn zDX+rZKX=O;_vR&l?*9$N+0lZ)#>M?4TzXn(<_Im(Yt>*2gWrBF`>^zANCe|=36du_ z1LP9xOf!5!6hSF@`4TFQj{ez~jel5h{XRG>M8<7)panUV#`XCj0>cVXAnd5EZ>D=? zypk0R4ixDp(_B8x96ii_P9E4Lu^j2m9@eURuNV!bx+$!*7-g_U8e8oQCv1zxl%sC+ zi3R?}0|C)1*K8C&r?eOkP4I^VzSe73e2noM_CL%W4Vje|+iZvvD+1t#;4Afv3u*gE z4zzWkZn^>vqEO>0q$}1hSV1mIIQf?-^Fn8kNdV`jyXUSf{(TYz!4E z^A;vehrO=a-_wO-`hGgy+qm%BJR$)+p~w!XT99T-|xzSlCct;F38~h16R@XyIKjwQUxSzm(fP z@#KEiZY}l%*ZLKRJLLI+xVHay_Nk*pWcr)-pwhOx2S#%K16OE)Lnz`dhOzi;wUF9|Myg7Q2I&v)fuAeXeV#@*JS(%H7|8nbxiJB+|53r}-1iX|J9Y1)!c6OfeI1LQ&uKk#{PGCQkV z=IDPnXuvd{t;vp{nGiYtBt7=Rm7Wjd6@4xkd$&$Q$p__(n4Dp+n>F)*&@Lu<8ASUx zl1SlH)9=&J#QD&9wTPQk&gh4KX``hH=F{qzLPsCCAt)E9JKPqiZT!+9DRPJ})dMY= zyZcT0hA;3Vl4|UrQ6gmKlv&$2F^7I7iRu;hyL`4p=XWX&2UQ54t$WwZ%}ivt4>h;F zB!PJdu%2(j`WGEjd%c4;4F5s>6N%`Jp#F}0i>04;y-5EBsZ)y^Nj{wa7jX)X^{qSl z_^D(hx=}&=DF9b@59#Gd6Ss!zu+ZjtM5Ko)ByRCnxvN799}Sq94IJjViC5@3u4_$O z3q1K8fKTaeA^o^4NAs=3^r1db^L~kGf4w=j=QZ6u^owzz=Yg(uZ6!#_Cjfk1cMIt^ zmWktNd5+<8Gy}8$g-v9@1wl6UVXmFfxjFI@0CwOAE^b zmFFw0;DhUW%Q^%e;-+OD<3KMEhi=_k?PbgkKzv1a3+a>a(uhqDBJQSjr%;Zobs|{m zulP4xiQj8E+A*NJhprt5dV%R|*tJPW?@0i)x?4z(jB?QzAHsMhp*w|gP{6RU++Q_X zZU?uu#M)ob-9xj71HAyf(ey{9+3d{25V#jyd@M=|z&nXioKEr9VYISF$ zIgvGXtUtbcTz3=AE)Mhp*13A;Mgi|BK-{Rih4dImP2xmy6rSR;Trpca+4^4+j$ zeUqoX6)q0+0{2GKJyzEBZN-Ii;dy^k5t_&*&=(&<+T*fZu?ZL!miZQ(ue8G7orrtw zU+C{h>29LA!+~BTx|_F^tCaa51hxS%bobEw;<8+EkwRdRQ|5ijSN=mN`}a2-{r;%# zCYpO3=tZV;&CcaQsohZc72Pc~zcR|jvG_2?GI8B0G=~;gAuRJ(ycRaC?dxJ4eY$&S z{&1idsf*k2?ch_~ii!fzpB%%fnGbZQ&@2lX4wm@KhpO%H{p(_T{#JJn%|8zGB6fVm zL-v$4z6B5u11QnmL-S`abq;SQ&v03;0QjVZ8+_4Zom2MMOl0zVpLyjO9tmBfIM4|P z8t+|bDD%4l>Td8hx1uqbO`{7MZSN>zaAs^uP_qnmrT@OxqwPm@H&Jfkn>GDH zU2AqUz{7U{Y}VaEbMNHL7)~a+ESGBp1S+f`7WtyDR+xc5Xztx}Sa%cUCJuD^MBR-$ zTRl%b!pF20zLE44jweRoDn4{ew@ZV3u|L{fY6QRC+S~q$?k37@9O!h}x>oJD4FZn< z*r>aO<_?$T^b!!LvO`$plpTs%&I8S{z58@GQGVb+rz>`F&GuiFp12>tr**f`+~Bg@ z{JZoW`64HBpu}(rN?X zhLEVVLSCIO`f}9tKfEs1-l@BZ@)HL-U9+ynwp)}k{!l>uCIDM^56!MqGvnw_jzNKk z(99-Vny7V3GqrZ_); zMy*{sUgsBbT?69;6SHY_tMffr#vjbs(|~Tuu^vq&5kKIh1m2UX1t8< zl)Mx*{iyXt{=3Es?=_8?zgpY#bV7F%6&4P3nllOzU5&f$fbzBqU=sjScN1Nkl$S+s zdio?QQ=b-Az=SIM7Ku z1&HodJ2pVzcW~i>Fk#(Ibor*-6nduzku0KRIlr_}X@}!gR`|tI)Bkj9Z~N=In}|5j zDW~k;_zjWfhs$kF0+EK)Yzfte6IE4 zs-xeJ>+T}Dgae&&)=yS$iJFFUr+~T(7mk_b_w6~%gTmX1GZ;)2&a#{#Br431S8n?| ztL@Tff{-sX_O?&z?jrhmIM69CbT@A+N5=f3r?6Q7p9fE*%USQuOyJ#Q{w~W|!a#)? z^dgq;NR=J>VZgNier-?tuvDAAKyK$yNl>baG+COJJ`C*FJ`boc-|&Rd*2t-Jg(;Wa_17AVmI zfpq|Cb$1n-t67#45C|I%f`&aBkakb0Eab$hxZa(^r2ps4{A1k(cXC$Rm zJ%nn4l1&h*3Bb(&r0%wSmQ@~(#fNb=GyRdjvxI?=VZ$e_F;mJngVH`+VMbmL`Lf;3 zho2nLkV}*oIM9i%(Eb%$Lyqa(BH^xp5X%A716U4V5%`+AYzjbsa!gHSXGR=p{?sq6 zH%rW5U!~=XIiB?vk5whQGJNwPnkD;JYz_Gg`$j3%5(TkTDYZlju~b1VL2-X{uK)}J z=vN9Sg+#wlIO!^{Kb3WV`kCWTe)KY(h^`n1I?+6MX=$4iDKYDWr)s58*LxnW7edql zsun;MfNB5>kY`8Q3LvF`vjENj7=gfm@-QGJPJ2q6_6+y^bLTMdh5n9|?pC5g$AM0i zLw>SyOVqH9DkEbpfK=rk5D`EGN<{%gAP|8<5JHtgz=0Bh3x9?UAc7CSri1wCe-P3D ze)gkm1z-{iX$Zt2R00YqC~*z~aVShGAtnScsenldOu`c%xK`$@-!(`0pc10o@xN|Z VnLYCvaR&eZ002ovPDHLkV1fww&lLaw literal 0 HcmV?d00001 diff --git a/zz-solutions/04-Lissajous/Scripts/img/EDA_scripts.svg b/zz-solutions/04-Lissajous/Scripts/img/EDA_scripts.svg new file mode 100644 index 0000000..f6c9049 --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/img/EDA_scripts.svg @@ -0,0 +1,98 @@ + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + diff --git a/zz-solutions/04-Lissajous/Scripts/img/eln_labs_deployment-staff.png b/zz-solutions/04-Lissajous/Scripts/img/eln_labs_deployment-staff.png new file mode 100644 index 0000000000000000000000000000000000000000..5c36d3b3c0c35ada017d12096a804a38bd8c5ce4 GIT binary patch literal 1773130 zcmV(tK(k1vbN1D0#xA5i?3h%wU!<)kU;^~L{_UTb~OP@gnBxxd6 ztQC>ef6&ixS8ZSZ2Z4sEXsfm?{)2M=K@bFj>HmSS_s4_L|DX(pynj&q9~#1w_w;*x zGj037*Iw84PqC)gKkfAIskAP~|DMyQr|tXnKZt<;1HGsIpRgT^>Dt~y!+Zb0(EBmU zzDMr~0{i#yCb0ka{m0C6UxsC-wmY~VCI3MQ(1a>^y7nLB^B(u@7xY~$^X~+1`Tx5i z(Ep(S!0#uYW}Sxj8i64Gf&M{Joc;%1j z$I|xOdS!SQk@5dXH5JXo9Q=FDS*~0AHuZPeJ0t>(6`a_AbNTP5MR~S)2|h*n|Dd0u zP3tus-b>p5&iJK1-9FALir~_~H`7V}kbfH))8W63Y!JdWW*Nr{w4GOj|x1 zdnea3lhN>QIo#;q7L-e>1b*Xi?SlJ#o+Ho&-TflYu2-j06}d+CADj^7SP3tT_zrKM z!PKJDOz!EW_Yiizx5T%8-W)XeH|W>j=;c$BZ!gvP6h^yAyV?ye(63zC?4YAf%Bk;d zVTe+YK z;aljLy&qK}k_^$opdA=HC0rY7M)#Cp#12gwdPGcYsqgQL9;u@5LmBxz&g#@ydcQ zLIRTM?IfEAFAp-`i>kXzcvIxDaRt@G7ukQ!m(^T&LX8WxGy-9+A4cK*R^IA-+{dU# zq*!^R%~T{c zB}}M8XP(B`ev_8?UfIwJN&ox62ab$Nvz_tRv8%11hY=H_pZhJG@^~K*xVrfaIP&c5 z8Q_gorY^Ff=X#V}HF1MQV`%@IC{*kN4cYfGJy_ryMV|^guS|jAbW!+>*pn3;l1zB< zjyJ*)Xa3Q!9Gep(1wY)9$}f`oz#rI$jmn}qKaf#SAB5hYkZgw4D7zN{h0CiJ2)M;% z!Gu9LWWB0_)D~V zc@-N-5fIW=UfR#2awWhloYJ{f1G3&<2Zf>Dt$py5UbG84&^(4AhYxiIHnMCA*;Slqm`@b z^K!T1ey>|`2a4z8bYopb!aPN~skW5|#oMRfKY5v8UP>}yf>=U3)C{TK=Fa70Td(`x z$34<-!6A_2X10DpvjgzYUz+m(IEFjuQR0%-GwF&h#3U>lR&9Y*BvKZpR#JlA85MK7 zUD}Qp*5Sh&9y#jN{(2ZQaT2rM>E*I8IOY$Ld_#@kWICIWo?*Yo>DAO&HUJr3Bgqs^{oz@sQ#%C{+N*__%tP*~4e*c90gR*;}=aUK- zacQQTqXAd>y77t?>)!6pN3_I>y{Gh6bw;BwhY;rg5%#XDKIQHAX*my##&HRR0GQ>5 zjeFsY&2jLjj5vga00^~!rqxX@DOr2^Q;eguz&}j;0*k;&x{FCSFUBpFl)H@P zIzi_~^RZgV$Q#4{0(e_vB;%{6Rryn7fT7B+Kn*a|U76GzaW@n?!#+(vW95|-_G>fM z)V)~r-b+!%&nK$8WeK^O2s6!avawL!cp93g=)MB&{fa05gry~u3A(nAY1@t_M>tnm zr8A!RkW;dh2j2>oj(tt-;l*5G#18_&z#3@^JWHrc8n1UwC!Q?snT%Nx=xAbTY+nPX zB%cE_ge8U`2Ay-AB2aTn>-ihMvs9XIBV}&H0f1WJa_~=SyaAWh$qgFla*7@XMczpi zn4KW@1r^kir0km>@my9INK~{S=Vobr9}L@y8qAlj>^Tkq98(58_1OBvq_1Sy<(`}| zlrNc|{(MiN{7b_yhi0gI`WEAPXy3(w$STC3nE5h>x&AmFwu@+6lH&|==99@eZ5jKn z?>o8tf`1qPURcL_J1k%TJRAp^V5Y`ci1&#v9$p`)`SvW-otEFG{_nTSyx*5R_Q{w& zgt`Mqugoa#%>=fx+NX9F_2THhp&I5^bvAy+QE?yl9o!iG82HtWeufO(LFkshmT?As zfrWW1ZF7U(cfL`35#T3`LHgFnwayh?qeKTP&o^kQVecYGoWD{&2l0+^nNE$k>SCg( z80Ej{qO!ikn2f0=y-a9)kXg~kOox<{F17KLe*#}{8`p$MGOr(t(e5tyqG^5~Y4~<} zU>zBFvHIB7#uuH&7nikU1=eKH=VLug6fW08V&n2V7});Y;65s8(u)#`@|l>bG@xJY zDSkzVjJ(9%u?O!$omw$zC5jiBLsvJ758nDlb(X=;?-jo2l({9dI6Q1hVp?n}k$;lY z=Q11rnMLFq8+@5&8~MMBo+$eE-GS>?r?MsJHDR_-=v#3%C%kHJ|73B?Nw&Tk%kiZX zz}nE{&xuDIUs}a}fSp3fs9o|&e~JD<#K9#Q8^G|1BN0R+-Qb2<8@Q)*Ig$(~$?VNA z40i`pkdby-dB#(7BhfX-A{Tl!{s584N%Hq~+IA{uJ;b{g@>NK;qD8=+xVqKY2Xjz9 z*a>KoGh6(o+>Try74S<+tuai3oNGKjN^(p?XxD6NKen?)G@OlyTxk*~rqED_`e((c z3@$Vm`tYZG{x}67r&#QlYVg6KsPsXou_`(nLYdh&9I)YG)7{vzHQ>TIfYkQ0R=I!N z8fMy~mX`o&j38+X9F>{+YYyGFP*G}cw2(E*YP&p{?}Ed)LfOY6yaiY*EWC@~q72|y~Mg^_SglSj{2;BjWOe++LK>YOm@me%b+%gH}HO2Pn9UexFKV$ zemm!+4i`+Z!68p0eAbCdjLTYY8GlBpg_PaI8H!N)TA3>)Ml`WGC=uTvQ}5oDGo_cx zvNmNUU9H&zX@yV)m>G}kqj+c-kbXTy}utEv6K5lVj< z>3|Iicb_;hesP9!`{JkYtYxRmp?IMD87=7-^ao;T0g>|&tlz?n%n#L%1j#!}_@xDA zYm+HurQqE*y8(UFf5vOShm?;#mCk!_l>9-w497`ah7Vn3*D?wk`3gmLlhWA>IVCH` zpihYBV2AG(2qvSYvVVAVDposxGTXE|;o)D7^<(D5lQ7CY8|qr{By+!eI@Dr7g*Hxe zETr}m{m3)UxDPH8x4t2=C3sG9Wsn)pK7P4KYgqlz@g6Pyo~53cR?lm%x17x!V0w3ULP;8~c}=ntA7(6U=pbznQR)g+G|9~0 zRS;yLpoSlB%mwbh7-fkW>ROWf^()7>ljn)e$gDMcU)64=9=w84SfZ?WU!J=|tIial;wTL?}v9mv<$X(J5 z=%+>OYAnzCZl&^^(#c1|=Xq&kpYcXp*lT zv$lp}XPt^6K9Bjuu`IrmFKz$mR9kx69PQ#0&!jc(sZ6U>_9{Aj{;-^UUsjxll#c)L z3tfBfwSGQ_ABR|~dfoIMb|HX#+ZJsk>R?q{4CpGxS+cR}v@mk26*9%iRTMMc3GT1X zLDrDeS*1*NPwm+V9RW(0v$3=CNG;wInry!GQ_k?~7BA`4-KbLv0pI<{yFvU`%9lr+ zxy0ck%UM2*p)hJcO-=v8)Po-AKynBYbqM(H!eI=LGzhMK#KVgP^swPGX*=Vz5bv6| z=JVlfb?fpdOlz4rM4OQ5S{=LxhI{{Vfqgu!tTTr)bOO2Nfz95q5bvthkI^b8hg{Gi z33QQN=pCBMC!(s~mBAz)iHl*T)K}67`F5z$R3C~?wqe5@ycD3FU2kU zDSlE`W^z%2hpUu)i$ruA*CmAc#y0&3`+uUjX@D$JkC&dc8@W)4=?*(EeyHKnnytU3AJ zbnH8ZjBVh-qyZSM#LoM*pHgPAVNiQTIAZHMF=h5%QBJ9xQBrfa-&L(sxdeZRUuToo zsdK+f1qh~O45@QlGVw1sA1npv|5&ma&~ORrg)y3A`Ok04RI2XJ$GbFIoB&?PZv5c^ zMz^@-i1K36e$E&h&OJdhTYbJaS`(Wy1pz(#?3Wcj3fy>uhwSKo3)4iNhtb+-H{ zKHk6ciL@Tr}m1?wtQEWV)(ey^4rgun;us z3x>FXT)!&0NY*}kc-8i&?nh8ga(`f5CfPRxi_Cq~hP35;N)TDuME)Bt>n;=8&O=Th zOGm!jzfT9xgj)rDKjVG~H#E(%RZ=Kj?zk}&i7MRbLviF8tDPr_Q9;PfCdX(G9{s$2FFFuErdsIXl)kZ3|mXxPfR_k*K*&q znr+UjBthaD0SOB@x>Lm(KlK+C>pLo>mepisWXynf;skdX^C#)q!MuDDH3x~Wd{?+f z+>8?Jr*`{#RioQ_W=i5zjOQ#$P4oRNyQ8l(lJq}81~hxRes2(_1pVH`eQ9J92Olr} z=T)_Xc|WkeVFogbXT7~+o|I!%8tIilHAsW~{Jilrt@!L-!|D5UJYvyBF_Q!H#Tj;a zguD%xR6fw=)NdefWpQZGMsiS(+dm0YIURW36QGZo`S;uM7?4SCc)(4@8?TZr&WQo= z!qFHw>1)EQ33G9`(f0$`Y{$JGA~aJE&D0*qN1e^N|L3-Hh&9O1qB+v7tru=T4!%@4 z@;$ShSSue!1={<4$f3HpvpsmqEe4ucLAZO>q8r7h`BEN{8_QVRH=$D4c)+!I-liHE z1jxM=_m!&~V*UQIm&i*97N|0K0pP|(Ta4~_Z6q)VnL3PK2f7Djn_jU+Jj93OQMit< zwnJe@{oU2k_;!eVj?f!%<7i6vypt5yjet$hjKTZiRvXDH5T`qfPh`xMRU|C&L#N>! zANt#FPsN%a`bB&XO!hcY5lHB>n@N6pL%}nOLO_S{U2(Z2rN9!VjhU-8d9syePi$9FmwXjiO2l8=qym=CjkfY2!TO-WW5;b+oSd9`GTH3%WrAUqD+sPeky{Wj;k)B_*D! z?XF2A6&C|G)c9$NLLNtih$yYB@~uHa{M+);Jrd4EHH{gp&bNbPOM^eZ?;i34 zv+epTEiClcXb?3ZG+SAE%2=oflI@f-|1MM62I>@IknOS7x#?X81d}{!!cUlMy^0xQ z+zSYgc3$LKL7LTJT}rMXw+QcI+c?wlcDsYPnTdCbP@@B=GIK8PjV|XD=>`$>yS#YT zZSOL-gQ+9GSUPL9`kc^?ij^DYHbXeYF3eWbfs9&;sx%ilzp;`Uq?+p4!EPjkRr4N3 zgOjLXLe1)TO#_SDzJdITmy89_2j)R+xl80_uWX4uFZBS%?>Nwp(ZGs!>~2*NK;!WB zh{RHYFHhXsiq2GKVfhTI7WsM`;6ILa$e<@Beno{)!p9|gQ-G*Tsl`=3*`723#xh7) zP<7=%b(rn%^v-zQYF~o|2F?7+U%uA#Wbwyn`7wYC#-Q~n>I}-JLE2#gM$Egmv(33pCBw#`y=<`hY~6r)i3ZMbY{We_ zzfGr>uit$p)nK+bFll8@bP^f@y(5Szd>#xwe%-N{BcHN~az?yilP93l^|vqB$8Gi;Vo?2GpI6qr*fzAr^k=2MjCJCOA zU*S!B8@)RPge8+0NBj}CaJ-CgWi|?rFUhDbrq^NEakEwvEXE$mIHI3J@!Pqp;fTtH z@-g2!IO_*LvQrF5yV<>*#(d4{s~ht!ip90yT4q=8&-pNBI|;ueC{r4zYB4el=u} z4hi0DShS}2lMPpjgJ}OX=;tsf<>;Ni)#7qcR_-_|1~~(cC^NG%bqAmHdF$h5oP$_W zT!7X>6%QuH(B0AAhnn)#G#td{7rD$lia>E#H(}%pxE#+=A1{jGAunj3%V&q8`{J7~ z2@X-u+~#cbN9IXLQ#PNB%22*X4f(8Pf!$u@sq_o%O6FDYyls~3o$~VsT^Q;*w$*s7 z@0f|zF+cIzq5XSb*Xe&1hUWK^2E^f;HTNw9Ny=`d=>GUhL@lZjlZisZDtrm|y%8`8 zQk&@cT-LrgQ!$nkCp@pLvyv1@#*R0}y-9a1w{|_FA8}nwR7-|kA%Ck?>-g)Up*&=! zL0D;zC8F7q2;OjCAJ~O4B+Y|^61=)Ur?wAcSm{2N%@}7#L8MrD|9OOO&+opDN=@=$ zAh)dnZwQvOL=*fY=A>jsrPZfZrlg*(N}{q}#}x`at=-|Kt`OjaeQzD(rtQx9#Vo)t zKXCf4vv+%7HNR{LQkzXL->3>&|1bglp(kYqneb7E(dYus(G3O3fzX4NJxUk%`};)e zjBDYt1f{9qwDYK;U45G$Fd^TAq;u431o>1r4N??SG?^7gKC^%~x>QE+IDOT?S>wet zSFv6}hK4P}h2_TF;}PZ@a9Pj={bOGDqcCOy)t@tH{B9`>PZCWz2AM))?3TCjwzP(v zGS#|T_X~n=$kG$)1t5ACWs}1qXKG?Fo&lZ_Fa-eLoteTZ{A2QoSv?>h02||Xdm`6t z_SGPkD1JF-XH>OoeR7M^K(Org$p;B$qZy06&!uTMQZ5~zUzREo(t2E3qpM-F$i0m; z9b(^4;B_&MijF;4&G+}p!9+>Mo3Jnj_8n|Onn4EBOA(_)w~~!t1DfPQDxJPRh2>Wy zs}+Aq4!VIJw-XT6hI8gb%kB=LQlBZo{h(7${i>NSx83$3jJo}&B9yPdedXGL*RD@T zzg!d6VVrq8%?OsX+S=tAR?H}8hiuoeuN6~jCAdm-NhUe&cM0PAN~Ulu6;eM+W{$6* z7XqIFM!pHA3p-SC{l+v(=cGPgWRj|9&&Gp~QucSkto54`22|t@Gu%mUfqvbLC0eQ#zJ8qS;@(G$Cru}-)b7_gISL(Vy@}?8q@R2B8 z?m+6q`jM8G$SPN`h>2sKL3*Svt+odbmz!@a?}a%t>{8L+wXA7bg4*Os+EA)wSI!6qk3NY z%l-usebR2bhC9b0`#~sTZzE&))>I*1+rrLz!RU+MFo@Ou10cZxfP57XTUccoh8{XT z2MARLV)`(rv=UfRUeqYC!J~x%Sz7e!!G(?KZsL4s7DOC;>|-AAUmZ>&dmU?%>qH~? zY(lxsjFQCY6@s$nA2p-8z==Mn@k0_^30mnEjlZ&J6=RXnm6>=stpun7Tfm-lXhhBG z0T`<5v#@N>L)r7EN%cFvp$=0+^7 z>DBMP3dy)fdzpi3Il6$v74Q`b3a@B@1MYZ)3Fddp>c5tuAZ!ITK(U2FVfeF+B}2Ng zxvI(mM+Yfh4-zO&mmMf#m9J<%BaiKzQ!jtNk$w0iL?MB?LQywgRzHK)0qIF)i%Oli zy~pmQa5@BSQ(W@2z!{D&|!u53)`#S0i02TS5~ z_y{|iN$KH|z{GRgRPW2aPf&KUM(KT+dNioY0lbfT4XkAHDykH36;_dqCf*>RpV5Hm;eOW568rF^8``W)MDw>lxUzpN8#?!9R0 zM%#XC38g)((g8J%+xuOdrFGj0Nf7d~1YN(ao;f`apnA%s0p>rh>aefogM3`zAHj8K ze+VSBnfzDht}VJnj+r}+a=XpafZYh%h0&PcxTDp_!L>}!;5x?eu~Abizc_^dCT$9H z7hm`VnD3wk@$6(;rzrBY(&5pDAqdlj4D5ebh%#{l*I07eO_7*v^`!7Ogevnr((Es%IDnOWjo&qjM*brQXjqSOn}N%#U^z?3?&7K(%RZccC<(3>O|8 zD_`UgIWA=aoY_jJY7$!rddLg7dfTmXeJN_aeC{CX)$nsZFBJUDsAQ918oUXfILf%A9k2@@#^$1$tk6(F3Q)zmxC!2PIj91y7Vp>esTI(yWM`t zq&9dh^o5?E^dK6`S&m=kNr@Tc$7N9`+54G?lOiETs$TW_3ak_r;=t`=M?mUwx_-#o zaBbu_WxcI9^(k4Ut+Uh9-kYMO+pO}HnhR?hiRaS68fz=b=5s*k8DU-#+*Q4*D^#rY zL-WIa@aHCGlWI~&IPjqB)p9gV_-iVmfwa1deKmxrgzQ}eS4naF7*pkptC_BF%6mo> zztG{iDom&wD#H&cD05{e7##Iuxu7P*7T}Qp=&s=rG(^M|{naZ4DZFNJ`Bat=5noR` zyZePUv=35%FxU~}Px1)*tgXr?1e8y{FnNk{ybW0S;i6Xpk;gY9Ph3~4-yQ*uKMGdy z!SzaqBi|XVG}(E#SfcGmx_q~zF4vfW5QHWaZ~SL)X8jnDnAK6-&${Y)sTAlMCH+8m zF99s;5tsHmO^SKpw+v!2)2|_R&Yt*#22?Mi1tdBCicpt$hGgU!J8@@6_~|}OJ|m5M z;a9P0bLUf>Jh$f#$e5BaOIM6&O{<8F0uB)>VZfL%_KV!ANA=Us_pm@c^PbA>FSY_A zz?PIXQaC@n6339EA)#yT!YRdM;k6K?_7oRky34eQKTl6SqEb_)OBGUWVsdaFPURv_ zB{vEeEQF!As&@GlsP(BZDmMaiB)%+5xUEdd-P`ANBM6^r10zS#1*IiVL#<>|_jSyW z2Et1uElszVYDd!r8B#P}bw3-pzWm6&1J&@U5iA(pA^l2Es;sW|B0>-5(|F|r(4&v8 zU`aeN5gKF-9@4mFBxiKxBnqJuZ@xO`^>~nZ_(IanG{aW_+M?iI;;gR?&+e!KDX=NB zl8jdeej?wq7yX~G+A-gHhdl0eGY1|wpUQQ` z0f7Udv=#h-${1UMOcru1+=4jy)o>5{(Q|&gzE!aC-=J9X@uIX#75+?P$jPhgWg6Jj9~2<`#<^ zSF!wMPV5{G=wh-~=!%L6E*1P;RWd&PJCrbDpDKkOq~9O!0ZFuX1>n8gKh1bp5u5() zH-PK0H6gX)5o;e8r7{z5kWmKA79Q8l@$?*+(zf`T2bKEDdaf0gn3e84J{1<~yL zRm9KnD=-TL?XD}$r`8?jF=-1uf}I$-10TEx+XR;9*8^$zsG1YBbyIA#I2dxmzn!4R z585tNMm#nETo$JeW5lv?!SU@cGu576A_JDnu%Oi8k-S-8Vv+;2Td=}^0w4*WqF6GO zx5Ec$rI!&`BeWuq3NdLUB*aZA60^?p5dMr6>?Y_f6GmJXI43{iO5DrXUaw`M ziGv4M5b(aFJ2Qxxs3ljqN~9Y(yU?%7t&e@`v1jp)s?uu6HT6Nn zm0Iix{j! zfgl4w&+z&y6Dax@Ct3AVFZs{5aCu9Szt<3qeXyx5B?qNhrm72)m|w<}r|&YO+atMb zQEO;44bZc_6FSl(jvwj4coumwZG)~REh*i zas|s;Y<{;1=X*E0i+F5V0D_{`HqjAcUL?TLoye}x|+aQa#WNJqcFC;koa ztf!A1erQ}r0~!9-r%;EMo{bnFfWRz`(AgAcX_hB>wVf!Q95GQZopb4ax$VR3LW3;3 zoL7fknt-X+C`USmbcfrN2pLZvPsBWph(gaqa;roHF z;y4pLGeIu)n|%iXd&4^&sn7)qm8YR17Q`LGHCI{Pi@pc@6wsuh79lBrP8P^EFquYc z>iO^LT=b9?=_@*aF82kUYqy@t!eog<&l8R0>ruE4`#ASsnqXpZZCVSOIcgtSfFOr{ z`iZzT3h=Zvt;Y zwREn|q8w^4TL#{XqXBHDz6_EBNleFBp6ATWn`pqkXT7PiJun+(&O=O32;#MTgo>gM zG2o<6#dyFTMYQe|kTu5oE0LOe6=v^Gdc8h~NnTa!2Qy!n9dgi1<{?Y*tAcXh_ad6p zm)>4p*mR&I&8p~S63_aGSm-GeMQOpcNm!iN9cNx)Dfb0%N_Q3&@%@=ig``E4OX#?25rs&X;gGBG+ z7MqMA8+T}ics6LO*$a&hTxemas1RRUQMp1eu8!=hjdzAg$7uyV9_%29poZ5ma2IRZ zyX>8zD$R`|l0pRQT`#KRELw=~Y7~nXhJLXo@VaGA75D+|D{g^gNff-7q`R1k(y=Ey z%%lYTjdpr1@?AC0InX_jdf*>Y1DgquG8`d!?a(vI55uLx>L$Oe+t0?R71$k_V0R+B za)vi`gjyDG>i?5p*fU5BXqVvr;3kacl~P;>W5)g8BNe}IEOd+tE` z#CETp?$Dg-8g&If@@%?L@PrcLowd-~pINuGYUNL(r*yb|bA3u?A^7N5 zt}?Y)ng{@}CIgpiL+qtuR5uM07VId;RumfN(v-jAMn;@Rp0&k{>ahxntTDC>3Z@Lvu7k@s_<3e2D!Lan5~eT1L> zsBtV{L6G9K0)KiX0aEYqz7DaTqoe%{X2TxI!ntwp(b4qD=afYN&D>%yT>t%o<45>_ zI>k(xqeMvIi3L*rX@c@`JQmm><-wFdsYs;a~=3D#R=W zn678xcNc_%mb0dAOSm@GZ3W}g{j5U)hSX7Cyx2A9HI&VE|zim6lhz{>L(0D zFkM)(v5QENwBrAyVq(q5QlJK5(80bUi|#e8AeH3~O!SB2YDJtXN1dlZlDQSp-{_jZ zmEnUto1jI%&o**OizWVtCa&(1q5TqRLvp^!U7XUOp0$wPzD@9+`hn6k_-hQoV3@+__GdN zA;T4d?~K0BVTs#FF{e#+%7{`s;@jivzm?laF$$GvF-WicUP{FAfpGb&~FPgwg{{CkKt5+U>zbQ zSqL@l(pB1!_9NL_%Y$W6YKiNRCxxDhD7t2?mKm zORy>>W5MvMx3M~|9ZB>b^izr8drB-lJwyRO@9f~9jw;Pu z@2X+xm4`U$4abP5OAJsMW(Sv#S#(%5p_i9I-_WGi12)k7W0`7RWom3?{aK{LGX+JE z52_fX!oDU`D|!tT2iK2Qo?@H_u5oZ@e2LwWCWHW_<);iP!J`r7!M8qiU~6j|jookz zP9c#@cQ+T~87Hnbv}WWs!w!1;sYy>2Z2<0UVxBV}Em{e<;LyPpswkZ%Z7FY^L#!k8 zO3)Ej42d{{h2i&wn2WCZBblIXG?c7_FUY~;(^G@|g~lfmFhjPq+qr5MLY(V zF24LcKV{2ZNX~!!9YY~c+f2SpOl8N)(};U}B~KlY0_AwhxRVo}LcWgAi>_rXa2%cL_T zbzBX?rb;*Z$7b12<&49$K-nu?nG&Wv#*Ufh_bFMXN*j)UffbdhW;AS+x*8l)DLk51 zL=;_~4vm|^y3qa0IFe~FbMKj~1@B}v!lZB9$$;1gL23kLY+GFAlbrd5FMP$)6`)4T zSQp{-ay%I>Ws=|&!4kPA{ZzdE4CkPRPucCPnktBD)jbJ7rCtMwlugwwcLPT=}Dr1`mS=(>V5oNtY+Cn0mFS_4lGOqtYYr>d#AgscW$v zi6jjC(^j($D@$a$kk_sl1?jaIqRj$?hI6~NHvE zjp=`VvBLlLEerqqcPJc1IquuP->vY!zI{Q-+ooWDom;D6yZ?`d1wQ}ZFIte`1SV#= zKhtxmf+BHov4Qr%_diLXb#oJUvhtaxi}-^?p{PBqYs=Lek}(*{bL}m7u5Xr;c8Z=b zASIc67gYGi5%_p|HxT6bXZ( zwvrb4=|wm#KSeMOMWoRc$8Q>*Pb)_kU=J{fZfBp9f;WutL9?R-k+EE`=ZG%k`3)7i zy6{d%5o()Suw9~qP-`*!Yr2}U$xA0Nt2*T3O4}vZLb@iJGdLGq$e!(vSkX!Dr5^e2 z!d&vmYmoGbK9BGJWp3TYt0=0=AQN-&ig*Yl6&JA4m_7S$HcEVLgwYgiN68DNim<$@ zQH}YMre7%<93bWiGheU5Ts$cqZH!R4OKn1)%Y%vP(RL3yF4T5?asBF*AUl&C6Mm_OyP@EBaChE)-tEhRdAqc|K9tB!E@zxNXG`EUONj(%wHmNNSn z;r}>QuyVMcG+IdYRMXfL__Q*qP5qbE zoJW_dTz4e%Z*%dh5&36LUU6+oJe5~Jh{<{qFX&C#{*p0Snl4|yDD#BYz$Ze>b2KP} zNz;MR?toLkBbGZ;%|1-a{$7Db!oUmh>+%rj8O((Cq_m{P2ACd4!wkr?E`Xv z*TRwoRsu%@n&_Bmq1G(bR~@iB*vEfMonlrBDbE#0cD|;5Bk}v?*yrBv;rZ(G@dvqhgZEKaA^tm92S< zKs)Cf9xNCINqKZ(%_(Z?B6nE#O<5uUEaGU+7_$1Dqlkq$ zQ|A9;={mM0MRwrVyglA5p>TzFh1=o1_k8^$Z_hc?Gt;LWWJ;k3Nhwg=s#PKeJwWl8 z1oeIfFoB=atl^3P@)_AT8JXNdkx-c4Xn@g^o4Q*V3=DOclW69soj4{x_`ig>Uez7qS43K~VB_Rl_mv_7J)> zsFcWu|9y6 zIfVMry_FMm%cOyq@MsVG)?c)i!dpUzu+ls$I}EYaL(;94y^EN(ktP=eA8 za(GUgozA~eQK4^u#=cjufsJ-N00m~5Q{R{|_WgQB3Sz>)vOt*<%a{If9p>gvDa*1- znr8A!5RA=-tR-?fCx1=prD~z98L%56AU^*x`(+cRCPo)Xtrn#f5RLkm}tUi zE!BA))|GU@hc=A(_aXK$UvucVXWdHsdzt;{7#4cKc6hl{;cUr35cMl__9Lg5HbZ@O z)m502t-7`7;J`y~WoQjPgO9YkxT4AdVFbcowD_ttW#e1>O(_6p;@?GrasmjwdM+0naDE zpAb)d)T63**NSPXM`VL?kLVbH=>hJ8uWq+u1Y~K##s+g0(w(`Rq1xPZ$xxN`wZy3` ze4g5b9SHm%1M0v+=3@2PvTtCFE4^fW*eEGW$6um7GyAuI1c$~zv?EKtq4}uz)rTEHJcmIP_|>5E=K@7b z-4YQE`;9)O4An2J5#kY+Z(CA+w%cIafVzl@EjQq+D$kUEj#t;_3L>tS7{7vosdo07 zEX?|z1DZdm9E{CbZonAF*!c`rlr?Q;vXYA|XWsR3MGwcW{DK?q{m&zv`Bz?9G1C|0 zs~KwXVEa}fj^hKc*L-^AW{JA4(Sq!m(xir9thQP(i~=Vlg;7R2%Y=7cW5^JtLC@^;x)57ZkVuB8hW zWy?P{+D4#50&c#nQQhXYL^SiUYci1zhKbN2l)hGU)MhOep9NBXzmY#iwaw~p+w%S- z;Y_}o7)YytOCU_ACg}rXa!QN4sM|C{c5LJ)Bh)uFWGb3QnlI02HCVbE}V8 zY*koEl~YJl4GTJhUYDF|+1hjQ)V_vRAOvU{vrA0=LIQm@GuukH1bxyHkqW-C#nVTG zR`C^r4r=Z5lE>%$ZwoH!#qL*0U08+cRE~kW4PW7qej#iB9?chIm6}pU1D#PVBbIwX z^S)TwGT&h2uCR5?FQlc1^)b&kCe~8=<%;yoDkTrYj)$fh9h4v|3~X-pk)PZuoQezs$(WC55LTJ$H%*J z>fo=Wlc816?7oG-=&1n~Yx*h!Wvj(nyl?ALDDtfazg)YOoRe|NSo~-t>x` zU{ux?Kav1@{+&)KtGrjRu*r|@+T;GrOg6zh2g>FP({rms4LgfZ_8P~M9cE8 zgu8}3;*=K%LaVm`+SKbMB>`+LAy$3QFhph!=I5IyoQEkKqfBf*yjDO1&~jv@yn;X#X*k)0SGa0%RM}H2h|f_`_s3#C zVFd#{uJMQrQkR5k!4Z3*3)S^}3Hq^FLlGBx5Ilx-S#Dbm?SPVRUj4-~fU7iu65L+} zT&ZREKWA6u7V3}A{jpiK)&+xVa* z@23hy%2}gnH1#xJ6TLt27jv7wxq6+W@-R9?3s0m!E=4zy73V-}u1Lca?kA0QjK9q~ z`9_9dFhpodi$oFCp=8Z5f@(Tr{2afoKqA~K3y2FEyv>G>&rk`uk|Hbp9mA3=ByPp$ z<*xpRtlH=EW%dz8D$V%r)rJ_Pp&M0kHAy}|yDfokDid+Uv4=j; zbzm`MIi_k~TOZW0r4t zL$UM@0lsxDprkzFVg>?p)`JqQ8cNg?EayX1I`LhhXx}V(au<6TK50Le`D2c6+zYuE zbaLu48$-~ZzXD)?WnICRcMlFqVB`1w1K(UBA@X0XtND4?CkRjcJ8aRYRe(-%-dvnT zm*ymcdT&_a^2HPP^i@buYxpzVIG6}oLn`7TrhUEw@e3TPX?|)<9VH(|cdw{X4=n0; zJ!e01!)x+Exz9a|)D@VAX4aSviz6XLZ}cRJz#$=>=!7#gu7ANrY}vcC{hJZ(plUv7RZ)+$I>1)PBIZ0X0PnENZ@cljtTejgd~@X!0m0yD2_rGUH^OhS zl#Zcu2B@}7cLMA-e>}WXfSJr|8;;?6!3$P7>y!sGXak`FEd)j!_Q6%doHWo{_KglR z4Sc^9lXDvr@`H@{OTVjOw)>`5gKs#@>EB_Eimd=QK*+xa+GWt-&XA*g5gz2!!6wsv zUZGs!=DN;=5tN^@$ZD7ht9+giU#7$4-etJh;2TL8bfhf0R#}S-m+~k2Lh)-W4^(&S zS!JNuWj)K`pFsZJEoK%)3uwM!u4NeCfN{N|)L>Yd`mz(noB}+Ota%P$Mr&bpgZyw~ zjw1fzXE|YZmRkcT13x6>7OaZlw)V*-#9G?(On0DpPtfBc-aJEc2*%coNHU+R`8w3< zZ${5iY`bzpg|f$Ta2oUt|BVB*0m-FT}P^ZSn8$frO_R$6^JqfG6`|PjKFZs4{1@i zR>Xr?xMxVODcKnLW}oYq3Kt1!67FaTQu3v}lISZ)<3g8dNl2kfI&$JKnGS%apujLV zq5c~f&=jEqUKtarNKw#v3BnLc(SnpgBZAWVUuItSxv2nLc*_iFAk;7~-e{BHKX74p z(UK#GKfXzo4T;(KR1}6?IO3NLI98H$aHtJ$f!hXgX-X+8SH_17b=BjF&I-(Yc>=!v z;&A#eA`;xfpns>;2mO)x&?D8BIK&6Qtq*WjRg-g({cZL@p234iXvm7G2%)QAqM|*x z^zYN)>S8gBNbAFMr9g2T^!iJR#3Bht77ozWmv9tP#aPiGOz_Q&tu} z{o+rUmjql3sQ}~b_pgh|wYkQ$rbgHN4Mlt9p860S8TrME(RbI;+4bjSbS^+=Puj-o z!het2!{z?7@Xv8-hfSPc>WwtF+zsIu_!UUb246{LU@WBWp9YYWjY>4&A}uZ;vx|nt zVEF}@#HRgqQhxnJRFEgu;;=}pFG`PFV;`FVawDljzbb;TmYWo9&MZMAB1?KVp$7(K z>_&H zS6Ju8-;~T27N;7CKPthsU#g>g^3ZL!lz+)uCn2L7(z`;5A{R2zb^|;@@MN#t_git` zi2^sGfzJ@Lo{u?xb&qa&%JVj)gnodTg zOO7ceLb*X&DDK`FwM5*9Y92+w^a%!B7Rh3E0C>ZBuFdLTI~H{!#EWONBePUhpH;O5 zTK8xQTlgVl*@w*&7ijor+yar|%?Q&x)B*fMnWLOHyxN{TQ`GCO4g`4TBBb_D(eV!j zJ$1_o3^lH2{gCJ1-oh7I{v{dJeF}@>+34q9p>n?y*h-VDXMoxuLL-$4V-t<@pPbb- z4S%;LI90C^t6>MtmD_I96iQIf;P5s&g7l^s8b@`=Sajw2m6%nK$WzSkd-)EAk3#SkW+b3E*lcQy#Q9293>-Lzfg|V@1 zz)&Y*oaWJx9BH@p(Ce+`(w3{m+8+$r1C#H6vn2VI4?4%75sX2lqe~7V#Yi%IcAi9w zjNMiynqQkGwbBAkKdyfxC?gL1+a@x}|AFBn_}WWL_mC*wf$)=A=yVE@*TO>FmEabSzhXBMFn`G@=@j=xCae4|G8cTFRy@%$Q^FVDa zcr2+(lV=(;T0hiE&eLi*(pUE3=kFi$XBV2`k5pJRmD45DDyS1p!k0Ty9pbkpTK7#( zstLZ%59t6f2yi?tKCic~Ic~!7;Z_zBDV)h;#i|S|$dC==^;AaeRA?Xn#*-w6sd!f( z5X)acf_Vgj!g-J=CMeiLtO(d<{0F(wR6PZ^=GV0AD_~XMofe2b%64G3^ElFUK%~+z zJ~5@!-6uaz7b5^eYPK}emSqbijb?W~mKfvjXd3hs8)Ix+|1-B)-H@#;=%T){5E`MA zSdOo6A%w(}LEjx}yfJRiVM0iV3m08u-M&V_i_?H@-$4d>s)k_#O zAiZGZf>hA)uAl&`2sigN5UKRy_JA1ub1)r@|48X0vWfj?x((YrlJ_^V?FUX96x#C;S#2)EU!B_^{>})QNGLcc5ST6Kk(vzi z&iHPMI7xmk71Q*FVVt!25?X#2DF2|MO2Y>o6j=n=O-spZAxdgrg5mrE0-M)*0{(b< z6P8qTn}p{xdBtkzi{Fue=wxhE|8+qv+9oK77TjXlUe{EPSyNbIIkMQ+LUtnz8~Hbt zlfWVrE;Q`&K{M@^!?WOGk%i7a25{sIc1drf`1yjG$n!50w9*n?%XP#w>%$V7oK0}V zuPRx`W67;Zy3`|xN4MI4@?#W8@F%YbeZTyKLjk#*B`E-mZQwvtfN*<1=p>t5L*a=) zVNC)!V<7vVLtH+IB;k>52>d{K@Ev2sNTqO6k)}a8aeVqJ>7nLxC+$uhycJ{=pV?>z z(4$oB2*ie-Ol&GMj2j>Xu;CxGbVp^(@BQYlb!CN00pk#E;9OSX)AjEYxJMK4nuT69 zYB+!0ptfiK^-7XG51EGt6gdm@$o#ES9^|I(f!OB~T~iqJz|H4zQ6QIC7Qk7=1^)+H z8aOG&k~Y?x2HX^TcN9dRB^{ep_P3E6bI$A_D4Jj&%3qWhlf%v} z3a|sqUR`eSTlHSPjOwbiy8KyX0X|l;MTjXu}})(+Ragc zzwib8ailj4NyhaE1YhnXJ)nQXNLsFO0E*VMZt zND)n4@=G7eI%rTMuZMtY-7M>zF_#i1P#OXHn>^vk2U5PMg( z#&}=UC9gt~$xfk?19rog=zKXr4d?^yZ}g^EqX;bQwQ8S6z6@5%zz%0D1p~G~F1H6i z`GDfV8iCEVB`FsRGNF3_f&gBEk^74a^U)D)UDV$2u<7Dxf5MJ!d8bUW>Qowl7cHQ< z2Zs8Zbex$%3dJ+aIZCAAbzW#v+VQq9+JnIa37J&#(AQ>m2T6)RjNv*o;3;+wgKe2V zg&PYT0UGS(BC-9bZ9AHQJFwUnm(_rx*zr` zRIxj>oZCWhWqiKAL?4}>z~XH3`|&qY?yfng&fr4zaMw>WxDMW|s6;xvS5DS1oB~s~ z4FWYw8ool+vYfLAS)y0DM3?=G(hQf^HUPO#g@<}Yh-T!z`wz&sRF>Um{z}%)f=z@H zZ_bO7FVBrsEDa*CIJ@^qV*gin?tC8l#K{QFN}s>XxfR68q}b@o07w%+KBF_dVxIT) zx5tZ~cww6@zYElYh1}mbu#6ACVIb+hCsIt6-)#oTgJDKc^(;wMn~WejEC;^KT)JN{ z#LabCAlpC|R#`+csAM=!06wqL`^9yki}{AlKcXBk&QR90j32|w)T`@cjf#e|i?WCj zx7HW@SKPbY4Q=Ig#r`_~^&@4`-*gUe6eE_RyDx$~P}!8qh`{`mv;N`A^|&SBt18ee z#Ha~(yF;mt=g?vfbq}7_x!odhNsc(3HU-&5|yxU5JN@*Nh{H(h3QzWk8DML z{}KhlZ^HHgn#nybg3QOIY|sm6@PK9z@c0f%N&<&gZeC|k0ZFML^*0Ph4Tw%}Wez^+ z(SI`f#_+$nb|d{`Nx|sTX(#eea@ETFPo<)%(>g`qqe+9RiJtBZchHNL_2Zg`t2*%R zGvxZ2gHBvje`YkuuRwj(D+pNHEXc8R;rclQM;J_)_ZnmUGps9hRU%5J&`Z|o$sv>O zuSdJw=O>@QV-THJB^#IjD7FIYnbi;;z`N|V9?u2)&;FpgKg1|wYZztB`1jJ?z?n&` zLwO4yq?&bLdl8Ap1}Va5Hv8|h_g%d?p#7JXLT?d&_~>6Bax3V3Q}th6LH^b_!#)lV zgkYdG#9)*6N{+cFRvHT%>Q!(qPk6+j1)>%7&t?4jZM`w`j~&P4HvJ7j|D}<|j1DkO zjwKecY0W&2vUzHbKm{x;FMeVQNlN78kA#HPN1{8AN2qcuQ7;0N#Up=(3)ld)94I~? z3C)FRTC|fKkLPFEgMTal$AK|NIEY_(4zzzEIUmX$pufpu(bFUN^!(ZoZUkXk8U1fw zIM#lnkodOpMY&100l!+W-Mo!TUkgWODd!J`DHtSXp83%aRP-YW0}X7z;02{R%oi|y z%0vU11ju`EF5Emu1e<4~5Ww(K<&THgm=_QS0V?%HEE;iQm9+el`D1bl2IQataOvhu z+MBteFwp>TXLZ26iNP~ZG|*xuEo^{!l6;%mr-}XNIa^vgCg!ie6~@d zPco^{Ysy@blo5szNG-3FFJJ@4x4S z(B!|>#$0Ap;j}hX5La#vmx%uo8wYr(4@>-=myaj}s7<}gM!Ul66G&ybFj3qwjh5OW z84bD<%;BlZ`EVk55STz%U=MQ%5)ST?2YEe}jOS(IUWp(YNq_`}aR4}_A@uK%X6qpT z`>%#30KizABmQGqAoC=Rj>-b~KB}Zx}cv_C&6AuJ_;O(X9f^9G^GB$r8hy2R3=XSwMH8tyer%g1S z{vGbnSCj7k9+v_$2Q>)hzE~tbJ;7CLt~V6b=i9o}_pjaYMk8Rx)*p<>;EM8hXMp$r z1?lHf%L5?_2QMWr^7#Ojse}G2Zl`OPDpUrXn!Z2Twb=PnMB<^+d^(a89cE+yC*X6Z;Xa2ujHZ38f=yACVS^oDMHm!=z^}aoA8d)t z{tBD;{7rV^jl*Rh0R|*=)vORL%tz|^y!3J{t)GAA_D5j2_Z^*FF{Kqa%>VbqhkCB$ z@s=KHjP2T}1Ogm*V`m|#Ryv=3x$ZEx^a$@c@8i@{nIX}f8>p7=(1v<{m-g)1D;~U` zN+kK>5eDbVoQSM>8Vulb5<>_Fq{Q8091eQ|+0eMao=T4rm?H7nKZ5=W&pd?v1L}A8 zH-%wf8=HmGRbT({3do1u<0l@9H>;9F3b1jQh|$t{3-%ccYIp$9`&^%t{w$o^DehA$ z^xuOX7EIt4lurQB>KqI?2w+^s0+`zPH&&*GbruBS&AR>s*MQO^@KDWFo%!l={@jCeg&brQmXU$bR}yk4 zRJ?EH_QR$#u&Gi5gS|PJKOQ*zRSTyYr1ymq$Ayje8q2kY{J3Yo%;>iL0VGvcD*Ip+x>z%UpJL8>FC7`T z$hIO3g(Br6!M>4Muew_v#;qPAGHq-K3Hvzsfcj!A@@1(=FG7j7BmyIpzga`x*c2#x zh`=Tcg%Fx!WD4*|8iCeC?az8ns7&ZRfz?r?L@_~BbK*ZRO~$=-9>GRwUfBcT-%iIR z5yrAnz1fi1IHkZyj9QJ2_@F?$i69^VB;NloPAxWrbS|(Mcw$cQg>jGAKj(i0%4D%I zz;)((=lody6@882B&B8SZAgkfAu2zW)~kmK^@0-h{PDOp7G;m01+rWaUA<%HN3a~+ ze@Tnld~OM!+GC*{xXeeG{>DeR1jApE846yal!anYn{)L=<%dF&Gq(@%>UQ6*>?gj;9dnh>eDe0KE@y%!cNsdAH z*q3W*UEJ#@@g`rMc_qtRg2n=n%$RdjFJ9;%K!c&}@xxr~nIB+X>p77MC(=lru0Z%S zd65m~O8Ty?9=v?ZDnI7-awiH(e~#FVUA3*X|McHi!r74Vh#q|#8I;jwxL$)wcO8nx z#BK_dMZ=z}TEFLa2Gb+=4|KEWim)$dokxg&Gi}6#f|LG7NMAMTaF}_Q7REpR zPTZh0$lZfPCNXtWA!Rr?{&N1hqOzQcan6V`Z{9~V@7DY$RC>5IG_9L;hRXW$@OdG2^yVA)Ne60BF)%A zpI)XxE6Z0($5o@J-&8+xHGLc_ftR+?+e!OaP>)5wP?(aN1SE|91f)dR+@N}uDAwzs zM{_n{RL7KQ9CBE!jL{J>Z8PtA=6T2t$Q64tU7nhMX(!V~H=bYIMHZg*E+XFD=Zs)# zc71TN+qZ%--}u=R{}>;;z8{c&on51Cjd*_3+5B$GwqAUccoa~aq+=zlPdINr!~Q^z z;cdyGD0CHKU+0gTETsFxGL;xJy3ud;MEVb@w@{c33SbYppD3_ME7Lx~yoKCIp2iv_Tf7VvrESvdw?R zd;WBLe@jc*e7B7|<#pSgrHPyyAK+x4RQzkve3{+(esAL4XIIz-Gpp(MFsJE~l(Gzd zFmI@S&WCHw7}W;JV^XHCKi#mi`-}{LwqTZ$;{F^`6XD1^Vk!04lg4w`Z{kOiI7z7O zx?FO5-tP_SiCf~togLpg0Hp#JDvH#$#oHC2LbpD__5;W_2oQ{lu^g?xSX_E_%#$KS ziCq0{W{Ul3yj`RqwrCbReAyfl-x!Ol#h1@`Wz(6xbQAv)(f4BAs>+)ynyDoB#4Tg{ zQMiV1NLZH5p(QLLnL^5ei5?7s%*XuS1@%T6E>SOUxY+oWu$W07J)fp4KO0!6G4j}DUs{#aGl zrt-gXLO=HFhpIk*^&pGz{fbcYioE`ZfLjfe9&M~3>@J;Yqm<-|>f~6QBp;r(w*5gZ z`^=>!?}v9kAI|S^rm3~T9mKU93xz9Myf(dQ6d;bCFm#C7xao=9r4bj7Z;C?Go>+)@ za075!@h{axIW5xmkF@x>0;g4~oOk-s=^a|+CE3^#9Ryb)Ml^?Y?xlv~81_?3aSWZr zQG(X~fELf+sN#`y{TvnqnxA(eCL#r)TQ{1rBS9=b809Vq%S2QPjgzUh3tpdYd?`kG zv>#e}{*3l`By$E=a6;3%yL*M4PjDQL>HRWKW&A7-K&036e6LqGOm=+tV^oT9JId7= zc6xEjt9?8bIZB;J2Foy zV;Fkr@T0JMF=P*rk*z2>BtqkIIZyE7D%)6$OK3;n;0<+;#jP;U z|AHjK)HV|Wp_Yp*6e@2z1SDF7Jn1)_&TjXOQo@fO>b*Yj0yUP%HW;M;VUU^Fq~}dI z?@#;oJyyH2X(wi`_YI&ur3X9(&VDZo!vHA+(Qv+ zu@dDrqqPJn`{t8-xrZ=bQuRkh6P((EZZzS#*I|#X^m=(-s?UX$YZ#FzuUu8}=JgZz zVGX2kh~)bzOL{*)+%uQ}%l)h0$Dlz;St6yx@s2pTM$MA{1`-Tofsn6tV^H@S>Ei>zR+@YK*Q5T*}rn;dRSI1S)!_&*pA1~Wxe)%9MW{5jiH$*Z!dIX~H zj=$tGMY!*y8Gk1=qjEjzLS5g!Ezmp z;tNw6Jv~56H7X+Wt2i=NTmeCTnUpuc&kc=slRB81N6`3=yFo5ZX%CWRn3YloZV&rk z7(d}+IuBwmEmgHobNzm}PwGIj;`&6{}E^n%jolgeqq8Tu-}*+b+zd8 zQ(krsVruIn$o_+;VR`I(`O0U&wTbCx{VXg!E){Ab&ORPLrcS((Ocy`DqQSm8v)Z92 z_neLYKJ#1~95ZfK@ZCF;K*`!b<}>O4M$tyBS>#q(f4GG3ty$22o#z!_4-+8p_a~&wD9DEd5;gr3s%BC zl20{5#f5J4VFiNQZT;(y%k`VIBJG{JSM8d`4;1=JKf`(Cq>$Qc_(rpgXCnL4RxKY8 zF2r+!x;ytXnjT%~Q?EUg@VoWp??T@wqW(&g)b%E|V{A1hz23{Ns#xXgtBynTl>dRo zOLb~rLUYMc>}|;5IlMo3oX1h2A+00x4m7Z;GS`z4G}||)pIz!S2V-A0Y)xAG)ajC+x-;mVuYh@1cXFx*4M4vqObm_O@MH2MN?q z;{=`Elfggz+kE*%PFNYL><-`ME=VT70PwtF7CBU8{!43KvZLE^i=Goy=NHyPJE;H8 zE2HY+&e+Sy$)wZGyk|UfE+3?g(0$^1#4cBxEt5k6Ngfxq_@lXPcyW=TS4Yl!1jDYY z7e-j0P)4NSEM@UtPL_o9dx?r_bjomklFmjHq|*p6Cdb3ZMgQgYuzBsqXy3eZn^ff& za@QMO9m&OI0k`NcxCUnf1l0M$9K-GE2uc+GLv7&UfwMJ!4K!$Hn+puu+w;WZl-exd zl*r8N*RtRFs+9*q6ZYiv#N=Jw$4p&9zr@P{fJ?;J7a=H_ge=1@|Auy3ypn4BDW_Yq ziS9CJs`(+ZvqEnabO-M7kN2V8#h#J=!63i1`%n{-c>B~-8JKt){(bM7WA5~2u#LH% z?$Z$59DVVMQ|>u=bgt9a8&xYn`K-34==G`0lgQ7H-bl2}`#ls8o*rKD$8(D1Xy|@W zFLNEw<0R*2i)wA&HQ}&H2t>}IK8)Wo&OQXSg{b;NUmMfnEzyOg~@f-CQ?xcb5c zXCUc=fRbJBOlY-K#%xZQ3glZE{I-u+#Zj5u(BOin5(?zzX}M3bF4E=#af%v6XHW5< zM5Ip_NGWaBHv*(Flf-4Nir64M`}`cO0*T%HhjVfYWA=?~ zbgVws#k{n*kCMq*?;9La#8JbcLKO9$c24xq)cpKt(+1N%F&Rqlr?>WX$(xaOe#0{G z6t{XGgB6Z}PyxWHN#;y<6p(&-qLL5a(1uP_*D>>irm{c%sKU$>NW`Nk#^|!IH)Q^M zRMpny`D74n1qf4|lc3cMA%c#lIh5)4r8fHccKWfd>pacL?R$TtSlJY0d^U18N*UxM zC5u3!Te&kdgHrb5sSZ?w;=i(}HXbpD_Qgl`#C7k&&ykY3Ss5JFbHoXO=Nbv_r-cfO z>S9pI$wj|=^4ta-`*+JkR9Bouh@2=yV!-KXfFQ46>q!Ub{=b@-TDOOJt77;0YA6h^ zYEk`-E|hTyIy3{-ZC?K2VFWr`e;`5FqdDA8548s#KWJq?la`uk^;f&~U#%zT zbEAu*cAa6YeHq@O`lmCD?Nq0`gyPkB1ug5x!_Q%gJMXn_K3Qk5Djb`CK3uow9#4^)62A!|j&o4CPMqiokeK|CKB*v-X36^Q-j!2*3C zjHy2QSu>Nkg~`9n(PcpsrA#7mbP3WA@IOM)MBZO%1felp#;^c9GpOSmu7cP4@E|<< z6oNbO#Gu^}_Fn?d40lP7PP%^ap_}2O*D7@GDAPy%x|IF1cGz*@V5fp_vo2eELl2(gd1r#s zx+p3o$qhsHIz+=LzvAbWw4sN$5KP7~<;;p*3!}u9=lJm{h{TX<>MtfP4`C*r08U=?yl-VDVmcO=_4ou*4VRl=Xv3q^QBW`jzmI`8uWto({?)u;V=G z;aP3>HPx(OLGB^RhlTsIqg=H=w&@S(6<2!o|c*@Hgg zuBX4?E+P!~XYZ`>R|Bi59^{{|Mai>Sbwz@xsrc=rBqiJo91^J*z?cNB4tjRQeFU?e z^QArUWyR+A??K1skMtJPHGP4|1HvEjZ^Cw65ocvvPO$b;R1nmXsAa8Uf!>>8L_gmK zmLwKm$Coe1CKD3Ppz~9^TOVNHZ!8s{ zxK6=#?}AlGldV^P{tFX>?I=;qj`-O5VLYEJ{}HvvI4I{KSPUYb^K*z~lLm%q6~z9* zF#RPR`|7Q4`x7*+(Eo&T(5DbONpwVFDZzjP19o( zBNFD$cF|ByAGGtcP=mXtV4_GGR%tEeP8VOld5tnWSJ8H2yQ=;Tx72aU*YQ(CE(V_( zjL_g6Tiz2@>zr_Ck}h^C7Ma^_uIE7O(uYV}1i8(lf8D;qH%~;FT9iLmX1sj0b?ZS` zcfN-PQK~uk5qgM63=csIr~{_`OZfnN7LaD3s%>Obv(WTlhh z9(ZRz&N2bxBJ!&?ch?_oQn3f>4_T0=M6;$Q!YDP-vqa;AP;$vQ$n?8GT*bxTXi_b> zzZn__P7UZHNR*vCJ#9a{@`*`aF#2I3DtL@f2C*wgVq_8vS@tm=YzGa>&E+icwPxbV zgW)e20kVLBY+MBHB^5`NM>BPu?WUQwZ$l?ZM|CSiy?07IDHDv!ZoaWtr;AwK{LN6` zi1qq($%*qHp}Y7_1~F!%KKYd)VLVjXB0UUl=lhSUvQgl=_l_bn=d1ur>N6AtFqb~X-MB=! z)PttZ2{r5NgEg{NDf(dIX&w+o%g?p_-2=6LVypA#5uQ+(Av`w#v58 z_{?kY;AvP8g^ZaE=#G%0dM`VI3yEMQ_e$E5Fz-VB*>VBFjZe^jYWVIF9ri8!!Trep zK;WR3)9s0-;E}M;t9g+>IaX8B*G^ZC{+mF*93W9uuv)C%b?Ml?Sfdt+WbXOws#zb~Xh)Gk zY>L90O@J3Y8uAwB?lpbDPVbAM78|Q2uB%1=Y};SvOksa{B+#T_yKviXl$fR%q9KJM z9ht3&ALt{u&2RVS-|#h^UV3Ikv(pYag5@b;cr)H8;~U)gMmT?UoD(GVEyTluw$cZ} z=4iCqcNg?Fu}R5_PIh!e{}C^)CUkAd#f|m3$x{@J6NI6bnDaqqXLmb-jN%`>V!vaY!RDOy*YVLv%h`IeN&vJB7G%+!;YvjxKYwe-@Ovu&FKnv zK9Dupz6@$1WVFqo&M{_yER&W9&1ww_AO35=V5@)z!#V{U-CTL`#>O4fQ0xM&0`8Ux zx_ieFS?Ehi{SqqzllJ54FK9d?h?|+p^%qz#&F`ov8?ONtgHM#JFH-Hp=)4J~_bXC? za@!nnRM6VtZ;W8E%g99|%@GPs`|daXWG@N){>U+Ttpkw^^vlDn+lp(8A(Cr8h_^x`)=n>2E_`j$-M3^`(3wB2%z2z29Uh=(uYotHkp~C zi@)G>jSk-Y`!j#A{kD}6mN2BRzWHQBH~viW4Q;8>yzHtP%v$;z_2>uIQ*!gZ>?s!7 z#;`kLF(wzl82Cp#)$Xyn@nyT8p@y5V%ONQCwvn!z0j}s8&O&?_*HVxQBu(ZOppaDO z*pbbq)AHisnjClYjCn?MFHKf#V56ejklYm%W5={UbrQugieK+W1=<=P_l*7AEBI?L zU`d3zge$+W(Pyj)J>njUNSCi=;iuOu?NkKaFp zmq!Z9iK4K-u>INZEA|R{;nFGIy72ic?YqR8G?#UkJjDAhBx=BzvLweG8~TODDTdOH z4-l&Y+ftBo15Y#$``HUQ&!_oVs2vtImtYWs zH&qIpvtM|2d0!6XndjlXy1M@z={Joy zSARTt5APECj>re+d|{f#;yZ=I&+Pb)%gDG7lxPkfWljc&oet+@&30ua%YfLL5Nbv& zViWPZAOSym1>}-Xx|Bm^EYbwIY025omm2=+?MDH%qr9zk@KKx|(F<0h zAEpl-zsD=E$g+U z&%Q?i&t3cY=(OeK&35D9A$}~6SN$cnY3H!KrA_?yIS({amYE0tXj7gN7u)R*Lifsj zggB~S5hZXejE%bu)rB@zgNBMzu#LYMTD&w2-D%$^yrBQqJw=dk5;uCf^E?zGVVS?r zE^A3WD@*!18bSR5-XVmn`o&njHH8krj5HfsGZexh6JQD6UXJ~@#d+V%@>*7QpyL6?$cFcTAhnJD;e^A30*hHX20jpo zRJDN^Q1?!U?wQJ*VB4Fg3nEylU=w^7EfQDK+GT?S6XZ1*75x6UZNad`5c~G$rtAve zO;X2KFFPW55zX>GM-gO2k7sHdda2lUuu=7<7v2*4uFJOXfqgcIJ!*}iLr{&J2xdcD z@`MW|&niynD&<<`iTg?ip_aulIW*B5eG4I@7>c6^z;?u70T$fpTzXS=>MJ*987GJQ zVvA3K56*;P7H00k5nC>_pb8aW^;T%S+=t}~LaEBKzK;AiX;Q&~R^6|DKIlMz` zW{e8MfIoe0PssDL8Weu5#Wv>mYn(r-~ym;n&vEWSuXPdnOn zVEgl23i6WNKGM1wgM5uoP#UG522CSl{(ei#;-3WA18cR^$ZAbkyUAoAY5cl}p^uGg zhEc{xikB|LPMh<9GI`1{{ZMpKueF1n3^r_N`wLrZ&g;BfYX90EZIDFItiq7oQFnZz zwvUdFaDXm*hK^Y=OzwcQxO~^zxXiJ`jfVtb%O^3U0yf-V9I9XQRou)XUb@Z^2b#wQ zf&IGJu?j;y5z$>f;}}n3BUBDk2KFx^{IFR*HthFDoxRR+#uN$xr-H6;t!7h_xjso3t+g;|G#HQ0tbZNtF@tRa z6s6K0V3)z$wcKTRf`(`oO-N$o1lf#zOwdBYNBQE^uBnFSEI#5k&b=1lgxLgIoMZ>6 zZv>zmiGZHPhi^F$DL=Ng2D$@%jP3>D?T2M@ve}v+5#zj~KiJi&vakAw_YJ>PUVKKJ zl5G&tjVv=Iov*c$psynz;OV7tXvR-iCpMpP-jq1uW5gM*s4c*DTw$Q2GuJq(`yn;Nk!#v#wbqs;^VIhRr_EmK81I{!$cgc^!Hh{w39w({$G zjT1i8JTBut$Q>Lk>EnJjs{Na6@90t6ob}IIoZwzsgJPz>RiIv{j>~zI#kSPOeYAz7#R9j>*NQd z|M;1Y|AaVDRkk3x);gtK=sx!E`AJzBq=BK zF6-8ILmTs4sNAnCB9#VeJO`5cn_X_B!I5vSmq_OouR%ECR?-i-Y2v#9HMb#$L8EiO z>hZnZ>!}|c+rNA4Z)x+XokcbC@vbK_V@;P1yvFTX^(objaYZn{0*_-olIie*jAw(0^hq?`WBY{1s>YOxh~LtHe3Gr2fI!M0W zG?t%SFJIMI`A)(Q)KGn25T(5zfIXWk#{}p&fNn zxFMr+P++S2sSUg=VnI3=7L+Io_PccF6exJdYvlWItUAogak~WKz3Mj4*5^<`7XC#w z*cf|FssWdS^KA4Ua=!q{)^yE(mZ5MZNYFAYb(eC5e&zFom_tdI>6!1JJzt#vwe|?! zNT2Ab*aX@2X$}SI*C6#*6yRT(#@66 z#J&<;-sJ5oL{sxI+$d^X=m`iGFY_t)mDK#cACc10UcsC11o9k0f%;#ew_ayV=~wvq zP1_Nw8U@N`uf&QGO!;ELir7{h9n zZe%~F7wiWN9`%3YD_oiI^0Y5Qb7w23#Ssu?k?(@g;KgeO`}W(0DGY&t!s5dUCDl|P zz(8HjhP2q5au|RBv2GEfpH2f25mcnwJ$+k32m@i8_SO4--zM(*Q5#!j;EL28pFSVN zS-NhdQX>U3)R;}wu>17z1k-b29H+U26GyPHQ0pCeEM}@r=$Yp#{ft3o(w%GyaM&nk z=T7qKZxO#>QpHtlH6&sHv6BK*0(b1}8AgVmMmy@q(9Z(bn!g>20Hcir^&%<1PfAeS zAV^KAD>+6y7bMFU6P)`pc~@8xzn+R$1o~|HHnQWQ-}0mH+f2Dwfj(iaKVd$4&h0~- zyp_W;H$V<*|9!=zUMhLLNdU4L`r#Nk2`735>^zs(I-BPTYC=U-;lEaxlyffZHK60Q zT{-eHxb{qSilWlcPCtG#F50H{1y(BSo|EIozAwGEF*gWVFx{^xn{V}! z&>e&6??>w`t^+NWe+fRagzgiYY4sp0C&cG4Q{j$Av`3k-hy^6Qw=Of&$V4?uaIZSf74!KMKw z9apiux}T!r+8MJj7oVt-fvBIpo@yn9i2B36wR+!k>sQy1e?GwZaz836JVI|MvEJ>y z)5uPtQHQ$d!SZgYl;rRm$|L>2%$og>tcBq;_(mxAHRbnQU7VVJ;C8$maTYZk)d`Q0>i2}zx@ zqkXvZi}hTlPzCdEvIuLJ^#rIRxPGSd`=cY*dg0nSAeq%60-`B+Bb$+ESvcGe+>KhPa$_~`~$TKfJ5=PQGBMQRt{#VZnVRp@P2 ze+M*|?uY#@Pr(w-GQ2)!8OgoifwFEBZO8`%2~~Vm)^&edfo80)LCcKLDdDKXpGEE*^Z3vx|L3$QwEy;CL#*mmm++>{*UjjmIj?Q@f-=5>(2Mz>JQxd zo0}+$8SlmC@XB^%rlEo`{`dnV(TA~c3F8>~F@9%#tshFEUXnY387gJj5i+90KOz5r zi>SGE+hz{ZOPc84sf$gbz)IYZo!#24a7*LBSIw*;;MuiQ%`YB9S)l+iK+eA|1INt`sHUm?dd0rZ5xHkP#B{ zyBl#9eHv<~a7Z*?-HjG4B#;tWn(~Y!(&b7?(3br|>A!hzQfm9(SSv3+r{|N{_`PK1 zL0+HLwSgUES;;CeW?6vJMop8GL;%XTNLf4dR|*yo`R-;nB`R?ZBJDe* zp0mF=%A*9j>>Uu%LOw{%0(=KjAX|P|I<(0630I72qqD*VL|tc@yzhmy)tTtRDcw~Q z^kNNP!G>&~rOdkdy%08kT1V!0R(?K8N~L`4DjO8P>GL25n`a+KSbCaL2|e{A5o3FLTbNT;9N@^SOYs9Yg9Y;?Q7|4jJCoPJg6GTNH~CK^x27Z z6moV@!bXFmg}p`Je#AlH^mJ+V<6dBj{Y_ht_}@y7no(+-H)HA(?b?{h|Hg^^Z7CrQ zimY2pQn=KjC{TldQZpt(CoyxXspNI`y=Nuo&MzwH)mjg|WX5#mAUonv|DpwotQ*?M zAbX{p&e$9OG~*c2!L7pT#pW)OPUca^SzXeQ)oADr$)GqZ-dCNIr7k@V%I`*EvP@2yPgqYaADOozgM3=hAC?HUQD7pvXV}JX zcb_h%tf;2dG8hGjROflPAJ8lD%KP8zO*}}0zy$DSWlKK(e1Hmt;Um3=HcTSy$fSdpJP zzTC*6qe6urAS`Z25DZoHg|(@6VSi&k5Nvfts&Kf`Azq(yNm!zD2qmiNMm8w{qtX{f zpqcY+=vi5|WY+~GVu>&=-3h(_iUH~a0q(l{nA9W9=Ct|yX5Ok#pBej}WY3nVHES?_ z_}gF^GGP$ahQSFbL7?W-xmtorAE$tRDYh;qnzf%(vjZBUyYMbvH1r99DEveO`^ZZX zM$ktu;yMW_V%vY`C9-NqS;lJf9ZK5=dSj`4%IFFvqcA}fZ5VG!Es`ym#w^&xk1V33 z@I@JpQ8(FG)N|Gx)^;LL$fUqB*<^^y0O_jUW+U)*PwF^s&=!jbF0(&fcL*Vdub%N_ zbAeVk`feN=h+|;BkkzVX-Bz;N$kd=32H(4dDaEvqOhJjlrwX$k37X|Kbw3P z+ENjE5nU!!9nmx?55f)y-*WAMab&UxrS2)tmG&df!EuAIb4>C3NG#Fx1SNjrH&B;zI+G{d0i zHXw6~ggni@(1GCjb03y9(8c?K#}!O=5dLbaK2+|r3kbJ4GGsKD5kxW!KXgHtls9x> zd$W*^Cv1I&;~p4`8T>owF|cDn@Jqi)YUS?0DI~9HzljY;%py|RPsA5rc8P{8ryhrG zB);^1fObO!7+j+*&c~}I0#?$eg4!cn9R;> zypwriN;CH>P)^jft2Cp#s?u78nGUXIHyA-=n`m%aM7T0H1l*E zvh`%mMQr-(4)$HU7I#S`16$$?A4w;8jOtP-FE@)%X}mZ9V+~28a2*OS`Bk#)mgxu+ z62T#KnnzsH+9zsK#;U5)^1E$h#RRJL5~<9e=WMous^3>7nu6;xyG2{!faQ`GB|9m) z{b6-=EWoAigUZo{$bN!B8&l7`5ptm{v^j@D9N8fy)g1M7=A~2oX5n8NPOMm8II%9z zIbK=QhD)!k4+nSuhFjH^l#mpNY$?eVAcpkO90!eF9v(zy>AEW&lOeEVLLv{}73D0G z(Mhq0dhN1AVdNMN>px#)W}uq>&c!XAb>%!*{zI?l#oQ)yo}ToS_w?a9j7q2T0Lx|> z_irTjPkjXrAFwC?e15A4Mbh8)hWa?+v$d18hHAG(ehP&(?g3vi2$SbFXO{wg*;DYB z-5Mat*_|_46j1ZRU|>jm1^xovawSEuzvyEeMu&0VcWN)m$y0kdsg=b>YB;Ce2iLm- zu@=w(CtPjaQ6iTTq$_x@X%~K%z4mycLY{>|L&WyS%^(*YCB_Hve@5E}(a2s*oyt6> zqywX9jlaN<2vg?BDw`L3hj+FrQn2GVZE6TAhBzc}a*9mSXFo<2V$2~&cs0~OJ?4a4 zH8&uHO+Pe-*Kq`YU*Sv(@nADuGBjmyZ+FAQ$Pg|Ff`JjC({cj#quAgWRG3c69lUh; z`!{M!eO)O#vd5fZ;cAF{jNa!E;7xa=_^%$zjspi&B<^3Tkk?J)<+mw$DW_@5K6OQa z-h0jzb86d4))MMdpPuIJM)LVB$JVafl~T*(6w3><-8)?|?v?JrP^?oF_jsC_pWq!p z+!#$4?mVk(-;I}n`6(|v+X-VAb2MKJ-TH{Gy*&gO`LQAB;#%eW2OH6p%=SQ+jn=n` z^9nRD?o8-HcuGcYT0~{Z$y$qqc)>OHOKH^agI(}(^VuWO2<~(6#eLG}D^gJo0kZ~> zB25Gi1>_nhYqGWl49KGe$KP~OLBvv-&W3`b5$rTzU|_w840A+=RXN6l_#`T#*Qe^@ zWi|~dCXe#0zvl&LgAG7tZ+-g!nyneL1Y}1szoWVDMZg2XATQi80SX3*wk19JJMoDt zE9<>-RtOqo-UiBVi7gD^oPuFY&kH(NuEtDskAkz0>yU9Nhebw~i=hZ`c9P5IR`+4D zExo=K%BIM&XO%de=34>usN0)7A^1|8b-UObxBBb#Y^v2VRphTt3p=9o*p2Ql;E$GJ zvr5q!H-j;MssJ6SX(I3gS4{}Pgo9;~#@Ga8*o`2O4Ha0D*YTSlGQV}aPOu!0#T(Ei zdHB)4CWBi3QUNgtkxR^5Xu2YUcwRJ$enLYlfuDlwrkSs?gKF9A-?R3hz!g$O`GAFj z%&Upg^obs~3iNPvix*MN)$KdWWR-SZIv8Y!jT}*ETB%zeRq{MbC4zkTPHK1SHK`>6 zcGQo?HK(%i0kWDieWDcC z1n}fFJQ8;u6}n>#cAE)}bnbRty#B^58d9Y+p}yx@`4$MU-u>Xyp88i$P~hV^icVmA z+^AK-x!EU0r3h!TB=co}feViFBB#Sm83I4EPfnVKZ%>_VlVS*Yrlh_WoxZJrZ_R*f zaVbKSTn+h~EU$u5F_dM0TyxY)mqcUj{|y~S@;WD^4JDx3#FZTh$Y(@J=psHzG|E4bgwcafw)avJ)J(dlZn1}gRCj8EEr zXV2;u-9)Y-2T3>LM?gxp@Xm@iq9j{`kTz*?z5W=4K(){+DHN=lqH*srcb`J}uUO`u zI7F-RovtK(m7&F0UDpAB4c|v}$E7{d*$>bM7hNO}oKH1~iOFs+QV_#0OV}E^5wJD4 zePb@>&nmA-(TI`_=#g6OMZqDi{;jTdIq0IYj-uFgmGIAt|BU#rBKHGj3K?$}y})@T zBXW8w)j+i;d2x*1mH6*Fly1M}}0<58to0DPP( z;Z@G{i{*c`SQ&=e1Z*5b+T&7-YWmC#5@g0x)8U3#3J4kVACz=Ipk7WO>53(W#475- zUx{JpFNVKPTI>#q$LEQ*RF(2NQ!1~$#J8nc@1@@=M%8wgoiu**W>!ItF(A2uQ!4Ym zDU-IJWXc;z_VLT#|LVMCw%~eBR4m7YdWvdbA3gW>`Zy@1h*4QBc%O+fai9`u-M@!6 zxt%d-)7J5jZM56}L5qVCbf0|c>idfr++Tf=avzdF`hYdp%=wdjpRHfc48F=WHe|uN zMgr8P2>q{rY=O_j0%D~aRNQfs7$qp(m5w{UM|_le;?=3YY#db@NRIS$4z_Z_7jN9w z%W7wO)Pmgpk@;dnNK1!Cai48AorJ(Y1lE>Mhv)cQ8y2O?9BWvudlV4@(h}l?GK}>d z`(63q1r{UzaUYk!a?_rQ$&&Y*#3RpkUxbw&1*C|Gh9vFQ0e}tGFEF4a<2yp@BR5Nu zX&J(Oi<%;uqPtUNHO)v@x^qtQ#$)X9r~Or>qAiIXCvaQEM;_6f>W8_XacM0teJFpU z(GMfx6sUyQ)ZaUvHJ-r^bIt&QR9o21AQl>*<%=d6i3nBJUmLWU#jCP0J^K=qOizfH z5m3JV4K8&TYJ$L?3`SQ9D|aaUOVcvMC~(?Kz!Z5#70TG~Q&9pRWGZiHuiG~~G$Zfj z+xKW9u@Gs0qi=sfJTb!g{h_V3Oa+a&AQVbxd^J?^+I~cTE+qesbecI<9!f-vt;OOF zWmG`$=o>=G@uX8B&KfXw8G_N@ljSp5c3dMg&#oQ_(lnOT#UHsCyu(751Wl-_7hwMRdvAa6=OnyUW@vq&z-DXN(**9nng}4e1m)+Jv5n0A&?~YV?UY8& zmnk}xv<@VN8af=OGH3BDwT!PVLkn#tb1;Iz8U(=5Z2bq+mwPS8erWV#fM>VHW1oPOSin((3VoK75 zCJ(A3RbKKVsOA<-OkhjWw84~Mp%g1E=BepeCAow{a%!#Mxj{6|E=b-sIo`{nRxY{v;a*uHZ@ z-pg|0H3GSg4-M6#;!)l?AwCj824QB0NS2BTRhgGoFI(+w#tpku|RQe+|3*ADdf$9*8 zkplN4eiuFNsjD@srT7MvcO93{UDFfR?dT98P&^nsR?&*9a3tO_9iEu=q1h)$-+Xob zRM;F|6D5oA@9LTz`C{X6sI=gu*fmD;*^RYGa?a10j*p~XV0J`|lz%H8(bWz)s)R?D zGu`xWP+DL;LOjTL%*LQLV}t-}#rMOpJ#i)F>d9LQ3i>p&v|ayd4P`>)PbywMhj%_m zD94=CMkjZ>LEqbPMvsk@TrWyk&Yv0xMQA* z9u+O$CmrcoD)ulszaK6MD(wCF0AW;DHP#spT>?IIH)SE?TlLp(Xl*q@xRJ!`;59c4 zi(iLSdU(z3s=0gufb9}1>V^*j19HVGd}9h%U*g=};TEhL@aVRvbEu3=aWMWWMr9L- zNh>FQi9Z(t`Iw&eHAYHx)iUr>F0kegS@t48=+@w52h~enbGVuu5H}iB3zef;l>vv$ zribQAc1+{kwqO7h#$xf)2G{t`&Fh}nA3X%3lu%IlmljQE;COUh&E%QdEn%EaRe3Q{ z#>?@QNfYfkI9s`E)WF}hS|2(QGRm% zjeN~207a7VZw@c#X6~YbggEmM5M+|CYB!?<<;pZh@dS*lfDaaLLoj4;I{UJ&;DkDx z#Typ@ya}fM1}UQ44P|&!zevM(y4Eevx|VH-zchyz)h~h(76|Axu-r$`8SXEk(7KdM zU}@KT&M~b(!?;2?3#V@urt5SbMjY6=Gz_8d2#d<@_;EQM^dD{cdb3k;zP8_D`;r{h zLRLU1se=HP_LJe@kaY-}c<2-HIQ^HH0-L9(rw9XcT@#7aN|9brr{Stng30^YBk_R* z!Gflo`Jnht?rhzg6fsCk7L>kNSf8msY7Xn1BS;%Bx0_y65uU2^gtf7O(Y~#f%IP-j zS^qtJiOZtVT^p*9jo#(Rbvd&!=7z*~>BYKe>xFmpF*y&cD@z@KG%=uT9G{w2l|P30 z9Ob<0-V0-re$z`nPED>?4g>s4f5AMM>eTwXW=Amu}@tnBiO0F8t^&6 z2r9!pFrQE0Cg-Ke?Gm5NZ;}EE4Zd1;V7*Gd9C%hi)E#e>Sd7gdEBDjcNaP?{@NoBA z5^(7Hd%c`zrz+OCW)9Q}$;c~|Th>F!`E!?LiEan;E!7P}FyN8#W}&raDcStHNUTtwpG3ogQr@F_MGJy84DK1H z{E!VD_n3j~%=SL*kbc_l(2Nz_-T&NeXh8S?w6Dpwzb?c%ELvXIIkdPX?yqp|JB9Q| zZ9kkSsDU;PNr`@O<)z)k>sYb7*i&zUnU;2ScJkHCc^z{JfRJ)prrS%p=RMM29mz6; z9UQX2Oc2}{5ekgyXo%X5@2NNi8v*pU?duWOdTzm((_ZUZ1k@vfh{vT-3@j_u_|1MQ z=UG2HbUs?X9KWJcvin@D<7ZL6!X4u*7NR_L)^&lK{hV8#ZX^<*hESzDR3Pxe2z5TX zd;TSf9V;n3MiTC){;J@%VKLx>taSB2#I6;Z+9y7xod~Js2eJ&8EvVlwvVX9NlnXGx zL}2H!Q|XD0A?a9!0Mus+yLhw*yJ5@Uo^~1Z06~rT1<@%M#N@IfvE|dma3^%9o8hsbHjzyOjpPUUZGSol}uLZwP#F{iJ z9&F~;0byQwU?YLGA|ietz+0;z59P$ArKRPH4gu}%q!sHQR1@+bGbbv`fhgTc`6P9r zPsKPPU3>hNkY<4eED3g1sT*^V(ZtEx_ov}MktWz^UqwpBIb-P>@{fBPOC$+CP; zWLxG}>i504SARa>LL}O+YZ7UIM{9_&K2pt@XJS_K0sgmALjb2{M>vHw%OqVUE(xuE zuzCnmr2<7~8-MI9nFnd4P5heCpM_S_NcntndHzz^#(ICh4d`kDRdL!G1q1U;er5ze z!54@@!oS!(pN}J@G89EZX-0(_v@&y2D8YabhHQKzK}e_9CMoI&IQbMWa-wJ68U2*o ze02a+;~%ze>J0H-Ip9v_9X+8h#IdSq%!{JX#zoXTJrZjz;3DO^%1(**ub-gcs|4)ROgR`mCKWHxtbXnuBg~csD+3Xo)*Z zbd5;&pMyJSV^zN+n~d@Vf4*6Y2zDPrAi5YRNR)P?;@g-6gBn3ILM+U%iNC3Kv1KvT zejXSn*KP!Y(tN)a#?U2L|ALoIT>$ygIu&aP$K!3qeEhb9Tk=!Lh zt9%M-Z)Pkd`CZ_-WSxse&3c^Gm*mrx$c!l~r;w%xz%etI@G<9mLm;E`!-*$k8B!^U zuYQ($)z< zn67^i4gKx)8J$v=8ihwd8LfDT93Ng(GI=^IwjuJt|Z!{CCx|>UsXq8M1a8Q0^CpU{8%Dow= zhj`$nIqc(0_1ld?fpaDqF5&;S{>HH>XYosF2kWKy=00p*XuQq_SX5O#>2>mq_PgO7 zr1GJ!!p3QN-1>q5qx^j`8#qb>*zF>Sn~YfeGWR1&8W2LHy4^EYo+r8f2iK>V8DY6} zl^vuou@N>DpESFHcnsQb0?G?yTj(rRtzVU28Rbi!jSzqsvGqWTMN@Q&&j-Q-2#h*1 z1})WO`(A${;50m3TNsIA47g$n`51~zA(9yk6g`-|sD2GiZskB!h+-4i4CRB&567oe zzXsV{P{pNEchA!!pp zZ1S;i#P*Qrdib6F4?2Rskq*10XNYc-%+QaLOu7$$z2~+8E5VQtrK!|uuvxn~rEA7# zseLj<(cee?jV?R>_-#|1%S)=`hW6!%9sLq$Uj^Y)FW6+l%tlwZY`>&s=PM;y!K z0Pwo+Z8rG2NBYm#LxU<-HPx*xDTi&x*eNs7k|tVQ{7Ng81ex&pme_m~1dif4SwSBC@`Gqg+c^~zm-R=VZ$$=?vrFZd>QEwWeR*9xI*>G59U2!R}p-I=uGHq0`) zwmsd!xsbVLrC{j76Q@l}s(+igr;p7}1lASbA%{O@TSMoFeWK3o|6*f+w?DI}O&WmD zDfD|Zc;UJ$INU7#t5DrDI-Mp#UcRk9<|xE}-S!IUj^s1(g@5WmYBT6)C!%I!@67SB zb?{1ONYc=U4Aq(qSoqlDW)L0f$G-j7;wU|pqMN2tca!Nv3+@W2R61^|P^l3?AiJ?d zB8mi=N%-q-bL+56e`iOsKQ<;RFz zJ0>#>x^^D&{uFr8A2Xc4O174I>7Tf83oP?EaqS_D=o07Boj*KwI*&WpP!UF($NIK- zzj)~4e+pHJ+in3%d(WpNP&1K+a|N8lg|IB?EunP~yh%K!ogCknVth4ra{ZTxeLSYG zdL7Dj!pStLekEx*Xs%}JPKfuu?j!7PoQ|Nq?zY|lr^#9$uIBEb`lg~L7)=gbD_#Pu zL0)3raz_#}t{v|1yX)7R652~NF`6V)gjQ<|fea9k0MR}E8^|p4NjI1LtrWiizx6LC z*Tb+YQ)smb{Q*fq@mE?9@x14>-#8{AE*In#Y7H_35WHk1Nad<8FNI`1nO_r6RiQwG zoyYm?dX=%QzPqS{9juHYe&jsakTJI8e%bz39Z=xDxdF5bF&h?xjc|;w+J?-o0^Uc| z?QeE28n*u@Hwm6`70$hI2d?h*0AS40N1yOv7}ok2VH3PYj)6G z$Gv)gFi5A1v!v_e3}&sn2vd6Kh7y*@znJxi>R*U{_yL|_f>57YA*}pTBSF3C7fbzi z*ky!cEcDaWmoO7l^9o>x*d8E>oKP4^bz{SOGcf*mWDyWm12@3f@otKi84QFD@_lE%$GApG zFG^bgyJD^_lq765siM$=BfNFoLCth9!2-Ilgt%3pVx(W#Lvbw}}}EEs8$Y7sep+K*!D!NywZOKT-wo zBlO=!78m;Q-@PjCYPhk(JDeBMi10ch9#u7W&7?T~iHgy+cC5b!TU=O%ux+TqV1w~= zF|Ih2Cx(kPL4lxIW5)kjx{58iks$a>VmOMS#nh5+VrJIYZ`XJ)ejdMZw^UV`84(Eu zk4v;lL>!Fq$dhLd*@N5K4kr!?;Y$go%S$K7uXsU{zgd43*Q=kE-9ap7NE_~}N1+CQ zw5rqI{E7Lv3@$$%%k>Fp_ZbFdNPbRWNu}spKcaFLu}08~Gp;5zuYWoVdtg=}F^*1n zL`Jf=z_4qtCr9gc#BD;;t5b{y!b+ahFWX2(d`*6YYSEBlou(q$kE}S&$O(PdPzq-B zY=x-gLBlpmh~BPJOeU)oZD3ltt<#<*zjrIL0=NvtQ(RRU7D-;(f6qe5SfN-BG9Lt? zu6i1_ap5O@S;We4YCdzD5!+P!%0j@NrY*3ZBnII_eaO3@wHottf!Xde9(EKrGDc|Y z!r3{}@G)`LCxJy?K=%0k0vFkdA6-}odl}hR$p$`hXC%o3*ujnV#Sh*m1QtQA-Q-~+ zOxi9_qh&S>?DV;7Ay3_xe9wV4vW&4)Mm3uewd9rp;i9fkN>{ohEF0nUj=oUu{Iklt z<*Fmi}}Dr-JuK?lX|VZrF-QOyq8_(TqB<} zbtZ%o_pSe~tk#pJNyqFYxl*XsYnGDH&u!(nK+R*eh(>f6(m1?!NP7cOU2or0W_b; zrrYc0&CuRYh6w=Mg^|De9_7vp>^|%Vhl3Z(ICBb7C(6i5w1^~nUb8Po(@F7Y95tX~ z+C&4bjGFwiZovb206#w{ucdOkpePJEI#;Ac93M&))_~9#+ z`+k_P-CeB{yq+v6APr*Ts#$|etSE?2Njg~{0(pTg_1sd#e;20QlSnEeYcVW!TjXag zZhZWk08S6{zFPu={N6all!m32g0f0wd-gH7vGj8Ld8gkQ^R~~kG2l&3dQW~70mU=1 zfQTbxDSQ7I(oQQ4m-WkHjSF4JCbZ)}Jm`L>05AgO2P(kPPl@%)e=(EFFR2rxJ9L10 ziW1h#(NCS$qTeJ)>!(%@voQ_EM~cGu-j)))B>#(qOi@Jm{(Fb;uSV6q4RISl=1o#V$*(Y%%FQWz%(Ra-Op^Vt<E_DlJ>hkJ^*PS%7r*g)UTJIgHRSy8Z$YFj~mRY_$w@+ zJANa}-xe)xDD=8-Ij&A|sv(hqCNmMJLH(t{%r^k98?j&3VlC$drht9<8A@f`T zQHE6nGB&tHuc2~V26nzaEw13U+5U{hfSk{ssGNR0cPwr;O63D4pDqO2{tC(P!q5K- zTO9895hxpYky6CHB;IegY=_23YTMC>GKaSD*sNxvnTpMm4#2gs=k+{UanMm z@oM!dIo3+a?XJaF@S+4DoIV_omNPn`da)l~yVW+lm^u*cm^Q;flK%{+%ay z@$Y1-HbdFz@vGZFU?}(<%ksd2(%hNBk*`R2H?Mx>Z~Hop*ps5GGF1h=h)q4Ql;)tG zYop)W5+7|Tm#)p6s-*5d45^^{pqof`c>eyi7~VR>%i+%HzJ1c!N(?-GJ?@D5-o7l* zDywVKSD=VyG}8wI133sRH}U$;Huj+({wl{gtHh*ll2UmL?|R-ybSlhN7Ct6%iv0c{ zS7s6qCjQlE%f$n74&JU_>&tC}nE@2K?)``0YneT#O;v$_4;Oz@r`K!2oE zfG=6!=8jEzO16tL#Zy1X1}ya~5eEGr3LM7r0*A!jLcRKd`o-CalQ!4j{(0gQe)Vw* z^8ntv%dmDJPGQ(x7u-WZ#yWcAyfHXpkHl?#F@JgvbVbgD1J=&!9nws=$QMyGcJSe% zC+9LgGwcf~J`LueGGfh{V<#p?%BG80KpEw2j#G=KQxp*NuJCt|@ddU+9uP`T@``Tj z=FEee`1d|%Fa;J4oWS?&1kh9cR;=Pp;kF!~OL3HZzx~MJE&6%ljGU7hb9`A|h?h3b z9Zd%`QeR=(1m2$~pneqP2kvJCT>mb1#A#E%;EJb9OvA>%Y$0_}`)&;03vA-amlHk%Z5t?;wk)ji zxtPNLS_JA?x6E3<&+F?r;m!wUVzy~Mc)g^YQ1j*_Q-;W!D?GY6KX5vaDGz`KxcP=A zAP39vblOV}DLEd~(Gw5Cy?NG+K%ZMvBr_ANC1S1Uc{QAUK7|gYw_^i6#~d&y zrGGzZwuR4}h_v;J2VF*BCPb4593al;50sas!ND>OJbtb2`uP0GWWxHF2G|Q-MTo!E zk3+?|QC*M}?jfhsKv(5uKV(bJkwuZ@O+8Y2MRhsD-;7Xr+V+Ha+$bOb*JaRx4`lVa z3ae7$4(p0wq(I9*$p}?$&dnoxUtZ=d!QJt!J1k{sPEIA^Z?^nR&=XaoBFHn6A7 zt9WqV_5@D?vf&ju-EPsh#qM!eIny|%P8_A{F?Gs!`PU-y2qIbD$a9lXga0>uzw(*~b59c3d@?%bwTe3I^>A4uBn|w?q>Z_@H z<`ynqr%vm#xSkQQOF3SQ#lu6eg?C-4In8ntl%f9kn3c9u3KErKiUUtwL!W|55Jv{iHRFf-7}+x-!q&o{ z!vU($4;Qc-zSYYfEBxP3y$dbvAoV%_E1Od6FplFA2dQ3BRT(Yd;z{n~x1_9Ilm4Q< z*CkVyYLXcEI%c&NI8QQZ#&L628tRmMD7N>7|Jh~!&gD&%0#%jmL~KAWP<-2CFo_Vd@Y=T70F4*7Qvg&&&- z^7?vY>Uk0t?H`gpcMSAcwYT%peG3O;>2ysyb0}DvD+w z1nl;0i4e?dloM4zRLHLhs#%pRA(Y~M{|<1lLcV}cpSl(1V8ST4i(od1{rWJ2*A!p0r)zH+>tNHTc_%!tFy~`D3(U#mUQs_84{S)PPysJ4CyMym zu%@&7N~iXfG8Pj`_3wUSs%4M{VnH-GgFVxE_Ah2eE_scer~WowFqbK+Hu3m{rnRN{ zcOO9?Df^e8Kt6hc;bp-Pv=Cq;@mTRgg2|xbD#w@c0i#hc%+T1&*lZGc^XC(aF(nTl23MOhn0h%*_vg@ z0hM?j|9O%VvV9$pGF4<&_7)RVCbVP!f`aVA#}VU!oPxI^Ce^Euaa=GKTRf@a7gd5E zl=;Ev-_J%1>)}~s?6~14fFD&)Jc~C;N-dcdh~_1P5arGU;8C5x%%zVl`6-OsIS_pAin(U|emnXY<2pJxp#_GtO(at@_F(9&&|c&+S7t zvfLNq6OGWUb&Oz1=kM>t8$ZHhho?QS=>6*L_Tag+tD(fH>``QihyB8hv7z-)dP4uh zZe+lS-|2YDDL$o=PAt6tgq7{W5iI^WFOmOd`K**-9xjWP&lGe4bmdFa zyg;8*l7)NN;d*GyF<&6XPJi~h-jpm>O!be)%h^6V?G$F&poL$W*6A+!BOW-ad9R2S zapL*K+pxNvTP)cqe;T&;X^IJrG&tb^uPFYd#qb>>%9lnT3Vy=XfQ z?ULw1q+-z5C7xi+j%dv9>Gt!dMiKn)Q{DK=#9^x_o1YGUtndi=}l7Y0aFm zR$r4rHk+^y)_(wVc+0k3Ae1Tn2?@CvjDB(#;iv4KpWXq8EGDf9gkgSES1ZE0`kp-obrE@t5uCH0>Bb&|n z8V^Ch!hHTBbxOLpG4dOCy~pEXE>Bg(-RV{orVH%+c&}*(ioYA?xT5Dbow*Ug7_Zwv zhZIGjRFPjDO4a66hd#=gA>IJSD8UMY>o>2`;M@~|wqBi6z!OX2Z~+pn_m`1}Xqs2n zdW#c1V9UT|m2e)UEve-U#oENm#?1TzK!gM_KeS(biY=5sn~NiqjQ{yAVJ@FhbGbp; zFUra16;jiODE->_7D)}9jd;r9E+bf-JuRuq+D&h}y;))?=OaofdNIq&a_DP+RC2g| zvkPA9D}{m_5&Tn$`g;kXfl$jxsV>a=QE=E3Ww)z;AZ@g!rj}SscVD1uaH&TLm$)3? z{b3ph*jSVlS?@m|Az!_lVFIrGyqag{1m#I!57eY&U+{6sj_U$E=ea66%mf_{vqa?BUz_&hM zO}%T>_4GH#&r{Cza$tRB-8Zlep8=*9$SB*DAWr?^K3UJe8T7R3-&C>1+ST>z?-{;~ zeqJD9#K;i)S$EiFg$Zggy^KRCW^ib;T{=Kj-gi*RPWnf!8@B-B$6-UtG?Z7_^Azym2FbD{l?8_C$kFz}} z;_9%iwj|mLJ&Q{M3M_-YeBkwoi}7DA2UyTJ^)1VBX*Ir?yj}(=eVp@4A<=KU0p!sb7KOvq;!k>>vQIkIB-P@z1LcCiQ@{`AL*eraI(SCZ z^O4Au90A0Tn;x9-EP+&(Su^zFU3(?fVjx2b-}IX zMTO}3SNcS^laKb=_(X9g z^J88@e`{C14~#Mcm5I$u(M&(_R1)VA*E+`67dhb(?b{(Na`1Zh#7g7pEp*zUeH71} z($df_q-P~W&}!CL5{g5X!qH!HI>dWPqkj(qAJ&rrajbkvhN%a}q*z%VAW}TPn`9~@)Ao(lwAcJ1LL-1rjD%c+=GlF0kKCo(*8|L;! zjUk&71X{W@g>nUfa!2IhAOSrvQi+~ayMeSbqRRUPiqx!f~Ps1+M|bI1~$YJ zH!b*dA#Q2VpMiGUhI&e>g~ydQH5=dWs24BWZY*&8XRjYVRBAK|B%{21i zl_fSS5qrDHbjcRb_B8nBu7mzO%OdTZgyt;xV)iVJc~1n!dehzCA~vSL_F#+GsI;Miw~xWk-#C+V`goOFdC#O2e|xKnV;RmHeh7- zKI}Exv~H}kD5&|xvp--?T+n)+bW`4}=OsQ!gCdq4e^4NcvoBqK|H^XPqwf}j2+%u6 ze*nHJ6ZO7cte7b3#~DH7D8>_LyaSlrd739%mJ1~@JV~Xw3?4iVQX>s;TYb2Aduk2zrEjX&{B=x%WWS*p&14rc{)rF=9os1WffB7Q z2Tvc!O#HN5d7n877$aU&^k6|P+={}>Ase7qtdXrLN$<9yB08-Zq-&D-6bz7y>=s>f z1=S5;hYjP>@B_2vl6Dh!2U7>xz=XvXun*M`!2Ak4H4|>7SmD(CN&4B4Z(kf0-N!-rvYToksp>(td z6O!03IJ@LuKU$!rH-rYL(BY8SeWflm0n;f|zd}rd(P&`Vabr5g5(_I4)&8VW)#uzA zGw@e>l(-9dTqyQaMMW-LeqbS}QplKiUBo!Szv3%Um_WA(h5Vd9CP_)vM~aT9D)gM# zrN!%*T4ccP04qS$ zzkC>RS$))8RTHO3U=b7S$UUu}nAqlY)@CJwWqiuDq0Ij_`#I})K#YhBx^-7P3y{W`lKD3`en|(2NAPo78OlSt_J>pr{Sr6Y1U?Kw!a+Ll zPf2t5m*I7MtW;7SweGI*Uqws(PkAYDo+9ddN+s|K$35jp5aVmhV01WT%@4-{oymh$)f?kHx}8`2 z&Y>O_AceyhBz|b$z5P>mxkX4l;fJ5bA!w%aA9)I;I2aTD_+Ucye4pn%72TaOX#M$} z<+~nr=IOwNScX_050`_JFvl1w$cC#}7kzV_mv8A0ZJpPjZtx28BG2R&_O#b}6WB3h z8o`wz&|&4MMgEO7wWjs6KpV_7O?c;2rEf_jV}KjdaTL71(3TzhL(Q_*L>^&9hEVDi z@coL?NCBBsw|zO^k9al*NR1}i*IKZ@dMtVLBjTk_n^qkq{Totatm{WO7OH|GEL)B; zRW^`m-7JO115+uj+in1W`Vc*}mj7V`q4rhfA7zgSGzdk-l<)C}mG_3gLBp3X10F1z3 zD=~rrm9eZJR+`tBtqjS35QCs^q4-vJVc2F?O}`myOq=5tmzWPAT6Ib7sqczxLI z0g9p1^qO{Y(b=BJDFH(FFd-CPeYy=Bym$Q1Nex?@R_^wtGs*ev_z1a2_X4l8fANQ~ zDi4+XY4r<(~0%hA(|1DdxZBA{@+j&rP!wCul1oOrC<^8td!VFM9a{G$cn|fzAiNW?4U*h?z!F7$hs# zJT&?KknLVK)2obJg{7BmQj_E7i|P-DGe;-Dcx^mV)($$4NchP42Y!ARJ}hJ_SuF17 z-+t8IQUT_r5}ew)-?~4~f<9geQ|+M|a4$M0bN1;o#lOhLzA;*4buqIr8pC46=8xz$o-}-(tXOJ5ej+I|RWs~0|4~@69LuCHbw-YPf(9$F z&>niE~_%a1WpG%SMG3DWHRDvt1!l z{d>2SFwY}BI-*2SF1`?W14brV4ExH^Lc=Z9~ zuhLAB&>AN$g2lsFm800<`292QqYDC32MPjSsM5r-yqkLWi0&rrZ^Vopf6=6W0oTAd zm|5W8+)utYL^V$SL5n~e_1_{2IO2AHQ$eQgcesvRVQpc%Ld)jTvszCFOEBtS34R+9 zFPAEbIqf0Bj!+iz-_o8xBXxacPZq#rG2V9Vdv-PMXlyKc$r^8g z`+x7&m3zZK>&yE`#$tNI4f(h^(97!Vz5gALzFHGK+FlHVqG@MAOi*fw9^@*obfHpK z|A2(W3{H@sVo`n~9o02;^fa@f(n1VPOfS*&q*Cs7jXAmy#J}pKdAbglsEzB;S5h6Q z1-PKBw()JsL@`t6IKC?tR2xt);5(T9*(#RA@Jk$*SN@T#Wc7Vwi=17#Y8~_#o6$al zNM)`ExHd;;^4OBM5O)gYTlxzyC-C?yQeCu+(>kG%i%yDh`@=ckfEcy5j0YKNK%;EX z5>}rEwBesUE$hi)BwCoUJXK$hq6XTwXdiT;t{=m+j=u?h;kP8%R|p-r&oGRy+_0;E zw&wl(m;D&I#oW^iF?;10XyflOKEOxu*F*QBC)RNmur9$_4nE(nH%OGx@q-^v2XMHF z6xF8bEprA^1~^k)@~im@7|+3Fr}H6hs$gS2rW^Kmj71Skb zGjuyWmgBnjba%`E7Mp`55ut5;YA=PKWm1b`{~A<8ry$Iq_c}_@HYMxuf~H~i{jp4e z)zd)@JUOB}1bDE3fGh8Lq&+_R0yDUR= z_;D~GF{_45%%AU-`)fWO1%=`^w}E__JCNu24rmS6f2kh3847eT#*Oq*k&2{=CNrPi zI)f_b9E|N|nbc7+BK0fj`MJtcda0&(YVim&g$CdHmkGxDP$|h}k0Ydu|G9a2I$hm+ zJ~N@YOal}obs5z6`)0aZbp>;(uN41izl&vOz=lC5w`QeoziE2NpxH`<%>Y8gKlW#qkQ&u z78YeAr=%r~5SH;>IlA}Saz9J8hU|aqhmJVd+DH<<42x25p@@$UIr)jI(>Uz*%5rjqW;Aw99OnA8g-&Y7G81gAy8bv9pek5W8!pQdsG7nN`5mX-5&8ow{t zv+$(9K(KJ?J5#q~ufw5q zvW-?tuPTupi8ukyL*05oE-6^E=~P7)TuYx+01 zL&zGrB47oPwtXzurEah8k&Fb?jZCg$qp5tm0rc4N-(>+1RxZEgSu}FU>b-2YwNr{S zyR;s{PqT8VNqfFKheY_oN4d?J>S-m&6jb{r0*QF|E?Svu<;IMLp0K4sojhWa`L?moV#MxR=7jZ>pI5H4=#ckF@mpLG=^_00*I zW%ljsIv6K^u;p(;7nLewHhx4SH-B^wa4_iFi4}#zAKd>1CzuMuA%BkQQ;?6XCBsmU zHL8El%`N6FbN?#8*}T?{R9w&9vgg=)De*^nqnpI+w9jNH&-{I|Kie;4Qg+R5l+pdd zSSp;h{R4;3`{U9t86c^}XXXAv`Z~077QPoJ9lkb_HTaHQmJcI`W+^X(A0k3h8)x*= zdK7qPYi`5hu~*(zf|&GSiO&xW6PO>{L76WmGhQ}5ke_|xhNt`TQ=;ctD$Vf;F?2J3 zgL8WDV`V2N*`Mr??N?pU_-#0ZIL5meozd0I9ZDzYG=u!F=uV|GB(nRGhlVpBQt*IN zUUMICX$U(!R1V0lG6Q~N;Yaevq(T}S8{nC+{jvW!s5R7(ZNbE@c;LQ(N}wi9r0Upz zBcDT|I?t7;Kb-%ULSSf%lU;d?8L6rc0>3sYeJ!YZMo}HAY5%)25dH`!inQMoUd}D0 zJ_wJfY?HAxeb6GNLtPy8Wt`f?Oi2D5-3We>Pv{N+rkyOS ziJfNnt=nTH%>`CbP%FrWbxW^p{XH&Ef#k9DwiBAfMZzh^FhmKTt`QjJ<(Q+3R*c96 zbRWS$?`9)2ZYy!JId6FQ7!)eE*AD{f$b$Y+)DpmWlJpDOjhuOE-(p$$3Q#)TtO7FL*Q`Nu2Q|$04-YiUIW|x*2jPtZg`Yu z{dr?G7rz27s;j($*Gj!?pz_xP^#^W1oy8Y8Uc%~_Fq-OL?3a5ppPd!G{McAi;yLCE z1YKD~6QcNj?XaTQEHuSRT|y;A3GA;qVI{iNwt$~TQ<4q&zwz@l|AGe!TyOT*)NI&b z<(Dye77dr58M3Vp>*iLjCA;~)WuSt#KENd+Cz|Vz9MK~@v5`7zLDq)hr>D*?eW^Pi zM`~>T2J<`yx{~={^Fq-&YV+>G}>AJU09IX}}BQG(rMF{w5mLU&t|C)ejE&@`R6hi}F*Yd%(Tzur{h4s9 zGM33JVtkJlaL*?Dz(qjHC=1KmqMT{hi(kdc^f7t~YoOq*?!n@d-;P;9fk)JSfADks zT-U=yZm=}ocoJ(Aspas%M>$a`O-5xEr7d~{2=4=+^m&+bN=|*D%DW8zngvZPUtEA>L?_|+a=*KxsEN`o zyd^K!^J+fdnV+SgpiCW{R>}#hg2v%oaW+*YSUbIyM_nw^k(FrNGS6BT8C7&rr<_s5 zw4V9XN}|?SevG%Qn-Q*?`orqg8@fd8LerL1toOuJXmv7oH7_#oSsGmqwVdGt?Bb$2>BSaptN}c|e%{|YTTuhP2r^tf5 z=JaHUIpak-_(pg8m1uvnB|Es#1IZCFvzos!@Xvv9QX&WRULHC7a zZ=k;E^O5KU=tRN$<~Bk@!5I72v2nL9XhoYjhDVNngVny$egx_CBj?BlC4NlYv>?eE z#nJ6Jf8#kDB*bRtC!LeTDoZ?aH z^cQ}KOjl5qaDvRmo;#nbg=eF}*JKWjL8_z$cg2W8cp6bu;>P@=NXtp;IQo%wSFVkP z@YQZ#dzoPct4a@7?uolqY6{K%CX@W&|DmhXRTMX&>igkRU#Yh5XWF%J8CGh7&vATX z4EyG@b$8#MY|9n=$L=gq15PTcZYP>+yB~jbj7xkRdde$S|5+Yg7_#4k$KwFEc*yEI z#xk)pA$^DPQV3AW4lzggD=|IJ??i-h@mxpUE38Dm%IO@=??L`fTK}{F27b2%96IkH z#P^7EU`2+3fYCgxp*b;oaRjky6oh91mTT$H`vQSa(`1^^`~XDoTR*CWO*GNxOT@NL z&GH4RdhZF!g3*_)_=;v~ZFd8`kT6A3BSzQe2|Xa0NWn0D06DHOb^!F~=z>Y)58IQ! zkHYcOs^Gv=@@3Glg8WZrY+~G>pw4;`Ql6f3z3>L4GOT^(><}2#6wp;3WN_ zTomR0e83CFX0w06I4^_9)zh%_;CBA@5{P&UifSOKK#Gkg;(%^?KUe;-=th4sGuc$@ zg#Omd)bRCMczOESDbY~I-<5Wr=R72)Ac&bu?k>rIQ2m_GkReSk~4;C4^9TP4J;YZLYrvXVFL$U zh5nw3^2`5;iSgeqi*fc=vo;3iMm|!(sDYw69;g7B2I^RwIda@^u>rr9s`?bAB`Ee%Oji- zd2@%r93^=^i;RWJ2x6GSp?_e)yjFPmP7!52VtXjn@U9V%BKpG>GeLb0q+dX@$Tb#l zshN+-09@d$z<(h*?}7yv#e@bcW^s7F&O^^Vye7i5IQ{kLOYD!Va5Ce+0LKJSz0sTh zWexp$YCokFQz>YyBVP||rK>`JM~C|Kpp2-0o43{qmO*JeNiGD`O{@mk^XvV% zUj0|RCmkpiePXxz{K*r*7)+Tk+@mfS_iJHZZ__Ok*1PBbq7nu2f9NpMrS`}zu{{*@ zK2N2uo`j}ct;_)4vU}G2Y^(Q#aq*lVr5&{Ft%mIv8rhR5fLh;YH11%sNWyF?i+$xu zevn{f2;K}Je96EpxH3Ue`TR{7cXoDzlI-XXV-(s z7%>nTDQiWc;tQ~P#cpxf7KqCb`CT*Ik(2q*ww1$o=|##!B#ML@aI`y?O6pICt3i2_ z=1l_8@bp65fR;QtMk%H`!?bP28YhS3uAvk0uh614nsxZOZ#KZ% zhQfItCL74Is^lDDoztM#IE|z?kp@0F_x=Nq%W2K`6Gs8)uz-V&wf-fULqh}wF5E3C7p>1NSWeOhnCQ z(*5mK(}Ubrrf%W^U*re4A-?AuP6H5J1`w(+oB^sS3ePV={mye3>MM$r8&2^5JRmV& z*a*|;q3p3l?1rn2lgKJ%JHkOM;Gkp%`@w)urY_oH^kTY)FBBjV4?eE*)xOv0-dloyr{OtYx{3oCECzxQ ze>d~i>W@1AeqO$&(>qCpT#414TQJX=kK?bD98}?#15OEC^E9qoW(vg+ZEihTbL!nY zgK9p}b-=<^Q_*XD(|=po=$xiJuzA@9xt)-r^U5J_+>wiUQC& zD+!SV3tf+@ezYno0%8~~Y-u4fTZ^9A&B=}q&~Q-%QvyK(q%pToyvsynO797e6% zJmlE1^__2R1AG8nxCA;y19qbs>o*30wA++76J3=cer>E`q0sTwZ@)^0)#-3mHmBj{ zj3;B(z-xWtTka9u6uWWH=Crue6emR;{xzKs5n^$W4Ry{p+3ey)1-ZjVEW@w{n&V%{ z75AEpMouX30hn&qD3mt99uQ%FMbQzSoEOWRNY{cDDcEasZO2dAx1>A;h^*Zz>du_u zC2J}RhviM1GkHD@6`qwTfOsdN!;)rz%&BG1yCOLNrHRN-4vN1LOj|`vp5ac*O{#5S zQ!Vf}md zmIhZO^X94;bEFHmUq))V=Ik)R@M3HOH$qKZr#_SIVnoRoVsyZ z0ng_C~B7D_OZi@-bu19~|Q)HP8esLdk)(?(&7ROi;`Gn%TR7{UAX5#*jM z#c{Fj-QgC~;igBmqqGCy|pEyk2Vt4y(j1Dk^bekCgeigxA<&X5tK-qy8z z0U9_9JN}aQlo)vzI`;gaJob+hZ{+vPC{WK0Ea5|-({84J)kB4OQVs>wU=xpT zUfk8+A{|nN3=mm`i<$fzC41aDpBIDG#;}g>+M)6TC4HAK2z~$-huVLzr$RI^2oO9N zgvw}=9`yD^JHuDJ><7r-U)k~l@NBUP>fiiO1)`Wi*B(9Aj`qN55f z47%*WyAjSqZPZiks1=Na<-lrMgd(($i}mNmjY{s%4bORwed>38VM`w7fq{tBTc zZ7kusjnZn<#-R{hqH(xYhN!DiMc9z(kC8xsGVnsdld`m_^lU8Iw$`{+ld`0j;;Htx z8fR$uC*LHrH!m$2UJV8}8q{!4o7jcmYn!3~xG%-O3p%EzHdePJp@sK+e14>zQ@HqR`~=as$r&jz zTB3d4d~uT94gxoDWC_)FJt}EfiOvGWot!7kaFJgRI-R7P&N^}FFAd{@i?2(&0Ck>!$r0DnVGcX6b#a|E4^+00~h zf2nY`ei*`;`R$t>#;?7ohtjie63Eg_@L8GAe?#W~#_SwaF5vF>A$|)68bAg3D--JW z(gB)mo={5uY7HJK^dNmRy_ul4LVcuRd%>S8eUaFwNUMWCP+V3=&?AZ#- zrAF$5o#;vc$PFrFQwj+F0~hpAMZYdBl8D4vx z9T-vIJ=mYYe0l2G0sh{uo{XgUrBVZtT7KMt?9b(b_k9g{C*e2y9wTU;6ebuojsd&lFGjU*Z=?Xx7KSb{wrG+$Q@9{Lpqe|>EaWI z5-R7xxnx_6*I%m1-5{5()qK$WrDe=wxh1F=Z^ZTU+U0*+-WWaoIsW)lM-f?u!^+pO zB8vEB{Uyq!oan9xhyIX7K2bR>!v@+r%yhvoUh7pcq_%AlCIOpyh_B5OcFgz;>{j&# zc3kb%h=0g8o@Q%|k`%KFEMXDt&q&ncBs^F(mOD2e{Y=q1Y>$1C7B`pC-Ei<+T!L~w zXEl6YGuIy*cJ;nf!>YfS7RcG*+X7+*smTz3z^O>Vi3Gpmycp)Ou)f?3V7Xo*oWDXI zani{1adtgY(v)*qGrFq$tjsj7aY@$vEm^k2VmYYGO- zU*&mjB?w1Y0c9$2);L?2lVVHT5|Q5=laX=f?qUJAZYai|`2mJSj*h<(9A3j`n0ws; zxWiv#lJ+AZEedT;rB+cC!73Hw+e*Dg;OnY3T3~uU{8;9M)C1K#|%M~wlzPz^OOX` zpO5idYqEo<(~&TNo)Ru3GplEBn*Z)81JocOjOuk*k^@K|OGmD!%7fJv(>h~12bj2T z*2arN+%meC5%gONQOqxhYETKd@6-QMH?Ub;GsxVJru3XcB4aGv)ZZ%_h#yF=`{C<( z*d^ol!#(uFM@~CcNi>EcHD)LE5}%6AKwLyil5x*uV>(!1y>3?5iZ2HcOIa=xQU)d^ z2W$B|!fim`v)@g5SJT@A2$Lu<5u%&W5rm{VY6;1>gb)^M#zP#2r%eQLIIK?-^jZSP z$YYqVqdJfmdMenUXZ1ge1zy*Zb$`$Bk^3rym;lMh@1x6AL|Q-*4Kx&C+LF{&+Uj5{ zwTa5pb86vA)WAMCpM0_e-`6t`pkq(Ih!BJaR?hy_U6G&3n8V5BA0jm#zk?fiq`^S! z=3|Q`N!SLSJJU)Ox={|qE}@1nq~=ImT>kvkga z{_wH-+#Kt2z04Y&6XUt8HVg3f8!^V05GLvg>J&w9XM2Xh=j62GlMl;U-#%82G>#^l z(t^?^=M*0bFCP|R%glOIO{r<^T%8X*UpIlkGFT#3W*KAnSLA!ccuXPGES=CX|NJda zQeY}lx){1`+F;ItLA^%M`_5F37u2DxMbe-Y?`a@G?6V-Yb7!On!%2AD@ z7eL_Zjn~*QH{Hqa@$5rhNWbuS;Gc`d?-_Mf7vb4fr$5{LZ%6D z{5LRp?`CWy&)S{7RFQXWGRH8Xn0WF>a77wSymqTkxx-ZLRO>2W?S`EYa;3t>Ug)f8 zByoD`U$#Z^RDim7{!z2PLzpxj4)nwQq)r zbQZ*y**5a_&25UGs&mnY<<;O}o~h}q5^bL_a{wUuvEC8mJEI6{lBNZH`Pvv^K!RoH z(L=4}F}(ym3fM!ZjM`C=suo@-RZMq<7U$dHPjNckJncY1e2{^UO*u~kWIzhOCmPz?Rk8b)TsBxtPv)R($ zqB21Kgy)BQOgAHOyI4U|qu*C6FyJLD%|jvmtM`O7Z-MM*ArjE~Nbln3NXGcw&gAs* zMW=@I24PPB`^_DYU_hh13Cv+0j7!?M?Qf>&OUFuBEYw0c;J=ZbHO`j`6cmCO8WjpR zTS`TbgcB$iT*k)y;Ab8mE-k4w`jqJN5`*Iv-+1E2js{r6fncmpE@C;`bt? zdl7zgad$54!ON0NWE~Mfp?d@=cRMDeKtD~W<@9f0CV&<=l4+(1|L*3~=1w1sbXZLb z6cFxpI`DVLehMI=eDS20cI;qm3sb7C9)6Vd%Ry0nKC6Fy8BAjpHUp{b0Kck++$H^i zzvCzKYjni1^aLx#82a@lCii(wT=FAn>g)Zzhg#RcTF4Q?KX;m_!Q{|*M?Vzq+4bY| z;s<)t^4g)q9z~YdDOtGiKDt3hlJ7=8)a%spZAx+wROWZk1M%-Q+NB@+wY zSCcPKNEtaXsw#W>#2}G*da+i)^q|x4RS6K8afe_|UcXsjsF>lWJeA^KX&QLlNil07 zEHH`n5P#aeVuImrOVmsak~=&uI=?-{UV@FhbY=9I`4DV1x)JK3hdlkUdYD6JAMZ}t zh~YV|3TlV;SEXdS(jU(9LB*(Gb9cZQLeW=viWtj7on2bbN*RwQCsJJ-W5y*zxLb^t zYsCVc@Z}fR-*?$R%l#|V*8dhnwO}d+H!x}2@sb1sVAS|QzibKqS34ps!SP?j2_~U+ z&hRX$sqM%!c%>Am&>zcMXAeJ09G52cJ6wIOlI;ae8bZA1Q-J$Zj>b63w7O>#F%x>pucfAqOD7!V4ZyRuv(y5tTLga)3^m#`yhKS;;dJ=Uf{c@b4F8Gw_lYF(Cgj zUxv=xg0}MJ_>1QNIJwZ}eqh63iTHv9E#pflx@4OhAgewr45*N&Ivem36X~6tcvQ-p6+W z5x7_I24L+Ed>Gc^oTM#Pp9XBVW=zJ$`cHL`aI0VAPp)4|1+*%-bQ$=$*59=qQkDgE zCjPvy?5{boDa{L_?b8`PX!-34@P4?bdQbe+Y728zBnX5`I`(#@1FivdH6?=?|2*u! zYdlHS*L08vKJYI*SpcFB@d+ZcDDA?N1_CA6>;CN_<9cx8lm3*sFmAu}dE9YobPWyu zcKK-FN9}%w2-sNx=vals4ZEgh;_D1r!^%}bFSZx>rp-dZ};C6-W`*0 z`}Pe-y)(K;7c$WH>TifpRVa4-YJi{4l502<|+rTY#>${&a-+5pcFiC8~D(6sx4+{w!!T z=!&_Tw{3weG}vrygF@LcLJdHshcs_WJjp%u@rJk3YNMCR?xX^DTg8%ab!LvqGAuP< zBN&2os&_a@kS1_7<`atN+^AI&vh*sh`K}x=8bDo9nd)_|9>H1U{rr+*KR8Z1S&joQ zyCHYvKvy9-mELq|fEz`^>K=6aqB?Fak8ekDnK;Og)@v{mF6b!{7L!E$zd%=PV{9Dt zbSGH_|0jxK)f=I)BKGbo|J3j0TJ6>874~8f1t+K06s;n1sP0CPv-rJVUMM0oFIa8q z2T~cq!{px-%`A$1`gtv|4vLSM)lNME_>{=he(x^w)ch3~a;MZ=d->D0X|s%F)%C<4 zh~J#>(aY;FIcQWlj%W4LpQ0fXNjO@Y5q$j8s$#xqDY!cinxoT>im9n0`VRiKY+dE+ zawlJQEh?0;7CM=JJ`iti;r@nN;i66Zmd>iA{{26CVc95vj z){gd-+}ne_Y{DH~Uwcq>{(iD>#Hz}i9F#cueT04296?)^Lp5wnuNQ+MPDo!^>MK+0 zM08E%-Fv^+-uFTh7I+=6;&B(^us!h`y5Vz(mCz8QclXj^OQ17u|z~W|a+hH64p)P{LWFsx^YA zWIw=X1TtxT@4rq~Z=zzeBQGu*XgTzb0G={*v+Gz%>HQzAXevLp{6xZ#p?hIwJA6g% zF94g+b={o?=`8+2Z`N${eFtJrmImxW3RSuHlzj7eK@1}Kj8+B&0VfwH{zV@04`O{Z zTun&okyrE6;)U_$r91oOAsIR^MT3gYaN8w;evN>p0FvT3a1+B4M3J7CE5zQ2TA*++ zQ?3pHFi>PEu0xBd$N>Sw+pB5rZNhqS#QTVg-o?Prq&T+t(Y3nTBFwv~kV#%hm=;&gG z*=uE6nj#32P?61WsELk^$C8J5DZw-)=8oWOspLe_0ZmjSox+K6!228(y3%AY`!61O zNKLKQ@NwYtiGi2u6DHg_H5H2Q}UmDRpa<#a&*e|oBqzhu3 z0p>5T{VEI%Wb0w>{J`GX#L|`(40c&ei6V4X1W26y4T5}-_`i{XO*}S4admmoc#qDl zc?u9|KH7J^JVUHt{!gKY!G!bRA({5+!J=-#_{$V>MV9={fZ1BE3dg&EW&eI9Y3(KO z7FF}znq-KP(oGNK_ic=lLF*+vK!+S-eFF_4$qiMOG1mnWwysZO+v4XJ(%^bQD&>;ZsP2P+l_{qA^Ut3Dv0MdS%c z?jA=2kOEwTzB8vAQaAxQGv-I>mMfc~-CXvrB)_piNv{u+Bcb#CU+q^z{A$>qjx2TU17)qR_BBrAkI{;~nZdVKYx z5_FR)u|ouT-nql1Tk+3(l_BqMpDR&Y1;H~}4sDx=_RnUV49Vdn;eWjaz5Wfffqp@n zG(IzUNNG%z7mSrJgx<`WXHdorQ6>*EwJ59QFFGCCE4Sh>uk;BdOXAO0L5W4ql7Wye zO4a@J{Q16D2D&~XI+UH)m%mknRILuMbPn|A3jJX?X$z(mFnq~Bov%{5L6T}Z)f>O& zzER9wL-KUsrQ@P>OB}Mdv_;w&;J^H^8#3798s2-Jvw>R&AL*#*@W7^;K46&0d(vyR zPg~c8x0mCz_XQ|rxA-&hZEYnbj1D`v`XT|`Lf=oqADl8k7ztUgMRj=&(2^F)bUnj? z{wDGwsG<5;uR+Q>pmMYvM8je!q8Wmf#cDa1xISWB?~EW~M^2cQsah zUC#==2PA$Y#|_zJHeJnLb|NDu3i?}e_Qf|MsEGxEpFMa9n*J;1ZS*)i5NEBgJgsUN zBKOwq=32d2vFOXI;%W5g)TVb#NR@cDGoqM*PBqpSZw}tb z`}jSBJK{J<4oJerXSQI~Wl&uq9k*UCG(|^Gg>wx13--iAN4?6MT7D6{jQPbULK+!| ziyn6x3h>}Jc*9xyGnVjBRK8R!>z3=0%)E`-hlGom2ZUZL21e-*{M2Y82PUgj$YTxH zBM$3Cq*ruGX}W##*9&%qq~NRNWB=y4m~JB9gGWx0*wRff)M`X*tF{1~HWLzh`{4DblpS;P%g1D)V9O0J{PSH40OhyGA({Lj~7O+bG zfwRqM4X7+GSH*8wwrYtH;)ZLB*PuDD#tcbXrdE7{Rw-tfj}uSl=p-UEaIawd^1>%$ z&->vnx_HzKZyD1kCn3*dJSS5!HX09J?bHCqNdAy{V!Nc;?XbB6*US{mOqp3i@!b#H z7mTF(7dUQV{d#+ZhRCHp8bsq?`M`Pw>oX>&6*2Q+Wmk2|9zniI{FPeVs*$JJP^&se z`ZpKj{TquBLC`TK&6tv}UrrtbvOb_73peB5?ia9i1k+L;cG(Lb;C>BViVPEfUQmMh`N?!k&cYB)BNGX29>VdotH5jhB%dpEcrh(4pR`?eC-ZRa|NFm#Na z@#M(*6-f)z7*H3>v?@Vh09gIF8=hkAYEfnEqMuVX{M8GY9UZl|hP$|@W~dOjfPwfs zBmbz%ym^yc`2_m7f^^jpmlC<+f)#EIp90jt?5$7l!3HCL@(02n07Wk}@}Ad5##Lo* zgZnA-*vZA3Y*fqRFbO6S=8K#;tt!fJ)4nk{GD2j~f#d+E3;}}w^z%hRr_yUI!TyR# zho9rcV3fM$D2ZKC3qa@ILk--AhoR_Oe+^aS({2`H@%vRYRGO;!A!Ww69$r3X;!Z<0(yEm9x~rZ|jd(>3 zKnZZa4cm0_SDt1)L(?iDckWP(n+P8Ds|Hh_cNz}dt+i2PZ=Zd&{*_T$;P;iRlbk$f z7hTq*+1h*-vyr$h+gqc?%R4RW(DbQ3UCJ=a?G)GV=F(> zlaJO5lAs;t_9_ln;~XdC%x{w_Yqi`9hkiyzZdBqi4wtC`G)@KZl^Pr?Jn0rXUmU>M zG=34q5mrPaYj@3-j_!<-mm=py>*9?Wpop}`JSFIbad{~A9{XKfmBNJI67ADh*o6Z< zgq-uz{e&0cu@7qpDkj#Rgk34o^s-I4`l5>7uV*F^zy(;Ct^m>&Fvb#}#RnO4DV~t~ z0nvbiJ-@}BgF<48{z4`|u8FT*3 z!+d>k1%K9+6FbTk%pOy=fzHgO>Tc!ZJGVAi+xs{o7~NX$gFl#!?CalZw|+F!g#OI; zZ?}`qf!N1E{8mK9-e6zpC&6_WB#q`33OVPIdxmypq%TDf9=SLCO4O(ebV2T-k8(@X z_z1wm5T6Er1(2UfuFT+XtCd~XSJ3{<<%#0D1~)~LHw}~V^40#PD{}$dRD3BNQl8WJ zC;w2aVl_mwamu_9XwrlHQ8wb2-WL0KBWx`OeZXQRY3WLPI|{MX=b#b!@;C7A=Htv< zRfb8YX`*CXZu&VvO>}^IR#{{9bG3Bf`jDi-QC?u5C644hap^QuW`PyZwb< zK@MN&F%BER1_#C$2E!psoFDllt#hLfeCc;oat9g*!{MCA^6#(;a-YhOQFmm=>DQtJZX%MD+8MEA}pa&sTUs z|Aov{o>cX|E@UL-chr zJbjfIv<$yKP&gEc&&@(SAnzifrv2~}_VmGp;sq_Pi}Z~EV*Xw1INL53n*H6eYt62e z(zdtrT#f7yd;AK;<)AclTAyPrK|u7ib6k$zB$S*YeQZR- z-rDo6fBdwX zAeYU#d{L*d?b^e7Rk%`7S~Yo^6mfN43RK+cBPH)ZFxd8DrB@R6`&Y;pZ_3M2U2LOn z60_ol&y>&ejfZx1CSzbVq%$k>rPpDVhG*PRm*IT;}PmU>hftU#6_a zvPgef%U!69Y9Ep184IUdlqlbWo4Vfuq`g*7VJi<*2nGh@zPGjqcw!NYq~l~gfJ$uT z4kB)rBiI@P+lL8%%RoDpT6#2(LrkN3RT_=Yw1#(o>L#3D7+G#WfC3SLAGPfl)ZxPW8wRo|PMw+K)V=rkVtZ}Qqd@lV^eU5XB z>Y2~7f+VbZ#C4_}F#>usN&=X;@`b+gv62>V85L{|ba(2JjL%U~(c(F=14%7o$zC6O z$->ZM`82xiHV;)%p-kuL^b_S6q6^@l)&DY$8@D=(;nCYM8rV16yNmb#(oI(>B;!7i zPyaVFNi@_!NS5Oua5E>7>agQA8#hPaji(SVI|tLe7zk7!|4`!GC{6jZaOSg=pTVb0 zUP}9{<2LP%gnG?u`08Jz$s?3yS5ZFL$b$ak&ym%~-E6 zwj0L;F@udP|KI~H8(#jM^Ay!;<44XegR3~=!dxc1@B%ka-RoPSMK+YJ23V~-ie6gp zChexkl&n}|{%w=7WIEj1c~O24na_S;_t~r=Y=@uCnmezRgJ?4WG0nKtg&vU&O%Una zm~N|Fp*p~77_>8_DJw2#Uh5oj&78n~OzCHdNJBl@ZJuSg?-x?6?9<5VF(5!mo)7Iu zA|v)G|5GS@KM<4KDdcBzZlLyjL$7&yb$-_JmGFbaoMs&oE-{%OXw=d^oj)4`9N+2w zc|G_0dE>mOzJ)(y?8`Y?F)m_6QtZO~!j?U&S_}vWFwS#78g|wnxwO)IljZET)MEK) zu=Lj|76Fc(u9=r3-p^dUJQd3#VqNE6L2fUez_vf;=h%!8f3TAo4f)lfX9_KThRmOB|Xd>qPC&2HM z{?-@1#SNI#$kd-^aXE>O&!2x0rZ@UctgABwKVD|mMcOg;YeTmYJid?L?-@yZ060L$ zzff+nq!~0$N$|5odv4;}YWUGttk)82X;OBFiE~$#A66bwt#tJQalvqP%Vq%k7!9xb zV8vEGQm<}L5jVz;wR_GeP_CKcYcUg#K^%4E#ks@lmMxzQD-$$EH*w$teUviRS`%#4SBnYN5J2%dWH{Te+a>2J5r>dp|#QeU4i_6_r&KeVZb(f06-! zLM5?X=x<=bi^sOEl|G^=NuynPZrsF=54sGzC@Q>7PX1={#zpX&=cA)IS`kuM0_6uN zty!*J@TdOQtRTOd0ALS{V-_R9NV9?`VU-CEgPmu@kj>bxd3x{sLHbvmnjhNZwmKil zB|}zCMF;9j+O~hkI&!~*roxX-WJ!=W{O|b?%5CUz#RPxjC;sf=`-2Fo|0Kj9zZU~u zr?`!A8WVIVQ_Kw;c;?XbevMeTms}FdwJSDVfu{-1SKg4F$HQm#asrL>9Oc{Pyvu<2 z_umAwN7AMQ^UB}+kvMPZXTR0j1}<#H4n8eqfWl;kybn}dhqH9SHfE+(!b9usQ^b~8 z8>iEt{Owz36uV`Gzeu=K2JG09sX04t$ZgzBl)e*wn?o>~;C z%_aEI5h8Y)d)QIBm2I(4T_VS!a|=4DS$y(}h-<>j7{YEiID3vu-1qtqc;wq`NWy8B@M|hGOxkPJ@fd05QijW zpH=i7lN8oS1)H}q>PcxCQX<$qxz-xwFVlviz&bgG{!I+*S}ZMmD~RKzP8+Cln}U|@ z!5OE&es$xU%$B%5WZ}3b43E*X@Xge(E3R`JZ%Mk=@S0PPM080mb)Y-ct^*pKjT|l? z{OEWh#eQaWm=@`Dr0K_i(7W9UO*vDP<-AWF+^us;7Dow=iidqDyD3XkLQMDaQn;8L z1M*hnk?`Diaf1v1I-i`TG4)ONnbCdZZ;RJ)h>RUB_C)Ujz@*L^qKNi-;oykZ*tBrKQwGHwzLfX`_rfa_47msn<}h>q+h`l4#yktn_d zrf`z*;E&%Pw&)ao)g-G)@2Mx5(54j3d0ZZ~BQbpZ_IpP(q7OYYMZQLd*;>1bpa$yR zj>{*4VYM5};vu|7r)Oq_L^2=2j%84?)BVEbz=^#G_@ssmr!(s|EQbC^QECB6YrBv= zhqQz;no$PSNV(ir7EMsZ11z_<`Q`JOsHHS-8xm-I^*^$uc?|b~KnECOpgBa}ia^U) zGmF^!f_9Pp4l`>%AK>7o=VZT(^BZ+YpRCyB89jSW=|=U}ihhjM#Wi!4q}Sc9y2MMD zyI=M#Yk!HesBg1gQ%jl5ILiP=7%Dwv+D_H!DGd`HQd)hd_<=Ho5Bc)@I`A!Ld2RIM ze@&cGXo+CT@oJwPm1uB{^9Z(uYzLD0C!z|;irRtcI!|K7&vObo_mC!kC@9mw>D}XlYs^&xcW4| z?BmtGsUFRzf%ue??3mM~RcJk*Oz6Qu29H*wy6UCtp|C4ODLee?gY}yzlUaV|U!~|r z{W__H>tg^+Qbg#CjYPlB+>#dB^4hce05X#d9)7#^Z1pV zI%|#u`3aH$)VX31?E=C+^xXtyV zk>Q9v6|)E}%VdVmTqZt~Xn2zpez=4* z+3KyO-zi~bPt2ee{a^$QDUkdkF{r#^WyZF^HB z_%iKP@TtC0TF!Ud1-SF$@v_Ary}^P~`iw2cez=!Ke(-7scH?Vi!Q{VSKeY+^#)^XQ z>iMgqL{`Y;ET3`<$u=xJz{jk)-k1BMJ<44W&;vhX8qsNz3}j=OlvN8RZJ-&LZ$$Dz zWbp*89g=1y(|`87Da#k5fiO@ec2!m0S`me6bJwK%Aq2R`5GQ@Ep$ML<10kCGvwTSF zqtT=!15~OI9Xk0GG+rj)dmdJBtUe)PE@DG^TEA1`l!v0+WlR(_b zLJYWR z^s#ICTjoGvXBaC!#jEJw5Eod&@cqt5hC&7qUq!9LF#bIgE(CPSV{FeM)K8ZET0jOp zS3F-#1+w?rud`op5p9I9FB+g$I{QFE*+tp=dB$}};JTA*jf zN%SzAzqTcGNqncj7;N!NqtPaG&o9ixutPZ)gstuu2B3pYJW@BnkBtg2RSll&MY9Jh z!k3eMXR>!?qSKD0>Sgm2je5~Z?<0D21C4wsGD0|YD}%Kyg4dkPxeDPb=Irl}`kU+(WU6D@&~9f0 z(vbaM_DB{N!dfG5TppBjf4<+k>t2Irg9IzRZ-vJ~ZY6+<;a2VF0*9%L;^O~WY?HN$ z6XnTU5FkWDT`2n7b!YL?|0WV&<@id6jq z*WM>Uridtxc6#&|?USffEOlsDiwpycmm~HsqLLvy$Y{NM zVw5G~@8{RbJ(Japo1!X@IXNM7F8v}~PLsP&{l1a+x3-WY8y{e)y3z*sZoWRi>O3$x_ww* zxOX81J`vB+JU4}>IWwyM-C~EuTaX!k4aZ-_R9RGzKXPM)$$89Q*ufd*n*`=$A-k>P z-8=~-HZHm@6B8?WjTKEkEM0~}ofQj6=K2G@MDkEe>haX=Dl39+iF{sO$zKbaV*hGx zi-7am$KDUw)ZKDxBJgS?#Xn^?Hm$_=p=efgrSw0(g)!9M(EBf!;qal1qpP1X$Z1Ip z6BaF8(H_pjMNcCfQv^&|S)P@&aKRR-VyHzuK+OiOA8xbZq6~utr?f;^S?>YC zl~Xd5>t}qq2R-6c2WkUCEhQlv+ree~LpZ_drVJ7%MO+E;v0rKR8KAk-gGsUA(e=i( zhe&7=NM=|H=Z46!WtV2tBC( zZAQ5IC(5f0PJD-Y%_9mzL#N^k5HIvY4HYr$KA-xv#cg&IUU^AD%Yq_|hU>53ZhvLq zAH$E&klU4Q0=NVa7CcLEr;w*)C4$6KoT9&8I8Ku5ag?m{ecKZn)OZRE6zC`kr`3bq zP0u95n8{WBb6JBUW(Ah*9Sj67SeBlWwDn1`2UBowStVEG1rfv^b?Su1(Xfih`X5bKvF$e3 zET87i#V{0DY%$No%w*~5ulC9J53*KrlGxIQ?y72{;~Z24(txVjoDg=uPA4ybje@Oy z>`Kn(du$X;tTbK840TYL=GR`e7MEctC5%}a`7Nx9n-zbJgeXJaEVOT+DzEsawgEN} zW2Gogio4vlnj1Hxm0^5up``-4ajhX(UOd=d*V=<{_HSBZ^;Ta@j4*3>KlyVWg&$l;d)iTuDa8>o99a{8Ysui;Ro#Yr zeciBA*FT>iOb;%=n@awAg60U_O>LuNn-LWZ3$wMcL25Tl;%N7kKP|?HLPHk`e!X9@ z*Q>u$6q9|n2Fe5xQ>)y?swIkP?hjF%DGJNfJghZeuzkUzIR|dtN@&F}&Q^rhhQ+W8)2Ksd$^<%{^I%!(D zF8?k@vke2cXV8OqL~wo(`!J!#1eTrLzsCNR|LCS((>a4JV}?GLPo^IC#VP5g8atGz z;e)9v2`b04M>fy73F#qCyt3rQQRksHwqt-=^rUHI8;}#^z7FLyl8?)@Vsiw8D?KGg zEcdkeL*j>Wg_oj(p9huddGs49RFC6MG00IXf=JT6H!88ly9v$fxu>gK;*2^CveS6lC3h zQtyJm4f=Ya8T3b{%J&@#6!Rr9^tz&1#SN+_ztu zH?tIk;Mzd{msxZCe%gC-v;B;|zpu6<0TR4Wq^rtYgj~&sbN{Cy1jo14@Q}@N7hAAyd<_)ougiJxzC->MG27jzxEjyX zvv1y9v4Q8d@M;nv{41UgmEoRB_6BPDR4Hhv#L(ZE-|ScB=TKM?@NVwz#^A^X-@Go_ z0zXN%lvsoM)@&kbpA6k!eBlFnwC%hKy^MM{bMkrKRF^U?Pkf^Xq&4`U*tgwID-SRHUwEDlY ze5%cyFJ%cQBbSS5V0hb+?QV3=H?w`QBVsC+d1=Om;dUHFDD7zqGg_i+SXF>Yt!Z%h#eOjtTQ|7Dhbuzh)aRYBlvC`=zn_ z>6$q6LBr}iiH$9wHXj4QCQnsxSs5oExF?1pM@QPZ&%!%thJK!{@n#M)d@A_C{tiNt z+c-U@NK-!o)IIOccUxO?LZG&__|J`X5L1R_GO%Bm`K)7eJR=QR{*Q`yB53XHC&7nX(A_b7<-3Gx+3GC z>ugWqq)FeWSI5COB@f#zyJ$&n06yxtLSSB5C6SLm9gNMVq?g#&B7A=t0pi!}DN<6j zIuF@;-3|~4eAd}sXOlVfC|S8Rd#qksr&U-GloVN3_JUw7R^$zl_w(i+IctZ}9)4iF zFL^b$4LoJw_a}aR1Q(m4m#mgLR}?=%{=6pw9b~jkMv)K-h%$*n3vAb{YG+k6ZknJJ z)F%T9k4t3S(P!I`hsn?pqKnvM8s}JOtm9t~@zdH1<)IvlX|bn7m6gJ8SOQpMP-U7u zx+vf3)n5QVVL~^`C=>tOAi;OuP21yss`4mtGkzDnpI>-wL!#~iT8HiI5wD;HLi~6Q!p>N&luQj3oNVc@5&9I}PNBjm<@(<@f#D5USPkr4;~v zV4OF{cFAP|2T%F9D!B*ZV2cI+4FeJ8uVY&Nh~i_s`*Pb7`j$|L95tC>_dRPvPz~FU z5Vt=el$^aFf4#^F-B)sP_Jzlatb1uTgyyfHDB!#^lTiEtG=Db2AhsgFi^0!9IBwA4 ztKMbdc>aPlikwG!=ypi8!)n|ie;M13qiYpE5dEi0L+_-m`L%cbF~9oiq!BhU@ zz?#NJ-NQ2*UnT?-;D)K9vJxmu}6n;TVB7Hf%z>JWw0a@MrF} z6K1G0-gF*c@BK&o?aJR_NVtdE?h<0$h?Pkf~gKP)nWmlm2b>8?MR=c zT-2NeDa%JjeKE(o(4$Yaq8y3-_>{inzsKG0)`9bJ=dQpogj@Ax;hSJT>e%^sO6y8~ zJd)yKgV(k9=pWgK|9np|&sc^Rg>p2@BMc3FFAM5dv8D3;JamAzMzDvJcSlWiA4DVO3`%FJ&w4Q;zH}B;H%0_TAoUi8}11afgD%n%Kgjcn@9Tqjxv16f`wm zr82oqazM?`;mT$Hwt8R{vAm0}{JWiY{^(;pV!xT}ZHtc*l2z_|f$0RIk-o7@xZf#e zr7~Ki=0(p|o+%p80-Dufu$+w|=racU@~NuGX2iK4lT0JQ;1(x*J@LltBAOtBZ~^;n zCz8nFif2RamumnNa#y_^h*UWb6Mtg=VcY`=T~-4_ZdgveJpS0JWLkgO^66rAPLT<| zn+3w}BqLDHHqdWt`kM;e7K2NukU2S1k+=}YF!H^7rnr$Ku?%lTkCc)FwVO&GFk!xu)i{)pQi-S?J1jmlYVnp#LtSAFd zvR-~Vg>V7%N&&a~&KqyXpReILoAg_M)(9nIHmA^4* z{OS^V$1qjxC`(^ri>Y8n_e&Vo?IHEv--h&yTd7y_x$%TB?(4SU!-UU%UgSRxS4Fih zT=W2MN^t(oShKb2jMcJ>7uWkjB-e9fLfo@YyLr%sKY@L+uxsyXBKqd%{iNB_TatQS zvP><`7x{ksi>|T3yJf|O^ZIDwZdrF((Oq$BgWslgfb+Pskp@{*^HE=ab!ReMh;^qc z9&Gt9&HJUQ&|qB0VdL8mV!pM{JaO~K=GwIH@$l=C>d`4yMq}MAQiOHhRUpPxlVbTp z?Xmu;^PAm0a6&%YT<=WQuM_fliA8EdiOhPEbuZQyQ4(H{d355x<=KmUcpQhWpjD%s zy~U*o`qNRU@a0xW5X=8%Hz{^Cq_yjEK1e>`#@`5Sx{|oUTQ6w)k$ytPj7NoaB)W$!eEBC9li&Jgr&?;&DJ5#&sV&) zK9#Wx(khe3Pi4a^BEzuB!)@GVEmk9$88U-S0|(j~eDnY=Cf~LCmkI%-wwrC8w4uqs z^^4RE>H)NIpTpK7gan0@ULmP2r0%IH(r49)9e85nc^GE21Cnj0n;}uqMu26TUPE~J z;DoGKhb3rDSvo}6+F3qxL(fU4{aj`G8r`;s5z*yL5JWPS#89w9&m04GP5<`-Hv6x0 zRyHqQjhCefU6MgnuT>V+#vFMdX`MD@}>=rUua5K>bnz9Orn+G zUm5?KXZKf{0B$0#cj)j;rW%(ho2HxbaREb+m&60o)o>rVan#g5cpiWx#3_WTHOXd% z6_Ma?ZYciLHTU6rNe>6o0CBb^uRB>3%z$4#oFLDEME zxcs5VW6b0{90kjJ93n}blTu5+FykBx8oOlz28Z6W~`fHUt=$z0dI+j zyn%?B5=>%A980w^qeHRZ?R!Ikhz8v#)|=aB?H7CT&~{I4b+UXL-JQatc7r5-1OzM= zPNJXbY5dFV;aLnm-_|M4r`qm3?%bEoQK3u_Q&kva^(8xpa>F53zcB+yFg-DD8<9B@ zf1#@!s1@it7KlAn)s?1AA@3~FO4B_jOW+f)MlD{Md zRKpJlVH<7~XK-Cc83wJ@zr@HxBZk7qOXQ<*bz=s20}A&^2mU#YcF0ajb_^Rz#?j%L z$*5?K&hcMsQ0B+BW<){R{XI1YCX?i*N^Rg&_=`Wt(%maK z;XbVUe*?(CsuLz4lXa=&5Uh5z?6LguQ~Fi&u`q!WG&oKFFAGGt*acXd-l1NiVd5d` zu?VRw@;;QJ-wv5u=`; zJDls0njq46p7h@YhQm+s=gXG2JMG>#>o``BXhc_emB>Q?bz)UoT5hVbzm7Tug`9sg zR~N~Y1hHy2Lka~Bbc_eCkK2JZ3Spj_TSH{*{?|f=ChTpI!SvFl;d}|1EXZ8BGJck` zr)l!Tk*#lO&D>K)?l}uEByd0z$LdaTAX89t&w?YMkVv*~8EHMQ$iq7D46x!k}0<4*=$(?^Gcd&w114H z!ajBOy67{6H)8Op&=5J-lJQ9KF)IFLy?;T=txe(Z^_R^wmJ$lA*Vw4tUxRE3fRuV` z*u>~)k?Z2bn(!p1C7>D_eN_4SyW>|Pw4D?pe(-q9i3aoG z&{N47sc>Ai^H800SLm5A(9$CTqplw)H_q;9sb-?Dc${kYw#KIS*UtiJCvrAM=r~@R z^l#~97=W7Nvb@gurdK)g{-f<=b4e$gs0^ET#9@&sC1z*rXHTe(oQbfWTIX&}MtyDySfk+1p)GM)QfZG!$I5rkD9OyI3+LFgNlo2b z<6vLcbM;&L(UA&pz%`YUxG)u9r7WsNfa}Kn)$J%6JgGi_a4T>m1rUk@Liu&i$ynSl z$WKNy`*}-Ka0;|6wzV@TndpE6NNdI}pyM_83J9)QJSL;V7qX=9_6(Gi+gz^<^XK{AJ6iziqwVA6M>KT$F>gC8T9Nl$B*aq)bgk5ozx7P)xaWa3kVX^lV9KAT@2 zotQr}I>jc^q*07GB)ks71&uNKtq&+^Anh@I&Rn31^T#j}Y8dxe68)y@mm8U+pW!_O zR;bqY`V-?IE=c=&bMUNLvKh6HufKZ90n$&JAs5XVwj8a1b^wYqu74dycpsl>wfk1e zudYlGa1l^@Q;+aE@JNpRxR5&~%h=2sAjSbQNn|xJ&(Z1Q^9h0*o0L$*!F?qyML%B& z4PJh&BhhGjXiE(DyEIR*jw4Z7UeOUyr{$NGq%RP5L@a`n$yvwHVF3p@)6$_9AYLK z7e4>8cN}6GkbbwPcHr)N%PuDLF2rf}$j5Idi~VPZN7~sW=Gz7;T{6SRC_iZG8}4&k ziFc`vJj~DSLR)x5mDm=;0zewvgnM@p`Z~FT_B7lldN264&ILpj57}k|)j$vMYL5EV zJ7UW1yzh^c_PM~Vj;ZRmTL-5ej@n%i!Zuu`*{Cnp1tS;h ztR3mAenG2;nHEO)$S~p2Hz%IPIma3DJEjz|uauhZnx4VTT@XyaRsw}t=$q;c9d-iw zL}6oec?8WtpcW!on%LASa1*Nj~+8u-U9w)c7e zLQ_uc^E@!!Q6Etsr8KU_$X2T0b?vmYVjZUxn(t2qgV<(W)H8RcLZNI2`OkL!>38^c z|5eA58c*T;f`_jk56skxcIX@n>^1FNF{L^fMyrEkU)Oy{Y@zXBF*ws-W(q?zV{SJp z+Zjj*bVXCm>X&(yGfX4HhhdLYA%A33ubC4-XPvVIhSwndxyfqnZZI&Dg}1!%HXi#! z0p)wxHlmGye?BPMxgo~hK45Dx=aToMy6FnT`hmP%^{2U*F%!XwQL+tQuE$q_WdmSv;N?Pklh#72))#;bXgXL z?m_%$qq#MHR`OW=k;)#6RWV0&dJ;b!!BDo|^F5W&Q#!XFZ63D~>QwISnoA5XD(If>cI)a8=WjW9 zs*J_XA0#s2$3~S6o%%s`+#*ns-3? zk^V-7dSEPVO1O#d7g|wargl2h?;+_@pwO?kLU+0G03_vx@u_BAEVFQ!lv7GS|MDzZ zzm~QXqontV3l87?RRa`|vW$rqKQ;7w6zcNT1eR?x(5@)rvq&6~?R6{%3qn=fgc$)g zSdxj_H`6L-d0#N{vf$j*a!e<`>uQ7;`$J8J6J8@TX>?>JLZ4zRmyi+9^+@@M#|*!O z2RLh@cnHqCX^D!bA9Irre-b3VOCRVnR^G!#nUc=*i1K28^j(jDIw_$OaP;TD!1u#e zq+~ZtC?Ph7GJK`Hj$+_vkYd#GYGCEm{eJuduACxcp3y|q*RjfXS*gGSn#l)BqhFo{ zDsaCiS}Dzmwdyqtjq5cU<^R_rvtLMkH}QgW`|E{JU()iwN`aQgLO;WIPSTI%LA{gb z^Pkq=(&yjURIAFO+TbPqoF10cIQ_2~a*^aZDc!~bm)sa)8`U=OEumfSJG+BrDkj1? z6&3>#6ogW29e$72mrTPnenw3vT3S^*AMIQ?=yMwbxRqgn+w%iooh$A}oO1t$CAa{y zc$tx+54Vb;2ZVEw($2M8^Vlc)*f{RnF@}x@qsu?$w*u~H_NH^TC98R1LfG6^^jp+#oy@oVk0k>u#ub=(OSEsjSAz1x`$N6C>(MkkQ_SEUtN6Q zWKnko4G;sR%on~s-^Bao=xc;1*YE#CQxMzPgHAPUwUC13Uyd;F~#baRM;F^+00Z|7lxT zMxX|{{^itRzV{N1@?*?zH5gua$FG*vC&rwa<%4671n$Af65n6R|a7=A*0g<6LVsWK?eg`oDQAi%+@)g5_XNwSH*H6+^$9niIR8?l}*Xm+>>;-J)NfX@`KW_?}I@=#ywCwg(Bb$E>*r=Du<_B=PdJpBEa z`T@Do3GGP!9UtFM`f2omJh)ai;WhK!>EJY*xBelKmv76^zl;A@+xe{hGkzls+RHgt zOY?_klU&FWD|HZ!|25245^O6hWboXVQ+z9+%3+_L*7l6@`qzKz?`d}(wkl<9;iq+b zDaZYFw438Fjw;))R_Hk+L&PP+3gaJrBT5CwvmdeN{DJMQ$pM=a4>SJdDi^$&e4; zFN~8>(_dQ6W1P0caCV9#9`QGPSJ1LQ>^`Zb--WIu{K7eCem!?4e08+=3aZgQDH=4= zTo&WeO&JS;^~96-WfV8-=wcJBNxO4l&Jj3S`hnfva@}Fuv**TLngzeme;Hi{A`i=L zi{B8Y9<_uy#FOe5x!=VPB;vCuz-s#$C*{&Xemn$$Z{J?sT~O(>#AJ&~6VQ#A`=<0A zv`=e#O@RVlR(O@u@8&5>DMN0?1RqOGf)m27*23r!cy($Yc#@rAt`!XZ=vz`nsZYFptD5L1+FHb%zD6AY@PfC2mszj;y z@+M)@c}A{k%NM%9eJ`K|Fg`z|y-V;av0Kc|9?xhY(c_^iz`4KtaH$e~xK=h1>jIfNYZ;$N3Wax#RL_;2<`n_LJjN)$4yzR6AY(lb#}QZt7B(-oPBXj z?Q5~Kr?1**g4B;ge=HNH%4DXRRw2@p@aO)c;*u?`aa1Lg@#!b4(Jf-Eqx3^`|1%!k zmsg5e@2n(|nKYOio2|S~b@;2ks13)KnAHzyJxU)dz2EEjlZki>$N@0~U6Mit@ zQ@vPG=WB98wC4ioSg*ATkzXMTFkGq2)9^Cg;Ixw>hV1U@jbG6733oW$NcWmgurh*1 z<3Dohjt@FOokjj+hhET_yYh{91pf>E4PUrKqc~W(d{Px8$^!6IiW<;dJ*Di(OXKNE zw48gsMH55co8_q-rBtP~W;$BdrbS}*vXKGiYo@bgcB&E3^f*!ry|!5{ZikG3%Rdv* z73$KFrLHlFvbv@t?lAH_q-crRwKyxxlTs&8$c3#&&-)-I4rU{zxD_wpUbM}=UiztH z(e@SFB9uVt&N8atdZ|I4iSvvf1B+sM8o6u2f2Y|vInMKr?;H?K>T83$tl(1oB%Xhy z*D?>z;}8s}e&r9%)bZXle;Xo`llU$FS*|!5+Va~Bda2e5NjMB9hYGpz2a#@4)R|dh|<5hNI zlh8y=Z`1Ei{`LH`{>F$yf%J{6-tuf!1q3_`u(VipT2z@5jKtaT5SJ##E2zY2R(3|r zzofLOU)THfTJuhC?pHwIe$&(O8Ta{Vk+MHFRC9Y1>2oD6tgQ73ssXrTb=SXHPwcPy z6DoYh(rTXu;t>xie+?ez8I=DY=TndYLsAUP;H8%E01~jN++Nf{X`%*ScUp-72urd} z!tf&RiP*OfVq16XF5>we?$^IEde`>v;cu!5CmXs;+v8o-9|EjE1Jz&A%=A_T902yn zQTJ&o^}w>3{epkRFKO&rwP#>{o@NP&DLlG0^!&5s&_X7zq+ikt3FUeuencHf?3dZN z)pk@n1+QzZ0}8XO-{GV{j}z#0%S!rRi#7M-HnJt*WVLy2A-ccj@K(65qH35qP5Mg5 zQ$Qsy%kkp&{#j#fzZcrTtc>TDx6R8~6_>#FkC|fVX}2FMR2ya9ltW z>Z=0SiK_5hrvQkN{2O=gCE{_gXFFF`TTR&^i`~vb+OJ)0ElkBN5IAuKM}}7N_udlm z;Bz3{a2G6Tz5Jt`|E3sW&tFn@*U!%y(zn=E-KK=l&0bTo16AKir(C-ZZH{JjiNqcs z@6RHuRrUeW_QcB4Q<~s=sBo|)8+U#e32(wwx!HI)lYtG@pp|ARr{xe&WAe}7U~JJ$2TNASVoNk2cx1yEF_^* zV0Zl39YgFvU+iq4aAgK`wM2G*tP$~w@!nCb;*=3jq8f$vXovW&)O=e<^_+u!JJ|dM zdzrstV1#X@u^r&RlIJUu6L}_{MOZdl;(3h|SfHvw)I_$_>C?S_eEHgCn#9Y^o2^9n z^!~hgcRLmDXhXys|2{vsrvjV}D*1*$B zgGEhFJt%3B_A5?%`vJ(fERE=-YHIMkcqNzn7*B`6C?BRvxWEv}`0~ZYBoz+7{FVJR zr^KE8AA?=n+k3#$a2BW2>CPgwJ9N`SFmM@~3NV@<(&ML>4XC%T*Z0`&xk<(c?tS?9 zY(5dnW|2{B;$N+}X@`cPU|l$-MaEY(T5v5cwR*RTZCig~*<41!Uc?r+fulnd{!0JG zI?jZkTVjRBVht(2nz^uL@?mnOT=k(N-C(@6h-f}Ww}jbhGqA|L3+i9(9Vv_i2h+(yE<6Tw93fl6tX7fFMG0+^l>`a zpCDmC0-OKzysyvYcmwWp6yNudjv!kCr0cL6d-iubBe&YYAX?6H{Op{Sn)``M3kcNr zv`a=c_8`Ca4L`)BUFaPRJjGSP55>WtKEaY+1_dhqS>{DfHt3Jf{tcyA8=%h`bdb3y z+9A$ghR}SBuIY_km%V-%;PUlW<0*{MhZl&}>0V0GnE?uQ0%-wQ{{m!3Y()02g*HwB zu-6MV?a8Px9x&mWw1un9P zZvB)vYK{edtfp1rFAG!hkTd-&UV$=LH|cD$w3{ZFLU!5?wlQLtX_TsyyVhy5{{aS1Rs`*+;$+U;r-qBkBO^DqJ z@ag;hO?lrbHUI-;?Tp-^k*_;ICWJO!m8cFNCAD~dgG>ZD>9Y(6%EeRsPEPwFJk2Er zLsplxpV_fFc}|d1&U%Hw2Lgdh-BcwuNSWJbm$8)8F1)8*e+zzl93A`)w#jW}-JPKI zbnB`l6u4j24qPhaNYx>C|AnQS|MDju<@-Ub%3bCm=#OGN10;$8zz+UrN9kRK2uUuO z{IpU=h4JrD>#v)Ptn`J={%bV8_a<^S3}sDN?;no&d=^yT$}fI07=(<2JOf}-xrT#m zb&X;HKrdItHmW^Fo2@t+Fafo!&PDu$A3!+oe*F2a&v%?PpLT+!C@kZ$`eVh$3fdqmO+k?Jd=!GQ9x~l~m zjU0%IgMYseSXrVj>ErI=ZIB+)%<1-b2}DkwKs&1Lc2BZ|XG)9Hm&&+26sIC^GjE6s7VzD9avhz_`i#uiGlf|u0qRjo zOVN3cOyxiiMZA1KEPS9>&w;hPO|OW0vWv6RITbW#xp50;lwpC<4yQpAc=2jTB11gKW z9@Gf$?a#rp@+D_7|JRa_H6Y(j2Fhn-X%`=nwN<_01fOSs=mt7Evnq9QDp0v-Qe{Z2 zp3VRJ-%xeTP$+#whV&+&?@x=kk4H(AV@Xs)Ve$p9x#4kI)r%tgW76LbW=DWG!t%h) zYK@Dm43?ifwfF1gwVtmpe0HqBXorng=GYwsj0}l44pK+6z7P!J9;Dk3{3#cm!(0~2 zsVlE?@GMq4%f8PgFhXBH)zWb4?Dl)p5+8#DNMrX1tGAlu`q`R zL;ewnJ(oWd&i{7i4^;$C#%@O|oD;=)sr7|78zFuN?Uo;Nlk4^Q=}cUGAtDA z6BVOs{F_gnaR?8y0cy_)CKpS(d&M8~5kzbayvz{@6sa8d{vA|<#PBe_Xd{rwb98X@ zi|P*wGJys4Z}ciesuAc7JI(J6J^a`_f(GR?B9)h%I}?Y=W7B(`hVXe=uRy0~%_Z!-X@_Tv{c#xny|~H%bq#5xQpcnixBzeU7hM7;o(w$ILgKjZA&g9yWsN2)haW3!lqrfWf;A>r`7(T$hKdQ_3*p2NpvQl z@~Nti^S31h#G~Scsy-ayLOEx<=YC&A7@9~-kSJnHuuS%xXDie??#3xT>Zwd$N=g`Z zi#|2O0oWgZklL-BwjK@C=hMFoi-lK#EEB!7>D**z4v#ZNjUxpM!ArpnwV=NV%j&{W zc_nX*sMjYGhHnAAkxv&a#Q;nWauG~4dk>5-yLl_jxhd`euTy<=IHZboF#!8;qYtTF zVoDPhF63%X024Zw?n>r8)Khvt#7AF5=Yv6ibEkH18?0n%mdb=x()rs;WGz=-nkxx9 z%sed1ykA+$+%jcUdY?zFvbBC|mnL3_S>*Y&`W*Bauwq z%K^zbR9v~?T1a~Ga4Nc`F0SA6oc^`9D0`!8yk2Z}T!$CFS~iye!S)w0f(Pm);Wx>y z!|-2SP46U3A)Oox$!3ShI}r@z%d)2i3=`SkNDgA8I!a!T4YCs|8vQD`vmrLlHE5xL zrN?~;Ha*IW!Wd=vh zBl!Hx#uEJ@bsmq*#NeFp3@_2#tXxMcfNCs|oXUt}4~t-lQM~2JQFwlvTI1CQs-epn zFIk19!Bd4+EJ?F>y5?g4DW=gRCdHSJw-jb!?Rib8?omydKIAWoaC~81`LYRP-h=Xo zS&%$jV@P3toe!QL1USp&gg&8hA=g&)mYL3>p+`csumcXj-Vot~HH&gArTBDy)@tQ$ z^Y3E;-_0^DN=#_#bZJUU!(}8~&t!%DOCI*U!H3{;#@rS^qc&u?jS>E4`=aY_N?7~i zc{jaeHcXO%5zUs`aw6`TW0pSZg(BLA4QwgW!<6H9UE;ErRR zN_UWZwo@@J&o@}0vG_|;u^-i*Q!q1ptG-?9JHqvF45=W%u~(9IP-A&Y=|#3-45)KRGhi4)NlHX2qVZAAZtgmkV%^q|g|g?Djf8@L0fS%>NShyp(E z5gj}DH5Siju08EjjLoSYw-|&4RA1>=pkKyWW6o(5iNXSu1~|IvAsJSJLCSxkKx9vH zb#OrZ-!iB;Oa~X`(+E#sWIISN)s~Q+@OsXOjc;idxswuWD;&cM@x1b0%c?`<6{*dv1tlk*I*wb;Px7sZl(iQf=G-O56; z-7jxZjXseN38;sV2y&H6>-8y{zhGg&<;V9pngWSHQfA1p=U4~L9-gY{iP18op3R0q z;QzG`zVOg9=_yCs&bs{MuSFI6S8uHM1a=>m+lrC0No8>U$pX^eQ7}V{v<8S6SO#|f zo=kVNS@H{*Ge@1RMVho5fA9I*;wgN5rc>l7 zc{v_#h&=0-%@toT*_5tqyC#ADOwuWEXT<#}KclAvjgadlH+Ey=uixlBUD#y7?#;Hl zrI*Wi*h%It$+WBoK-zOvVBX^@NtjhY_Bnz|k8tr@J7V*dXJh~`7LxF-brQjFqB-Lu zYF(E2H&FX|2J5ebJr;?@$eMsaCZzZ;)s6kQd{aON*0@$m)a*uNG`QZ7l!G_bxaFIo z=MP1!NLc#VmHjqH!myu_72*j#UJEw+Ye*@8FhtlmNbk_Fq4n#x-BHr#K0`1iV)WHg zyf|ER-q$>aN)jax+PCpP+XE&8d4EF6sR2i9x1FizbJC{g{QD&P`FRsY2JcBE z%6c=*R`x>k7HDl;-cM(O&%6jeFSF`K6Kh^*tju1Rt1TWiI9mTWJFeNz4tgs_e$ig(~l zHpoUL8A>El4hX0Oq&W!((mFH*GxH;COq|=+MgN>`V2#5t|?NHVHN$#FDLTAwl^M ziT@>%|Jpt=-R4^4{&_$Z83=!M&bABDkr(m%7Ye36<~%++}ZKs5tzXDNrO^CibTG z+KmI75cjMa_=;q13kzi73V9)wbF(ZM^316Oe5~zLFDflUT`EPysnp|x*2!$=hig_7 z6$jkYJn+PUzU+sZxOvT^=|KF=;+}nKD%Z_azTcjh6q3OP#jXRIh;L+{=kE{5g`_7W z_kE0-$8qI&WU)cKDpQ-ZDtq`r*u4)RG>OX)qGPt1+FsnTW;LF9T-z*`B#lG9BE9 z@Rz-LpP{wWTkgTp~q+h@A?neWLdpUTf> zPk2Nm>$j}4Nh&PA*Na8+NzXjnmtdS>VsB_w+`_aY2j`gm9M1BNtj;M@VlSpsBp`ZS$}m2w{BL`}n)=}q_WbI~sSXNG2h zEnu~&u-cy=Vw2e1Y?b#0Qow%PVujO1dAc3;H*ud^Yc#r0iifuz0fv8{B)=h%j7L;) zI-K{?SD{~B-j0Q}!dzCPpF+G!XhdPmxJ-fvI<76|y}06KWOVqvkz+YtWez|*Yd~z5 z^0$YINL8U<3X1takAXN*U8m(*(~n5s)Jk{ey8S#yj1pcDl_x-j=+c%XytjA>bKnbm zK@rejIu^V|4}0H=SYrdq6ko%yjKo+SmDJ@xz3)aIBCPLt2fl{}n_~37+0F_R{v+k(*X*;`CS)xaNwjRO0#_jB_>!;oWxTaE`ZYlii;5Uqvf)tW zLR;b-zJGqF)=*jw@mSNaZy#Hx1nB)cAI~fjH zY!5?n^E5qY)2YhwH?p}HyErtnd%19OOCRXnzW6OR(_A4N((E#I@Gx>8UVW<+KqXai`m0Tue+IkKg{v<{np;AHHf z=WpK+d{oiO98WY9%2I9VOX8wSxxdF7=IL4g&p|1;_1N zmv$SbUvbSX6jm{R({!_L_;Cvn+qR>dOTLw_WiGwsx|NLYGTOBQdZ=Q4!Pjb~u`uE<@7HM5v!eqE zjz-wqh^D}a%fr?~{PtJ~!!{YLYfO$COmr?po5c|EQG!lR6GvwL48V99ho-*9@5Rs1 zi79G^vOp07Na8+<64G80FU*gaIy~{^29kZe74cd}Ze0V-$E>oqj@B=yFcj8InEtOI z3V8Ly?I!Xx#I0_VZ*2daG3ZPbSgpemGKDLR8$6N9T#5FJ<5Cd6h^Xdw`uzaZj`rZEk&1LD=zjV@`7@kS=Ta}aE0J>(};kc+d z&3%HT8N1m2QXl+av-`erI_Xww`|mV2_5+oLbu&on`yPo8afAhXwtXxW?2r;vtfhnb zt3+A-Om{!?CXe+L_?=~+_nAq&D|79mD)S1>j4cNwKYyK)uXd{4pYC_gS{Jnq9h|NW z=rdd1rX@<4T;MRioVUyINVYQ+;Ga!fh+n4vsw~~7(iX2_fD^_-zkihw*L~8_&Qop+ z*oaWML25kWf*yA*)Gm2X_>eE&9nw2^ErpjYf0@3Wt1Io5?B+-HI|C3KN!Ud4$I}mvM3)W zVVD`3m@Bb0*)6EqY*0&hDuFDudp>LE=-9km(&t25>+!nab^zWlA)Dc0z}}l6`sWgn zgx?_^lu_8Yas~3rFLOwi+ZRixSKUaT&*el<`>=I8l^duB5y zklS7j=v*UgI&GV4NK!TsSeCslJFb!;Dma~}-1hwIq*6y|pY!wO*j!}Dtv=#w0+!(j z)!+}ORtRf0RWR~F9(k>qlCdkaRU+V*;BJ!m^H0*rXq?(l>b;o1CSujjs6qSIP*Kv5 z1U$CIFgjAAZ{Ng!``q7vQ;t_A_xi7<_=h?7tkz=|+-TlJGDhUM)M10Tbl7h*Pv-XM z%JZ$z%_7XFbHdJaOVJ$8cVpfa^*8kLoR787^!RwQIcf#N25tVcLgg&er|;4#AHT$vFvw?w_bX=vhqhL6{bwo7ZZ13l%D~j!W5P=% z^GG#{{`WgcQ5YVFMev0EjwR}UG4hS@CO2;@@GG7RE-^W03&JDp{A;N}R3tSYtpxX` z`BccH-%vtBzB|YZ#f5*f^Tqko2BNvsl*lXgBU5XLN*F`EV=QJH6QmZ>a42uk-+Qz( zynT;^1q1yAlaQ@ZzqW5i3x@fG!UB|23SWXa58bull4 zLC$C*z#>4FvPPH$dM6?!Q`=^#!Fz~HR~M8CrQG4lEL?Oj0+~pNt3Z52Np(UeJ6zq~ z!j*|W{i43+*C?}UoT1S)M|Q7(CKPr$qmdH%Mf-;5sG!hCos#h$Bn$pVR{$V%x_20b zP)>kH5D*cjWUblxg3Pk=BM0h~JzEbuScQjSeG*@9B=h}s0n!=Y1IADL_)%r(yGomb z92_M*lUx%KQp6~3AmX-58d6I{s`YodOs|Gzs^0Wtg;ox|&Dp-s#rJ-08HbHS;zt6g zNNN{XfQ$Da#%r4=Dg`pg+T+3$H^>7WIj04ZNIKRl%bV>q$czWX^SctG(>~Ag`>9dU z1neegib|L+2sl@T%)rDriU@{X#` zvXkOxbXK?F{snvITZH?GLWFOCEe=iLJ4;np&OmkW(}0vU11k zE;CEoazJ>{uN#(CIEm4A^6Xx(R5@aHYCzGk%cB6I+n0q|`o}D@JH(eLvMKCjjw`Pg z+A%Oi1HN<|Vf!MNh?--yDT)I3eqN^a0uVa|tv$*x<39vrRiL!tpyp@!7p&PyH;cWR zLg-Oq2CzC#4&7G))zK3K{s%m0GlXRv z9S86GfSu*6W;`c$tm!FAPf!CW(y4ztdX50~AVfq{$geA_DkSZ#+A#~br%L(Wr@&nRH3cT%7%ad$Ko3o-57p(V53pNjG z@HK%g!Oq?zx3)9e>ERKmc4_K0uF5m@<)6X=#5 zqnku>@Wiu*#wiI0Uy|((WW;w*_ojT-VmXpCp3)||Ap{xRW|p&YYW+cCZI7cWGu8GZ zSToW#OQ@QHqfhtAWoFUF@Nj@q7Lw#M<)iYXr@f-1DEKIb~i8SB( zTU5e6Tkzy}R>2@j<`L3-nfZY2j1+W!Rcy=wS|EaxJi&eT$Lt$pQ+{szAv92jp&1>S zncd>wW60)JL9+rIGB(GszL}}5(O1he=*>Cg_+cemdE3`}ZNZ=YHs?;%;n$%WQ(99K zz^FSMf%p#IcFPUB`>|COY%Pi`cP{(SW(A$sCQ^X~;E>_mM}?Z*fnG;4uxiU(}Y(YTN6|0Qr@d(nd=8hDn>(8RPqW_Z`opiE!t;%)sZa{8Mx^4`D=-=*+2CkL zRjNdwusxn>Kbe84{{~$0=*DXXHCtx|TU37Eps`E%63gi9!a@l5n20O;L!p1Qa6%2H z2ntkflDS*v5WpuK?XUJR?HUZ=Geb8)N7VrT^{PjhB1f^cdTrZRPQmh z%n5wHDx|~oH=ZBHHSuXMwbkPE~>` zgFyaK{NN*f?O8xz_#pF^d6qC#rs@7$M+R;B4EfvPdrC)4=v2x?7!ZcZ#hi#s}=OICq zz?0*nx2$J)`yxk61bKS;z25bs;uLJd~_A*i|V)h*>mDKX=Cb@P5pnADgHhzT5(^2gCq zE-)vN9ifY3n<>#51eowkc6AtN!CsfTCB65e@7Gwz;w&Mv+RVm!_pA8Vh>%PaC0vy;1Uy z3ZGbM5Y|M|MaTYaT&OP#lH5=8x#}^TYLO4$SSq$aEPRIip5IJ0u<`^Y%0Frh)2GtruLnBnVwD<(4UqLT+|2U{stdQ{KWh8*x6x& zD*0cRP<$PXZ$=`!85+}DuEqXvH<&}mu9cX~`?GLfP*ZfIrg?`%eB788Wxb>p!&eS{ zKO3yXB-SY0XUO(S9sEUDkb*fX16<#u)K?IlpIhAlrxaVWr1vlWSZhE;U+<3Mu}8h1 zF94rHzyl8&6~3t_Q=LU9G^kdQK2r7-X_RvBbR)t^mk0(Q>#;q}pU1KONm1@2<`2Z1 z$4$XtUI&}^KayooafXt?yx+^r_s|LSm$6vTxL2ZQ1jla3?uQ5D6TJaJ*H6>XrihE3 zJ5M%yiQv>1$KWM)beGfxdX1p&%Y~9uL1k&yqiaq;%azM0GB~=fZcO?yJRk+b!8-|L z{Xc4?q^-tyKHt zBW+rRoQd2^u*yd-Q9ci+4hVLFRK-S9RAa@q>7Fvs?!D3s5IV2XlTRsvXgSJYaHrBE z)%n=QXAF4xZauytjq8FwzefP@5i3K_F7o*1TVu4n4fHoBR-?<0Y)7PsTgJN zi=JCZ7Hb+&E=nvoLbym5*mMy`;x8r_cEZTxlq#8QmtJXxA(w9#b?>HpYb&EaEQ@7evw(<&oA@Q zzE&lZrz{|R&El;^&CPRHEQfi7%^WFho6o!gR@3mx&7Sza(qFe!p5@1JA;tSb$$|f~ zW+wJWy7PAe`mp{vzj|6uclNuv=^E$NXD2rvihDPGIN?T>s|7)=KH-&EJL^YBVrOqa z%$EP8IQg3tHW~;accV<-CP9iP1pbz)J*?lioJWV$IseV`CkwCz#Emr1J&R*!A&$QC z`oO;aXVS}9bX61mLSDqO#K(~DpGznL@o#+B8SXT{AqiCHZ7GFFN_^n@dZ5A20@sDI z-~as{fyC$oCdYRuj_##p_gYeKRh7y6MFb(JMqGimpj#9JaraVb{xSwCr`L1twM`{k z*-F0$7AnN68#pSF7M|$N7VlZ4@db;sO%1JrgT-G3G&}W8dqkh>e&>P1Wn|Ji^etmT zo<3iNs7NiWrL0k(Ct|m=T`L~xsIPC*QE5jDyZU7?(xYzrQGx{(@vxQkxf4M6s4LD5 zG=gD8jp=nZa^457Ys&>@eKc4NdE$JWZ6ogvd*00|p2%A3spNvRvyH0z;qma9MHi~& zEyS)wyvGbuqnJM^z4NUS#EtZc0H6I`8KDY^cbX+D?vS%H{Bryl6vFXwbU-5~-~B%;TlP&axrBUMP7J(ZQ7ZrP z;7%mV7KwazQIrW2v&s`gSJ&Fs;P3AXpa360uJYBF8_P#TR<&*Zq}jY4S!r(7&jUYk zm&67Z1OsnEz~$!f3l=W0O?#3Exqe1$=GHKtrf*_*5+e=Mh}1$Cz36JNQ)kH;A*%13 z=^)o+1yuYNG}56?Tv|UIp&W^sPgE7q0$a`2mAE{`>^04$^N25=#KLN9aL3iH3_-k_}W^$bzSxmomy3??W4s?XBogT~h|*$Rj>nworyhbJK>XLVg z)lu!kD`mx9pPDkK`XdhUmzP-kUOQ6cGW4%aJjBu$uV7DueiRq@mIa}Ah_w)FSx!nV z%$Ww8cwUVCImbHK59wP6jhaBfTPZ9I#^wPPK?4aqTJe|qJ8EcFXpWDSY1h`Ch}j{A zr{&#!M>JjN8Me*UGPu-}pv`WecUUUFrA@-Wanb?4Ys@epsluICpiOP2iJ*yXknlW4 z9JTeQDZSschgIT!>Mz@fRplffuayXOSh()8G-hTT0hZav?lNH0*r9QPac$laeggi3 z>4t9VVCYkE#ZGzf%KKg}(f)~Kciq|rf40GF?DYjLuTNZq#6;gTyv-sR94ZgRA^17E zEXP(aR0qfMc#G(V+FlVBwj0B7{v7 z-{Q>aJYJFOFUymR@$5j7b~J^ZzlXa=%zjCxZM8SUq+)=tUv-gli;4M>H9jj@npbrd zgONZ=NPvmQ)3#Ww2L&UN+?V;DIs!<|S80ZZZ7-{Uyu4?GU3V0wWhMuXW=aS$Jy6Jw z0i&8&u!gPgwXK}|wZDmwnTj&$Z&=y&r_x@W+`XIS_se(KT&)gXH1vi&Dc<-)zNv1KFESo)jHnfw`^Vl#xewVm+%-W4mB5i6tc?A zX%qo`6{S!g{UJf^-kmU+fU3xR{Ac%V>3C%mf2Sfr3!6qm?hg+Uf@^bRh8C4_`%EJI z=(HN+FDeUaLc{AtLt{U(BibqTJ{+y7;?7WiSCmAfB}j>x7KIQfE;p`TD;weh^Jv zxaaAk*wlZV(H#hn#jfX zk~od^!`ywK(x<=Qf67jZy#&9`lw`&R6;^hyl8QYZ*8VsD&SvAa9o8Q^5`JWGi-4%l zUbeNpD2@~2fD?QSeht%vu>8m&2F>bf%%q@-$sP$s5A%(H&`4fO`ura z@I((}m93$DXzCRm1msCzl3-a0EAIjqkF!C3+@P?so4CW^J{(Y8pkeUwN6x?JwrI;> z?ob(Kq^7TetD@J0vg{fM^y|pF&9MTsYr1)|A_KU;LfSgp|IGKEQNmb)wx0n>oX5xV z*$YXYF7j#xTnjbt^%gyd;T=*>v%5hXtw~M|89qe##OvNz`)xLPx*Nz=L#4^D(jO56 z9%A?zFCZ7FyyU+?@cnpI@y)Jp){6G~wCN=tPOoRH9o2sW?2gu%(3f)>@!889atg zUSx=W8LAy>RzskQALuixPS*dpVr6}f`Ji2sS~v_A?)^c&)_apjTn^Vx~$-g`D9Ow&JTjP}BX#9Z3jQMh5!N)`T>Cnf2+RX`d*Sfo+C^9n}haR}2_IHtXis&9B$ekPwDVzCX z)JHb6quJ|co!xk;WNlpQ#L%Q$UOs7}#~Vq|ybz^aH~(pZ6RS^n_$4MOTumNK8e~tW zW`B?}2r!n7RG7Ua*r>cb$5L>+wjoof0| zu0_%ehjt%OAc1B>qDW@v6)cQ$^bs9$h%^$5^Y$~gB)d5Sj9i%?3y}^rRSAK4;;pyE z1rzHwuSS->Ui>U?TwKbA*nR*{lBe>SKHTw|5DbD?7A^0F-Sn3+HkV~0ZzBapG$dIFMQ9_^ewf*%bt+N`ew zmD3IgcLuc{G`c|z35;uVr!?`(pVQ0xDvt8bMZykVaw>1SY2xHsx z^W6PLJ-ov7>`3q?DuE_uw0$WKqH;_{w!7l1Rk0^3{brip{$L{}wf?tY>^GSYeMdwR zp9R&U+A>jW=thr9zLmnSm&&VG_|U)pgde2qXE4q7)+iCv!Hs7b=o{1)N4Xtzv3j|7 zB4eU9dUxcvoexYNMP2^`*MR!g3|D})^Cj}Xc_z$89Oo_(VnT% zou4MxCk4XmUSGiPjo=n7!olbvRtN7^W9~Y%u_w2}*YPx3Ymt#1D^g-MLe*!wOSR5u ztJHS`A4$xxV66tw0PJk5OnrWc!YpJBIS*K#yx~(^TC8Ucr;jq*Xa)pZ&PjFYM2b|2^;*deIDjq!V)lVMIp`2*nR z$9puj{6IgbZ*Y>!2rfb{A)naW0l?@n=;F>8L&<{8`jyR`9aYG%>^^e zkk0SEwwLz)l9q`rukhSS6a6)4UU?GxXCXzcE4%Z+e=1_QO;<3Hdl!LKyMnc+Ct5}C z+H+rxD>xs^R7=^Y02BmZ9+nbghzCPr5eG=}lo+3fl@c7av)ktJgBFItS(#2;Sm(f- zu9z#avgESocER0`>!S#FO~-7OAd3YwQ7?CN)0o^K=|LRDvpME*u*ODM-NZqm_)rF) zm!pzw=J~P)wf=;>;l7nd#%i|FvSCNDUsY^sM(YHKZibn;ZNZIf+~gq+%7)>%I@v$+ zp1fHPUoM@a6!ArDEX7YkQji;Ev7dzCkg-y{=m{31UU z2;)^sA0H(bMV3H4^?QcemMKyFM}hR~r5liv(+7`B%bSAiK|g*vYj8ks1byipSEvn) z`p)mQOyjW9co5jmQ9@XTI>=ltMYccktuUM}!T%SfTty61}`yIg&_i~k_JLDxLMB%V~G_JqJ2^M1sJlG{{ z-b+&EDsbKb<9t;_$gGq!?2I=W^>`9XLx3v&(Y?o7v5vYuK=R`I%EbXIL;a#Q32^FI zljK)=Kh`UmsXcJj4**R-3I_X016r$MsRwcsxI(n$RlEo>_si`;N_PgfTO%jc~q4j)cMpI5}Is+^s=21Bve!J}ZZ4i+pZ^4bw zy`gk`Eb5Yfc+}qlDvf$<)wSfm5&`N4h$# z7$RI6`3y4R!@{y*`W%nc`k_7@I$3t*DWPzyFJCOf+z^Fc&Qp>zVN6BEim)ejJl)2Kh~Q#-YGze+>!smKZ8v z&nhZ-D-*^iCb@>-pIwJjhS@E4F6lG(lHhg0)oY>BvF!TRLOObDrC~{BiB9#&0B7d|K2wMEnYFRxC(B zO-tq5=)afW{Edvqwbg=asB6M|7k{zwyNiz5(^g?TCgcp_^!|PVa{Xd@^dwq(GU-Z* zrnctag&u-Oe(HD2(E!Us^zfkxMVI~XNFi}j%lxaY46WtUD~K%k-S2G)N!lnEkw?Lf z{WYtCRMUy@8g(+eu)J2PR3B$+uL}5Xe0fOfTRcIyOh8>=b2ofY4vpm^Mr+DD)dKm)^_BK70V|{T!%>8bm=^crPFsCj?Lh-aDH%Os(KNQIhw#^PeFRtp8HaXu z#c8=^8NnH!6~BuOTm$@*R0k*YTvJ;2u)ME3^ zRwH7-OCW(clGc0PKQ1)!6eILt!5#}Io;)n)m5E4LQ@{|gi+ahTX1scz{ktx48@ybn~RS3(lr9I5^dG{U4d zn{vnY7Ys;oR5Vf^ME;6uirCG|5oYz#>Sb&Ar5&Wz?C0M1`3FfjF<)@%@bH~_&|4r?~`ln_;^H)M9%Z{#L_ z2wI>e=7Mviwx$)l$ZGmUvP|!@F^SYI;|3>}ot0s$0}{2QivSw-KgkM^uI1=o)Gr7z z%LG^^(;>?uSSi(NL%UK7VG}?RxF93%T7MuuL~BblK>Y=Gw?*$hc0A=v9k^ie(4Iggev5%Ncuw{^j~aak27;9=6B2e&5(=O#ddjwFxzPOR=0&D7i@OruUR?jDD`o<{DFrFW3!{ z`-1AK2q+QkhjDV~(WrbrfLqefuv5}wx2kIIhSevEHq)JASwz7Gb`IQ-(-hpQh-Kg8 z%iTU4TJzJ){2(L$Jsoyj>1@XS2D;!SU$$)T5ZVwGGD)5tt3O;oA z0AK{LBO8jnsS&d;K@n};jqZz}#$sLU%`UaYrU%tM8tsJQqWpn-qD#f9^skq3z`sO#r) z4m&Xvfv8+UGA8o@#BrS%(i41-w9wZ%eqg@38sU~la&-c*!JSQ|feM`kc*?3N!9xq| zu5C#`b5xaxMDSjXtMQyh;nOV+z_cDCaUkk`PaPh07MOs>nU+LupJuc^65I!!rmFC} zf#kAMTVbz&e2aH1GIF_BJyH{Q-t2-uyd~a3Fi;OF(=M(SHJp62sLe+|&%e-gc z$Am?KPDt$d#GW6c%#oQf^wFpD`E$%D0j>~ZZd)jJO2{OyP`EBByLjxyfx*Hh9Yw62 zvC`( z1G3I*?+p^ji_Yh-^jWImQhG&Ks~$qaqw@_KdMiU@Puzz&IOUWcvj9MX+(|yBNCBYK|2_ z*%MM>Irq!F1LwvlPJc6zJ&~`oK!4jFGL(-o6Oy1D!^)o%)Gj=0zMwN~3#8Qh5qTB; zhtt~t#KFz$-yy4&g@klxeZ=!3cpwjdFf7#@7^N;jCUfEk#zCNCM=m2y>9tG3YUhXo zLI5ll2S9<&E$3fkGuj)klg%{mj!c)Pbpb}LuJN}JIm=P14Jt0jzln{-NK)a{usxBrQ^fO|zV!#E!LrZy_gtl0M4V)#s(@JO|19LG@lXPm7u|RH5 z;~*zp|3m6ub2Y4FC=~cPf^=1fxT&Hzf%yS5EhqYbQd0&^aRayZOc(N$m3^TV7I-$K zuPHI3uC}FArjT830O5iCVqVMnBm0AhS_gTG?9?WvzfuYEc5N<>!QV_O_OIX6P1_-p zXz=dw;TTyE&!A*RR?x62q;tNZAaw5)hTLc`2iPY=n3JGCj0!*N=3G>*Ah}e&SMaV4 zfu2THLoh$@+4pWwcgd&Vf<^2e`MT+gu7gE!{Ew!qSe8`TqF?joxD0W3XNJ2JP}tYM z%F}T>;!HZq$lS8l)(8-kWQ9J^pUS`~5InjDq&4o8@1w_a>)UZ+2*K*L59R3ctB8%U zU!l`SGd{?4UM4?n-*1g1A+ZjvCtLptH@CkDby@!mQ4{f|kDcd(HYj)66H@K_vmiU- zWbKmbv~R?%b4t@TrSNj8{tiN?zdi;pq{l$31Mpv18#-cuo zCP5VTm<9Oj*B-r6ga5Zd3!lRf|+VSe>S_B}6+&Kv}#q9$IqeZ#5WEn#%M@qN0|&IGM) z>WF6SdET{uUL~+~zoh4{tHg+%jp$SD0!D9_PUF<`GUDU8Nb!5sQ%WV~U+kyd7-}2$ zMx<(kwq=7fsq;Jjk+>=`9=uAq#s}uE415s_%e7^Q1F!id9HaE4geZ!KCAoLW=p}h8 z9YI@CBc0mVM!P<}ogtYEz{~T^|GXVt*?8*+DpnpE%Sl%ux27S`*2ZbygE z@h*bUZagkho-mu2ph7~FMoLMKOFfMzj6sW2I5-dc^I<}v(%-^wblh;3=LUrkvc=PRdFCr*vVTT9r>hrWH?VjZm_e7xbHCAF_CrA5;|O+o)qG`HQr+UZHdn z26(>h12Qrumoe51)aPd`rQKU6qo;Zzk&-wd$8%_Mgd2j;~r z4_!B?rOl5);`E=m`s6b^C(Af)-;)e(ia@M*@L!h;Y%`xm~8U5YK+|==JQD0ufU>N)$ zPi?9Z8$gfHSW!X}%oV(N(rrdmmc0=X_3(j$7roDK9O5Ft@_G_zkfGv&pEe%6Y~r`w z@sTa+U>1ylLpfg&Jtq9TqpCq3ep$6hA;OV+GnKCgk-*;M{bY;3&v_VWZ)?e%^jJQc z+RHk&z?Y-BxQ3;gtyE;Rh;Dz>fkOIXTg~V`rEUi)7Z!R!Y{0gE@*ET24=Hy5D?rr0 zbdzQ!9XX$(Kh4Deo~j9-GpVqgI_t6nngd88l)N*xTUs{VVVvUH5dv_s2tVNzWQ7D%?q^G!2NM z1CUWTKX6!E&Pht@&HuQ_#}3m%QNbV@F}|-9R%Q-3w>uYb-lDby&a-vn%9Y9k=S6f5 zws}v9ZeOY6*UePPy=ZZ>-HP%0(TaOxk8$c%PG_`+f$e?d-fTLk~j8EoJ^z zQUAzpC9SkV^YPc*!g07m$?0za0s?x3oAYN3tP^_^!TK>Mml`;d!Qk%amftx0L1l{B z2Jqs%ML#i&@?=Wo36dh!2KL;6Sfv$AAuoAX82(I#x#f;r`hi_{LZu_IJ7DoYeUmy` zUP@?NkNt&8bkZQKSQ5>q2=Vu>y3vpEEXTbJsp~R4&IUDKoY8SJ>{9GSS z9+HnN3>h0sEua345I|tnw}H$87^5}85r6Te znovo_Sa%H;2u2-ReM{$g#;f0<(K6-)dV`b8l*IngQn?Yi{Oee8NF71XNR;FP>(u7^ z+XdZ^EMhI0oqvPptZk}ed%o|%g95XAv{qlh;;}T!Z>dj!lSxTTV_4h!p`0A{f5z$# z<9dp}lTM!0w;|I!hi#O96lD_Zfe>?9_@I;veTmUXD(#ygNfFkQt?{EE6Zbf9y+~x# zJN3-uCE75@9UyyRofk#)H{tlqb@6t+3Br7>RKorZC%8CF6m1WhrnT>GUtfZylEC7U zvxRJCUpC(+8EBLZB&tNAktKKN)lcfgrnps`l`B0vC}V>h^WB`z3LGJ#@!bA^Cv?s) z&1jE-)%G@<@DKFF#i@los;C@pui@2Hbgxy&U~y0yMrR2ajy{M&KV1KxDJ@3++@zx-o!O?w7YJ z=DW$$->6{pkks|2hkMnaquVeT*<$B=@nyy%JIC@1Q4zuyFPg~5rS>pV&EKF0Q7I%6x2Mk<9fe-Qw1xgBN8OW z4Az@B4U~95EW0_p)RjE(w)U?|8>f4Y5jap9q@?xKDIPp`V<2_R|Rps73QyrMK`%Fc)0xb{IRZSzNXoG2rbD4P5;h1N)^iixo#L zE7U;>%)DImO3C)3g=jIBEU%9$=pdtC1yE6ILT_ zqd9rK!`f}V(f)3zG0;}C6@kwN{PTAAAYAS~c+zcAkNo@DAqf8$AZ*}NoEC4{c+HCJ z7cP=pcHNw(wjDUsTALzS&@`h7{JfP`cK}a{2O4{$a@r@{{j~!DzQC@mJN-2&0wK*& zi(~U!-AwTMp4_C2M1CR$D{WRed(Ha5`0&mT0Q7E;m9`-Ntzaf;msA?*%vsA)z96;p zxf~k$#BsL!^{pqMJdmjb_2#^%o-eO@I%fG>XBe^gQ?l}hNV9}ko0Ea3z`_>adQr2f>1J` z(!_%}-NKpB#??#UES!W4n#xEN8^q8{0E+n**+5wv8$V~a!0W(CIuCfT59GyB*F0?`Ji@wIrdn`CswP0hHvYFid|g zd%}^i49G0IZSj1A-nolEmU(igMiC=8UqB=PG&N1|C~jRA@E+C6PcRO>(5Xf8Ema5< z`J3`>?l1Ygzr+ByC825Kq@P>-gMa#WfT8Ktj%jUYl5tNqXTi#PBDXa@2kWY8{E+WTb`Hw{1YO(B@8>TtNTNZjy7GRq6Pr|UOtL6q(j zOu=w(D54j;aSoZ(YFc^|$@KMltk+j$WgE+S|DwbqzZ}Fe77xAE_m`9qfta;QJ|gA$T~CjDWVhTnzur`fV=%f=u?CEaXuGj!-4C!9@U@Y#+w3`S@S%PF`R%L z8CU2vA(ce`3R>aLg2c>*X5^K!Fh1g{z0&HLiLmjI7`$vTsnBxNX_|>4$i+H!oPTS$ zHeO$EU1F#F)liV|~DJYFGivie?)c!7>lPF;&khiU{ZPrWUm0nh-W;Hze$rV|Uzz5l#R&C}GUuAp(2gl11 z+UsZQcwTT<&GB0MbAN-8lb7B+2^L+xiY3nSh+X|oK2dZzHtBFtk;i`tt7I8Tj{Pef zc*@@umSQpJmF#@mO;aPY0PQ(!%4}G`#7XZiCWb0d6MVH@ExMwg? zJ@Y42l^(gUvMQo}%=-&t??S&K1@Ah6pdh1Fl_@Q-VG-~#W@xHaqvP|l+{d8`7|->( z)CUH3@r7LxaKXec0|f)x<@o1WU^M<5z6ot)x4fWDoT2FRUt5Hp(|SRs>sI%&SK5gJ zmZ|ww`8Ng*6Q?~ZozpOSUS&S^xL`r7P{nW1!2)WgB!__MDV zuHz}Nhy165hQ~`{xtmECa6apA`?6^EoJ2^oiF-Dx&HmzEW>!1!UpF`Gb4s4H8nbY2 zP&yXnd@8iP7*09-K=rXie?IC5*SQ>WP+|0>ic!IIhU&oGVsOlZWg8yR2iNt!6h&x@ zq4BL@;Itv`Y;pT9B136?H%`O$1-U{N*dU)Gs>YjN%~#8Y0KlWQjxP9E51}Aj5Y037 zH@f8!$Pn5>v&pz>Yr*;z766bq)HbVmP+S)wGQ-wnsK z+~4$t<>w{-Oi7ZQQG*CH3d3XJ(+@<%CChR!!bDK@rQ}XCk@)**VpqbpQ9l_E&I-4w zY4Rnx@^=A#E?ktze6_4y;!LSD!_OfEJ_>90&^25jLE(p?qI$lCX~ zsJ{{PV2E296PPs77G?2ovr~d8^&ko3njAo-S*+-D4AMGs?7x-7GhZvh*&|w&j=!nK zGu+1p1xupKYL}5hVMDQbv+2tP?aalB$Gtq{O)NBh`jE;|cgwFQ4KO;%ZaGuZj@*C# zHXau4yZjW(+~0-fI|HOyb_R#4-gx5r4d-``)B(ew^B|ENkVHmC6hquEB+l&&KNh{sNttVS0irsvE)1rlhgPD z61UiRN%(+=2`sJKNL41PC61U7Pvq&HeIEtXQfHXPN*E?>#qtm=erfp+4oW}Ja!CBK z&Y@r*Di?i*ocB}+E%9o!#-z6V|P; zKJH*k&OzcvK%Dk+*rz?~LiEHe)qHyFPOXu+Gmueiq!x+<#NVk|05ju$c#z>F3)YMu z^idnDE1{1@BnUi&hAQ(WxVCY5}-^VCL4t^J$cg}&I|4zpLI7UX9zy$m8<37&`p z7-KnLYdXq;O&oDMP^@{ezNr7AlVRq#e~gzr%+}06@Tt&vdtyOAa+6|@YS{99I46nA zeS4=v*2$*vik3g(HR;^j2lYpq)wHhTz5Yy|3C-6k#iD9t)mPn6$3P2Cq30+axzaz2 zNWIi3Y>kf*Ph(*fqGCZ^2JTIL&9Sk&nI>EUB42YsEXa;9#->+RozQ!SUl&JRQr z%!Bmv5)Wut3BJVPBQLFm`~xd9YIRzCzr-OXr?So-{;><$d;eMkTSMBRJH9158cU+_x^@or<7&Ofoe`vu|< zEtlWeJynV`Hslv4mpIEYw3ea-ECdrd+tw$BAlK}w#UnJbC>Z|Y3Rv?kPg#X`JLU5Y z@oHULXWHs@P51iipn};#W>e&}=1CtKQZYsFmj>1kOXO>S`Zdh#jXeII72`=YXr^8O zzp2jGW1FivIa##XZfos~w(^biOvCCEVykwwM}N%312JQRWRid)B42$@IpS~2{yp6u z%Rww(V z%83@sqMu{UWfR2uXTtr!A{}t>G8Yl6&&1y>eDtor7Dj`~gkqWBblq@Dcq=5cx6TC* z0i}K3+t5Ba%i)(P3)RKPDp9b0RQN{tw>T@*7bC;kI=i22|1tf72Ok`siwwb}ec;r0 z7u5NM7w=1g02rRGc=}DK%}B4vNCXP%ixVzp{X|;N6eVYOggDIef&J>N9RWi)E{v`S-zvR`2bW&i%|r1t?&GWPUV(3Bf8A`pJ?iA0yA*O-ZN^=4354 z1nBTlHqj>RrzFepCSZ;j<2!%La%%WnkXkTmk3y>> zb>W@{J_L>7+YyfyiM)IH7_@>*uEo7(B$WrLP2M=vPHC81O>ueY!V?kD$ zxk=AJLCnQep)F&7^M!MyN7`0bLEbbT;KV)uOxW*hpQX@#Ci~cll+8d?1*xkqzE|=B zpn_w{=S`2ipe_7ev(*jWwTQvW6d&1|x0JV%7+oQotSQ}ccmJZsj1zC8B!7|`bWYn7@_J%h+{}O>7_p}z4-nYT`yt+llq?ulBchQj z9D!-f3i-EMU_`wkj8O7#jRzo>fA>$DZ+@LW_(`CBO&t4F5)$x;B< zUBiEd1$;@lu7xZ#2nZoE&MT=n7iEH+18eVWPj33Oy)6&w*cqh#EC=-L@5S@^_irpc z8UJOX^HBJL>donPF0xUvCj$Q5;8a^lHZHb=@l?5&d;*qSoN;KG#r>w-OiKpNQOm#s z64`%TOH9gJ!orcq(?vuN@~P3|+v1X4%7jz7X1a{d8@jDJ>hhWxd#D;V>p18!(8%D? zMhKpwM*tAM=&9n|K$3)O<8v`e@?PLftPzvL8<{w(k0``l*j8TL8Psj?Zo|lr4 zm=%_E4d79Q>kU~k*8fQbI`b}P0jGYeN2WRN+pQTpr17H9&U$yMW7*KC-s*E!=#|6npmaw=7ICJF-PwA?p@HNd038n*vLg}85uADb` zDCgq|$2K2+%`Xo5YFSS7nKG)VO22I!WaqK>4jMeo^F#D+J3QmqFKr8VXY6Vpe>cy5 z1CH^qV+adTfv`k8*tW+3x$KWL0&g5`mBcT(Kg)glcQEU71Zm>#?`ZIurs-i}`;HD7 z|B4~lrgM%{1yF0Xb<;k{Zw=v$)B21^s1(3F6b%~z`1#6u=c-)%*~*K4wuy$oxfKVa z@S~z^@PJDL4p9iD9T+P&UpHqnSpNDDMjF}dSjB~9p)kf=R)L}Ejzz}bB`}TA^_qL(I2_Z(*Z@{zgv|)emh1=t_Z{s84_7Vrx5F-M8~;6E_r8h zu#8Ba63%`e;z#-z;JG=Ty_o_PPY}DJ84Jcqqd`}MQc%Bat;NY?Qu(o8u$#fYk^{ov zosS#I`vIf^5<6A`d5hkGUdtu~II zOL-I&USD=m>Oqb$F3VIyRA6g(JB{_^{;;@1et}E#2(qZqPJt^Fwy2IlKEY_|hJpC` z5Zx^b{Vf28gteO!=00)|LwaT2SH&@8e@~GTtRIv;-qSjOaWFkoMTGw>lBzL1xJiNz z$%w;r_7EDY)#}FVOU^`gvN$4y0=*@LPxFUa<5gArLHDIcQlSapSu7;^cosGl_DIY6 z7WEEEF`u75-y1U^`)`a^xDe^}SN)Q8k2T4;wQ8TiD0uTUA5}eRh88#&>Uuzfx)J|b ze4um@V=I2<$t`Q0aprU&b$i5AxlxqtMrA-!KZdZ6)?JIev>ZoKb72bBmx$z$CKc9k zBq~@U1wVtGZ{xRp_l+waX2OT>2;x}BQ?bf$YIpWy(NX86{A zD$~vbUA6KmE1Scf>sT1q92sTK>OS`u{YbXK{f#cI{~ERNhiVHUx(sT8j+6Fb-#hLK z9iiw<@yp-Qv9_=IE=l8ov5tn+D7;L6!V2NnP zza4V-wo3bxVmaPTiO5#cN2WB(_}zpL=}lLVHav$6x4#9X7K2*)E9i6=hXfC26G6!8 zgnnWPIil!&@8WO{D$2d|5~cV|QGyUx3V$?px@fvZeTjFs4wBOxR0x9Bu)-8tds+wr zXzT)x?hSZTydJxve+&om^4!ImqU1~UMxRzB4{Ck{<;2i(j4v(?=zfqe_AkWJKN9}f zGyhVdp6G+tp$SRlpQ7%^)Rl*gAr;6l?mj7-d|sgZy(*ceP1zqe!>k-rE8;ZzfM5wp z^X%U=g4pRLrGx%@&`%27$;x-2D0gFiCwEKwkxHrr{LBGN+AN~__88*IFCR*`Hc7I>VO7!X%?u?{D(f^F z{D%u1&G>ms>TcXS)D*EWAxfb8O7`vn)=&GpdCcKa)}=O-j_GZsH4Sx_Iu-k#$)=0` z;NO$hr$oF7XH{9*40w89+iOdX6rb3;);Ov3Uac+Emr0EN(fk=D_ z3@X`E192_36Zk^YC^wvsUVTOpl3j)!L2Mm(x ze~*l)?p{UMUg)o_28WL&Rdmy`CoST`t><}U7WkGJ4Zfb){@Gm1B9))B;oQElNn`%8 z$B%-}-s8}__jq+>`u+?z`U_edy-d}bqKMC*4cilL#}NN7Hj+}`y<>GFRu$<@3KkR9 zrfV4Qan+wwh(E{+H<4vxXle#PQHYZNE`nC5^1pfi>_bGx)FGsbbonx6ao2&}^XB`v zXoMo#s<4Kq@K2EQ8$h1z#qGry3L3%9A-&2~gpg}!F}Z0VEd7!0NNeWIn9SQ6eAcJI zTa46bvn_Prn+|gEhpU~+Jn~s%Io|H_>DipC`iR{4hEzn+z_jwohL!zv`R&;(a~)Ae z!RB-h;n55~puQ#DVP|`rFuV&I7IagtsiH_USQOD0_hs;1eFZ8pwT{p}e_QX{ z_1$c)n@;SZkgABkS!wvA`a;wEH>;#|v^5>QA5_b^^l8v$wqXWBPjp+sst?irq}Rzb zE&tT`*@CPZ8?G<(0`lHv9AWu{f}mW*c{=nkl!aBTn5v77kpgkM*= zcNFKh>sBGxz$dZhXNdM&`MK~=w{SM4u1`@4?^YrxGu9>pTjNG#Dbj#E(G zceZ<&rI0K2PxJubf15JeU-;_I^Xt77V=?3XT2&TDKH>48vbMlbA$wi`{eu?<@L3`IF6CcoLH%{^fr zz_UQ}p-R&ni6a`bt$~@$%(rOALZ8ea;~~LS_$#k=OBbOb(*Sz$UzNzga7FPla5}E( zADx&9TnPnU?U_Kfa?TUgB1A;zs%=sww?9fgZc>jxiA5@b;FrDxir+^ zB*@(oKB)WWucp@vvuRMj)vbMR;k)slkjlPv1XW|0WF$@9R2R_}vUdrf+3)cq?B4~$ zfuEDFhy9r+!=_tRJJAawUZ!FiRm$VX>2?$&B&-X=9w0rC%^HrHJtB$d0?aqRy*Xnj z5fu{bO92#o29!bapM-NUm-iKEz}YZwuk{y&-Aju>J62JHz_SU16alIc)qTZdyNbc! zC!_#@#{O@u8J#|~^LQKAc2I=fC;PFkzy4bHzHA)Dz8|%A$czD}A@ZA)HgZ^yAB#i` z+x`;J)La1;{9UeUs7XBy@2oF%KQo{B(_m5YNmPVi8)9Im+t$e<>ziC2df|XrtMS?= z=ZfzJaur|hAe;z)3qoG)4;9Z_fdQvE{%ySRQ|kBJageA{{#A|K*W*?8gp%Z%^rxyvH` z`7p#Ki;YwKm6_?a(r6ET+d&4ZoM|Vamx$<-1JQq~abnQp@zu*KURFIPYn5ZLOZidd zsvT)Ax&}))zqKZB{UzaDE8)bxzh4(b74AozgEP1Z4LPUagiL$V_76|!5e)b;<?;XMHXwO};FJ{+01cP`V2(XROJBjm+6flPnD8!*sHzl?+D3DS@b zg876P=7wIqbke8D=h!w#X^MhxNI)+fO5rwfzc-tc4Ldct_HYcM8lgUB-;gXO`Y(Q% zurvmb&6}=&-D#-z;B{)CKTQJbPuMzWEhh@|P(0TgR@}82lBL%F?Yh%P^e;~D*G=r! z*_IBq;>|j0Qp2V{MgJgh?&|SZns%CicsF~gAK1HPtBA3d@ z$j|#)SD}oxe7$K|?N7ohaPj?zANn}!sA@`b8Q(u&o1lwB2}@T;KN~>>gHt=_l$aL= zCGPh#Fkk2@Nh`B#H@A-)WrGneI`BEIk+6Qb{@~S<{LNWF@6|f5TSnun^fTI~VtS3e zFI-)g0SV5s$+dTOXUUO?ieXE~jnPj|fQRlS=M!D8VA#bc0Q~Qe=O)DJ%&O8a)?jPg zR%2cq$)LK1s&qC9?Bae86GiO?CC5LEFEdGVAmsiuFRo@=5J=!mk z_b7Qa7P8?K^>VA}4+=n;xcJah&Gc7dpyOv){kJ!Xu)c%wolc5AtCXj%Yr2PZCACAlcGTq7r`M3mMzem&`ylzwj>JIYLe+}tl0e$QT5zGi+BlN~2`Xinf zmjI^)3@dBbReIJWTtMwkHn&SqTyB;v$${G5p8Q)7ZeyoNU3wrp8yRq;eErTo7ISxF zR?a)2*Nk&!$gX613ijky9SG>-i_DvEXy=ng%fNsVfA{>VINocV8wW8OU$WiTW6@5g zs5=*0TJ_yf!S<-U7!kSC<3x1k3m7>dM7d5$5jCfcD+qY8rV%ZMEd<$72CV0vxu?7Q z==bfU8 z-6^^$Dftrj6rTWtb6)tq;fN>@6Jx|7lLvJy(I|MTdV>_6E>iWc6z_HCzV%l>Y(f3J zw#um6m>~=aXKW9-R&yD#NU1L%^P`2Yh#*z_35X1}sP?avg=gxy#|N6^%j_1+UWgaN z9fBW~BS(0Ko!raYnY4R&`)Od)ULv!;AM+|sm|iSF#N3lAuy#Mm^#|H%z?qXe!Zr@P#%8 z$SK211MNXm3cigTrWyDB@9QC9#VUDU4gGf>UV~h+BKiNY~AsV@e&Ba$DiE zoq6=mV?I8Ltp^9hS7yyzbriedN^4{vCtzJaNZ6?hWz-^?4t_cYrWDeaBTHcV&s%gK zZ>~*8f5F*cFgghGA@8}%s3v`vbR9Z-yO2d66R4^-bG~8|J3sx*XiFc<#d3b(u1yg^3qoE6v# zCCVC9F`z{G#5W}W(vw&Kv=n?Nrxf6sgW!2yTGR(Aj@5xY&zCWJMM_X_`Qfo#(J11` zzN*4PHt8uZ-0t7H^!M=2NT1lurqHaTNVne!(l*_b8;tx6e!At-Y^4P<8s?~x<>U92 zlnmcvHf$eQf(JiOqrR~G-w~%8|oB5Zk#@U9nBzd*#LylJP*G(l2lvY%Wa-c9?4&{PZgk7`su_+^-;)FNVkL zWs3N>CPhN*5TT*IrMlbkXN>Ck&o1--+-7F4o}HVYAGeUVKu+hBFdXqfYNoIVl4OdQ zhNVyYV}p}@pzH4%?q|>|ir!#+JQ6pgY0}K}>_NCjZxGPJo7p3%`4Gbcbf7}?_IxnK+gaRv|c&c{vItZ&zPkEB{VHh2f_%B zwIgBFyz!r{L$BpDw`_LCPe5uWbQ7|tJEyl@ks3c7RjnaL9r|*&f9tPtrJgPtqsm-G zH*6Q+&tDC(^S2ff9~T4SV&h|hSVf;sk?ifa?{ttvU!ci~krxjV=sqx0`5}4? zZe-SFYEg-Qn25;hT;P9Zanfd;Vgi?IL}FR(`LA0Q$9g#`OyTHCa{1P-fCSb0W`%=M zW;3gO zRH{n6IY7g0Bi#T}v7nhP_H5}(R7giO@&TvR>n(GZ(K!RJKY)U1woQC$^sn&xGlGcO z(-=^mhuAj!t^WFJ?R$MR<*1Q^x^Nqp9p z7r2;Ir6utJf?xGhdsgk-tZK$v8!86Hnv+v33Wz4{Wc~RdKzkZKKcTc=C@J6}6*|cR zwdbpxqaVmzS3$_8&yVDsF0u2D{IkGYbE~0K@CHLsE_58G-mcU%c)im;&d$y8-B_`y zGpoO`pZy9^H_Y+DR8V7Jg%3yk@H}GERb2}8I~#vt1Z|R9SAKV3#OG^0Kg+g3@stfC z&{jPrt&h2p9W|W}n2XZ=4LEjBdIR+2$sIH}sF@gcGF6|Ncd%`x6F&_-?Q4+TVZnrg zTDQAz=jgV<7iu;&;n)uX(R9GGI>Z}U+#w5|Ojm@2Bm=?AP*#qu{Z)uVVfm(liG3hV ze^x3X{L&KdvYaO^zri|2@xIavefv;ppFUr^ZtuHXK8lww>%q97&vl^)okaJ*yF=dC zKQ31tkSV3TS5C-6r%OUIdm?V}L%4lHLLlBNFWb~i>#4Gqq5rgW2J!MqXY~)cE}JKU z6M*?_V%EzFF1(UYO|&GI;8!9a&JRvUt{XvF0onybF_$T91i<^C;U6DVe(Xw48_dKR z5pEP8`Yz~UEDAoGBX=;hL~#QNr*iafvmL7hu|B!^mN<%guoqWd9lVfK48hyX+JiM2 z!m2~p=A~@Nu+|UGY$S~ZG6w=aePSW=DGa?%w({wnp-Z7E^Ya7Z4$`;Ya~dVVWd04s zi$xbZGx0xPFUQh9HzEz`z(?e3K^g$<2X7HaQn%#_waU1_KY>5`eRTo_K&xI?ekj2; zMu3UySipHy;GS}eQQ4(#fMgF1=dU##Uc{9~A_TAF&ySfYUsaYI2l*TF2edQ~+)jGP zP!d$I=9AT=7la7^I?J{twgYV|SXdHs^mNx8#?RZxr=@7^{XH^BctA9{Tz5=OJPR~Z zv*Tq8$McuNBrIKnWUV#j^ZAP1mvDs`8LZuud-aLsf~es9b=w5oz^da5;VO+Zv4m6=uwdejP|a)u zYhFn8VX8$K!Xi44^FM#^X2FW@VY*EjjjA$JCA%qZ`v{GjPFpIfaw*>T)+|< zdr8qP-wR#sz6VwA<)LD4Mv0L!pE7ll*Y6`}w2{GM0{=w3bh1iSVbcN%&f zkv@JOHgxF6dx(w!^TnAUp|{4t8!1_b`EPzG^yx2k@IPp1TEMe|PkmC^29B458~#Jr z<`s%&5*H@jWF{nDsp_49p-Eq=KF+jRX}y1U(iCAO^?=dyT^#(D*8idMCxwOLWPNeU zyILilM1-l1Yllg&!No(WfNz$d;6q4Om%l~%-SomL$&g*q3{XkBO&T-E-O8^8=Xmlk zN&l48<9Cn6GBCcl4Wak^RdtD(9zymIWr*0j8(~TeUls7-*=6u|m})0*Qj47}med5c zhaLL4F-q(|X?c6hE@5e=$jZC4(3Sa3xJ`%UAFvJjVhPv!v>|h28d}2#!@m@?SDg*{{$6TWUzKUwh1GRPkmjfUV4iQm zDgI!PZ;z^Tj+Lzb{`fZrE*eRg*_j}$g~6oU^%Q%*T`0<+^{?)eD`OGxSrvJ>Y2>$))RxSpERjY50^>q% zdUHM)0^e&coFkfdUsSk261+ti=4!Z$L)tI}qTt?a%VJ+Ikf=I>!tTjOIukNDkw|&0 zM0{JO93DbpJ+H`S6nT{sCC=Yez{w)ya4xRTEf{roTm0-Yey-Lf2m|ItKo z`Hl}9QMvH0-M;>nn5H?+wQpBVEJguqln_6w&eg(vL~4ITKm~YpGnj*ul1yqBo0zsF zwpI1z!NqSz_`q!UMXn5MfYt}QxzAT5sFC@*r|5n4@rOTPiT%@tn+ra46YVMzX(S4U zy@J&O_}eOHN~T=8KOmeq@#3z6DGa3Atl;&himfSCALrP4 zWxbLDIW3+b>!bQ9uD-&pw$bd2r965A9-lfwD0V+m4zUKC_pt%S-MXphgVM3pO!hC| zUBDZgQ6xk%d*_MNNpko&DuCo*p{jtu&YZ;J&1kuHk@R;~n#pwej7=}r(AIp);l`_V zS1#mfu{o*UG~{Zv_)=Dsk--%m{oCu>UaBE|;c#Vd(o@arN87)J9Soqbkb!omZcN9A zI!t0g+FQvo&lDJVz*5 z#OEpfw^F|n_aRW_N!jr8BOXtH@#33uc`O3&{K_vCEv-J%6#*HA*!@iS54DgO;X1lY zdg`f76)S5MTTtl6b{tkxyhFdhT~-4!4Jp_yvNk`QGn6Ls<>5VQj04rERH<4yBt^a` zO)C2S^~z?=M!@Tf3Z$|X3;$bdKU5gevU0gorA8cs?zo|gSV(FnC22{DU`8ID0w<2t zjCx7E85*k&M&SCMq`mB|skNUa2*5K$>Ckp!H*#Z0#gZ(`DYdBZPWrqC#eH?mkZ1lisL6XdVhs)nf z?lnB~Hi?w1!FIjzOpsEm#wA_jhlU!Q{5yu?8D^AFK6(4rC7!0hI%$Ue z&7oNjf;UGQ1OvaY%UJll#=FT<=k4uZ69J<=gdaJ63UB%xe>1sDs6o=HPl$`1zrt*XU8(@6vc}P+iYv#9=)TVj z2n@3q7^+_7R%(w(rt}F!s!U{ge+_nax$qv)ch?5`q*#9DF8o9*Pdv1%imrV`$dktM zq6(^A-G2p+mPj?<9}}ZylB*x#3T4OqcBH`M3+;sdUg4>BP)=$)ZU zzoKDZz#Hw+zj!k{cZ1Zpmw~21%>3H_UW-MbX~~6rQ(GN?d98Vv2q(lVr++luSBl%T zyj3YxJDgaymt({%aZw|uA3#qEfzYL~@IIo1CYS%rMsiM>(t~`@8C({hxWfqhyCg2ry3^%j>qL5Q{T_u5$owRxRv35NG-1n zAw;9;35()r#2c--S+8V-9^wgU)l>fJ-DjA|Z&1xy5xab}hoHa8k;1zTmll}O!dTGq zIseQUq~Gw4g_`?$85?QS^2e-2#7%w_4cQYKTIjD-*1|M09&|vG+i8MD_Af*r%1lK2 zVnZmo>34?4d)&wL!JaRJ@;$2&^x#V>3RsSy-A;ssNTKn5s^C$rRSHi}^~;r*P%^y} z#M+;qX|vxNq%yDlVj2Ir_@8lFaPKnFY&Wav#|+i{EQsG&Z)yYT2WS-2KY0GdX)Ugr zFE?wIF|1u;yT(opgyE@lMhbX>|D7+GF%gBny6gTRanYn~dN%{fCg`HXm~h9>Fm2I+ zT`g_`plHb6j?PMSeOoueC+n@fKMv){l3mMrvFKXA8MBcVc{9t_YOgeXnEZGJmZL}E zWwuV3{_H26n3nYwW^t`_gkwQAEpMh{tTP%NQ z-3H?9$Np}p;N@3npxOq7MrLY7E~!VJ{C%Z319L^Z6z7&}WHldQntVKPSs84IBuefGW7Ymp`&oA2{l<w`0BveI&iwP3KuB~X*1R5#XB1T#>!Whx#<3E zc^`+qm%p-uhxM75*AHN`30{!%L)@Jt-onmCa&jTAa_5QN(a*$vGxH9)vTKOH!C5%> zrs6o8u$RF_6gmBCPrUbo!OU(p8HbVVeNT4L`7wEJG)WqQbL_CZqKTU*1B&lgu_|=` ziZbJgjq310g$)Wn!L0v-^C+AL<{s&LDj3%#k+jHH!4M^x?>_xk7qvWG%#=W0WrWBq z+lAyapC;&G$jHtw{w=){NT5vxLhCBRsRp_b;2q0ciL5!3bZOe7{`?@(Dqhu5 zmyq6~{d-o}O2S)4yvPno#W7?Z6hx;#g}f^S$6xb4cTbws#9xTuzz!-~kQS1_(j$E{6oiN{B) z-BBv05Dce6iv>}2(Q*}img_!(!Y!Xg&970&`-hbL1^~R{huL|YZtd#ACHRf`9pXg* zgN5NSPYia(}owGrS~C+viPJVA)+Gb*qI6$c1bs!}9--)>=Rz6yo1L^cD3_qMq8;;#d{Ls*d? zI;yx;Xhc!4h(ih+xa)Un!-C+!>5t)Mh zgbBD~n6c&E|6$Le>V29L{6!uCC_=<$-mA>ht&;}@No@o}8)QVfKWEbmyRuxZ50Q0_ zf0VCeklOV(vsct`V|*3B0%M0oAO}SmqcjFPAFja76Bxm{F2vkaR?S|2uTDXuk0Ufx>JO* zzk;cA4C3EzNq9bA4USWLFaOmqafB(9!uZ)G@BE4#^D~YtVr)fk5htnQCuU0k=hiEF z*H)qYo%%qnSvy_v$MIAoO)-y^-fdYB=#ZT3hi<#_d%}?fDX@dr@-6=zJ@q;6!_S`J zjAq&@YwipEuKl(Z&k%q8h=BMYd-?eYD~d>gN+;-!g-?n&YT^%KT4^6{FRiY`hCVLZ zr$YdY8lCoxebFHF=$z*ue*!P@2`E@8Zxee)&HWt8AY{KOyrF1v>QE3fJiKi4xR>se zT1%h@m);M^m>{w#Xf!xI>zxuOZAU4!o9%C-j1-b$g9G+LW3Qh<)?g*Rnlb}Qrh^XL zc#lL5Q(4Y{pbURJJV55H8E06rNm97PoV{~z3>Ptw1Q$R)RGn*S&@M@h%?rWt`79q zbpgTP%qA`o)P1K$GKqA7-rM@ZbOz&Q{0h%n(jR~nx&h79z!!^KaD)r{;-u2JKzI_` zm5XJe@D&FCsu>0!)&-nBlBp&Br(b^E2J64B!gLst{3w2pyxxBL4uuM~f0G5J>HMSb z>(q(%idZAp!i5JLrUI|tU>>~iPgL|cn{--r0XuiHuwltO77NA;=kxuLwW5h8fdHT+ zwSK5;)?V1YozY)u=?5N$CHDbQW-_bzy+s}R>+pT$IfUka({=??x181ndfk8Lu|o|9 zn_+~q=dYl{YY0SF<@bBmhUw_2qW%CtJe;{TfXa%#qPLS{zNPejotluhay&H&DOvWf zrLf6)Xo(;T%RalWvWnx=xI+`@ziR>4b`?PFyYGkn?CHNGcpAQ-XERe=+FkHoGxF%e z_BPjTEO=wU39D6~zUY+K*8hKr>2{ORE0$n6#-q%(2!3Rmgd;ou(%ZfZSo64)Y**&r zp(kMBA!sWzOD4!#psCJ=?9O~On8Y$*m-G-WGJEbjaxTJDTi!cg;OhEez$?|`$Zo4J zJw(&cA0q1P>$NJ&^`pk>FzQ~{9V&eluWtU+etuEu4Wh0PR)&icl3sKe={`|*9yzqj z!uF+1eHv6#O+XaxZkyt#PlJiiJs*7A{D`4hulHxlGUFPin~+a6rW63$6$cd26%}3a zHh2;ylo`gx^Y2so zO8g|JaensW=L8DErb?|BAf0u8kbzW;z#y&t6Fs7hL#=!8g@g!aPSlmh4_USx4bDx1 zmS*whO8M8Xg5Y+wLX`pbq3)KN+|anSn{jb2FuJ>BI%ptzlrCeJrR2%_8IxXrfP)QV zetUK2g48sLR?hjMAa6G~@l(N5zr3+Pf$la!=!)>ByjWJL-#x%c1>}ZygQ2$6a``V$ zAjp7r#*JD5rg+KL`2<6Ln~&Pd%^|y-n!I#Y>0LtTFm5TQhSy38ScAMWwK{{f`zu3( zJD^YE=Z6Y8boDseJ}L~6GIwkxb?0$g$mrNfXG@Nkd>yzebw8F9I*c{wF=Q7aVSS#v znipPv*JJ1sdYY3jeX=uK|Ilx32QQ%_Imv(#f=vGb(*}S19!GIO>d>HHJ%|T`1BC3* z=((&4`6LBsaT8IZghS7LX~Sv9{wB|jqyne_vA)W~9P`6I1N1?RV>aUX4HJ11Bj)&I zrkPKFn@-p#r6KO#lIm_+{iy#%sIyZV*aid0n2z($$BJRfyRRRhSEjBf_vd_uHBZvd z_%r!*9&_3UnBFic&3j_!5?-Ks3c7EuOC|~7t5VA8X@9+Wspw6R<$cjTRrQ=Z*~mf& zTvBNHvt3fpL-SwJI7@jQ(piOs`asz9i90nyuN3^5!t0_je0Q1<{{p2{Leph4pHw(M z#@kYS94NF2=7hrNY;fZ9j`CINDIc`qw_HU?eG2->jH*rKGF&hXX`^?G!46_~-hMBu zYT*EYD!bl&&oXqLdOx%ef42O3P2YOb*ZFHlx~9R+v{~^Hx4I6Sf%$q4=ElF4gR;d=-Fic#$Lh#NZw@5F4oXRK6fkXqdNqD<2<& znvVqNza*>((PT-*NjB#Wa}@SPMfp)Yl=6%;L7bT!b#S=f~yRffON6=?83F zkWs3AUbqOu)ItgQ`C7~S;kci(uR6I@B^ab{1PvZ-2DRAu7tx^wNaJNK$2y4t=CGJr zd%}O^;%b6h)Sw;icr9yNJmh+s9MBu&@`dBe!%eAK9K5JdA+`P(mdiVr?J1hD-k^Pq zM*$Y}XP#?cdAoVg)=1!aEG$a#Y-AmwS2b#0*Q!CSk`R|u=|B=A8`k6;UG+jM8U#Hz zQoHD4GYe32T&X=E#X9mgl^Rr8J*BUN!fdLD&*eVtf2#>q-$=%94C=gp80eI6FbYHv z$6Y?g-h6y}GF-WQUD1*V_6=Z(q9u-SJ~YD`>xX`k$w7K!ZdQAL{5U)LE2Tid^&`3n zY_a(yUlrPy4o!;hGVilhghF%c;WA^2|INlq4l^x>BU zTLafQ5ZN@v_dDkoJMGTG8Fs4%a=`}`GY;yM#;k$qa9-FeNBv#enfx`Y8H8+;9^3|V zpG(5|`^ROFbAU_mtNAW`o}W$Fe*D(iirtg;83>ZJX|3mSRg8j(d*;6qg&8ua`Dfpc zM3N18gegzoqc?5sz%L8P*0`ZC5q&_pn%B!mr&tr zZ+;r<{yVeZw?+TgHj~i9q>>)VuKJi+Q2}8QP6PA~4zMT4&Hj5jvz2}_2wlG20C2BVGXqPkP{Yx-T_kKw4iY@-c-S|Zrkg!sU8YbbBA4#^K`P~-C2=5u3 zB*$I()w2st;OqIh@*l9dsZG8etaETb#$CuWpX37uIt#dt)J<$Bsf-1bQPP7fdp|0% zr2Hd89kj$LUZ_s&hBxkdca$D`?JLeLaw5vv1p*?eAqPqW&FG93wu8g9Y zE>viEZCY{TG79O8#yHl;$P0es}iV)`~??)uY9%_^d84*jb4JTcOYAiYGTc)UT zuWgmF*_RA%S$O_>a!q9(6wYF!LClPJX;;WVr%w8TMz7wLR7?PJLheu5c>2&MU7+2( z{J~;2F2G zDp`ugFZP)$MZYYKizC*iy^kq+6D-aSr#xWp3Bq~3=3WH5+=)VxQcRJ2j~=oVEcfDb zi20;#ry-<8!+RWe!)5+pSzQ%fbqpnF(nmC8J3=^{u2*JnY4tiah^C?Hz7T~X;>wnq z^DU?{@MTxy2AM*6>DVs7gK|r7?j8=mXvz%5-ohE}KnCQW1}<@uP6C!1!94+B2@K+M zNa1$wyA3F@IL2fA*@c~PEfqN$*4Fq+?Ym5sERXB43_ep_Tmy&IFtGM@bjHp~A5NnM z?q3v34VEJ7+|31U3PotIx z^9!8jqr@t;gfVfnqZ$`gs(PmPPQn)z0CxCe+x0#^e!_MNd{Bj+@uN4NZrmdKmf)hF zk-r-SmqgyQ8?|a^yqsu0_v!bKYU?YU6RJTmN7KtmsuqnAmZ3UZK(QU z>H#R0asbXnGRk6cmN4*o?&wT~U+wFTm$D?x#Qw=G=N$gn2J38)+wlqmJkM-o zoVoMX-iDoi^O{ymms!XCu^flWslpYy@M}=b4T-d(j6yFD`*uHzF9%j6D6SxV_QfJ{ z*eLDdlNl_{>^|jz306V|Tqxs}x2{%Vr?+e0vIiVx>;0z9S|}l^t^42TqI!ux=|_13 z_s2})J-x|}dR5|+U0rkT{Y(jQRetnjPmu^s4VX~?v!Z!uQORM|Zw0*9v!Z=oddG_J zf=TVgI_CZWPqgNkipZdbRXDc0KC>lg57AMXFK09(vn|ALbBRoMTNnkEkA~&<`u_Oo zK8tK-zt4+Fr6|-Z@e)t=CVzP)=W2f5P?{>-b}wjg9NkmCk$;sx4}1y;MD!T-(lnb| zA1~!nnPZ2U1vc($ETL*^L9`SpR)2j9p{T2e%lg^2dc$1ZjN@o>JS}1|!)%8k#Sbr6 zMn*K^V(Dx?Bl$igy!6rD%iXu7vpIkbU#*Ey=Cly#s3<<0xR{&-5dZrSnZ2*`_%pD0#NfEX~KgeRUXKEzLq*LW{;fb=FJxoACzoPG9v%IqLO^_z;XzV(f9g{9F*LF0bS)!EIzmfS!b z%IF5X5+VzL*BYui4j8dZ2VokRx55&s9E5vu4fV9wZUn(6nDm^TrC@63Fyt++9ex42 zf`S4PwxQo78lPiTKDGXtXnjiCL%&1%*ur~-M9DWysxtRJOfb4_G|MAHtU30va*|x< z9`m~-_QKIC_0`!8Fmi6YI4)c-gD8v7sd-IrvR|$l_){C(6kf9D#I$5I9;9p$E1V3# ze3jo?zT{~o3;)-t9F1(_Eumlm+=smAhf9z4{E`>(R`A*UO~Ct3&HQETix}{uK9$FtyhKrI^slbdb+b>Q^TK<_@BZ<~oZ*p|33sI%rDCv|G%Ma~u*p z50-m#rfCN-3I+R3>v^F4YIs!mV%(IlkYYGR8=pEISn4FT`15RQTt1`JF27xIMu8*m za*EgAs%f5a?w$)IQeE6+6QLC(y0m(C3a!~ZRltD~#N>&oa0p(%vV#GZSA3cFN0f9R z;E2=b)qPS6^;~;#bZ^_s1fmPaG)c)`>0$g>Ef01*kI9FbKvzx6F`IoH==-spXc*OE z&tB@qh?Jw*!iorOM5Xe5t$#ewNyc-S6v}1*ZHq@%E<7}cCenePW@#() z`G+u6KGX=vmIC`Z((d#DZ&0bfZU@LpPsCpI5#fYYikHSiUkO;f2D!DT;sav8irg83 zFZa^&11sY49r97t)5H)@n@j#`BnDT8ccq18mE(|4mUU3uMPPW9fV7JN8Q+)*I-IXR z8NnrFugcK}O+6Ad_!<9bPksp|#sPT=elZP%HVlDf6KIT?>1iiVHV*Ogo7FjFLGyUjGM27TKxbRLxCh4uRl0_aJcquE7 ztd>K+gVhP(Hg#iYHJ%Ryi{~wtM@08SLL-LneCTJ*IbR|gvTc1mWWv=>F|Qhxcn~d> z4Gg+8Y>maR0zdL9=_lG{xMjwje1YCDe$gZgBR`lg7%Pd-K-cQ}8qAFu)JrrPk)Swp zp&q=KbF@i({uUx8h+=Uu9?JXbol7vv>G(I+pJnQ~!BupMG~JhdRh{3zu~W+YyFvnk zP7j?E9N+fn2ncqE47a4T?LjHNGSZ9li<|lvYTRH<&m;1`s@wSfjSoWv_4SQ$mi~$z z$+|JpLgW+kKq-&w9s@q7gwv1OlePocK@jky?~Y!uof1hDhH>T2%&_1-QI1@$9GN-T@bnL=h?0aGz3bJQe^#8=vb+f(!}C#F@v`E1 z_=S;DgG-1S56dsNJJ3E%vZJ3TAV%k}~eYmUNpWe~imam_9TIXw_Gs+WrJNdtz~(6)Q`PNhOvBys5(m^rTGeZR)**IuN84U5q6@w^d-emHxWtOL zU0`R287))(oRBP$G3}?xe3V8khT@NM3-$ZRr12%K>pTuGi@S+o%7Xo?>(CA_h)!G2S4B5NB zr3rj4gB^+CA!JI|ef^6lW5uZl%xxO!q(LM#Ah*v0C^2C7oEQ1dX~+xdp2~X@&}N3bTZ4{cktmgo z6L2k~_ON@Q3q5rInhjh!HMkvHwyZ6NF|xE%Qc%icVt#qR$+^iIrsMW!@pzD9P`n~z)8w8FL#iPlS z&x{n4e2lZ)gmvLD*{ zR1<$N+ssUIoz_4hmv8ECwuNPrYBb_TG7z>BPt~XhCW>;-_5RR$9dzB~g=4p3VH)O) zy=EUk76sukIu-3|$%ZA)2&F_&PKr2f-ZN-_#oE#ijH4@Ok|xV`!>sg+(!<2fa1PM7 z@YM{*0rE#A?R=|Mu*FS?c=fIxa9cM2m-l{{ND!xlXp<8di&Ll1Ws*i7MOq)>m^mah-5c!seBK z5%tdkvA>BM0=7j+eDDRPMi+i)0-|h3u$+0mz6@T$to^lIO(T`~T7=NIX z#UP;mF)Pre=$O-xquMSy?yEm=5DxhA%_ufV!O>)rc-@+_#X`<6fz*CAi z`Y6pLuqv7`mIL!47((`Q(*c(8f%~XLR!Kn-qd^CqDspe4@Td3*&rt;yRj};sx+!qs zsY77_wS06Pl7mETXC>{~wL|}7L1F!33Q$FI2d4b!%n`b_suXv*nxF`Pu zptzJJ_W^t5JH#LExiAK^NQ}iO`jyq;Hs5dB^%zRu`x$2hNR|!q4V~yW}tPlr|$Jg7Kg=wP;U&yN~l`O@yCi^LZwqaN|J*^i1sJe-gr^GEp@#lAl ztaX~=>R+hUKNyiMYPrmvlE@=-s}#glEYh*Xv#5pz@m?$WN=Mo}L2EVnw; z#2@NA8*e+6_u;UNlI-#!Aqx_Xp%A4*D`i~tmr(H>QrGX4fm!w~7dZ>HYO(ILC1xyi zaq8l~-Qp0CH!-}k%Z4w+ zriS7M6)}NAnAdoLb1gpybc1vVvQI1Zh-+x4D>^0mKtA4Te&2h^6m%MJs+`JV0S4+Z zSs=(~ky`zxUzOI}RAEXKPSn-gWBKtFym=*6ktn~Dh_P8Z*X?62r2*uSvLYqDDeb%o z?t9$|t3pc6C|6hwBez!1y3i{~kf}Qyz&Ww-HVggg3`s3dfqMj4Q5(2EkfrX5$s=u&w>Yo9jaP=*RIkCFd}p)*6ds?p_^xO|gDNU6k8eobMJc9+objlZ&-L z4S1#oYpzQCi4wN|o&EDtj7XPpEzyn}7Rfb1y`m0pX;e}#MdR0x$!gsCe6FJY zupI9u#g_udmB&bU^KLxQD0D)Xv`ErFulYw3OMXcVYkO0C7Y+2pAJJ&U$S#%+7fjX66Kk6mrSpHK$8 z-^g%YeN2(FKfQUb8Quvp13kXW^QAMg-0OF)2@+?Xfl6-Be=Po)T$arT#$f)Ga3E$Z zQK1ygC&-vZ{;N`vI*SO|rnypUc9ISV6&pZ;W9fZ{gU*l_G#uu{)yZSVOsn+MhsdVO zV?7uP(GY}6e2P^J7P>Wb{jAK83mPfwsiJ)Gl@hx_)(JBtNt5@+zfO3ks1+;Ze#lyU zn8UIYjdpdjAxT$I#dnI>=&x{$Xj{_{M^y3ZIw+k-`?FgmzjFYkFLQ|e=t!&|8i&PK>wn>Ui*7`3MATv zQ(69%!Ew5C;g}ZmFgu&_N*JfzLBIU~6(I3-$_*LoY^Q+eWIa0zs^<|h)<^D6}vKn7^>9~^qc|h9V?pQd{jWL<;hp0};tg1CaL2hMw zshrUAlgSFs*x%{C<(904Zj=Cd1k();P0E3acP%xWyk2yUO>$16#vEYk4P(;c8Pwff z2-e#X_P}`9bxW}8w##>8|d44_P z65&C#2QP&bk;;v7i(%-n+AVk0pk#ro5D3RaMZyW^{!?11WwIw`k~e*}#1Gb!?rE8; z_w(W_R|Yw`mwNW|v>7;U&`U~&jXDyi#|q8!2e6*#kbhKe;v(=IeMm8f@Q9W=?b6jzR=2nvL4ENuz-ADTT2=Gj z$78J;9eF%YI{+e6BEtXbIP@unGyj$J$RF+NzCxm(;wPdP3dwmpYm-RfA!J;Q_(Ju=&&dvW^xsIonH}J~eco_3F zZy`5OX#Z<;;t8l*wmt^1WOD+2qZ|qHijkbAvFaLuwn>gr{y;=Cz+g+(8p}!*kH#KC zRM2{ACGcfErVUYaF1h7MU%~OeJ>f#~g<*B;X~hHJ&a0`Y_!5-82+Zg(!1c{l~7cak&CHKJbwN?vI@1B$Eo-<>q4QUi-L zGeqji(pR7FjuYo6q68Gj8iZa9gb&AUSI8+Ku)JXn;qJ&G5e<;Z{2^gjB*Sn=J`a@2 zab~w-y9$$N_B*BgHL=>QbXbNjzL$tkx5%!3&B&F{tLIh)W)-K--toeQ9_F+nyNHGS z{*R`s*m4}nqQ4}DrC7F@VJEhj@#{yC)w8JXI_WIiigNKH*~}9E{oLxwfjP1c2x7{Y+@?aC z)9d}piBfSomC`IjCw>q@Sb4Pnh>L7QLM{JQMY5V^ni@Ao&y|&GhT!R(5jkn9$~{HK zZ@ez+%PJ>BIgg{Ka`einx%UfL z2(7VcA21dI1rR(#_HUJ0Dwks{j)m>mV8)iC^sWFYEOofR#~Ed@z^z|Cf_>7wUSDwF zW);So^~kudL_%X_fx6V86Jy^P?XX(@#;57%AO~^3N`tqlLkhpRD!$rRCXx#^s9@IB z00I+Ryl&H3BRe15sn>y13!|&kLNZNH9w8K$BNW%6Gga6MTo-Y|V(r;;{{&A)bkT>; z#<-YIl8iBuvoO{AhDgagQKf{?3!>bq@R`59Ai=UgI>n(r(KZr)x9eJ@DRR6Vbkwu_ zC6`el7UI7b4eYxI+S=1(*HuNzA!fhEY%2S&KarIk#KRu&gvstf1GXwvTk!4!9F&=Ku*|8OCo)x)fRc z<#{%ntgFJJ+cEw(yYmF5lOvG&_na`~1N(+um`k^aX<+zdwZ8mFqmCK=d`c86Qx^%@ zkBXDY52sJs_^@8Q{&lV8~nB>5~#6u(BNl+?c)Gq49EUg ztwebbhM)gcqrwpbFA%4XnZciSgl_y_kB*}3+3sinHM1`9g6hXHb{(k1sCVi@U1>hO zJPdgnne@!z8SX`_y1cBHEQcym{^ja%@qERXgb1-g&tB@?;ts^+sO;Z+1Ij?r#61&t zc{r-~BbCZJ)XZ^tANwCa7S-HbzwP=PD!G8-Xy^XrnZ3%tL%eCkS=j*-3QSm)IwKV7TtglJ$4Alri|JHt*&C!W8#34 zDQybL&1+=G6t98o!np_to(u6gMUheI9|Ak+vV}VxA5dkr}l-rTlI!XaAj=(#qXq6x4>FIWdSo-@sCe-lOv%Bh_KlX*ki< z33B9=dbi+M^?1Og1B+9Vmd!bwE`1*?q7?P3){gtq#tSL;Z1AUb8?7}+jt59tvii{vNK$oxT*C`_ zIyV2_thoww7>%E)R16;NiRWXQ5N&IGwkNd$igZQE7QgHl+FtZtS6hz^d$ih=WCWc) z23^bvIurl;3GMenveU&8RjNZYD+dO&K%6>KXs0-`BTjsz>l!~!Z=n?V6SVGGIH^wc z^UHmGd3BEbWB#}3l*aK3U&ZmREVPOKQ~H<<0%4n=5R=nMX?NE8S<#}iBn+{Bpn>$x z0X$z;!OQxiJAM0zY(r@gJ!w_j{iOp5@|NQIpQoUpo6$qp?9+$?sF$r>h^W#R1W8>; zDjV`rBFX7Ozh9v{rM6C;S|-Kide6c&G@iBfBG)}?!U98cmfGlG_EfjBgR1nf(3Po| zol4WG4o~WaFz${?nZcZse%eglL14It9RP0Y*2+P6r&!^ao+RUP^*VEc@(Q|Xgs+JX z+p0R0X}WX{9By`omAI**UVU6t46PamfVFowLB`lW({j{(j2NXS>-UcN8{gBuxX1?h zF#o45U5~viab?k{Ew5)F=bCy`hJf4PAoZn^2@@RAgj0i&TxeIVS29!8Da`E!k zLlS_S!}^JTlqk@8pKSbx%dLa?T@qsd1F@v?JQ!{E_>pOUth^KxlaRYCdA-IZ zXx2_P7W6Z{dL{q2cPuD{#gw~E{fw`nQ!t4Bbu_xl=U}I69iow4(@_!2O!D$*^OE)Q z26?L~eyKGrTYK9~sERYh=OOjMf6Z`(AH(9tI)WtM#6dCOg$ZAr8XtM?tT6Z;Z$VI; zMFIH=*hg?n-F_W-eXpcY06HPk9PtsXIu~(NPCPG@aX12KhRp+dKs`-2 zts*~xAaE*geLn?nQ(yVH>_~{vQvN0ZVq_Vl+S-abnoHUtxt6v#DrJPgJkxt3+E=wf zF0vr(`-?(b>wA{BGC;7fEWc)8vL&-j&*4jrbp8&^CBpveg!6?_>-Wd|Q5R;?hxsV+ zH%VL_z~|0Ni15_@(o_uuqQFF8`)Bq-At%Oko#PxhqFW*euP4nY(*-;hkvkKoYIV3C zpWUMfY+3khsk&3d90WqqM~OscvUVX4Uaa3i7?z66+?^B~*ciq4;fe1Hm7;F{o5={G zi`m>fyTK4LOcw2(HhIZ_N<{ISeWB%9i99FL;U19Z^$7|e7D?WyDg-5F1PE&#RW!pK zv)|+&*^vUKjoe)l*!)xTYTR6_N$4$E?V<$#csKUuOe#6J6(CG;gJF0LQ& zf}|H$>emEMnTa~Vw->=S4>s52oTpy7$`BZRaDV>YuZ|GdGO`c`7lI0&#ef!++$7{DlH$!vliejW4@SQIN$tt%kx7 zkBoO#6*AvT&40jF-j`>v{$%=gH{9P)U828E zkb7hKb0(eFL!-}N)NF%5g5?N!LwFmu>P1w-mn!VTkmlqU{hbNI%VN6aih4c;=xYZt z3dUXT-)Dwh-_XI2YKWYsjoH49G2u|Nx7jrWC040Q{_taL=@r&59Fr3br1rYr$c*)C z2c&dAS$Rzw)>BF6F{+ioR2>o$CJ<+k6=L?SM%aG;KOYVvU zTG>%wzKRjl3HT9cVmK8ibZWHFGa%aOp(}-#c$L!|Lb4?ta5S)NDN>NFNKVa z%ydp)G1}vY|4P6A3-f*l$NOvMyuU4EzA|IjBPD#4RMlqcX!i6eFI5s0k{b-utekE* zG!7K_ipmVqE9G6>B@63_Pr(*Q^5lO|JyHI)3N{W?yb^x5b(7*MJN~H{WhYvdt(8;`)&@ zsJEaH)E~%E)ba&unEC1FI!V2Bnsra}Iwte#cp|6$ee`c~!_03IkG`@kXxCF}vXz*n z)i=Oc(~m&dv<~x*~R4ekVxw$S5ph}Jv(OU8)}|ME`C)#aDhtXU3Z+6KOCpDn?+O}%9MSm(_%>nW zIF%ehZMhG#ZZd3U4nkw%Swxf|w+R$nF*vvEC#kGPS8(zG--ZGaR`VC1De9oWKKM66 zbEFh0=<0HVtTnp+W#sJ7NVX#Z^JuJiQmYn+4Rp1lK_JiqI&kM_GS~94#&SFx9n>m* zPGd3T=hl}ZzkyuOPvRLvvCw~<&`>%vF^Y0;We|>UT2tjwXPXPYMIvnx|4O^5n6ps5 zSJ4(_5lnlUOiXDQrk#il^3}aL**KsgQNKn4=a2bUT#8C53%7+b*x-pDC?n;;S_{O? zq;iwMno!a7M`D*x5KE~Ec)qSlxmcb1B32jS_wphNKqAXCEj!$KmiB^u#+UFnE~=$QE|q+SkUwB%e$8Jaik64WpO2b`m8YXx7W2qHR+l-MK9zP!;djdCd*| zVAT01%7p3+;%gn4rpFF#b70WslD@l}) zM+(uK(9j)m_L)!~IpxZqE0wICR|?19@R7PK=oe`cm;9z92-t1lM;6Ie9!d1CDdbkw zI`0(|pV4jF{@mS<>WK%td}sz^?;YjgoW~8&kQ1iW*V5#XQ_Fl&^g)eQqeKComx3^{ zw~fs0!UtA)({8g~$6a@t4|jriwiGvAjh#ows}LjB zRoys!nbqf7l9HZWqbk2h5Jxvy*v*ayayCR5Chz~4wYQy6B7-E9XZMBVFnSRRZQnel z0RYf%wFUzFBoa zUn$4ekFSm$5Wfk{lKE`+Y%g*m0t}iqraIE7hF~&n(;fJ)>HJoo7FW15BEWfq)rXXC&djZAxH_ zdhggHO{32Cv#*PmkYVDesWkBYqDTl5KC&eQ(Q)gSW<0L{-7oP&yg;uKc#=0cf!_rU2ZGQC z3+&iorp*lcE%PkLAkhTlxkX;s7^qaM^t>2kx=iKw^GJ&k^>7`Rs{d0If4cet)Y5Hq zNEbFgwz&7##8V?uM}-)isC6d6Ik`i;Zv=l5rbS0K;}O;WpZ&}uYriI_* zs7ZB=>ks}HUr+`q|2C;fCvZq2-ERY~&tmW|7omIci}ZHsJSsbm?w8%mrnCZV{;g93 z;2=>KT|;2^lmD(0ohPvYLq}P(ib^z}<_v`C#vibbV#6*LcE>0_UKhoa{H+(vJM)1y3g)HEW{Ays zL@AqnGyToagkUgX$bl)_{u;9(*up8U4i<|j+-r@Z{mg7mak>Q6YNDdhS0p!3y1RMQ z+=i$gnlsTL;wfgnmv~zBgyZW~b^nXFI!boE?{~hgHLJq*@@iD1QHQkXX6MH@1?g|Q zmOaL+lgBU3!X*gNehGRVIIxYP@wePP#4sABO}X(GH)|CdaUE}WCxc_#Cy0LS)|^7E z3u#&E(Cz1D6h518%JJ!FTYx*}<2%;q=y+j_)`zs}O&juXM6>M z#IUchr7e5fdas|cpk*e}drFOlW=))+=a|J-n5lE>E0s{fo3~xt2(clgaC$Yv^I1fW~xzJ_8%$^0`8;3X|sf->z^Xc8hM4 zN&3oIw`HSnZe-1U-b;MCB{)pRgz*!VcGpMgUJ%IW(E)E2+xfeB+flXZ)J8L+g%3Zq zgGFWrr?iIbL-I;^=$8g~|3#Q_yWD%W{q66P^AF^qiHC}6v+9WDqnZJ&7TeG`CU55% ze3FBZ9hARv^UOB$>G8;%8O8d}tK>9z*|0U8yC17Ax!+Y{6e*jTqpoUfTfx)17RWw0 zP^aLrZ`LCV79c7j&UhyVSceP{a(Lu7F-e4sVK<9?R7Ep8qio@cYK-Fg3;oeYX+VLe z6KU*@nTC3JuIOe^FQolB!Q}Kn zcWPc_xh2GLCGe>Xcrz{ziNAAEy0FGmBS`VT3D&H4?To&$FHR;~b3m!Y0~@AT6<}NS zMZUT&=^+R^s)pV6nA9o=5eHJMuyZn1{1Kyz@6><6)Rsxc?`JA~-r@K8x zgH7NO3P!AIJ5`tu?yC8`*n1UBP|4zTi9xFaGdx#X{*i&aG)b3hhAtTF1t)01vDO?l zp%@;1(WT}etC^?c)X@FBJ-oj2RZq{}&XVFg{}t@u#qn`5W^n>99X>yR{fY*1CaYT{ zhzMz>LkZhe!|aAXk_rC}a$=}rBKDW9%gt+N^jO3IOF*>0=tI}x_ke64;C0v~5&-2B zrEgzk{NDUh{<Sh(HALemY`CHll$vVDzaXLMOv`T$O0SM;wJ9G2VnPJg3sj`$sHak4-&|3t zhc(T3!RNMwSpvOJQ1#nBUQ_Y5tmS*ZUfi7pHgfzmY&@zzF}xqH8!zBRy{%@0JT=Wa zAHbhQg4uD-fIc|0JQwuLA=3R#>}URHtzWMI!6DTW&P&)FDhK1QEGmNVeO)itMjdr- zf`A=mDj&dh$D(<+%RcX)7d^*JFoG2PhRyvWF(p?3)HpRAzeaf)jYHQR*JT=3OGWJd z7gb*_c4Pxib8A{hbn%syQ^^D%Q*%Ok8jT2W^V4wmH%mX|bANv9FF!PkxHMM`Ddx*W zWFC8CkE%Y!7OrGCnWR!okeNm&s^jx3Z|s}ruCbUR01RoWlSb2iTPeofPX=c7eJhc# zQgaA`W_kL#;tgAokQmR4ZhxZRG(o~BBlm@@-&kLukO$pufH$&!*CAsot!^}AjPtJF)GEJ z9V3t;l?ELks1_Eml74kcf3Afc%@6)Ceo1mfUYz2T1I<1p@vfiQ3laz0x??vwSm~L7 z0ZWo{=Wi&nkU^jj5;U;_X+2bkyqY%IHv9S6wY3CbwS1I?q0xQfTv_nBi$ql2KIjs%3JdwFg6S5^crpg<(`<0lLZ#^6EI-Xxhn7CBPsVtA8yK zi5?bf!C>5=xD3VB%PKX?6u;L*XQEiG-C5N!()@l7^zgcYB5HcO^4aYw>eYrimH28f zLimJ?=LLm%;U7eyoQH!G zAe>;D64Ib%HGx~oQdlSkoro*(vOc>;ahdE@ey*<&z4gXfXXlY6cm;PxPOgwKwA0jS z7^OYQuQo4Q9n$Cdu0N|Es6&p_+m!eadSoS-^s!hsqx{7Vqm#)WM`id05)Z#Tq5 zr4ZklYAX3;iC5^yd)eq_JHL-7Sy}M?B%=}W4v8Eq`n{HH7gxUf#Rj2Sxcy`g)B`+Y zg4yxx{ZJ~N_+!*zjx)C7_lOc9@Fc`1zU8{PzV73_DXHU1quAN1Jtn@CQmOD-5ld4V zMxJiszZ6m6?5`JyeRRe|D5?-Tvmi@l36k(0(TaZXmMjz26r)lZIBJQ=V589U^~>nT zFYAIFNi21?yjj1sGQFDq#^0p*hEN}`U`zlah^B>n=cf@z7?;fLDF%|JRo6IIGD&hl zpOsdmNUA}ArFHD5cL??(zPF(5i`}Oiy(0jP>{$k4Mb&pTRVNKY=a&^zWAFFP0jg*! zT}|rP5vsIJ9;tR-yHetd^ZTxQaV*$oouMU+hS4yjgzG76F*iF$CSaJpru^wN9TaZ7XM*446P!eH-K#nQD;e&Xbe$2OoBb? zM*)rN#EgT>mH12%qOZf!TzZHQu#;U0p2qMtI`*DCeWQ-P3kVZ`tDKs5KoNA&3j4Vv za2k;dQ`2a(bb24kAEG1jd^B3NFPIk^$QOhjbAw6?27m>khJ6%&tEXDb5?$i;KKbv) zssl?0maKlURGxiUrFJjR7>NSTr{`Z%PhReVOtT$_dkR8`DxSy9DnZ@@K^+Mq)q}@7 z;*E6u-;kjo-mYd$0jS9c#Je-v6(3$>2dWR*IPr|1cBMK?KOCEt$oTmbB-zz+JY;U` zUg<{QUuxk5UgP;Iu?C+(W<750t-TH;iX1Teer+O6j{7Kd6EGpO@`T^yfuMhtIKsNV*(Vc(3;vE_6hsgw3p>P-iHE?1%PbQPPQQ~Qy zYDs4Jf&WIfHdF?}U=2l^@|}3|YTQ=|o=AtMDn*)a+20+fUDd*W3yoj|&<~aR>o`iN z@>lq@+K-ixgb_KQ?h5v9<>UE~felDP3B7Fd%lE)w_-41F?(Q4AnddKcMy0Xk)>GMN z@!t_XYWFYjn11LybLsvdDG2jNzIqOh_-Z704tGg99+=JO-ZXu9dgTYs$m{diYxr2G)9o?F#cf4lbW!jdut z`m(^m-617!aI~vwmy~isv7dzpY`;jDf&w%_t4qdQL5e2Ad${CB;?9hQHx_7WY690g z)+jH6mR+}N^!jI#a!nT58fGouEsxnhv7<_u+5fEcCmGa~;}R5=RUE*}Po1O6ATV>L zt@!#bxt#UXu#6@CNlJ`lxKjGaxzdBvocw_R-wS4>?Yc{oe4^#d>9UO_aj1+ri|qx9 z893nz2T0(HPp_3$TItq|S$k4>1;vOWGoHV!%8ENaXvr!8+blQ9^02RFqsS-z zIf(z&&Gu2`;=AFw6!~Ng8`panWN`oO-NV;$?LmdASl1)n+Y-B^^I(+Gf;6)KTOCk- z4Zw|?mSQ5(n>|{uy|-wyjdV{kVZ|UE^frCvQIvtpPcM^6#@1GL(MV?CP{jN&6hBgt!S$}@5;SQ#KnhPv6@YT`bbo*RuMFnfib!xH8DgeC zS^cm$X00vir8FYxz6e0#f$MiSIZo$p4*oYm^$V=Z@&hyWAVX9(NGJFXlQD(_5#$#R zOwlFJKahW z9FMQT1woJ+{bSAIqS}hB3lT=XrWttPE+w+dk(a!83CS&hoz6jsME3toBnB-OjQ>c( zzq^p2TO-pERqC`&{bt_Tm4EXZ_H9+Eh$ri68Wy)TcSzglPCbcqz|-Tg(FzNwHfD87PG>x2^oGF}o8$8aQ;e;#R?d<=7aEn==0 zy+m*=UwLhkDb8?5>$f-n1Rv~qiDKQO#YF~84hy-5SPikrsLkE%(H7Cmcc1=z2ze|v zt*#N zx52EPpxZ3C2a+-~vZZY)RPnbC8F0gkhxZ^TfceBxOabNx#J83xI0p$A6LymS(qr!* zZD9DHE{s17h?|B)L+o*3xuBe^J=&b>V5u8fcGUB?fr$#7K8(S{KNh`P)fL?OsTsjV zWE}b!)y9;fM%*W0|+%;!2OjVvqNx6fa61ab})Yc6=+lrh^PrwyLFBK9xfvBuHxx&&45M@y62 zTz+#4qMScBt`^SUjSs<`{TzL(&4*nSW$)Axa__#Ut;`cKxre2bWBOe9VRD7 zb#a=VQEFsP0YS>MC!5P{VwDZ_8F(WfPfeT4&LQ7}ILX4LDK`u>2s4kXykxm5%;NbW zXH0v8r~+fJ=mGoZAF=ns$V{i?EVEkbCdf3W2mof*==$B`6~&}|@kGpp%JWlXH0Z`RD8HvMjt3z-M9;~aIT?@A3CyiR)_r_2 zqvJBq%Q2a6M19IUn@p7QS6##PEDkGUjI`(n`|Fnlfj>G-gk9#wy zLq<;`FUTOnuX#q#@zY{G~ zzdRq!0lP9peI__s96B`qlnN=?gIR~-OFKEUT#WRT9nQ0aCDMVpPiG40(oznH=4WCy zq{Y0LlQ2%Sq4VOSgrGX8^IW?hCdo%V4wxsJa^9~iQ*id4ETZBlQOPqg@3v`TnAkPw z8RsA?aTLh+U^4n|h%1D`cjM$K7h0v&R7^S>pSCJ-WaLf7CzHDFee#8F-b{Xq9Tu7| zw7K{dZj7D$t=^2@J9(Mm`_K@&`Ri7(UpAoLFJ4EF4=$2`il+NUyL=;ji#|}C9N%x- zkR$=TNF;`6ysW{1ckC`PlI7H?TylYZRPVGS}evN}MTj8Q^6tB{tyB*tG>~tMf_}~A^!5+^RA5S0X;^ubd6e&l+ZY}xL5KQN=c_=ut zm)XQkhI}4d(<3>09n#mtrDVC;rJHUbuRV0s#|Vc;nXn|W{jN$X$=77+F;-_1H*Eh! zJ3Y5R;}R*TNxnGN`)|)8n7b@efkezRFbB#YQ|2|u-dL4;zL)}n3Hn!VyMX%H0oqkx z0o}%k*?ONE=ONPa4wC|qpP4wqJks$X~8o=WQwcOUf_TBwf3c05UuqQol$7?VnU0=cAUR{y*T-yF3&e@ zX%$No$F?}%*`)zQObrlTeof%=cS&!b;u{7)6bF?aL*t84C<6p3XH)&_ACD{ph?|}D z?3roYNI%@n{fbJTjB8DUu8>4_lPw{D5otM1MdQ$e!~>mvn5UEhh-C92cMJv9v`Vphnw+s>42AA@>7yaLyOc2CJ z{J(laI>m2~Ge2V{%OBZM5AG}O1WZ1{Cp}PYv%4zg)6*9jTN^|Nz2(ed&ugg!3sVYK zJTaR=Zj)QH1nFZ^1t#SIrlCVqY5jb4>eZzglzmEOpnp$D+F`wo1EBOssMvY(6HLqY zcrHZ_XayarQW(5%yc=oz$|&!YR!IzrPCTvDIm8xIwe%ImxK9tYvB~ugN7@8sd#=6z zk*PHz+hV9e%>8D1WtYFA`ZFYWCbWp4D1D#t+OD(LG~8DcN%d^j#l6p4WNcrh9Ow-H zO@HGX@a7qA*|-F)ADy_Ge_Of)SGKB5Fx*!@u9L5sS*AY7l^13A-Gn7>EHO;MP*jDD2P;PhsrKD} zkn{DahSEhg%WAhe+fCniNR?p1C3H1{&3+a`FM@?oo_(5zjb{wMnOO_F>XUe}6uvgMLavPSx*{h@Uj9@xPS@D;^S7(3UC^ zgDS-zW=MeIG?~H^8v8m)7)O<~el)?hqY*LbPL?mSgzs%Gycmss&@Wa4+$K2WXpATS zSpkT@(rxlZ!YewHbhwMa%B_iCN(WlPDaBKZr1VT7hvG*uEyGWE^xXx45VkwVvnHb(84t!w0#l~*9Y~? zM=6639FPp3ls|$c8lD)z8mC+-B)I^#gNak?4%f`<*6)Tlzr1-1hNB zaA_#Ku2l$B5XUK~UqukaxWKqd<w48B|b({6H)kGt%0P@i~{ zMwFCw{bn&eVkpW`8we(_2Gm$ClAUc^Tu;+8yr$`aw-(n27}QO8uz#3IVf8SM%~;@u zpU>Ps|4qsx#(^Q@UdUxr<|T%B|GHzuE^Fzhv!)G;!^#j}DyRjt@Y!(%aB7e(S6~3G z9`?S0o>o8SfOS`9{t(T!U5M6lb)Bv`oh%nowC>jvi_>YoqIsWod&V8h?ex<@P0u>C+04$Ig#wz|_lx-O*M?)~{- z;2zjj#X3UwEWBE|E&bjpyYtHN_ZFY;GXwxYNZgZi2Grg6OG5hkb@a(WFsc5lAJxWH z7x;U`!7_+jl;EJd$f8&Os$7(m9{5v=gUlU>4DcueY(nWLFFbnx;?9?tyTf6`_Yzf= z^#qXlH~jEB=UGWzYh3Za``^5-hmWGc`QBmuJOd-`f+g81m$KhB9fokP~u-C%C0%#Ny8X8&?eegX?@k z4ht_;-pj+P4hFwt8=0;P@sM0Ti^~|Pa5D9rF80@X0r|2c`DgA+xuDhTy4Ss<3~N~z zkco@fr+ZMdJ3I<}dx8aOeAd7qv%jg7>0XO7Ro9F&nkTHB8*xSGS;MuVi%UQi`h9l! zzDN=}`&+lU%YIs?`#kr%sZ7GNJzFL3==ss{JaWSvKfb+o5QQg50wtI8UyrEGoNI&L zgPEjWMJi_Dt89jef?@n1iaFLp3o7M`hHIwQV{gUwZ)t)Q$!k!H7Ds`eu_)hxPNX;i z?WeP*6m5_aNQ>YbHHh^P+&HEOQvUP45z|=ibZFAZS?-TGfw#I8m#b)6C;QKVpde&7 z02EcNTI;38D~~jQHiv%Iqgy3wsi>(fQxG~YVjpybd!H35pX52K^RK+@ zu;xTI+oJmCP=N|*%+YDG_Dy1W9Cjdpx;1i{nnGk2+~ZUZ_-XrU#!R2kHiZZ$?Mq9G-R}` z^`Uv?z!t*gZ_^A_n$sk9r zgIxB0{1RKt|J8IAYjSe!_G4LpqS?g6x=ny@i z74ONQP8b&gANiubnqZFOrvNY?4;rusjX9vmCGTA<_=rB}mvPIV1vGRu?RF-4BmM;k zOJ)Ys?|{93U*QmiEM67*2TV;R0D&N;pDZ9DJ_Z%hd6L!CpRcpVb0g?79r=3t-b$(J z^eU1Xa5zE!ZC-9CZr!aUbQoA6vBu0R%|qCQ>uZ!9{Zuu?^hpTL0oHr$;?Yn$rMk|q ztT@C5L7=btPUqi3is$oB2Cy5Gv;cwabo3;Bl5x3`+!M-BTx?6Bi(c>L)ih^E3*XJ!jN@7io)D6RxmDUP|DK+ z09?xAa*D-9WdQy6RufEuMe-N+$-gDJH8RL5%)@m}r-qi_d;p&<^FQ)eKU-6X^=r9& zC)9qzL4)mc%=WG2Y3WHH)6Kg7TW-V{9YQ_t7u8`r>Uy6-lm#y#0!|J><&WWR#qae7 zABiM`zx|cDQ(Bxga96)KTP zo+Ep_RthL7LLL3U5e&jIm$iUjJBZ8cIWp&w;N|-N|(MiPZRHO$g0_OPZl$UMlY8iLV^qciaApf%3%I)0WtQ2-hK=zG|l}@iXZj ziaXs^YOdazK({zhA+h`97pW#lN-s%gpYD+J&y?I-lif^VeuAA&KY_uFJBhmJBj2{mP{zja>GF!Ys~gZw(-JC?1s@=u1{; zrk%8CwvBG$e=ZyLsgIKa3{kws0a4v5vr4rxNH|c`e`un8D(=|uC)#?5&2PL#O~R+( zSZQxH?I7$C^-5#t8jocm{VE3AwSF(XL_RteBKHD2i1{Q3S=zE78g#h{>&LQgMiE>v zbmv(&#rXmpItL+X(LI7$!Fq4^SQ(GR?;(GAxVT#o1Ra_2Uaj?!dWaOJFX`TX`DKLX z@@^t8hn!qFO-H^I{q42jsvLjV2w(uum)_8jnG#-kWs!;x=wxlUIfgY44}T@$zDE_z zFi)?%#;5uDzO=;cKErs=d%jWsdPG2`l|iNf+cWymR4B^;!BXK%%B@cvSLbWnqaupB z7titbLPF7$Bl|;w@`};S@d^wD;e}17zxi77KZdWBrt24OS!HrYc|KCZ`IfMP3V#!) z3sS@1WkOKOoNZVEqI?OGShFhrzU4z~I-`cwG5SLrpIEdBMp|{*@mJgcsn=$caC)Fa zG2Y-)GYb;x17)I({o%M_$KQTB$R+=JP(L_^ftAOo;g9ycSa-Xv0A3ERj6kADoJ0sA zpb+X}#vS8?HE&Bih-Qzlh#mlkidT>3EIxxrmSFb%W=DEL{pHrJjR@cCtOuZ^bD9_{ z>S`Zg7sC_*?Q4sn0M0Yd%{nNnOi+c>p!A?wLe?dCxCPYD2u7XhuAM;EAaYr9MtD#} z%8+r?m=28}LVxEU!SmvP)8m!j)CuP`>tWf~gO!|U{D47(2MeQ1QmXB2Ny0IoWG&Ya~?61B>fEvANj%eVG!^L51%7jVNw28sudSW(6?wb23st=9XXgJfI(V|=Q%g03PGPaVHX-8w z;=4UPX6_&aOS~l{j6G=f8WU0qBKs%smpE?L*EEq?5<4_SzOo%OB(3OxRYB2OmgkWp z?9ZbN>5Gbx>V4=+lS=)EJK~E0qQ5=MMA}+)Q5#hk{*pmoKhgC=p=bm$o<`!UQ%#X; zwX=Sc)$i)W%bINJp?e&DSoN)(E4BX8S$+tuT`zJ4tO!F=SPK2UzS*lmlu=`T_2P{Z zpz7ABluS_n)*G}Gv4q<8DdZb)Z9!+qtPN0NCc@AbfSmigDwKbj~a_ z_+T0;C@f}O+F#vhsS=U$0tAj;85gX1eWpk2WJULpSWZU#e#h1G2a!xJByVC)`29t@wR?lv^?=Oivf$7 zB+=Z$Xn=o}KWdeCY!|L-#zfuIfY%gRa+4-=(v9eSZ29YaTCK_=;m($@oa8t4pfQfg zkA^Fe|A|P|;2KzlKZ(H$MA|E#*R4q_>tW2w8BZ|veVy4id;`(v6j&w(iO1a=25Q2u zGi#AkVeLKUm~c1fwB=S_wpfs)P19%4H8+Kt4@m-E4nkpB+vD~H-LO$vy!@i5Z4V8L zRw6evFflfg*+%E<|g{gZ(WO@G^3u2hB}zf<0=(!UJBRc<(R+@$mw4f)r@HR zExr=b*SRSnNO5Nu$UCGQbBi{v6+?odxpF~nA<{qskI<+s);!_&U5 z#*+R)Bz`?0q2IO?Xd5=;cu@Ynlhkh|kbZw&vH|+D5NQ&7Gf%+fnAp~u{#q-qN|Jmc zKRt2_UrEDWsVNBuWX5{^FNya40B@;Rqmn~_IYu{+UHr3t55VX-!4W6Y2{C zwbbYO2Nsdfk7bZ_1zGM?*Yt}Vis{P@DZTw0XQ(N~=irb4+`MDhw_^v07Tt995+ z0ctpnyeN7`zr3DhTMsHSrj+lK zsrT6wL)>h!pVAIOEvE7WZQA>5=}@Ih8b^%EN^N^AI=?XJKjJ#(1iu{g5xX%T4P0QJ z04#5uiTX;(9Zg4V3kL-ZM6o4;5gmm^aGv)RhSYY*lF?5zD_Fyh%;bgnJ?BUbOk@ zri~f7up8^YK-0-_xyNUeDdle5OCLp36)8tk351&}gNpMc9Af2C zZu5uZWxGRT`&C(=nxAKBDo}aJ&vmm1ub{VnqP_;9hE~Ho@d?{zD$fvII8+Y+o964% zq7|QCBR6;WD^=6k)XoWiAo$m(#C6nX zZ7g*m`;k=zt#w=xXR;WDo_Hs1>nQNeb!w5-VU40D7fag3qhm-zUX;O?Qb*lz1Kh=q zC;nd18Fg0lHZ1H}0vFxX;Y}o2a-K*22`|+ydOpjn!N&6yaiiIZm7GP@68lHTxoLq4@`%PAbAUkN3sX%J=)`sumRbg>`rz=e3R^k&>@7x)@(d2APfH zVB-0g7&7-NpFg1Eq_?aVw=k?6Eq^^8(I3-nyXTmp>y*=>F5r~?DSaepCt1tR_wH%Q z$P&*btzzTCbY%zn^8qyKmFq>Ls4eCMpvKk&=?~$(zrv51$yKY5CM)bn1RX^6LCPAX zz#KPTRa+?G%J0-y@m%ruECA}i&G+wBj2HfZ$SbM0%gP7mX?I`GRQ1#BLq+n`(HFCv zW16EK(md&x8&}_#j(M74UZc=Br-gQSgDNIdTwg=@Senq60Jst0fw>m&#d4jNjM@&I zY6miCg*{?$4OK|xK^=h)sdfEOtnRsGPm47^g@k?C~Y{E03I^~L&`lFUbr4W5LAgz4{hkwo z2biuzlwp)PzT56Il4#~n7+9tP0t*YdpPQ&7e>*Z%lBf@9Ogux~(P!1H4p)Z$r71?b z|5D}>zk&Wsxs4zwdsTA&sSWAKVOmK)Wjn%}ar7g-@ywGVH&WvpK?{|(%77^RBDR#y z)NSYhaRG#TZvy{@Sn!PMQYB`SJMA)hX1qrOwUjXBx3)`lO%5|wfu;Y&#F%G4w65rl zMrD~@^_+Q!xQ|NlO-S{c)TSiN%MihwLJrnV^X%^YZ5ARV`_l@aWgL>W5(KsiXOjNz zY-B&6qMC71F<8m9XA0|YWKaBa;6T(oR4kFnDDfb{Vh?75c+j2ZnBNy2$yX|fUu(vy z`c2L8AiV|>7x<%vQmu#IFCe8ja32QMHug6zzzI8_o<{Q)b8XhoXBu9W^9ZK}ARqE% zzxXLEdy2?KPAaB*eF#Z%;@u$qTyKa9WE%KiM$sJCdNa1?bn2VsC-kBtHj~2-1UD64 z5)ZsRbPq7W-^v%9JcEt{Nql5p1bpJt|UHxhp(+!*QR zy)dsm_q5=2x(N(Y(S`uM&pWXC>!E}a!$0$Yv3ZW$BjR-Jm#1-ef2C4&yi_&TCiDp1 z%YCB>5IF0H$8Lwljh~z*#}#r~H}7?tL4}O(0zutH`53iC+_7o}YA>RQZ~ z(8|%m?V2^rwqN^0Kp8QIa)|ip`^^&z@l49(S0D(Kt;qgMf)Jfsj~U5>EJLB*&&hs+l*`Bfsi-C+af36piIDgqJa6%MEfndxL-edl^ z#)3({I;7p$Bx*#%ohdYb0-9?K`p92wedWAKkbjlS^LPcGTvqO{|G`K>(`q9^e-q-n zQS3fqeqR9B4Po8Si9aM*+4~uu;ykzCix8RJI1YnS*>mpKAnK2E>s+W0|$-kHEV$&2jr2mqhNfisD(evOl zR>|vdh_H*3m!h)?w(#1jA43Bc5ZZ^*(0LmxDsz@SFAtXf)acZMmeD&?UDFFd0Xv^h z3+tDEJBo*Xj7m^i5H~)g3^o`x%D6R=2;QMXeqhUqf3@C$v+Sd;a-8aw<9167GY#Lo{ z{rX44CYmSy*mS@b1}h`Gke6u*2j5+1Wr}VcTJdcYgJBb#052|XNkie`m%W#<35$mR z^p(?5g;V-pwVp-rIm*s2x^Lml>PuOB=4v{QQD`Nz@E1wo9N$S#s&C|Dosae3*?^cSN^~M|y#~shF=*D#kYtg!l!dAk)B{I+!qN+xnwQ z6=dk2sYYK2C>8vt(A*^lvqbr7cNHJc9I2$)jO*7=D#=PQ^1)96X$86*Ga%%Y#czQ| zs2l9`WchloNNLsd@_$4P)B;Qmed$2XslK()gw_RhHnLs{m9>tI^G*6$7u=72p{F1@ zQ}A7lCLZ;{NnhYJ)mg=YCEa{iQYR%)=N)ZQSRSFh0d2;|!ls1yD{8J|(jx9G7JEsE ziHvEdvtzt6nZR$q@nSH!F6q|7sB-2VBjMNPrOWiBsVl};AjF}F3!ln+N?zY(Ey;ys zB1B26UwV6|aM%kCto2eR(zQ3MzMbXo`AHWpiPOGoCqcPib&5=~oc&eSN)NZPabZ48 z2Q~!pQf47J5V>$aSz^)`%mW6$FH4D>6D-<)MBvebUBSRK1-h2#aLuKWL*IPdo)6J& z=y4;6nG7R}5?QtRDwzdbXPMW|n7~&g6SoEJFe#EAeJMB7AbexV)BG8BXBV%lEm2>V zvPSyjok?v~zB~-qh|W47K3dtzX;!CV#O%Pk@59t_u`4V27q2y@tZ#rNJ~bB$k(wLN zXH`&4mGyE80M1~YidDLeM_8%o!d8a?vX3mD)Al?HSf5s28jMlx-#mA@3dUIkQHX&d z3oNlp@LfOEKcYguqHc?prv0=vHF@vb3ol|ek@Iy#8As~E#a_k{w0G>c@dN)r$`q|O z;>loFL7+d+xQBu3>zG~!M}%x&alv4K+)C`d4y`6$+ywy6^EZbaa7<5imNXa4KoL=1 z^EVJTVoQ$V4`XBs8aOnZwA_!|z`l8sxDJH@=36=FcS`>);baVREt5U3u7YXF+7IQq z+ku7IZSwhn$EqJl4*veYT`xUpeTPuL@`ujV%GLc`kjX6|7YwzaY_C64aURSg8P5sw z7_iYX8s+OJ`ME`oZvm-9K2q@lqz4jKeO0*jQD1KsD-QAc=npv0NR~GK>ktb^H*_-+qBA9&*y+SVkmS;n=Nq!n0QY6c%n$YA5<@orj#XS!ITL$s`wn`(c|H&`&F_Hji6l_H1wLyrd{TPP~5 z6J~cs(KlMPj)-)&eyV%I^}Vi0Kct;bVOiX^NPCr(K)tDWLDNJp*9xI|@Z#1n^|VC( zhR5rT_l%XLV)W*ozt$6&4*M7wDP(DTxJetOaJ-B$B#HBMW%7u*s)Q)t&DU$!+A}T` zqcv9jg7xC7hVd=$x%3D7tKyWQ`fbu!O(9gE(AuNb7q(EOeU&;6%Puk~O=4I-bJb5O zE|fZbWZNaX#9cf8?vQr)>%IAuVlRGE9$ZP59CrEb(AV>k)hri5Dk51CG?md!pTk^) z@o#yp+lC<6CptH0Zm5Cn{p9cy^TDKiIKE9zim9FrF(kP2>Ljv_%&3CZ&SWdpmmL{4 z{L7uBOY>lV-w>jbIvqiFD_8YWZn=h})L;fr^Fky2)p}H9pG$F8xiVH(DfW2Mv zWnS5VJR@$!&HgF~kt6YBF!FhitbuZX10nB4wvJ{blbp;&SMC}fc}3p<%OH0Ice(zM zmmm+{vR%&wX>xug#x`mbHt3a>#GXH=qdX^|y~NGZj3@XvU;aM8icY!*Cmea_+Du&q z99AzZ0{$3yH1BbZ7wP_OO4%q4Cx$)om4%u~FTK14LzupLs0X>XTTshq%b_9r(9DeY;qmjbVau&&VwmMc zkN~$QMTwbU$uMIr-&yx5I5S-T#U_oj$rJKRSB~cnpL(_e^6KI_m}FFh6I0xo`bJjX zU>GLCHj>1(+R*oEfT??XT4VWGIc*>EJTRaef3XzUW!j^U&(Ii!<3`e<;Ig%NAL_QA$pg z$ZT#RRNFg6k#j#?NV-By0q6VajTb5y!V*b}VH?aOJc?y~ zH9fswtenvNu$jfvsv!%Bs>IoxJ~Co071oNj=`&29J21EfkF-N&8(lergMN{-aWV*E zebYnQ*Vb0AYEp@;{hNxhx%m)f|NH+Ewg}ztA88(f!~b<|Hx$P@Bx8mFn{;ei&(;c} zFA+QP^$0lUKF{Q_%n{Nk2tS;(UJq)0WJ=iVXSo0wjkU~2VA_E{v%Z1AgyLdkb^W0~kLStL1YB&}PT>qq8?s^%?2`n1zCx?dL4S)AW$8l>F{CYci*^rV}} z&1EF{c`XSK;@urIHCmU`*5~z+p}OSY?p+ibw~q}XJgME{e@g>?nb00oR4DMFenJx%9$)IzYc4D{eN+P=Kken*k}tsjqpxg#Q4WTMVm0( z(8Z0KNxa&Ppx4JJel#f3U!cQmK?(ikwWDpzMIs+Dofp!7j zg(WZ5bD_@@4@eP+D}(iJ)RR@V(Ap{NpLTX7LpwVY5`S3pb*@MItmegUaDbKS@AQ!Z z)`RzN%#iZc*6xjX{9B^oM&kvlbZqEu;_4IUS-TwBSL_`)GVt?9Yao0)zPQWI?wA^# zYjXM`s3EQ37x|V>{=#3Lub}g(6*B!f$>Ux?$S_J8C-@n#6NPTOG)u_##UPq~o?Grn zU0&f?T^BL#N4897wWWsneOcCqQxAVEm^jE!LM@-G)uUXur<=H~v>0|uMJga9&u(w> zhJ-PMe9~y7$X3LrIp02YNtE^o2?h%7Kw7XAZU7aL3%tCeZv*|6kQYkgn)DZ?p4$zP z>sR|zn6c_>K!OE%u{dC7=v=DHq^$*OiQ~s6NTU^Od_}j#DXr7p0BGn;D)SDE^JX_lf*6=`F&=* z^RE>^EyOrG0bnm#jfB27j*GA9z;R|o+hdH9f*g>}nspR|;_Ah|EQ*oeLCgXIlMK&; zwh+AK@ubl-my!9{(7;_U>+%BnvY%gjF~-r6pw4bO9-3Ax zZZVxS4{T+OKFY;I*U;G#6wK6YMm+9wsK>0?vg1{AprT(CxE5zvz*5&O21vY#mRMz` zGJS+C{xP4GT!Fu%CleM}DF5wqUa7xxC-0pJw|JD{e=dnNqmvwr8RK5D?*YAi-KtWj0-_2=`#9cv+rRXp%Xlt@eq28FRNota&M2=Z-;L0H^6q0CN5`t`s?idK#RUJ9(UE8 zrf$sj{`O;GGoWbkh-z>ysfOvgMg@jgh+%Q32Hg&k^6@G{ z=1pYa>5(D07uUFo!-H4sqyB{;{^_Eu2$iwFY+({!0BI z<_R;%^7cFRSk;aGW0n8L`aS5z0Gzk=s_Cl+e#!#K_#y1#ga-wA4$?G`zkYY!(SG6;b+aJ&4ZE~*}nV=?k<$p3Z7TIxJ zR%vbPPkMIipk}t!SCNuC<5*v7$E zHx=3M%Tyi(k_XL>PWg4U5sQ#$uS#XH%RcvwqaF3qj|1!j3`r9}7TOCALgNWiSa`OU zRUeuBjl^s6KHU>ePP5E9Z?EY_;RHm>MB(zows=Z&Lznm|6eSxgMZ8?J4c0fvN z9$+F0)+6$a6K3N0obFhZ9PDk&=gWevuS{(}>4L6N^+(2Wz#g9Gh$J6t60XrztlR#U zSp#bH)3v)+-M)6TePvTzUC?cUySux)JHZ_WcXxM(5M*%I;2vCp28Y3&;BLX)9dhS+ z>%MjGAIP`)Fjc$G+54>SUTf`cxEK~&MGEw5bz8F*vDFW5sp&Ve4;7{EjxdeVw3nq^ zJi=Jo0FW~iPh2#ML@w&~_@jdGz`HA*(BFldTRMWjM8_$YJj^-?;e^Z*)P)AGNSSj?8+1n-~pM&fmIF0>V2}>wl)v2FI zUprXGeqU$XmZU9Sx_reN5$Yr8W127gmMT{eevKpSk=s9(PF-P640R2B7oVHPTlN(?y<|tZYKIFNGPiK-bklDKQ;)I51Vs-c^2>2McSGJA57(FZ`r=p|YlQ>|OQOyZp?!xJk8hd`Hs*EM zl8X_g@f}&+F3V5ts}{J zgyvNTj>%U=k0O7w2sGa)${?xRoFdmUsQtK+kIu^l6>d$@nYNbtHB>&SYF*9UroQ;$ z5vc}c`NX6*gkg&W_}y^-PEt`c=^R*&+?HvsbN)FEs}=cMy#A$r_SXN1cQ{_bv3kP@ z=@@y(Rgr9zJi<$Yp|JpW^>nb;eL{g`Bw0<~b*W13&0n5}`DFPT79>2nlZja7$-`Mq zAl;SoIV@554Y8Fz^$o@G_Vah*a=6e&uRvlbfoljGtL;mwdvOBgfghP8bY1z_3G|9~ zm;hJF-lNLjqtdsDs)Qr8i0%QFFW9JZ9L0+}FrVw~W8#*)w7t7|4ht(499w) zJb%)@jsuuZv@;Qg$aKyjmqWvXvXh>O=;--HWE1Q(54D zXewFMd9wJY8U}F|nSHdMO3qNP89rmz6=z&R$27=z=wS=8I{D{fp5o$@qv9g`eOM}7oyjA%vS0vHNbSHMpDzk_X3KMi&5oFp{q`jWOW83Z>$|gS! zJ#YOeUeq8fo`(ezw$RL3Sb|nJx{CW*AgDQ*eC6YQSu2JhXZW+Ax^I+ucl@TD7kuIN3MwN5OntSR4E<_Aag))#@1iA8MgC2l+t*N;x2XbR zZFjLKD0lUHy-J?bPNAv?JcgjvL`|1_nEJI}Cmknb*w0|Zb%CmaT$O>sdHeYFZo6@$ zuqP!ySUH7&!)tTGRT1T|RGK`yhFA$XzEbCU1`JlLbyHK`$qs~2t-QTfv zGCFgG4lm%EQiLh1HHGgq>Tb<#xHB@^Z!+Yy)rIrELnHAC3b($_1fo6iZvjZANx z%bzd06{jBVQ;Tel3w=AcM+y|L)Cxv87(&Z*B9V<`NwFLe%mbzP0q}D}tH5zZhix{IT>H93Dpo zDi^;#9Y=;#E2%yxDKk&TNIjGOW-&b)-{9nz((^|(sHmNeu}9}^eK@9PvVk1JIttL# z*As*oxTqY_z^T-01%&u-xAR%othyTIFrPe}f8%V0@^SB;#c={2dr$XGY@I&brO>kW z{g64^)$xmZFvSZs@N;mionW1E2(RP>(9Pp6))(FWSw-fDQ^^K zokK^r6qV<6_**GFr3qo~MCdHSzQ*St&DxGC8-Ek&;dCCr*W{=hoFL%n5Tq5&#HB8U z06ZZe+5dWNtmb-x5u|l!^w*1^pO=j0=oc2sWtPu3oNd!1)Ha&^?%$`zdiGGSyV}bt zOm0V~pB)yj8(H`l%7I7e@Mgb?oZFY@n_sh!m8}T3(`gLf!)8H@kTICgc!^W>2qIrT zUg-MNU3};dS1N7}s9KV^n#+hJfD2vTsUo6MGdtoOh`;`9&+gy;p)h!={{VI;7wwiG znv=wQ9%^Xzk`X)j`B9GA_#<_LFoF&TeVoxYD81}xbuYT`Xq-fDLO%s<0K>3#UVUlG z(CIe}Qx6h>SpRmUh^VDZw@3R|EO9ukrWjJvaefvZb~9RXhY%C2`ZO+?TAP zgBBsWOYwb(^^IcWJBog>^5tGk$S**=-)Kc*AJrh4!hMMQC9C~Y2&ojVs>X)2tspr@ zpX`|e-iTz1KkjYQ*Dpxj(NvLfihV*Is>Wn3n5yHXA|RPOA)C2dgn*Y&Xw?ksNC zI3{wL;{Bj(*UyE-wCZs~OG?Ix$SbbE3$!V!8{&Ern?> z*chTKb?QbUu?Af;=0nc9G~Mp=D1oYh@RVn7GusN|>Y{~giH$xr^jIRyCMn7jE7bTj#s$ol*Tt?V(~8T<-#w7I6=yA=EOG5Quv z*_#zLYea1%c?k#tZ}iA5fk>1u)QZqGTXnF0qKdD_lYs%%WH~!X_1;JvEJ=EMi;+TO zID^@}8^v#?tWck;q3Kf1f|NOZMg)QAQn(kd)_d-!su;NsZLcZ)PAMS1W z;pnc-%~)FO70R3EUbF`vt%3(Dz_;@xZZ-bpa+6O&`WSClDU~zH4QHsAi)qFU>pFoA zd3FyZ@{V%f)nbXf&yjQ#o^fHu9*TKP7QPTgj)A4yJr~6)SBebib&xy0dx@YW@7F=g zKx>|QC4?$bMGH5t&1^rtXddXEO>4bSI z+ejPd2*l7>Bz~1kRp`X#OY#BX&#cGz_B0I$R2}$iueWHmq1;<*K(#UJ^6CC$_Y9@! zuT7%xoDL%7J`2>vT^d>?@*iMkQs;iM9Q%RwqheD@xcG2v@6;PcGLPoY#$#P<5*6j1 zd*=In-?!;o+>wH#udz6!s}}c0%ZI@3nM7{EqMREWkV%BS4%&|A3nqi1Ai zu4R78Or{hJ8c>Wx@`>34u+MycW=5VD^#AN!NQ2bP`vR=p=1#y@{S_Fa!CQm=YWb2|S89i$iwc*%iTYL*A ziVKO>FETMELrD40n>NA9CA$WF88#o*$Ot53kR^mlaaGcIk<=he1#=2h{;#km-Y}SD z)pF0M^!KlS7sNh)|5i%HLMOuZI1e4MYF}$4-75anT>YM)#dyJ*e;+gamu9~PGSaar zp57Fz^nt{Q#XG61HsY70LA;`i}=R^X~qlT?CC7@93pyD9rvveg1fD?-~2SxXJn#HM13o`qL+}PjZrC z8a_s6UAondYq|xzT0LIgQ;q@1-O`#Y2*HIT5(r_DXi(%*l2K6PIFgbf1+sLSyX4Xo zCXxV2dGtw0xg=NxRVu0_g|r)S?Q{&85lL!FG&)pruEL)=WM`+RYdP)@BK~z=GC{lt zqK8=>{O|m)0pk;b2Sgi0e+bQ;K1?SL`<|bleRp6#!+qIi$FTXRUe3>0%+Eai7{up- zBtXiF=rei3lX*Go5ZH1$C1ZJY4=_SnFQ8(}GUZnz$e~K>=4iDRor@q8vnq0rZu4qK z6v6E-wcfgS97HiZt>vB5HYa=SFm+aNGYyRh{lW%w^qfs2-^WG(?^lujZ{~qS5916TVgV?IXCkR3CPop4pGP=$j z>=4lDCAFRSzj);TZ}m^XGSuG+u2kV?Ng&Xc$xYPSZYf4hOa{|=>2As&Lw&~fy+rYl zN<6zB4T2U4RwEuXw=OPYgn}YydBTt8*7PHkE_K5*Xt6I7U43Ob{-la@&77CHp#ceKkBY5KhHFGyZLg!8z@gx3?&F z@_4wVENk{}uAAYDkCTfYA;^?37qz_?B=y9J8Sg)?zTPm75&pCD|9=mIj}bf>U4W5x zxU1MUKV>~5ant9lCg;bcc~t%aMhMM;GG=cA%inp}R$_(1*~8QO?uSLNO#(OFw>3){ zuNqdGS)Bmbtm>Hn5Av?@_k}iFYEOm}Q4PiKQV|l&Y$P8EeI`23<<&C;`g-}KR!J#o z>_6)iYghKAPQ4}%w6!{HdJN{T1pXXjVk2CUJVgz5S%E z3loR__n%`9<`=+I4l7!JXm2Cc6C)=X&}1NHn%D2Jk& zI5sYdJiZ;lt~e%>-V%#(r^$}Kfd**#ty1=q!`xWqeYkm;#G=RGZt64=!F{dU`$3S? zyEN?G@pTVq$Zbj~6)JMa|UASgDcK~CnA)sNU zv-XBwTKZ*wlA)_#ZL_5R4$)nNAr^Mrx=!Xp{tFwyhhd*WK!+COcrZ|TG7wAH6Z=17 zu2>|4q&9*N^>PM7?%SwgnDz|_B{zN;I(BR!qcU;Y=r#wal02sc9&DduYTCUcWZJO{U;;>M0u@@e+<*-QtXX|=;JM3PX+aH)N zV;)<>B8^x;{U(3OX8T|({1-?9zrW8eS#u^J{JJ1Dq&9?lj;M*K)n7+MO;WH^rnfw; z;7UevbWk+!S;3&W=+2gvWi4 z`oeP2Rns+W0rYc*tnk%@!@H3@{V9)7T#Im0`Dw-iSd%Y`BB6MQAy&ajgwpINi=ZTH zQzSl`;g*6EJwGLQ^FU6P+E7kwduQt_kwM%-9r>XA*D}g%`lDT5`Kcb}=JX=tB60N( zJbGbG9INJr$~B6sniFiP!}ymuciout79=SET@mPfQEda3oUV!Y5dlH)4J5iu<|$TK z=LlrBXSh^;-Dl{YzNVd?`8V(H6I7l%#L9K>;qJ{~$bx%!CO!!i+0{Ba7+Thu;UPnM zQz%`M_%2i-CXUoQ9lS&VQ8}`Z;IC@7kAZTXOAT~;{=;F9e__K(O)w2<)g2B+f&_0C zgoajGG9v{PXQKkfoz3id+|;2xW!AI!@IrK;5rmt}tbW(2PtZ)E-4FSqhKpt;SEv9_ z_}he%=`MUVXap{zSoQQP7ePfTKr%wlnoAi%g0af2KM~W2?V!p_cLYA)&}AbDqf&I% zGPR|*eJH!Hz(JlqyRY5>CK*LTo)1HA=eY%`_0Y0@Wu*4*{h0Id!|dG=+41j?AIx~W zl!tANk&&?@=N)$jm$30*rrSS5Y6}QlelwD^*h{1@=FI8&M8E0p|e&?$?|=8`i7~4jGv3+bnxt^Wbj;{j!0gY>gmD{=7NI0e=}jFdAhE7#Iu=UlW#WlpP?a@*;ZIwv zK-5N=+P?>0N@@Bp9a3((&_GYaR!c(|OJSSZxQU=f`r=zhd`BKjy2mv~dbiQ(wUZh+ z_&_^PBTYK|qEQ|1DxG~GMdbW_lN+YY%L`75q7hY7fDTDh=s!x3f3-o?{)rfE48o!v zne&Jgio*6Ms5bJDRtXUd1gEB~sNev>I<3b!m+`&V6S6V_HF|3B)oP3v8@AnsO%8Uc zN)sDB{QJz!qGgAXedfCJqEgq9%$TGWZHR=z{>o-4h#z}$Cp@SgXG zzbH^cYbKU-mzkq13=9;-#nWrkM36HbL6*{6!p4kVu8llWh6bj`)1+{aB)n&({`N7T znX|=DI5!Fd>WO4#RRbh}6zoKD0<$6->Dr|cxX6Cq6uQbjziDr;UiV%|P4(Gqi^RJm zzgEmD_->|K;-@aS=x9MIWNn- zqwV#@F0-z0knrGzsT}?vMPL_q_`7yf#RE5R<23S(=)juS9CDR@O1FI~k^)eQ$-=8& z#zd!00Ldq6&Ho~Sf&3>iazZEwR`}pkSp?>7EHX&!KHcoZkI{3jg+Mi$o|+#Nah$q2 za(gYBl5`=b%8vr(wFi_^YO-pU#$YF#*#pWVM638HkFs!2FZ+*L4k3+dt;IK?&-b* zD}$TBN%c!}7wn@4gWCXSyeFD1lH6xsdIprch2LG8OVbbEFKCT}j%6f)_UKqB^17(u zmdr&UH4OmYy3?4=oIxm6U99j%RuuxBXGqOGyAx=7EX4eZM1@8ZrJ_i7M=In!>Hq|t=gR1f2*&q<;3yg{x2=b z2JhOAlA-PabTA2oHncXwt-DOlD6|qE9R*+4mXjhLUC0*|DK0#UJ|?Wx2Q{31a_Xjs z#nyD|Kg0C{~wz}x91+vgHbUy_A zBVeMeSmy~;4V)!)GBzSM6As$j*v8J;qu>n_@O+cQ=~M^2DB3Z>4OfvRWXpF2M<4CE z^2&1nH&RA)=aMFL4Pu!4nN%uc8i{y;;R9?7NgRYlvBELfYi^+MAj(K2{KDEaPUnZP z8htogC9dPsayNyZrF#@#QyD*eh>1MmpDd*#&G<-m0?STHYCabgos{RqTaq=Q3B+*g zYUNRgREB`^fO%S5qTv+Vb{eTgaSLGF7Y+;HP};xC5o;-2(nt>~AGFg%BRyCXN-vGx zndFRoR+js#F#g228l)ch8gL!Zj-H6|84l+BPb_1l_z&xLE7`5!Jm54kHzktMeRC>5 z=8N0?O6H$hqnARXPQsAwwO}IFNjeHLEP+5qPY)uZzLb^&=s{3lJBIal8k7F7; z>yt>r*Vy)=w3rt>@e87{s!(T~G+5}&gyc$%;9#Y+%8P_j4l9sUN`RD@=vlG#;BTZk zpxoDQ^wy@ttSb>)+DH~XE;GTM&p|TI)_HLCs2wOYGZ?Bz^D48n_3-7aG_JO16e8Ob zb*Ho$KG^h}_T{#v*}yXE(2~+k+;__az;j5!Fh8jtzUGLoy7T2>)Jq+PIkWVvLPsTE z=PL?-Auhf-x)_QQ96w&_aX_8ok2#Lqsc{RQrPomptJvWdmUf)nZju|!Qv83E`1iVt zZ;K81sEB)bue_2jz3vhR+RDQB;}(za#(&qLQ1z8uGlDmLQd*z+82K4wyiX?9h)QN; zfBCphV9@c)g^QuT4*=~)PK?D~7RdTLvzJc8i9}v_mS4{L zr#x8!7b|+twJI=cMr|;x0ZoKt1F{=xGLMtI>^*C+B1_JiI7B>6sNDwu1#EoIi$>ta zmfLYK%7j@$(=i&jv3ln=nm zyvooz^U3WIc%xk@o}ZI_jj5Re(jD3_yD##5&>#D52Qq(xSwoc!a0ys8p4}?8{S)H| zQJI7Wt)hV!9ptE__RA>h8z3w!uU5;l*}$gTP(g{BRnkM%o6<}o4I?^WYF1#;1=NPB zJbWrv6b5i&LDM|;o%N6WBQ6ccoL_@ z&z74O7u8#hg0i&pAeM6NQ@@_`f(`0`&|rCZ*#1I!1Zw1!0=S#-{+|eNQhB_2ikgai znTq2cP87nUjpG**fO3b@t#%woxP~7;tu>VCwBeXFwQNr7Ua6v(_W8#gj3YnI?E|~D zdF<^OpUJ_44&Zs^_LGC?-7(2|3@EoeLyu=>&w8jRs!ta(mL_xu!$1n(<0X&ySo|Yo zEW5n{2d|Ud*_Vt8HK!Gk6#pzPc0NHh^c4NnG!SB)E8wb4#RYzO$g!x4h!q`&(^pP( z3H6|q07o8jBAJE}zcezzmhwnJ*_4 z31YBQK{7Ouyl2hHF!s=lcXS%&>7_+HA~1V-REEWVpyUYFv>6 zuyC`-p0812(T4WAF~VFPoHid~fU3q2LdMxJ+DJy#E7(B6s`Go;?tDSev|Pr()q8U) z5@)^69s+!C@|@K3J#hNAoQ_@@Ia72(177LJ#Z;ffX~amqVO?f@g=q=s0KcDbj zE3CZ)mZ;HarP*X$-hQX*dEH#~p5Wf3Hct{UR~%)h)ZE{=8J`t%4+vWuAR-lnkig7q zT3rxWG-a-WkUggDs#?U479Bht4jik>OWJc;!B1J5Rv@;2A@eWlK&}1hS^nRHZD;;h za8JzKn!yQ)K=1=bgCj?`r>Z_pT_{nt>N+dZlsz)0^~mLwQlxUSo7P-TXB}b)Bu&T( z!($NA7b+Z1wbC5kDqsgMyjz355Zlvk&wXQo4w)S}InFI*@Qs(~fS8kwE;@khbK33X14a4pvSsWcdT2Uphv?dB6!jBXdj!=<^tX&N}tugC602u0K zlh~yS%t+U!4)5kwG@$pNeYC~Kh(4Nmi0|rQri^vv+xw9h$=5u*&hs*2Kbs`?(!f}i zcMBxy<*`j;-a9*w;T!kXC(|s(y7g!FS_(5y{NqgjaO0J*Ng{J+Qtj6 zfP+)gISOusgVXG`<`7B4MPW`eSqU*y&?KYV?O`j=aNodnCHn~(dyZ-NS~IV*FDGF0 z9{Icu9m1~CTu9h&C;7;~rq=`vZOL~~jGw)X^K9gqY(LZ63aoj%!tht43jK2|xgY8$ znwfg+Z~+05!>@{TQTs8M8AVEqnT8vF_8TgJ#urI-Pcw+@=FwHt@SkyzB%;A($3XyN znZwoUr4u*Rh={V}vS*{#SE76O-0}{r{0T4p#oeT94>FR(DeC*dog`qy!rz@6u|mUK z9yv9-FOf2-Vz7`2ne^%oTCfP5MMgJqTrnA6_gmo80 zCn-ci<`*2SN)Lc#s694@UAx{%v))za9B)^$!KoRrAnqJ13Xpj%C$dGfjL zH#)KSh$!yus9qV!Dzw82@0rjZI1+o^J^QB2knscEElTBXG(10(RVZEZvqqi^qNbN5 zOPYS4b=|1%GA#9YeDJqLY$1Ob2pse>iRzND5x5B>?lD1KIvtDdb=7Pk4`^51e@6R% z`ZWHGAf&()sZ3eSbjPQ^oi z2D(&hblkD75%>;}jBmQg$!E~xqh-EN{(DX+$o!*N*83l&gb)zZp!a$l--1Zks>{KP zeoV|`yEZP;*rg?}D$N>PFqo`wnKd&ox=uRqWYzLybD-fDmaIAQKfW?aBC(q(2z_6t zjjJ*T2$O^?2vO#>o3L{E+u_2)%DA~&=oUu9b{X+WO+e4e!dKXs?2+7Tw`syVbMh`JF9Lh1gRHd7Ykw70y zj%))JUd5ZBrl%-QDmesu(qwB?=FPSm0eeaDql)!}A@ek}A?oR3DlDvug1snSNowHN zC=ZwRR_kQY@%&BM9LL()+@bBBjPUUsYXzYjNFaUOfDZKvdZFt`B-Lq-whfomEFyL9xVQxTgCY%|hD0-L0z>sFCRXKGEld)}Um^{?Nc>%~ zjLn7KIf%eNxD-NhvijL%F*hu$#20YYgFjIgNOhJ57l*&zkYu1&T7w0jWIeeN#O!Yd zHG$nNj;4i6_nz@zOKPhdJ+b}C&J)^I%n~G57NPySdAg-3Xf-H*mASEAa>!X?VCQA$ z0BR21e07QaC&bc~m!^H@xlu$aIx8~P_=X>n&so%&04`oFv+4n&97DTi;@L5^vXldN z{`_oUbg}Giy{r&UpjF4lv=hio?~|Q4o!p^`J>}tMzJ4An4n)SBVdfQrxj5*gEd!A(a;dK6e6Cy^nMHe#k=Xhn{d;6> z7a{>~#-D`$bCmr0{wYM<3-&*eiX2|!IXmlEQNDGpwc zk9o$0cxenA2GS<^Xc~RN@ywFp*g@+DXKUWLshI;TauODbOg{(G^|r~3&fMt3m&_9v3oQQ(Yf{xrp%a;bbn0BmHRSROEBIQUp74zsTCh16ac_XmY*sg`G@NI?j+NyUTT2Z{6+%aq-ll)#K3e}C z3wTKrYIKO{(o;*>(AB$lWPwS=bFdII!$y(^ScQn!Y$apM7vSvjV&d5u;= zJ`jaF-Qu*T&OnEgKXJC^$B?UcNn0$#eXjadAB|QsP2jQ^IJc+Yrn4f!eX(s3AXkq2 zC;+vE>PssBS`*LNHJ!ym{^^Jd~2mBVD+pWAfwr2 zV>ZHvwfcdN#ZRR8!%b=2Vs>5MgjKAE2u0d!22HGxRB^&!Q)fcPl>IS4aQTV+AVlJ~ zX3(h_s-b4WKN3r?8Zdk?zQUlxN8&9=+6gU3+RTDk0o12@qZ>D<7?fi4* zhJh)y-H7(47!_Ph3Jy_6oPg5;@BE1$@)!%ajp)X){M;qZ?jsJK4q4Im#gU{X*YV|l z2LoAG;4zB&a0GEN$3(_RFtBl678vPcy@b_3%PA|o#qZferR};mo976Ww;lGn1nT3K zm<{6h`(b~Fpu>L;?S~(rxMqyllw6=Ep~g!p;w?EWMk3H+)^KjDaT?3DTlxFbu%x=# z{&{4OQFQB%c$dx}APHLjIfDX5ur_eraFWh9wCE<>~7n=%g8RV zQmpELwZ@^1&a9P(vxTswHCsBK_H(%cJhPgDe78j%ioFMv4UJW!i7I5(UG-y4K}`~_ zT?Z41q9^lHQ~N<#%3-}#%sO*eD7mnQU`xz?buNDEpY9ciMQv`}2hAv4?V+;D-H&axI`7{X-3W7qGmD<&2P(C z@)!)l@S#Pi{jA)_4sA%0*>0z1;OE|ha)@!YnUVDk` zhg8Z)^ncpM;adq`f9xu`eo3l52{z$i{_~R*W5`Sdx2<4hn3_1cWF}uzjSfPBJzc>_ zNy)DKzSOWe!&qdvThvfz%6Wv;L3$r0z3fJj3`Y3eiz0YbZ6 z@I3qF0*W(d$=Dk`u6DF1E%8grAHUBPVI_{a#n>s}le}{iGaZ4G;=>aMJ@1%iUS3v} zgE_n83t|#Gf!t|sXO8^SKx}OJ*Wx&1VW;avK~a zxjvZtL(E_CmL*zjMPC-ACY=>&d=O})@vA=+kU`?EyYfwK z^E4usN@2dx7%Qejhy!g5Cx=NzqFH*fA9;QPJDl)U2`3SMU zWPYGMKT(_}L%Eq4HbS(?%wX0rTVVt&J)u4^I&S5?0V+-k#{*=zJc^V~IYfWd;(69e z-3#Vj2}+N4SH{1Bt|BxWxpO~B3e*$-k=v<2? zp2E$3a{Je`0u_(O*ps$2J2}U?&_o}_rI3cff-}? zDJATD^6zwYz7!#;jPS&_ufr)((eRV_W<}yj=+O>r3b3fgfttWDe;Ju{5|Z>#Z6o^I zP{^(fjnzp>GroLn-NJRzqf5DqYgl5$dvdV+s{+=UCXLOlo2VWj26| z!W{~6cmc43$qm#zhL{0nqtX}bY=Z&Fkvv5SRc;^#hSX2LnHMFnvB4@IGaV9SOIr3! zJvyFz+3yJGU>2e3o4z5Rn#;5x;2+axU6R-sIg1 zT9;bAc7`R!r((DIdYyOMrfu4hWE*-qy+)VS`B)?ROk1*0#p`PDAvIsq;H3d)u)$hi znD1d6@e8e+Lv1teH6hzO6+$eYGhoKqy@-7#%(T_;P65+UDL`OS8_IB4<9-d?D}1kvV?%|x$F%1hXw{CCG( z*muYz!%oK7_)XI>h8ka3#U;UHq6g`aR0!x~SauUhz3=SB(2Qln(?RZ;BZ7$zDMB6x zmxkWd&|mj95o4&mjAzF;>8FKlaRYvw1{+_V@_p8XRaSHuxB@Mk6;DIEIJY%gkN6Ha zZ7X1dil7iiqAzJzWcgJYF|gv5^6bknlP6!?hbNNu3FJZmrRAj+>R$a5((zEw| zmRe_}D@_?Oa}5SeV>f3SrC}H@(cb|V_Gku>do{=Jk~HOg#_rA>AR0O@{_N4e;^m9D zLVBWc^#I6fEI_!+z5nRwbJu4oVEsrW;akQUg zytRYTt!0>jp+_56&NdM}R$pr6Kw=nPeu}*eOGDSrmx7Kxk?9+8s#(Sy4ZA*P=&(bLZ0L(}=+!prmJo|?dFj{c`~7zWz;%OO;3 zN^HEW&xWW_61+t*0{~Pj7Cx-;H=kYcnffvPt(r&d6dpIaziX?*z(RvT`n{X&BMWA#|Mf4~ulWUl)o>TUTGikEiI*FZlA8G>Zw2ElmJClK zL;fN^N%3l0H}MQAJEJEt#UG|xX!*|A%RogJHoBBi$v|8NhC7dK@lF@>$a1zA_^L#< z`;krl65{4MZ@A z=O}7~EYl`bch*73@&9LviJ$^sMj-F|jRc_*^9>H3TgUUcKo$YF>~VZoaUjfW%**^? zx7vXRCpJ6>kjI@U#Ol;9vh>A?3VcGaqElz~!e|g<{V~hsx=iug_61_#G_A^Sco0k6 zbki_s$W3LTDHE%MYYB3%}JYTnZEdB&h6 zH$^cjPLhMLg5^;@zUAKkK5xa9C$x zI;8UqT;j#!4MtuJ%Id=D* zOkv?I=Mk3W5jZI#H~+}}$4dCwRl=h*6czMkAee#}OU|UkdU%)t+aND`*s}0=cW>b4 zO)odMqQHgRbMNNmcRrZ^sA@2*(^RIbh=jfu&eLKyOGb_MU?ZY%tJ8Lii4;rxH zcUs^#?|dL|S%3mX2KM2Bui~`Xk54$keNIBI#9y0Ruv4A7P#|M86UW4sZ`X@v_Sam* z(0M7vXoV|Sucz4+Lr2MqoCymnR>d^QiUr)rD9uz*fN1KdDXWL*96F59pyD}gMST&xi416ciQlm5LYvwa}(__Zj|Hu{GKl=#8 zMgucsv#;KlC+`$Dr4!IV3PvQ=!Y9KisW0E5LQXneJ{yf(XapSQC7cYngoZr}D|YG( zhRW5`nx{Q#>=br3QgUE%oRqt$Ncpfx0_frq?{yT*{75nS(aximim354U?cVP{RTtH zPh)N>5!)msmungu!*#Wm-Fq50E0i}dGtNpny-QXX_d^$!FznN*lPDq-r|(4;d1|b; zs-$S>C}i)$BRMU&7;gLfIkvW(fBT@pZ&QsM)h!T>=OTka5d_87_be5kppfU z%2SkTytOWZd64~f&TZ4DK^85`-vQIAXkfLR=G|PYKW$Ifs+xfGv2eV_?=JK%^n9&}4II9TbxJH%K zyUu*?ml}*Tj1-3GHP}~Ekj)ojJG_rVQa76D%C@pKJ5ZO363JL+S(>{Z4(Pw!O+lEB z{N-nXys*-^!EnnIk@|)vE&tVEqx0OaMwmAb>vrgjm^dvH5rI1Q{5);VxlzO=)m6)Uinh?YID>B~<#q z2@|Cj?zNTIV^7E@?)O#eWf}76lmhz`(Xt}zOK#w1nAo`8TQ*}10D~*!q#piW%qe~( z+qzQDe{8E=d7mbdL0Hg_1T)@6n=zp6oE}C3k4EFLJRW6rBrSTA4oylZ2gxuk?bRkI zU~(CT1lXVVOCbF2NACS^r$b7F6mKGQHDN+;O6ltGf!uz{6jQN^x>BjVgShI$_7?mN zJHBa>bwQ7m!R|?}r*@Oy_?o7LV0V{6bC0u>WvziCz-9}W8na_?TKdMszHYjehO^k* zB2j!t=;gce_+p4GgL9>_he7$Qf$B|8o1-|uCpyPbQ4A}JO%#3v=oU_jTIHqNa!vOD z!=eAbfy2*mbioYz?j(jmkv>`jsOV<=_q9}QIdFdlM&0}Z3?$jUQ-=;;_82Q)ZZLwQ zca}W(u7Z3!A3uG}>toHvO6J&cIt7ve(>!#_aA8po6&;q0f{#9EX;yw?r^s{tn4%jo z-{n3!QeQfdgM~Jg%U{MUCNcm(gniI}hl`G*Y|W`u%EWTp_3L!-Qt4v1PWdqqCjEP3 zY+<^$rT2!2KnN%iVKHNf&%yvCfdHcZ^BAZm&WI2s$61HQYWMe~cjZ1W(wyoq!B%9O z%m87LoA{P?`|y8S$xGJ-<7i43jql0JPrr8DMpCN|M|eteVHD%Q<}+fFRZkC%2NaaC z9s4@&X&D(ymZgtwIRtQ;nLB--+^k6&r9j{BieW^$qVMad-}$umKXxF3|E||UVrY_G zF~K`5TB$GgdYd1+xgLN(Qc4nLbe`G-RCP^?YKSh?r07}5QY7UZ;)quF1jysEmxh4v zV;Ye^hR#*-Y54W-3fuY58{z#3k4@kZ3EjPLaP-!^*l?$r{qMml96XK0jMX_8k=^Xc zajkr(#@B^0qmwfpNMphEYF#ThRDM$&?33TL%?R{`a_3D=tTnsh6)Ah6dadscYT}o) zd!fyF<8OMfY`7SHg7`ArIo=Q_S}x3%leUFLt=L!35z&AQDhArxd$ixQfw6Nj?ok;_ z?3PKzrCv9jvbset;}-aHk1Re|8P23khc;pCheNreg&{v}BQiq5!O zVFB8%-)wacWz0plN5!0rZp7vGdwDY_nwV}VwqozU%DSlTXbS$Ti#%5p7$YH|+8n?E z<*dkk!xJ?M4mNsTE;jmGh0fL4gk%^CG1hg#7%cVW$9u!w;oxyaG<@mTCgZ3awigxg z_N(H^7-~ruTzUBZnq3Z%3qa#=%w$IP+~5~oEfVoxy9GD|?W#o#7((dx6)OV|&C1`;S0Q#iO%;ZJIL{W1-d{p(JiTblHRLf%wBa(=82i46E?LCK3l zSXj%JR_j?Yl}Bgrc&SjxGz@u$3^*j~*VioC`#mET-N!_ncqv)>cZIE8O4a-s8|z8q zfu7H?_z-A5JpXx;2roLZA(m(Wq471ksjX{q&~b!6-LpLawfnOnD+{xb3h4NGxi7bB za|^p9_oFWV>jkKmp9K{#S3u*CGoGPiS%LQ&>2<)K;5(J7DJ2D0u^S~b`0^<-#Gf{H z2i{$AM1J=*nq}k|&st3MY6S%S%?>!ej;A^d^dB!>nuO@4m!R;cI-HjRE_nLF4Sg+H z#Nw^^NLIT_*=flDUAtwxrkdgZL)%*h#o0z%q7ev|1ZmveJp@9q;O_434#6#0a1ZY8 zG#cC?xCIaH?oLDZeKY5txp!v1Z>pwhPSsmf!H;gB_kQ+Xd#$}5tt_e#e(F>?#CNR$ zB1EUA0X>n0#vhUgEUZKwn+BbFP92Tal{7pkUB_ZGl;b(lDr#W#nymW>uaGvk9CDPz zJ?3~P<~!27PJ|1;GmDI}_iBVhW7b-$sUum4zoG9D{1C5A?_zf4fhXT5B)~9TN(fhM zR(uxvwvhS1iE;k#%S#-T5p}4jMz1iZazdX(C}|IzYH07ymKE`Gk&*b?{qCxEh)B3h zr{5S@vQs#qAw6F4%;fHO($tq zN+igslhzz)IhCsVEj2AXi%#CqqjMZ&Bf|H)>c9s(WfuhJZwwxK_=e8Ph*>=R$zG1| z(Rz$97%U8mR}VBDt5aZIY9n}=J2UpOA?%GR(*p7*g4PD{W;HPAIy`5>nv>1S)j|J| zx~;@Py}&$^Mll!vaWj#O`1-R8rK}HlL#ZI(JG_ftEOG4VcNe_s{7(ML?raVFe4&MVhm#B)WOhVVxKM!)uGLGALPCDl`!#bP@bmQ0u{b(L zbxx@-0N8?;jM4L|JSHJhWy>8zviDhkP0zgC>QYX)+@gAGzs9VoLgytI6rB7_e677T zfeFN{(_gKxQzchjdpDd2KW%a-QnI z7|C5$jds_%nKCEbD*@Er4-Io#zpOH3?OE=EnIyDXJ1UYWN1U`0YYy7#RQ4;{_e<& zL=craf~5LnsZPPLoHkiAELhi9&BS4IAy?$pkZYZ7#uekXdsoso37=rtm26MhQM|Yc z5N_sI&w$cMS#Sf|tyub?)d5DBjaXb=7x0vM_g{j<2}E;tk{lfSRpny6&N{TmPgfZ3 z&Q_E!a&*p~R@O=j&Hl?Wp$>X80(&W08${w zjy>DD<>(t;3XJ?&MJYuj+~rA+W_Pmjjc#fVhet{qYp>2CXOx$?%mNMf0faq%kNQ{o z-kK2)p%tnRp|kc)_~0tKcGy|rtWzm4L6QouR`CAf;ZqnL&L(P>!n~-x^6UnQ;u_vy z0RQM!*Pp#!PgEa1vhOI3?`ewG^V7W(Tz&22w|HjQ(MWKE5HbXaLz6K8wci{6u6JZP zf&*5XYEF{hnzhu}HWr@~jOJ_JFrzO7G0FyD#Gz?9Y`*Hr-TwHhhn|`cFwWQxVA9M* zs32pr=?f%h=Rh(91p(9jcgr$rw1zefif;WuM6a8|-)IZCt16=hKWiI(B%5!s!4A0Qan4DG@U2=fPf~Eo6Qusc-&ER>D}Q{TU}g zF@f%QGb`0AR`^1VC!V&KjW;cXoe(=|IoiwaR{Kuf=(A-ynzK&(`Ai;qDxKxdHJ#$( zKb?6<+rNtly-WV?;5Tw(4XO?)*C8l6%z zB~EMG><- znQav=2gt~PGQy2m`G_d9`9_LK*d5RK2$8ilPiO<*dD3fd@{@~AkShCu9Qx2~d-LM{ zpCP?Oml2^JfYKz6_WWIhjBcEX@EQx_6ae|{y}h0*&~=27?vo{Yrez$D-1+~;bF)^( zT1K0qwihA<&_f<4NU77rg7pukd9q}R=6AeTQgN2i^!(%5!zvE+iefx~B70X!LwV}X_ zq7xE`1`<fk{NB1-WiY3q**U(~SQ6nuCzR4o>}SG`I+lN;@D1x@+76s; z$a|k;h*zL;DBWDdoG{(obj~_a&OldT7r9-JNCyDWvL?GaOWj96qZ?=Ls1OR+x@M|L z8b%h%U(Xw@CZf1zwJLRGLLWtfbW06mUa&~Rxvj2sdYw5dIkQ)-xloxmM8?>trD2osCdB{CPCz6|iZg-&n~In~VTz(HhPtxuV$V|te&%=nNtUlP>z?;OCS_`ky0Bu4Jb zj`cidZfU53NB}d|kL&IBI~hPy185*RAa>l2Y`ME5&qI<}cv1o*{X(V=WT7DaAjHkS zJp~_nW+=#9cv}@c$DOb*aFe_ztxUQ= zfs;^ad33~yS|$cPU8G}6j>2}3qioUiN`K2YFWs03z-dQ0hEk!fy`tlo%p{m+vr8@; zC;Tl-JZ{lbM?1EM`Z!NPkR@+}t=Z<;NLEfPM%ins2MLqs?eKM~mHUsYIE)_nVY zJ+Hy(#6Wzei~U{V*H+xU>H%5cfqCkh8N24zx7vt;Dw0qdTqA-M&Vbu5Je}lU@Aof0 zJGpuSrzu9oTo;*05JjU0s%ZQiB1&C`LVzjVhh_shVH`{?hZA6Ma8!q&Z~AHSQB|p= zTW#>T_G>j#PkK&01J$U1Mf!OgShw5-1Iu+g2&=L6vd1eWIageKp>=PRWr2ppX72=d zT)1kXj2a=jjN>}}XEkf*#J2b67obh5hs<{j+de0?W=*SZS4x@2))M~BA0ge*-_FVV zS|<FZ>l;TXk%kbd4wXX zR!$n}*&2y#dNOYZ?E%9FLP+AJv`@DfN`no9SeZBmgE%7=KGXQrR_< ze+cztac67CW+mj$ja6y4n4J9SilXGk5MPbY8bk5G9fyJ~T(I5kdpbiz6l?m+?p)3K zl|!b|;WxUUaWQ(`lQ<#}2~ga%6>wd&%+B#c8$_+~GlB)HJ>OXmE`k<55`vv2N?#fy z9J;^<6J=%(gtb=It+aKN(a;{F@TLcTnLac1cvwgCFYAyBoTFrrN$WnEXtbZcJ6S0& zjKWsd?<$i*O25<8O%E(&en1h-m3l!?W1u)?pv{RZ=soh~lwByn?^Y677x6Hp9bk`X zG;@CpE#%2LTMYIHgFw5!Hc9VLcnC+Yzp>t4@86c2!p_xNqKDm$sJ)hsZl5pyi%9c{ zfG;Cp^vh-j9Yg?%|L7l*AOp_Tcj?bWX04iOJJYiRUCQAPP$n;YiYbH3y zfeu3q2bkq+G0IeKo<(UV(o^Reg(7`q8LK>^kJ}@{TcZ-{G_as`YsYFA>JBNvdDgj( zw)0r?($4G3dUh#=y9n@EAd**?!#yH{&!D zmMeFbojQAGLe{9d#V=ievl8d;?i`Ni1MZV7<)n4C94Ik|BFF}#Ijx0Ja&g9yn!R3$ z(>e~6)~`K1))Ja?e!Sh3u`0lP7xS0#d;DPBsP9BF!IRfxh!;&6%PDBst^&Ax2_>Uj zRr8f>_E2lodV8&6VY&uFsMop3Hb{l`>{xMYd+5jPMvyB#=YO@g2G)zYZt1SOIOFKG z21XD%WDbwzchdHG-mDOD>zDJYwbkT7B=d+((gZ(-q!(G+pDFctpASKX#;GN}-Ma^p zjBN23Y0i{5a|!g@l-XPCvSr22IyrNjoqd()u31w@CqL?y2qSWNY@Sz~f_8W=Cdarp} zN)RirbUf-_7+%**+sBK!LJsDl%w1--Ys?nI*2+JzguN=?qm1cf&}utfe%w9vsAOw( z77uR;=t)YMy!$azo+ot|Jy;itq$);BF68*8xk9P)9Xh5b{b}NyFQaH-5TwyA@i{-g z)(_&!-Q*%&7);KH3G5xB==>eN z;71J`82lDQYN}megfpjR!lW})o;Vd2vFPccrCXf6w^y>U)PPMi7Ph1(BAmTnN zdRxZ&<2UBd{&q9q!qS-Wtgw>WE%@a{O{KH4&lfF}F%{1Ohh)navF~ zgMKts`#!i673;B?Y-8!eNN_mZ6B)69;+@h*B&zI1H7)rxJa5%@xQFo zzw*1l@l)i7EKVd%_?$ZL-1))oM)aR&^>t?pRH2Q2kjCRN1adLcf7hyVrGL3C+4uSM zVfn(r)mta2xR@SaCnM{yeHZ~~QC@Bg0V0>{Q{6<2toynjk{vTxrP>`r^+j2j7lol; z$KY+~FHn%u*Q|+>>r6?6KdH2kH>Cs?Y-S>ogoYvs>u4PH{ifE;3El012i5i@A|Awl zlRJg@GEEu9Gn&;b1LRGTeV#b(!fga)w}kj@aR)A*fjDkcKBeV@v9}lzw*fY?RWh4n zGT;iObc~4XMj2vhlbkr)TyXbuH%>H~e=n2GO^2I)AhHE;_QkwW<%>>WJit_sJw>`e zc*rXCGH+!{kGhv1^&w9VMd;@y-|T*XcC4;Fb|C;`u6YLVzRUeEgX!6R$tWtSsu}Hp zjbEpXS>2pV9gLoh2TdhxP>d2jvjS}3-ejj>4{jA+;+|T zqY7A~1YVuSVyYSDh9NenCIYJ=J(UtK>SBQ<@~HJ`5r3#`^I$bVK&=^uBJ&y8i{vu1 zRy;Som^vzj7w9le#yKXP@do02%7!p_X2EN zke>SFpF|$EX#^eyUq3{j-A7;6#Q0P^t)oH>9?nAqo(7+%x<@3xKuMNFMDBSyuXuJE zeUBQ$5s^uD?oc|8QB@a3PB5hB^R0 zlE?#$`Q%pp^ue*{#B0sU*uda~QJ3t#JS%amh>8MJGg|^_!1$^ts7(R5V#lQ{MOZYG zMv`9rqE(|h9BaDHlkRhEzn)<3d>ns&P>mk*h+2WOByX`%OYCIW2Qyok$H5cGzR#U= znmg3Nk@0u`P{A;k@Gn|RchSvE_Ho0ceM!NX0Z~4Vi{Fg*trEA%J3hMEa`KCX7~NU7 zY`Yz7{r4_*WUy(=kG}YsW@!_z$Hwqz~%J{SKcgP1`4-96`ci6VHpadK_MY&!#BeS0t@k2#BGmd;mGCy8-1FL zi1OFphlT5}h1uQX2S~95DZ*G~*BO~euocs)23id|UM>O_Iq6%n4Ofb!t%f%>s(S7n zOy)+&0w1*93-Z=x)2c1QB|gmq4QEeE%T~HQi(9#7mYjYQ4tQnXOzc zn<&?4n%5*e9$4%Pw99lIgnw4hs=U?|+ehg5)HcVt#E8aW-R{j|h#CWV%rtehNW{`g zM+=?1)oMIY-plXlv3WdW%t%|=G2p3weQJ>7)H7tGM?DQV9EeDKVu6S^4zEH5Qvol- z<^nGS&z!cIT_{@iE7^Yz@+xYF$E8laj>`!@Dp+=%8-60YHWlUt8g?IdPe{+h{sS&;A(->NEzUp;m`3Tjv zPXsml0Z5wh0D#dui<t@~kNkRK{DsNPp zkaZe&HhNdNT4{8m1y ztEz=*+odvRFKr9`!T|1_Yv<2zhIMn+tw{#XD{z~1->}#=oXGrLokd@i&Y7a;gq?W( z=nK+R+XuQ<`nRB_uxVxtOio~X4PB3tvcexQi%mj%>#3mmx=D<4VW4)XwM`F%)F^bd zg0W6j#jvvWJDEzmgqAlK>=1(^%DA=J z=@OQsG@TZNPXxszgG%3)`3q8y!BR-A@jbCPEfv*Nv^J6`x>Eas-q={2Jwge=zg}vH z<}stqdMD#ZO=iP~JY?Qfr|-JVW(NDKoNhqCV1HxdcGzq#^|I#)i>x zQ{Qt>MD9aX<6Odj3gRz0vIaMPP?DX>><5~iW#|=r^3(VTfxtr;I}8<8S5B|=-ak31 zYqJr$ce$evnPu2xfn`dPJZ^W==lk8;>uM$=|4X>KC&Bq%arxCfb)i0Wfe3oOer6K5 z78X$PJ>B!I^6usG?ge?F5_P7)b({yY{E+v(OxT(Cd!8RzvhRHEqrPaK@Tu~K09_gA zC_DOpW2e3TKsmYhdr&Sm_Po@qyU^O+2YR*B&f+sv#p0`|9EZIMU=taw`*EI~Q=T&p zxsYu?w1;(fUb~>6t(x$KUv;U?xRjY=;h~V3xJ^4O$AS{lnyoyGW}3VTib&AqVQn=* z0_giB>kZCL3j$ikCGFZq)O8*77qbyT8C)YiL%WnsVBH`wL%!dtBWH1;NkKXj zRcoC26Ix-`cq*!0t}I`UG$H*nk83Jl*uEY51bxhWUvH_dlA;poYIV_lLytjlWl*K6 zKTShx^Vn9D&PJQqU6^C@!g2Bs)t|`1B$v_4Kl~))o0_P0fO4QRR~qFc2N&U&ge*0! zi_j-`J@`P`tmI~Q1=MyXLBmi)uE+Nfy&WIu_QEtY^~o{Y4~7Iq80k-g9>DcEgnBy!9$eikd*F!I`#dFW!5wOF57pY`pZwk>BxY+kT)r{|nc5=6z4*hwYz!+0VcTPZJ1F z1Lb zG{JYBPo{~WtmS59pbhI>PQD(`OhRKVVh-py)N?=xL_`AIET-5cMSt(gC8A+ULFj4f zL+AsPaYS7^O%4p4bWH>eT{PYGd3uBzxz*@ z`(EZ(VKwXYG}V0+S~kzrT07|2u^OsvM>%v04w(HN|K}0Tb#>O$-i;92p|h6<`8*(= zk?u5dHR`-?>=MN`u+ZYXa&g?}t*i3st)(Fb_3m?t9p<7i(NN)WKCmdy$=or+_ft!i z&fFE+2HikS4?2HMqJW-TK+d4T4d_rUSAj333(B`z$K1_l$fSdv#$NnJa?2hyJ)8(L+e3K7x_5r z>22q4_M{TO%Pwq*qa=)Eg1?v}iQMa1@wg;!*ZL zi$tY{K5Zgu3nyffi0SX9e3iGj-U@pm!d2>x__@Oenmtjk96?>JPN*RApPcLp+j_#B6`b=t+Jo%CD#Mz50AU#msP|2XLC zx{u`nJJ=?8lm%!@YWiO+kOiyp@K(LG*VBK1} z<%@T~FoGmZzmNtV!I@pbFzTUfvOf79doF3Vw^|!dMk)Q^^c-R0!)JTc3ih;DiR6F$ zwQD>{xuOptQXiEq_}2dW7mCJ7WWM=mgB-D!Iic=icBJ5)yH<=sI3nzxD@t5X1UJxhM*_Adei zvIz!7&);*-7hz+jaA@vIe+de@+G~gsMv4E*M>Bof**$XJ4}hEa>$)!vb({cFc}kx|+@vwk2Ma;X;ljR$!L#LjtK;FM-Ur-$M}G$5gX3t zvSg{P)=Zfj&8^MXZ0d@65CTrgdhRSKKHAR7oA2^kO)~Q=p+-PL09>-6ICZ^V9h&37 znVr00QL)xiv=!$Ae)?x=(J%8~bfo}N$bv+Tbf3YxW zD|$~kDf1hwLMqEN-p;#1mc*^QHFflj3$L>Sm%nu-Y`GKG5soA*M@!!M7laHoX#B|i z1QOvb$@&pjw6!S1M@L2rOjYL+K5t6Ic_nv*m62(S*UBh9WBLU2M4Koa4xK6C<*F)t z6uC})#$iv61tEhxqWPuqg$LJ1cZ?`-1arq{gCp73w1{uwd-KM*_H-TeseBgw$$)D% zQx=qPBp$T#1nieMEceesPk!?pR<#SMEvU2HEivdGr)mR7tkEo2BatCs>YV0RHE%VY z>UKQK7#HcI%|~BY(ks$V!wmc3g^pPkBe5N{$q;`g50}e~G~0I50?fZgzS@IFZ*Ms= z$M<$-QGF!(gF?U^TJXV*Ywp53!3f~CyyU^RH%rp-lb=_wi+2SHW#so*Fzx+cz!853 zNS2UXF#^PEGz;mz&uaTwkJKC>;1&>WZ6+Km5)Q zDphosYE-M9<8%llKo9awzEibklvl_=liR~bII4-c0(yY1ELDeDP&yviiO|*B7qh^^ zY<YMT~=PwJB&n9`mgXi-Srrm;Eib;d@S|&YPn*0h#nT$jEMO!F2i7=*P~4dZcr$ zmw`i@eBfj8IM?Z_Y=?Opi;$>4W$2iks*vLVzMH(5*eA;YRYi-!((;kaV0GMg&$@xp zK2zgBr)FUK-+(jR=S0=64Gr;-bA0 zSzy9^`5K#oHMb^8%mOa(8#+51Yp)d=J_?+LaFl1|>7lx=D!6Rb^)4N>c9(g!o$PV7 z>Q;W(et38I%;NZ!T|Vn3ndZX;U6~*JE7BML!-L@8MY)Fz!F@xGd+aLOGq8sF|f-S=GaWg_pYY% zc*>zx@YX7lk*UvVW#?+ZI6&yisVU_wyV9-GnG-=-^pI?Ke}Nd3c4eBM0^#J`T{OE z+{2zp5G7}Hwe2^MI%-zkaO6;Gvs1Pz_-_iSZ4P9pTg?Df7>c= z(B$er?l&SpDTNGEaj*(3_B~!v@!H`!^(|JQKqORn)xHK{)pfs%(f2!(7BTldkuRxr zI({6%waQJz2$Qr?x**h(}M}c~9JhirAT&n%)KsHlHCrt)4v- zKkvstX?-?9*$Z4gkVi1g^KoH-|EK+9VD!Je_J92RnE53OB*Hg#UD^Og7`#$+dg~h5 zdcw)~eBS%@ptN}Zce67_U3F}5P^$ORk|I0pW)o?wbu~|}Ua?kw91^1r$kH(O*0e96 zTRjdPe)suZWd9Jd&qL&-gA{-Jj~13=ohSC=n(A1}&7`n^9$)?F2tvxkNJ&0SZ$=|A z0&84*XchMGYZP8=p4|_7(*ECAlsUf|3_O~RsZUl#ITmvscWy7x>HBs#J*yR2*yy3{ zU+wgV^Eh724_wN%h@axIx}kb~J6^2jzy@9N8nc9d3i~c>Wp7tBq1MVYK^}pe-^V)m zR$VSbL2zRjndyz6&MNf?qP-`Oc<88j9yFqO?DJ{qJxj~nZP$EX{r~-E4Ye*BS zh%09#%0wLd?wicfiGm=)gM9M?HMn) zVL&?=dqVp(rKjT!f1%eA-DAd1^fo=IKF>jgBOK|lKg9;4s~)HBU7d!qhPSqpkAtoG zuT#^Y76M~Y!*ftkt-M zBW&!s!zfbP?RPFr_%QRV^g+b`;S~Pw=|al-!GG-T8BzD)CT28a&0NR*AYy;86&6E4 z59ll%crcnSf6o8j)%Q95{@wNZ23-8W)xPz8I1lZg69ow7V=RB``6_gjmv>ud_ZM5& zlftM|1Z(%d?n(4d27L46x8R^aj)bn>{%oB3i=2*mc%7Y{zup|GmZ_CPs_ggw{iXk= zO4k@DREV8CF4qxFzt@5iqvQ6mWe}l}y8^6iZXC$WdjY4bsasfHUS9vRg?@lh_Q>V) z%--aQlmW}@htgA3XED;%aN4jb z>m)muduO){bc{#OfH%J=9RWtseex(wTCC?wJG*FSWDaX6GQ&+vi;;T;*{$hp?&c`* zraMw+7xy1a@oWXQIsqu5}Od+_mCh3h|PL>MzdH(la_5v0I?K zRcUeg%)CV9;{Cy)gszm0xLcwX2723A_L7YtK2WUUY*jONDL-fmwY*sW zWivIBZ4~$B^XvM-@qiQs>}Bt9rTPpT1=FC?>e#D64~>iww)rukUQ)#m++^A1p_4XC z^vnhB%wj#&(Z7u!1Wu|65v&c*F~m?^bi4~AL>kb(|5*-aH5rp>QkaOg{!s&b_tpE2 zJQn7-*)fAJBXu}DP2(p`g%n`%8%jOG+eJp-W8m~AMIUhP{f687f zWS*4#j{Hs_6s7mdcp}a(OGYjw62oXQr;i+8)iT%iyDI-6fiX75p&0kCjNP$KMl2$r z^&6bf;W$(G*c$jP^fBn@L)k=jvUkxE-x6H8_Y`M4du{bYWz9+FDxzRad4t%p!LV4J zQolYiuMG)ZBo5q{7yrw%o`l|Xf5K8cemF~D1^!bpd9Vh(ewQdphSo%clmQdh7wgr# z4@s+X{#MCzma_%hh^eZ>tPT8^D)X&t72_TtXoSE%C)RgA^iebCzD&LSu;}oMA=-SeVsBcFKPl}_x3c%arcNY0q^^zqUZi0o1mdyXv*I4fM%a`T1uDX;W!F% z|6PJ?qsQrDvW9+%$>fuk9*CK~Byy9``0N^q z9ZifCmK!{`X@nV+#udDU`4D}X{ruo034^KD0n0e(eg1W%Z2Vkf;PCM)B=>Tsx*1fjBgf)pyi5nX6mQ7q3$5- z%B9?0fYHaXcH@p)Jex%p2hhSb8kCM48wT#wCakroAF#(wSO|oOoXsdwL0DoVJ_|aR zABxo+08eQ6HBMiQ7%_5+>pUS}JyDL&MvjJq0!o604Qj<&vbIm&;{!&8;F4TDBewex zb2i?QWuL8Grbl01-dlQUw0BALiSw ziUmB=!*{QRVM%5B4-FycB){Ii!h7{B@W7!3`>Tmhy8Tk{ZN-yOVH}3&ApziRA6w7C?roT}*dIwtfRPps$n z|MW*#y5DMQb$*o$3i7r+`t$LRo_;cE2i65=$&x>evF(@Ko1_a>dU}d$BOyn66{;w{ zw|d(Hy<9JIKhp+81jNXS?Z=$7j3l#gx!Pq#NZtgsh#glUZf&w@85k1AC{_hG?f(>I z%e@-J1toCSg2}JaDPLp4bjQ6m3*2g=iA;j^R=H~Ut8C&a*>ngJUhMv1`a%5Y`TWU8 zn_0dH+{`a~yha(8yR~;@svl!sJSGDeXK+&KV`5;Rvs;=LF;$I3aP+c4qK+SoP?0CN zeZ?L;074NxApEL8sE`y+m)|h}JDM=JpF*5+*8wOsTD-0@Cc%Wx)#kHVlD>K;Tn9ci z17JE8RG`+N{H-Q0ZAVZL9Qqp1|-mBKr)t$1vFA0LV?UcdOYTSlkaYh=G z-gAq-h+m{a5lS3MWmJkH7AUXLaj#%Q^r<_6ROoNhe#CA`p&^&Kvtl8a*|2^ziSYR} zIIJRsYZ4?V1lTb!fFl10%F$w*v(a1oYz-_+L+u@DMv!F7_Hpb|MRj~PXu9CPxccZc z{k{!L*!ku~PMcwMDXmSIeE;wx6E3*<=wb#5ek+^_)xvzmC@zOp_l4d--PucdYfc>k zoR2MnO_zEigWUC$_9&~$JkKQszdKwuEOv>OS=81AdWS4w~ zbOHYN=|bq3pSj8ARRNOMP`8q+ggRGlHtiQV%U35s57$iI8SnH12D0F8p9FoO4Uc(q zwSoctMlkS5k)? zgw8}x{s%6uk3aI)F5aa1^`b7T5YfK8r1*DMWB&svxy|QTz!T8&b6^IOf?Aayq9c&|oqVVu9qm|DkxMExA;|N@m7ZoBwx$K9@t{0!dp8gv9;0OKsMxdo z8)J-#`FEJt8I~kjNMZsFRn^CI6?=}7;R)-|h^&Jr9%FfZwGu+OFY84}UliP@5fxt| znjl?6kZwHj9|<2t+HXI<^Gs*9QE04_eb!UwpTu{w{8Za-L{Id=xCRF`%|T(4l-dMR zU8o))Q>}v(z|P|as59`t_nS*zS*8t-&b-Z%db^V*&r<$Ffg?;#rX)Zp8ymYcNxUzB zr(lOh)i+n$Bz(LgsCYt3mHPCAr875e20wyez|R#{i~@(UltM2mqn*~^C;FUK6PEfC zXBKBCpd%?D(vk2qtw?hndDH+rQ1)}LoowVw;ArfQFW!icf6k;NitPpw&YI08$s563 zLTv>=<&Vs5OBr$CnJ?M!hNX(h4qlPWK9WGC{9w09NwKcUF0y><`!&*!X-K-AldW-X z&635acX2HGzmy3B>{rRF=O1T$AAd7y((IQ0Ec^PH&qZU}TKYbR74aDKYOixc5Z^T% zmN!~&n-PS)JRc7o2Q!}?+jq`+YKR9gmg&kEo89{GuTpn{t z9?3k{AghhmE~{nXr|oxop4U_2_NvYn2>ox?HNH~(#OQap2hLTs8whHz}M@d%P-N7MyO3w1`=0ls8T zJ+#!RTNZX(c8>YwwkDeq1J)#tK53lxGLF$xFTsZUVt|AAMWG1=O|gH2 zB9@tU3~dyHmG9IwWaj8y=^B9|m}|1BRkU}N^Buwx2cl!=Qf_ySd|N2tclRHWBI*Mq z9@zDZ1A5ru)QHdBtb9PvQpGv>Qf{%<;3QWoD+w_u< z>sd^baLQ69BS4}mDd?ai)z-b=ChwT-gjmG4;4D>fKDcIND`{z}?YI#|cc)`x$T=g* zr9$T!UdosT>&c`fW6BZSf6X=QD36Cch8IM1HX&a(oGReC`7lO+-bCNf6w{3&BHJqC zAoqJdXx4`d5yxQ^ofIT_ve3TG69Qy3T#IPmHO7@uK9M*Ruda&9rNz@=s@lNO&~T&cmV^l5e}j)+qDjE}jtUig>&u;G8)#wkx9q5jE{K zn;Qor{0(ap)u_HDPs70w{Cs(JVO5K`gMoiKisX=?|5a(qCp_K8+TWxqd&T8%Ny zcp5HvGZd*i;>3tgPRW88*U#1n-<-WB3+sGOlw8<#bo)~3V-<6=%|qK4Hubr5)yse$ z&BqM;`D8o>0b$tX*6<9MDPiix<)p`HOS%rF{-^_sf!^SZV~ml|#eFq}MBw`m_dcN( zlPBRF7+-zJ7hrpc;u#TN0VHIx6w z>-C{4WajL>h|jyj3|SooLev}Re{~IEoD3dNt{4(#O+|i zPpIUAr)b5h0lW%0lLxPq1b(+kOmcwGqzIV7LrBK_NoZ!-RMF`0fhDRr(R9k3*y%&fk6i*<;3d5(?acn#RJk$K|S|yKp`9#;L%AsuhG8 z{R!3H>Z(U*m9YrhGYNb6iqS}D_5We)Eu*4rynf+Zq&o+sL1O5Xkdh&kPNloM8$n=( zj-k6jK$HgQ7`l;=5|BKQcRUK#U3B3cV8V*E+M-idt{)7_u#!J? zN-{YAdflG={qc^=t9ZYE`OJVH$fSc)9m+eYV*CZE>oj5NOlSgZK9wTB(X}fsgYf)6 z7@j1gj_{`d+sIVz{d}(6)m6WQ@*gq7?pkjYUvirP9|srL)Ue?NukZD+k#ePXFWXKz z-~cO|v5mn=oqvCH8Nl`acLZ}8Q_snGG$|FPDD8j+=clLp*7YW4Ur39qj!sEEnz z10Q|U8|D6Ml2O<1#^%pn^|U0+?5#-j^euj@OmLqv3NE`876fw4{@W$I?Zapm2L07= z#M3X8YVteCFg1pqHX_gLek=9vojO$s7?+j0beCF^gmYvtZ|plL7uo zz{D%i(|#eHb$-d!G@+_jOlhQ-G1p*M)2^~><^i0-EI8UrxpqOhPeO7Z(uk1dXHZ=e zuDFjD=HwdFDxE^x`;VI%u9ka0QHG4PE#{BQ5DwH$(lWU7BEaAgIZP$scUR0wrSxTI zJn&>pYvktYGLP6fuC=n+jTNz;hXL@Nw0fiW2090&7;FCpdlX;skYjS>KC3@7+NHvW z92zDhd}8X4Eiu(&(ythyiQ=XvU0{WHY0|;_9I`;+ty9MmsU_u9-zD+$W-(!E?B*ow zTY7FqS;|XkUrUfvO6G9^Pc6CtfeAiw4Ota?f+XMoTBc>$;OC+^GnIaGsn9Mi<%$$jgVSHfJsN!g~&{V3RS*$*` zScO3WGn4LO3N9k7zQo2~^2L5BMVU&M63Qdnd+{-bLU<@OfZM4-N0bZ-t9gP=@lvEg z$sqhSJ=e6T=_Q^i4^~-tw~htGx>R zQjY1}X{U#oifNeqtDm%l+V}lj1rOhiwVIcGm$TjPR_+h~^Va`HApUP?@TVpE-H-A6 ztbr}ZFq%h$^{?&cU(PDL+M>u~WGM+B?-fD#8F2V|7)`*HC)r6(Y>-dQ?{82TWgtKt zRVeO$~@xKKm%R^l57X_b;XVU*D&blVISAH!H5VwqE95`E{`+ zUHk8=b$1JoO{g%wulJSkX!owoQWG#aklj#a=Z)qD}SFL6Z^O~(y>g;lqk=#&KCF3 zgL@0~KqxRtWov|x$J!*%{b+=9d|8;9y@g2{7t?wBf7jlZ`l$NeKLnvq7~M^c^GjVHElNL z!{wDCB3>v^uRKLcB+XczgN7aJvZ_Y8LI!h_i88E5i$WS`BV3Y5ni-Xr!yTdvshVFk zrz(&S^QeJ+vQJ%^M2vJxN{>AgNM1f$=^0UL71E)!M(Up)*kOd`>o@z(C~uAP*_bgu zyI*%FZYn>n1B+FH6-zfPLi?C?*UztcSB=@T*%FoTC|aHE?%XKPU?v)=KTvdgg&fq8qgAaHt&um3EU_y%sjufv~kV5W5et{4x7DX1|o@JIQ zq*#8_Himo@G(&;&X@b&G% z3PK&J@B)9_jYsdYsKTK2**8f~WPw2TvyAhLJ0QLc_P*PrYQDcF}QSR4wKFxR28iYpvYZ!YC zi)+Taj!p_c?7kLibG=j=vWQQw?o>3l#5o72rBzXG_*3?a3qLc-*W~tP4}Ogp#4d@z zyuLWlHo|XBr>riGK2KBvsi~4}K(|W3d#?k0!}F@t2`mqC2hlNmB?}V}S5Xfs@U@Cw ze;FI$#~$hYpU@im&B0RTN%1Ac7LnxaG6TT|`s(}{9<>bcQ@8a@m}HPD&-w9A8wnTvKDkvaMstcl67AN!jpqBaO88*B6gH&xRMyx>kDZ-<#7ENmVvo8N<=u5)aUv z$`=>Vv7-xb!2yuWhmMPN2xaXR5r(fz9{R914-XUFMgv=obv3Pz`I8KyXMHESD*0*L zZ!q|3Abgv@XqiX&ay^eQ`9ocJ1oy?pH!{_fwfR*dBX4k&7RJ2C3S6Rf%GV(;HmTob zG^{CR4q(t=rCUaOC?kZ+cX*r{rv}AB#Vj@}P%!c^(7Qw8Q!Yb&m|3TpG--E+`*3tV zMzj+1EZy~9-1VsyK`-pBP+)gPiLWySt#}IsqfoDPTPp;d2PvfRiE5HGqgTlMqP`^*W{CRPqC)0$0ACrzG1q!ab_UO-!9qrk4koRS537XhUU-^ zBmHF{%KL?Pk8Kd}$n}dgqB!ukyly~$$KuROwP)y*$VjsR2QhUIxf0do24W9eUsd<( zotFRe-rpnz`dmRyHy@n}Rvvz>v@e?u;30iQ37YMAI6v#c3;5kYhK2g%uiFdQ1a;oB~r0|+5)^*I31_V#<9Jq9=)&JNb&rfOA0=}gY6`!%5gs)aLzJSNzqADfA?@L&euHBWR8OMiTS4c;-#Q_V&pq2~o;++5GyC#41x%lSO? ziw@IUN1PRP-!K$>jUU873lR$4*{cd5Rs75&OgD^~{V1c)Gs1GomIMMtV7J+GO}jj| z_;O9bVz-q@!6IVUGPMvM4B_Hscdj~^>v(ppBbK-~>}M5uLedseAUI%fMkt*KTF4sg z4*?)Al>`io#mr245|VG={>4RBLL4Nu2)h@}w=k}v#LK1E%tvj4eP4QI;)w;ewoL5} z7I*Jm$(F0h0MQ8YpJ5Ez0u^5-L^7M?)B~gX=pbCHzrjO8XvryX-YU*v&`c=n#p=k< z9eDudrmC{$iCd*f|H9ww$nex4kv za7^MoL@%!Yw49bFnJ7hvCvBlm=EK13RP%I$eN8uhi>hDhpnT_nMy!S6X!-(~ygmQjP)xr}%*&S>CdGwo$(VxCkRK zb2W?m#yi@In&|aT5L7XEG=A{x@ znp-WT$z%VTE2$Pl+!2jQt;&QP3nlTz!Bob#2b0FngDjj5(v0Qu8<|j_WPcudsO z^WcoogV>)!9zEVhimZ3xubJlgVuOnLlNC;z;jQ@Nhmr@TYVXy`)@+-XJxk6iOUJ+G zX5R=p*uSgf|Ftka+oVB}c*7fGvHIdLj7WLRohxciWWE5GZJPKnV)VJ|X~gz6?TA`t zdG;5MJ&%|4%t?(?7`VjQQS9WK&EulK|Dh7|ONj-T(|)N|_dB6c0NuYk;T`4RQlQ+f ztl#g~rG<%NAL&LDAYbr3LC3s2U6NdJWC@CPR|ciG&Q14H4kJ+SbLQUPQsVJjJ~OFY zET&e#Yyp^5^~%MR)`Y;h5|a+nA}A|%olH(-Hxt?zbHmYZNe(o*JfX+NtnjCA4Xx>U zF$*LUpAMbV5=A>$9sJCffJLd0Fb*L-Z^%w2kRA3;BHD8u&TROlpZbfHjh`r20=K*l z;n!Yx%Bf)+sXo5=5Si_7qog6`yW89Piu=n4oz1XB6}BOwA?cQ;^h;jUcG~SJrMUDP zs0&!jwckaGFeV`X(J%{4LFyo4U+wPLOV`Nj_^m8;Dkdwt|8{pY$}>x@78EVhoHx_H zF?o7leaa1@3V@UP3Ydg|3r{m;h~T=0J{wAkWXn&P=&_L`i3lxCQ;2bO-lZiINBS6T zK-q;g)mEK_@K*t0kIy>z_)RhFt{vkS@^EQ5=CzKpJR>k|X_kF29SRbhnaM!M)KMPT zX6GPQGbxlzmVX<)p7k0ftSgvC0GD17=k5f7(7QR2G5bp`QQ(t#h`2vcbOb+&MHxIW zy5HP)NY3~fRt=v66zyCG@{Q4zg6=&{$NO0k?N9jG*K;caMy3e7zv9g~Y)JiaG$r-* z_1m9(>adW;S%UnI+ES_CpMBe|!?Ol>iT|^H{;$7{J&~5X5$z-?d)LmpKZKh-kLeBk zF6POMeD_#{4S{8UiqkL&wTaV(5}7$P0$oOVzz`Xioddf+Ys}`vZIV|&y{dx_6CF!B zWNU5!9dmRwe*6d-2J^7p#)5_Ec)?=7&6=!lxo-g?NB^wmSCDy(ua*gU6ABcgr}T~f zVnGIm&=T$J3GRL&-dqOyGkRgW?uBgC${FE$1FsIRJ-5$Jp`KVMuqGaF0%Jo=AtsEG zCQ$4LB0Nv+T~$-R3dHi*&PPnYpXH_H_ablx~=uG3jv&-FXo2D6(c-; zDGtjkE|*Jnu4~8~xk3c%&r6gE6fjBSs0w=vU)x+?9fKJ+eK-;(vXna%|kNi+3D4SZ8wXNVw#fCw&pZO?vrE=*hTm{gLYz(ORs{H>Lw z1(IZR(j^8Y&$I?3FnXU1*pPrgpQPDIh)~sx)A!%Nf@^TOeN5maL#Fza!AubBU`ZY9 zB3EhgSXW7A=8>YztO*W=qBihzO&9%$MovBNm$jbw!z@~${A$}VtZT)0WGP=YT7ymc zRYk*GH+f4?61wasW1k^pOGwi6?VEWC9IfyuWHRA{cdd|A!|Xrvd{ssE4@3TC(w zMpeP5Y;P*jcKE1^J|4GQ2$pMC9Sx6@y!=<}t!f;jPT~a1eK+vFNo;rXB=FQ$_a^A_ zP;RM|82RFP(0T41XY)naqmN3n=N*Sr;djCNQ?W9Nf5#dAqhVu5zB)o%M?(rr?gYqE zgC^I_DqNa%)V~aee-u4IPbG~L!g@E%Lk#2CKZ{?G{pmk;ww_LSE zL@olZ=jD>e(t#fA_gai1``QmorOFiKiwUm(UtWc>o`AchH`Fk%Er7`Fx0GqP>ruhOau^ z`Z^(g2yNIR(i9O%U0XF#alH1b7@9HWBHVNLtq#SM7-y>|SU+^sEJX_iT9%|*sk6ii zOKiRNR|_tUBH>~fVdr$6HC<%-$yaTno%NfXw&n077&4eN<*bs?+AsNWqC6SgbX!Ei99bd2*MXugIefx%rrRxJxXPTU8m`CpGylXT1_}l4A z22+}E$cms{cRBKyO4?u!3kz6$9EBF=mm-l4d_{p$H#Xq-jh~GT4~WQ$l_AOZ&L=&5 z+eMsXD^X`_BXqSr)0hW)urFgXjr_O6`iN!*&i~c|2wGNZ-82tktIIAqe>`}rzXydL zH)AM;RH-(ib(MvqP4S)t#J|*#Ga&}m)WKK8y5ljihzisYNAxl0!fJzggLFEoWKvRb zdTyuF)(xf0_G+~+n31||>r>iaUu6>?%{MC1V0rip|2rn|^9KF%{qXF>_Z4x^_b@=% zV3b~%__)n%H4--x-|7t5${YckO1(o;FXi4RD;9;Skx*#jc-%2DX8~t--<cs!6UEaoZ^5ZF3*+l^+VPN5hSx`#+QCuGbt;u|~ti&4F zdYTs(r_H#q`hR)8gM#!K&fi5Tst@p>fsP}h<4%9`Jo?n6qQ9IsHl!sRe^#DIvNeTu zsuYg}ibRv5F#I4?n+MM(?9LxpDk9HBT@FjgBv(_lHY7jxG)M7*O-fdjyx|s-d1-x< z5?#l6W@SpzDwDh`(h z813*@#QMXP{z-klDj{#0NsXexrO*>9wXmRF@=FQl*C@`yf_@1#Ao_Wa6Vgr=;B!q{ z93lxAHr|!0ud05u4ZqG>oNi@ec2}3=dxy50hk~Q85oPSzjseD*PAv6y^i%;h0r?1wa-7(qb0{)K3>Z(cvw4cu^_9Q6D}{4 zE^8f!2FMZLrk7SpCu|AgSn9_SLK2B;_!BuiSidHE^J~h{^*7alS+RWz>YUAk5wzUc z6l{yeEJ>|-+;jv)q3+pFCE3ZKAxvP!$}&wpObjJO?FvQZMA21W$@W%SzS=x5K?Na{ zvgfelPqeDAXvBE>@3!M*fe&h`1D_y?SeWbnK3h(NQbc&4XeV#zcp+K>Y@#Dkm^!MZ z`4VIka2Xiq8JeUm*-2Fod^5yHa`kSFuzrh_f5c|QM><&ddrafQW(D^nCX)zgPw@6c z(&yilb3xq@@hRU}kuN9m(w9cg%`tAPdv6RBDrO%9i|NBJw z-+CMx3~6Dy7PKt0o|~aH$rNJGuMG@azpqLpl*;48SyvHeadPbKAkw*(&O;0js-(BC zv^k@S6gSKzRr_4r<(&(=yby;dr!qyjX!k9*%OnJZ1zLy-(rbp+vnsIB=fy#S_u^bk zltk31R&U(3kjHzix$)zWqcGOfEYr|UBYBvkdCng3RlC}1Y_Vj5goX19Vs+cRTg~Pt z1{^mjh@OWE!;g%<{a{zjtPcGCNZ-*H6YcQvrqzFd0}K^D!?;QK3jjhu^Q-1FKUB9(IoURuwLYV7hIQ%oXNdbr`|TfQl$j^2saMA5 zfurj4bbv@(n5DNl9OVzR49IaBhhM2JbZ-r0#=HJWgJF6ZM7b#B={S)cgW0pn-NdmpFevLSxbWrx7cE~AR;7xm$-3_ypR)2&yIMi z6JD~`r70^!Pmb#fK^RK|oC^U-vl$7_KjJ&OTp!#**jn}3R*&E zXa4+sU(=4C-%+5yx@t;VpZvf=Ri!VDB3L2)&BTrU1DO}6Zj5RwNbM*rX>{`xN$Rb7 zS{zf|(P`!g!}R(s*a0dqqd;UHbr3~{Yn6#QCbz!nQe7o%AVkO2GK_I>5}VrkVcckZ zBl~-(lUHARbi@T^gw6W|`)?-et@JWBV=%smnV#b@)$e;*ny(GL)3$BQ2BTRtUwY=+ z|4_CkdmTt+v)rT3V15=rf5d4z9ufW`Ov)waHxHJ)U$!#&g2Vgv5b4AvdHsIC50tm= zwJg_v^q-!@c075!&fifd?M9iBY7^ii?5ooHS}x4s=d+AogOZ%UJZwM@ec!VTK;J4C^8+ds{FmpKlTHmwl$Mk;ZfFBN9>G3p>%NriyOLdl)f4!PRi70 zwp1xOn7C$C?NsGjMNVY~`nQEPKibhTmrRv5VJGQvKJJnm+u=Fpi5Gx%$WUyy&Jm|Z zLSeWOx1S-bAz>H(%~$o$yL)yTN&koKUeLoDnLMprzt&lru1Bn;!PG* zyCTfr*Pakz!uq_fu1ZG@!<6+^og0-?vkiHNl;_gnTe7S~qdlduNdCf}$zco`=>eaR zJk^S1FbT1*932fQRQ|0wj4_3D;T)baI_}Xzd*LO>)qRk7d)QNC%XU_yo`|<6LLZ49 zqR;)}d)U6e1fox%ofMMcjC(}N#iV$OVq;<&jKD_&?yaEfs0yv2hzw&Sf?1{m8D9ym zv%7Ds`_{_DSVrvu2P`p!tBT+#q5k^ig6@TeWuLmT_VMW$YA0zxduaPDq09eDwTgNn ze_uX{sXK3aeNp!`#th4St^08$ry*!a(pUoD`@+LWW{FMDZz1^_&FIzZz^&(g3#hDr zmF)!4Bjw&7SnYP9(I8Xe3r!>Ec&}kJ?^m?ozIcjF{Xd}*!0Hwq)?4)9TP}QJvm}R5 zZuO>Ef#*M@sRuxs>Nmd9;?mD!D=&W*kr#mrfH3M1tWDh*Tj1amMtME(6nY^npp&;v zgaT70Bs<+K`k+2$4rAi0cZr4uPLdblyEl%P8&QH?ynIRs6i zDbax@%bp|NK`a7uM;{-9{IfWUOGr0ML5sf+%btkSFAxR+#|nS7@jz`MarK)ILWQC( z1zFX3cWHNAM=Ri;t%U~@r=Fi*2p0=`9*K5fWSx4t+Z7E&6Y!<)Y;b zXa928i^?oxOF&3|rJ(KrFU7koxr~d|xt^F~zgEsJC@fK#TB|mz^S=|~pb}x~XW}Bc zlylCDjAm3V;V9u*GSw2f0#INU6Sz*A&# z+MyO+r2s6azHJqhnI?K0X3`Ag{2-inLz+D0l>^;pPqhhH=xA!A;TEQ>V15^4V&W7x zbqE2{)&`7|!;EeW9sP?UoUHHV!4LBg9h>}06&Tbq{<|v^v!YgXKJ^TXtfWb^cy-;u z8N8M65%Z*vVbiI-t$_7(Hc}d9!>B9OMaUUz`5PsZlRm?D}?`Q8V23+*w~?s{F;5 zsUZ}M741Mxvr4)l%xiwKGZ|?iCH0+RnEem0UaHLdr4gW!+bNLM6KyiW^gk^TG#Wn;tz)6)(+Z+EdrbV{Bo{ofGEGY@B{a3DoFx=W&8_Jo!VFgPX7&W zNN1I5ySDTA%rhc=NRw66Hm3rHjOyHeL>7E~)tL5lky6=z1cHD8Fdq-HxVX>C`#*XF z0+O0mxLLr14#1-zM_KuZ!T~w2@zI}g@iO`wLY(#|DdHOMzU)#Z`EL!LIR|S zv(F@eB&M0TG)?HX>-Tx^>{SXIJo4uG%=|xba^wCc3Sp%qMyB!h$X*As(^r|)}I^d{Fxr1shlPp zN8e6MQ5O^2@^rqqD#wDfy-#-g4eRGRw@fT1@W?RcaL`I)9`|aKwW}lnHYx#KKl^j@ zYvbaNJYl-ei)Iaeys506hM#5@!S_?FBDPyGFg4WZYSkt46NyfcgygZ5z-fs@k=MB~ z=QY3FCv&3EQL+{%t9JwXBoFT+mX1^h*BlnsHMIbmSBd-%ZQ?hr`3%|-7BJkc|wMx z&UQ05}hs_FWf9A(}St$k>JqHfq)csYCbndI4+=L(UeseFMO9^Zh z$fQ61Gt^%}BkoCfY3+8;>frEs>U-ad1>EBgjIJhK12meTh`00YY(W-KayLLOJj{WwKiC1cvw6a7UVnF#(y(?P3Do@-1OGv}Tktd^) zOCHm#sj3K_jr@h3l}vyPRs}?`H?({@<84=6EnLO z7(-nWgr)n&Rw)_3CaH=X3LO!uQuaAd>;3EX0q!rJQ`RhE#eDWZxa!}SwT1{1m zGNRO3h*B+(JFn|iFN_fH^&Sq+5kb^8DAhW^MHtEmD2hQQbWs={=c3j_aJTp4&;axgrqv>FD$S1(}=0w-O`re_^raUM{%f-r0VHu@O`884p&E6N# zTe}xNhf12hD^W&@B}W~($`X&))A!{sl{tUruQ2F?VOBL$6~x$3X$i6-t_!JQe#`aT;VD$c+Xw9e`Q4;A3p;S z6F^OOIjhrDdxJqySrhNwW$vsH5FLCV7J&;t2_|Ud=A(3HdF@Ux2s(B@&Nc`a$>-syq5rg1V^J(jLC!mD<^XCD8k*d$$J!$gre6g~cVuB-gr= z7a^W3Ek8#pN997nlQ!ol{cO+>pTIRxA%BIJ+t401hc~_SBGvw~;X@3mz~0o)R;Y_y z1)iLtzO^ePU4eC0QBiqd)|^NOcM@TXPl_63Qm+g=nRGP`Huft{R>~$UWC)Xp+*jBH4wAJC^k%xoeF1negauW;G@;mz zat-l>$pTI)-;h1R*|HJ(*UUWU<0l8>FT|Dpy#qPl68)425q^xLE>Z&&;9HV@idC&uVwn5Ve^6Z=X>^L#);)0MTRX@QeJ+UIaecA)`0xT`uyAL-T8i+ zRI`-$>NNY#ni^Ubv+YQ4^|?0nvhb`Ch5iHWR;K8#-n}56N~zxnXX=#hG*#z>&)lhw z9@nl+gzQPw%_oMgX*Pl@7j^B8E9n20ekW8zbPZ%PN5QKOq>th1HSaZEWX z%L=9RFBSR+Ds3_E);44gTX`tk&t5i_9@O2O+z&;8D!;a~eD;4lHA}a#m$|f(y)cwd z6ZSl)C=aaQGE}yPsQE1G7!EBb;(L8*Qael`hVyx{mWa`Sb^u@Xj86~LExtMA6Dz@7nc&H zHf231l%8|LEd}JcKu^6M$Dx2OQ~r)MA`kxM2Rq$#BfU%dc*uEbua!S1l4K%w%G)s} z8@d!XA~IQFZ7}m}&9z2Q+6=)SJDfPwC^$hLHW2!yQc#w6x}OdEw~SStubP)QnG4Fm1EW z7FZdH=}f|fl`3kZtC;)Q85ua4A&?ja$~aUtX>D>;mi#yS!5Ct1en~4@|D*V-RIi+t zSyO;*X@Iq6Op2-nV7C2X!kYMOkfGL?8Nc3 zSQt92+GXnMmfwtJ7@_Q({3iKtuqYDx)*vxDx^vO}jLNlVmg*?`JqZoD!Xm?iwUOvlrw5+#h86a%pROS=T|6cBZ-U>;no%@gJZ*1>Da6u$0tp@6UV8`c*nb6VZAr`chRO3 z|5|87=w|_s&)rvz9tSu!-8M2GSvdSJRhB0OzLBB-z(mS?yg=I-a(aLEj-O;v=z1)- z;HJENHKiebhH5ANY^+R<`SOBz678k2aAQPAFjNG$khG({%mSC z)=m-ds+FTjxYE*n4&Yvl@aK+1i}=LE04R6lDqA{f{KP6t!@1GXb=oc8{RFer*%@l5 z#e-r~d5-gDENUcEhIYdYhNUs`jqG)ONA}G_n7BsR=NgrDOp1^?yfZNOBVnEmeH;n+ zANkzjF_sw@S-rT4S(|t zV_avg({d^XYpa?mSE|#SB6Q)T-@ybnCJKx&|51n;=SFqi%ZOHPc4sp^6E~~1SIavV zfTy1mY@@6=aQz}zA(cNx4``Wi?4hmrQwwQWgU>s){00%j6d=2rn5^CQ_|yX5c#n1w^gxqaZr)nDURQ|$O3%vwr`y+jo+yHu4*y1i z5MjOS2zg0O_`>dhmQ7PjDnkMj^Sw_ZO6X0rB>Q$x&^7KB>JwHX7OLfUR)36a+$xGRQ;wBO7@%5!-)5OfsGV51=V4qrd^&KNy!Y_vyG_5W zh?bq+cP{`WjWBlZ`fb5>rv&I><31+=p?u>yuCB04@L}VvV??S-PLlWL#$Ytp;0@0B zV8CrcofI^0?a?;fBDC;4Y+gGWC1ogGfEiE+4F6vQCFE12beC&IlG7_;7McU1N zO&*z6g_z`w_ed^Pw+td3ZqbAc(C{b|#iz<)%UG8Rtbm!5+(^*3HlhB97Qu$L);>BJ z9~(@$*s)K#X+5TzeS*|1?~U=>Y%*KJVoMgd*BG2frHc;I6<`w2Cyh7&@F_-teC zqhx}+(?5hRd^u|3MG;g-jyLN_$cOtC5Xv#Xz^*|^_rO@WfCkG50Ml>fSzi=vQ8F^I zeEteH(J`?LD(&ibBXk4TX2tD))+{52Btc?>Db=AN&j}vqKWdIC$qF%XYr5oxV@joe z{>e>3P@~FCLW1j&NI7Kl*{4aR0fP!R%ypyyQl2`6`c!P&ECqBTQbbu4(rRPnviD<+ zaFmN(%`QOF9Oi}Hm zr|P7tThMu`y5T!G@I;DA##Fq#Mb&V7A%pdY+xx7!Lx%a)A_1m1{}g_9iWKGHOQGzr zaqU3&VNN%WAK@7{{_e@Vnb}z!?(}ix+h9SN%%#U`$--Q&Wl7>b0|qh+Nje1#B>+(I z?Daw*X+(si!cd@CuVZ_vywAYdK0lwl^t@oF>e%OeNxjDNm=Hl|6);5yAiAB7_#g6| z1;GWe@1=}OWSl!@i>dWIZ^I-~k)bmDO3L4N0qHBO#Z+mki%w3~K;aJycnb-K@hLkA3fcH#gjw zS^?phq*SvV&Ky|`GOo2qQ(o~&Rd3J@-5;YCI6Oa<MEe9 zAy05n*;y3VO#;@Hq}{NF>#FJ?rwZg;>>N>_t8~|K0?DOYb!ovPPO=xH{r^xs`Bza? zkQmd%<5gh6j905eoE}TX!_Iz3%+|`;^KrRFYe2Uc+_`nTEU6W6KYLlQN3}0{J>ggO zkX*UUL&N)57{Akz0I>WTO8!Zj^(uck{S*l;veVA+l>BDrwS;fpP4w7bNdv&6*!k-X zZL{#4r0P$Q@a6URR4;RGkyWlYeV+X!{SjkEr#FKcZ$=XJ)1gRdN98lUH>M4 zOR`YbwtQHhu7x*~DT2vlLJTPf9E7bPBl}R;GZteYt~CGiyK?FQ^JkZCrpi)=)#wkb)$0<$j}^P1mZBh zjuy{DJc%PLJWVO_%sm~ zg%qog3==kKzDyGVMdV{{H^v_0nZUoz_b)Mo6`iLo36cdur-d-Dz4+Chw@mP?1fucn1>$c4jx23mbH;NF7kK?kYh- zoWhor#CG?O0(<{Ci1D0>v7Sk-Eg#q??Z-4GvzSdy0MY>b`9-Ow(;G$qkpig}ypzvK zFvBe7RWTx1h-g@_x$~zy?u}tgOd@)oV?9+KAj*V6ZOZ|l5%paIPmDv1EI(zert$#O zaTh!^K|t|#WRxLd0DT(-vT+Phi`83gT((yK@{J*uVic4-l>GDSbXden#Kj%41AXP~ zX3SsOigPEr{&m;v)E4vOjqt9={(;NO2cU$6Z75=bI}Pz0&sI7T7Pe^1z!U)gKVs7{LI->5g8XyR3RGx^l`LaNB^w=Er+0S~~1v{&cPvj3`-{39k_ zjQ`+O8yzaO);WgbLKrRU4rJ6239r_&v-sb+#1lJy{-X9@G=UjAHTH#i)zjxa^-L|8 z;P^vx-CZvL&y zBaAmP`kf`?oWaaBwNn(GPt-imEt%gp=*$h0Z4*$dl#;`C zkYC7@7E2-e1Xo?>0Ic28_puw>jnhX2F*lmzxZ$BsV-o3-gN?cR`~^3tiwoW)6X@PB zNSeS2WqtCOG)8H9lhiwwR62g1XNK%FD)XdVv7mp|#l>WglW|3~Msu45DWbsCYgeQP zmEb+otVbweO|52befH;*GrWw-KL`5d>XkLWXsCbb)rLaZS&4m2q7q~^pfGkm2Jx-N zWlc3Y1x&WLtZ!|}PsI{A!vb2Ajs_S~PYzuuOt}~ouQD9cq}pj;5Yy#To^EBYYrZQD zh9r}GYMfBK%jz4{<$8SbK@Q`!)R){x?hnP#tg%g@FU!_yZjOcw(3tO8&}$fDgmBxS z&8YDFU6l4r6gk$x_Ut)A*7DyJl~3@6I+1g(`$)g#iFrH9s?xtawF`tKC!38c-CC0% zd&YO}EHL`s)En{L(+th`UYxvryS?vz^Yy_NPw&;tU$GQ8B>Q`6m^lU$t=kmt3m^{9I zH8VbfG^q~`pvkbltJHv@z<|+HoBiCXbUT=e;y|36z?hhXmq7uq|NAVDWWF#{>(0c` zRSn9yjEa=_3ic$TRr(uplx}h?3$>qcehh~q6e%RE8PZz#e1l4(bR&yHWb_Mte4baI zvk%wL;o+yJ2@-=&F}^0b3d3KU62Zv>MUG^EA1stQuFjlIONUZCrU*=FCY{$oet{6KwDb~WY0pESH`2eB1C_js# ztWBmx+5lEarO21of06N&tF3K*(|L7G+uePa%VV*)Y#!#S9d5OS&s7!0G-IBfKZNmC zaUc^EUw__`gzcMjCJbG_KvfH4gzDK)BEuL?AXrqaIKI-|;@|RAiY0TcfwpN4CKSdk z{`N*T^X(HR`U>;>oH53hBV}=Lfmk*LYbGTrs81MwOGBSQR=5}gtNT7GYG5z{(Zl6T zRLRUgY6Nt$3m{*9mp1gEsY$kw#=tsa-&gnfvUi`%U7UH7Rjmmk z2gJQXfd>}N=NU%jPdM_rSV{bV$m_M7nX;+v7=fHP4m&%0bnf-s^IIlwBSrDB68U#6F5;QZ&~LzAd4p_@Pre)pVbma9pRm&M1lLdCcSco z0?4dmsF=y*?`V_;_;diHnf4A)Lx!D%J*6L?7OJgY*t~rC>E9D#g6= zTj(u(JP@&dh>A43-C+8OkBecIR zy-U{y*ggbcDi&Ua^;1X9>hSj=c*gX~-pxpt3qT@@t1hkDA?*$5y5?cR z*?_EOP+M*Wig);s`?rG$-RXNq&glv0ziuyjY^!|~$~S`1%TRa+x&Qu%0z>ft1z!}1 z=F)KqpA~fN;XJubGW`*VqUyAqscY$p@o4^V@mFRC zPeVNT>y9u5-c&9>wPx%J$3x4`<@2p;%vQRarS@a&n7I|VzpDY3f5QM?*zSOO5kDXj za}m0`5)L*8hox3#wisgDW;_+kUn1hK91-D(>mP&mfFk?^r^1;XUBV7CCVh7G_OH6` z?`BUYffh3_{=WOXl1)egpBT>)$xAGg0HdkxRoVdcHmu4??bafclajGdC`4BAc^=HmckLHqfD> z_*I!g-5G7XFO3VXO`KfRwC$Q*JHXvPT$$eiTuWcoC&goix$>|P>%)lfY)YXW(Ayz? zJMT=l(I{X(e(+B+8l{Y3ZM#RTb0d;?(H4z-1%xR0I=VRN7uR^9hSqy$vPhdic!x!p`rHl@14!LRVFL$jVS%G0=RrckqJ{P)@4B(I#??oDz%lfL6d zrE0=uD7#(vzpQ)WF$KSu`om)Ilo%^jAnUZ``U#PWc%3~V2}77yaS?%vH~5AAN537l z-8n%o;i=vE(1GXiR^Px(j(x!Gr7WO~!;5Mpl zc;g%qBY5~xM0gG7OY7I>ZhYvN_^DF=af?l|iGDbHUXm#)|4Kdkn9Mo`M_wD)o z8_LfZjP#t9Ns!}b9FcL zXRt|3uf_$w_0(XOc^+NAgBK zr$fb{YE3op*{69BJD-)eAwT11qR$H%NzOa=J(dQoiU@W_iIaV!+&ft*3zz+}QQLwK z-rWz1*c*p(MXkv3gJfd4R5z1+O0>srb7qto@V0TrqkyW;cIV8TNFmHGn4~A6#-|eM zWM4e!hIqfEM1JUqMim=XS&C9aWakC3V_l4k*F$act`R3W6q)3Qs449@nVEdDqpGlL z!1p(Morm7S5~WM3*l;lxr;yLjyvfg;&;J=LZY9GIu0uKTTO+5QIj6pxJoUXhLGq^` zRoV%{$tD!GOq>hx`EpTp45eza)iX7Z8*?D)^H7O^i{ z2S7o@@=iL|I^|#xqX&n)GIMc>FEwuTI1!DZ{m19=zj|LAGV8M?&I5X0f-i|5?TuPg zIN26kJXF^&-F<$)$R^PNq^21sB3t!XA%wmX#GIFZy zc-i7stgV9JsL+qij4md@T|KEo5oh+!^6P0omzGL-4ihFchx@!KCvrrph_s|?exAu+ zbMZu6Y_o_9kg2tI?KwI{C5?j1CJ6f9@@r>*Y9@+V@n+>hNB9V-|JqpG4i4s2%2_;o z$_@uAgOm`MI}`yc)37kpgZz1Ou(&ueZkuKtv}z~5nXq;(2|73!|Rko5m+PaBPpBGFbds zHOo{(zCcp!R-SK-Sc67vL^WBsKA65=OUop#_pLZ(d2+LQWEZN$iV5ppUIJpXcYKS4 zy;>+@p6c!5!hhJ917)M%!mmH#L*{^cAZCHrYs50}KA<~oQ6XgH{A}PN;QJ{5*KK*| zeAERkroOokeskRDQ6ggecQGGp-e|Tps?Qfv_q$->P4Z1kB?)W%F@HzTg>weZp4s~; zLU4Y6=DiCiBF5l@+he_=4r9Dh?uIgbk$+tzm#Y~`XrW;qsW2p>MCnQxEA047qoN!< zY4H3V7o;PhW12B}PZcrwX@A#wS*mT_Qm{T#&(drSUj-=wn@<;B1J^r5@=mu5NT>Qi zOl*^%Yv(%NXoD<2aenr;Gc4WRY7=R&P@D%i?{2!Khrj*$PR z-nrj)1{pLn^zy6!OY&f_tOD#wh^}C&w&ks9^xdq~6PduZgVPe3zYMGNssfh%(^=#0 z8P8KM6_P@hQc{G#9J>3bqKWlU-cvufl2TOo*&U<6JE7K84 z*XjGCt7ZQNr{qv+Y!nnW-Xt0-%r2H_eISH@jU_GUCu%!H7*1b@CI534P0VayHXenv zi!m>HgE7bj%-NeX`jNOlqISR8v(_`U@|Wbqi=vgQIWlQg6GJSLcNb)T(>3vnFKxacY(5)T)~tBj;a>01F{5J(Ns_fiHcJ>F1Zv5}QBn}JvAK7)UPW;BuDzpwPIOI< zAqskDk-e=pO;-JLR?Wd{1I2sa&+y@oiT=`t$Orvo_{V!Iy1V)ajuhjlY6o%hQ8)1v zs0*0eR;yaQOZ|&lx6YinYnYCDCM=nVHP2{iF)!To$w%}yeP*=id^-|ZmizWTw1nt1 zb8(w(aA#UUDh7XwC9885 z*cogXwTgZQRMUeSB+rnr{&*gl(xgp6p-rxKD%0Xl>I_~mb+oC8m4)I_54fP5(T>G+Lu zI7B`ovka7%Y!sLI&wBu@OiDIdN;YiqNfSZLVSiTRHA;YjApgS?vneDnGQgoRwwN5W z0YMEdTJOFW`#~jFk3@2Jg`~JAJj8MswQoL8BUN;SBBPS}o12a3V zW=I(Kjv*CI-(H0~@Emkz{lK$8JLgjbUH+=LBj2k$1|UC@-zNU-SV8jf_j_IGlel$h z1F~+eRCDbC7#73xu7C(8SEKp$>yW{etG8xUmDRA@UWoYxQpvcK`qyni69kE$PodDa zK26X`4FO$}Ym?^%v`9h;swaz8CcdbQHr^JpAV}Jm z23+q17Al5U60xP2&mQ7i`eCR4PzL`g5YY4T$LrQ8k3YwOo}dqJr95KP5Fv8H#11k{ zacw2foiD|n_;J6sx^aXrpIQq3IWx_>Y`s?YY%E8TPGy`pcey z&pp)Mea4ug25ltBfWrXYc0~gn%L;g`(Qz`@%qTkK=7Fa*W|3We487#XbcQ5WSBQnH;N~tKrQz?)l}^{I?e%oD{Ty4XPthhIe$dh(MozM3G3e4pYVB zUPLA5oTdx$Rz7Vi{M_yTX}kIGPU%EJ#Gf>%l!U&ce1wqt0}nO`^JUMYhDQwe5!()bktobz{hKo*e#fR!jcM!_JaH;Er2`~^#;54+Vw%;lp&aq*C6X*&$I!Z zR67^9=WbhLuh3b=AN!byTU>7%dsK^=0VTmgrgj?8QBVOYgmO>CU0 z48aZDQE*rJ%((qNX_=l~Lg2!WQgN%D2y={X>lbvul)%{+5o(`D>h zy$mOEtpZQ~#+g?Z7YUKF6cD=?IcM>SvS@FJe${)NYDj9B@?$7Rh;QxvP=oH+ zwCC*lBBsCR9D`uxANL-{Or@DoH}ygrJP{`G^z z%sBYLjC=Qbr#8cHO-gn(e^wae>iZ_!l#rwg=~ivzSE^0!jW*3O{LY_(=NaIy7W$bS zx<1Dr{D{SmCOjc;CT&uCjYeN}?I0HWX3pm19RcX?lHi+@gJ5x4ipQoH;=MoKJ8}E? zHw?9J1#@+Lp$3O|FBdpaNCX#X4D=U953@+#dFm8R?LzVm1_!TRt(pY0F#b!sTJ<2R zdzfhr>RAX`DA|gk9%*G4zZRo|D^aWu+vR5J?%g1dviD(r>P}C0RF(fF`=Lpr!rz`cT>ajn+{*D&19>zQ5veHy z@Xl)IT^^26-YR>($Iw*=yCpzon8ete*9pTv`*Xx`^D^m(%y?bXt{jclZN>um6(=fA za#5Ve%D_l)Fu1f831fNS)^RuOrYUBonnQu&`Jk=225NjZ{TfelalHw? zd!M4D}huSz$w>5Ih|P}QJ{jmo0;q+OWfr~v$XA@e41&(7Jbae>oB-w*x1#MfB5e2 z$91Z*WuzA{Ui!!yu8d!U8f#%C`wd(>y#$a#6LUcT)(7wY=lKyR+`lXbC#An=+p1+n zi+MZXbFVp?&Tb>23V4cUOb&(so>u%y*JXOTx~5qLjva_DJtObLfBxs`s%)>d*sQZI7&xq0;uXlBKb4pTRDSoTrU%P%=f~nQB)Kn-n1ea`dzEo$ zQ`$pCLiyjz(xycRb&Njo@!GA+*;Wcl@*nUfa$g(qY?=?IqV;B*!Y+>Hyba4N3NwoRLw_QM`a-#y*%etQemLytBwVp0la;{9DzWOfX z2_OL$?NJ`D|Hw966}Nt<3}2dhq`RAX%6b>uvR3*PHJRyO%Kk58RSSB0SdJuOwX?Ow z45kmZjF-+GgE`hJR~sDa8S!uv{?ConS&s-y8XgjSF4BBcq&|k|rlXs;+xb>;<+X^Q zWW;{#93w^)k4?p7x~dDNl?#klVQ?`&Vwmh%8{tJ67Ft8bGubd?P;`vx>!7WRCEB+$~e zV=(6(b#F&xzhB|XiF&7m)NbTI=DsrQJy^<|>It~mu{@#w;-l6rx-OVnntJiRRO;6< zfK)R9OF=m6J1R5Fq!l=EOwj~ePxy;s|1cmhmiNQn>Ta%+9~0s;;^K31+PlligRlys zP*9r9?zvel1%AqYtp}<-8w$0IYqLN>0`jpRMWKDuYFR5x+20)g{C;w&US{c#YksMP zo0-Y|4Knf^R4XC&35MDk8coa&DNOv0^gt3<7ev%Bd&mn%{xBCR+5?~gY5$0$f+1Oi z(ew!EK{3vK>efT#VdW8|Huu)fUyXJ@if6j}V-Rk|ckmXK8xK0RzSlXA1CRFmyVsD%&>Hw`d>ki7K5-I>tr3*`x zzrSG&+y3z!nX9_Y?PtKbixoK>7Fwg)s~Acqsz@GrI&VrrPX4Sb#C}jFL{cQ?q@A4X z;ym>A1>!;Hd5qqRdy9kgK=>cxQFxBb(C|e|dlzhgmjDI3G7_pP2b@b0$v%fjI=tMO z21*9#pXKPa*AlTjI7oy}u>)0OIaOoBJXEZ2YkWT1yw4EW{@|X-J|y_2_~m!)cbfbj z-$C@2L4I5YK#<-nX&X2ki-xu;5MA0CJZBRJv(9Z>{VgbB`Y>v5mMay(yV}nbZ4TD| zW};SPux^SZa6pEP2vy#zs<}lfam<8OegU1l1JBDepT4BxSL_$pjPwNl(S7?E9@$&AY8Ab52L4z%`#TnRR+e3E2!hX2=tt#$@H%U7fh6I#h}u z?Rh(`Q{PvM+{pSGWtjLNdkgsX4>8q#L1VEZg2scnCz4n?;dhYS_>}dZMj8a(`-fP99Z{MW-OoLo z9~1WlD;w4O{)Q(o>%b+RYm-?Mek-MA4z(YZ^&lx6EB8X}(lVz2e#hA?8n%-RWJkRA zAqD^R&*3#VAR2tOxn_k)#OhP6{A;I-!dm&{KZ4Bb$js}K%uF2HMW4}?<*b#J*rSPc ztWMo!fD|+rE?Pk1J=E0bTqH7^b*?Zr8qh_{FhrV$wf=Cql}{xtgR^@U?&%Xs7ZwbW z!#P*95KjrMJwGLmEiRX!eBV9no;O-6rnD15n;Ly9Vg!18rwBjffWa)GW2oO8&-rXX z@L@Toyis1+A|@0d#U(Z`gOlzL-jbJgI`1_NW6p4y)h~OQUQrhFRzbJ;)mgwt zg@0>It1y7kpPr}C9>d3wqd(sddRqfT{|k>^e$T{bl|k`j44$)-CTqFE-k7Nj-z{*l zfDZo|#Habj0_Xbodb)N&X+5QCm07uRSn;4UW#GmIY!G?fFRZ8`%Jcq$4yhOapP8Kh zJv=TZqLHI2QvfXmex=w-UGW72tP#hgHJn^bpb)7kFa>wjQO7Fm{Y>lst!Umnm-nu@ zRNB0mdp}}*s%_m9T8FcD<>QTdza!58s(boN2!~%;?k66PHxNTg;KrK6VwxvpZ zxMl5SMqoAJzxl*NLucKabl60ur{_b1i4MfRk2KX-4ze%4XPrZ*)J=GGbTNM3XXe#i zD)06+1PQ9V&ms0|Q9(?dfMT)Cf4K?ukj!+%WM=D_1TtV)U$dwey*FrWBy4&rZoOSC zL+khTmxB_2TAb7%4&t2Hv*rXfma`>BW>o0aQk!MJYSK*88m`;kgSY7UPOjS>;yYq$ ztn$9%EU|YSpGUmJzk&u zGdM#Sg|yuB_v#k@yi;fS8&SDHLyJ4(+Aq`bb-QriNXj zRyNvA7eir>DACCijhCVnOl1RsQ|AOnA}m4TXz^2M@NZhH0GtpcJwemOb?~ocgm>B@Be1r7Gjj^Hx^gZBbce+aSUZX$IZd`|Lz6^$ z?}tL?ep&U!u@*=C5976GQoUHX>;mPyW*^VyPbFkA95R0AH*pbNY@#y-Nt#>$Cil!D zMq>!D#>~Ot*jnjt-U$>>=VcmxDYb{p>lf(TezwVSSJIu1n17!nU{Dd4JVL6|}@7#Xv z^I+VNp}o8{FJ~cNS zX|3F^K<>-@XPQMNhNI9NMT6U&9tn*f^G%y}*N^@nyZY<|dP_~2oNp{ikqrOuy81t- zNaq8h*`=lYL{{~2H&KZzefpsF#5SS8bD;@DrJ6i=PpnUD)@l9^Fq2xjDBu~$kh^{cmnbBFSXBu9Re5bZwymp;vssV-712t%2eie7QQXzOu}jD2U< ziRpu6@A{P@4%MB<6_Hh=qnoR`4h(FIenVQ<OUSPYB1qpUic(usqSc_!jVYx<5KD~!%p|ktruvX>ABW_%uhUizal=$HSlz4XH zlzn-F!H5&Lb-t5$bJ6SOIK$08HhtFMt6#Ggl0-(wO<3or55XAPJ^kc%+hRbe(07cj zR7lP^6ThIo6&WDeiA}?|NW^v#8HEfbP)U;s;ZxgA6rfQAQj6wp(Zbb2e@D&*T<8ry z=)C8?)?#r9_jmljQVN1gsLaZ?*^4rmjB6{K6t=2P>W0Q)hzZz6m)FYom%mq5AKZ;g z5MV}3j$c%(FJ9-tf>VA*r#=Y7-c2EO*ga^Mtf1QL@WL;h&&yk&V#Z{tkn10Fu4Tpi&WFEzG6*7|tqfJxs2?iWV+V97uz-po_qS^bNdU$LXGZac7B!+BL zmW4Y>%a3<7JLC+Vp+KAu3pAA4eWR?ag8H6F31zW~yeDz4>?qJv&ukK9b(-~JIW|An`K%x!@!M_f+c*#3wk zNSj)@adbn_u3oQ-wVsRZ>IQ7;#u5ErJ8Cyt%A>@SOs#TmKz2`v3@H1li*@JBbp)US z+N>M_Qm3dD2xO>r3$I=pG?xk^?rAY3q%}WFG|_b8jHN_o8I)lBvqx@@r8R-e=ZEa1|sphK5M+<#Q*QjF|Vczbf z#(<5Uiy~>0=PY5O;vA{sJe;;$(`P%FI=eE4mK`)HU&roqWV*s*oiJWT%XB9QDpO$x z<(N8AoYbvcrl7r6CSL2lx38UQnMNHL684##wssN4%c?p#-Pt3JH!& zz3x47VYfxzSUi5K!fha$^ zVGmX@39XUlfN-HsBu-P(As)&6PmyRy&F*YP;{3*_X)g>`ve2@Y#%M?0JRNC+qiu6( zL)6InK<-sM(aq`d4N1=1J)QW2iEk!wR{~4dQ z1tVfOxJ>18-!Gva-oHE6E@HhH#gvS{U;0(Ngpr94pcV+$7tO~uy(CR9z?AqswN&Q) zE^GLqC(z4X{Zl2wzfwHZgJ=Oa;elxZTebmPdM0ArlmNfKXURSO=J~_;@xQs&f9u4- zjd9Yyut=W120FcuB4?vUOq;Vy$!AdYfCO%dYieWfBaw!Ohw}Y~YzflSIWj~F$S{H$`^b2Z6pACX@OkioIY!z^=st|Y>1+gCfip2#JV z*qhaX!VJX}o@AiO`4II^!I(LLdKHPrzOa*h7i{SM+@KezIi}+>1y8ky)sZ#TdNeEB zo+nhDhh33Hd910UI{a~A0jwZvc|T z+GBp4Is7mgWw~yeM=dk% z?7=orFbfetDf+mRtNGPugW$1IfItnzJxMFQZG1B?pRBmrqJ{vz{!7i*+uf(C^6?$o zc@8Ek%`TrkYDzUc_Zw0cX5I==gD#l8QjbQ2lD{KCNxth;YkSW)MN<4>afUGj`!SvQ zM@hq-@QrH?vqO z33KCo=Ut0k?x#ihz2xNn-B>aP-7Mq%(}#XVLs43YDDAktcv3>@z%YFJd4eTg)n7bKqMF@V7uBoU zPi`Ri)Ai?QymvT8?uW16_Ljd!S37o-Kv|j= z%w+|aEUHuvN>p4N3j)T0K{gyzNu`bk^)gcm8Q+uNIl5#mx3?iZ1J?Ch8Z5;7ds1}0 zU9r49e)$wI7&v3Sk~nv5iUed5F_J1y36Tg2Kc^h-bI{jc;LUT^8vIQ3mvj9av8eDO z>0uDH#OCxo2JrCct={wIA1g>051?Y|6UN%!X_PwEB_xQrq! zt?lITDca;VT*@~hQa6Y3lZP`@q+)UH|k5Y<;Nq>iN@v2(%b+FJ1N4t z610H5f`CutdtLwc5wsqyW959i^_VW$I3Vm$*Mva<*jdr6$`i1s<#r1t+Zi|?nIPdd z^^)=Q4D8w~63X}+<~nU>r(YH|Luf>NZiqI)!3Z@n4Mp8k4#8&n!D}D&>mw3z1GdJ8 zA2YSic<*~r%=E@n9(~}%AkLrsr1GCPU)plZZoR;|(KEk^&!R-9?~Z-O#55o{JX;xg z_X{_j|K7ay{nGg(q4MvPmtXkb=W$LDz9^V1o3(A_59yU+g-4_3@JBmmUnTn=iH{g% zC`)GhR+k<49KZ9Q-l4wI9p5r??7qe>Yi>?li(^^m%TJ6)<#ZTXj`rF+{Ex7N_((p#F=M0%s=&Ynci z9zFQK^;@azy0Py!8qO3Un4{(_uDzqhxXWFy=WS%`hML3MK3aVC5G9OFJ#C^qh)o~z z$3dCkch_MI0aE$^@K29GgszEiXl8>x>P{p;rH`?`+|puGnm$(*L+Fg z;v)UaQi&{8cfuhE+$>ou9oJWY?&_`J-k9HH!CbjIBDEWFCIdn&QF2lqL`a!#gj|;X zb%cs>!5u%eGvg|!1J*8a*s5k?h|b6X5QZ7}YF?7gt1zH}Ki-q~BOiBPZwgX~wKO~y z^Y9H7Reb}$xq*fp4HLzuzB01f-un&K>1iqWqfWMj-PY&`Z>R;yiv=kmMnC?e5EjG- z3Uw>G&$%6m@XXdPWn8>k)8u@68P*X*LMdu@^L6K7ETp(a=h^5=E)vnVq`YX7Z%Na8 zNz>^`(^LY*FNrygBxH(^pi~BkVRiffp+My-3Ns-qmxV0>%CcB*v-GpJ48V;{8c`&%&uANM$~ePe7aT z6)NoHXqiew?4QA<^EKh@BeYf7u=PB+(etAia*taAQW>Q(3eVXB0DZKHc=ryKnXZRfLH6qFQKZ&)BDI=dls z5A^qO=^ zV%~xE?Be|=Tr3yD-=fM_Bh~E>pMq%Se`?C@4>ok>N27CD10Z!OZ`giA*X9|IgN=dh z09^Ksl>*!Qw=oav!(B#;B(5)Sa9^$ETp|gPa-XE&L^R?O_Z-H|Q`NqyPa*`42lpgU zcPyiOX{l+TPz`MT_D-3iJJzjG*Ejz1Xt^9atSd*qq|?M$L|2SOUfdE073i%}aFA1;nDA}VKtKLC%cq#xI(I6kSL)hzx1IHC z++Pa$v9ZXY3_EiGI{-0<)VTtlBLR<%(h7H{UYm(ij(Q2_oq`NKYMn-5jffc*u~fqQ zi>2^WFdJc-oFZH%*h6;0*Q|!iZYi<@!L-E5v;(cdwhKTA{Cfj%f^R-wm~ketJ@hUB zhmh2)6N~Rxl8ICHs5^xUsQA;wAujQ}cE~k;v1syudf%rsqcnZn3)s-7YG*lKDZEd5 zYh0fba=g@Bl?sT``IOS$^(V%72Zq~~E1$1%kHI+h`;XkeJB!T1l>)=rLuiy(WZMrC z=8_0GXc)9JA@}mrGyzF`7NfA>5M<6Dlq!7-xFD+?$jS(>lB6UmGA<@3W9XH^OK(iH z%Jq#KUXvF|{IR$;ifbJn-h%msS};2pe6Q}KyI z8-p0?LFWf=`SF32Dj@v)7(#w+VdYDishU&SWIq5};pk}x4kHeJUP~+k;>DkN#g9+h zCbJK*yQjZ-Z~mp!aXiVqV;DnKcN!g(Hw}FA-TnLIUeY9`fzO|-TLQL~VP^b*k5~%j zu>Q-2A(n|4y!kEz`0!1deXF>c9q$@vh#{0U8m1tRZ7Y!Bs<2o?Q7u|LX`+v7-B_u_ zu}^i^PzgRve#v&5ip4yVSbv;ic!$>}^Uv5A@veshn$8YQ!JUJK*X8K<*$tZNJBzJ< zo=$wz9&Xe+dPQTBB2>-r&+dH;BSC+gy&h@hXvA5J>EvZIEEhC*mRKK|SM``@V9EEesPv^fFHck@Ly zyks!-?fyVA#3bNI#G=l5f1J4?V3%;I&P$^i7tZ!e_BEkQ8+$e76b`GEVcTI8xgoZP z3<3KF5IfE+8Xt%__v2%`IWG}o5B6YC2MV~bV8T+Cd(7pm#a2J;`K&K?p;_kBAqw=U zO0jX$e$5CS^h3M5${favA8nDF!3r!w+>m_6+b1oSTvDg3*iNc*VZfnnnuo)-KkgArUXvb81W!C$+&=@)2uVAzzapX)ir%BWv;R zCD?8y6!!g4SUl_NM;veg0!Z9n$vKW}mD51BeP(S~hs_9w+5%%A@9M_}t}q-5Hn)SA zF|Y!TtDbq&(8BtvIoekDGx#D*mHJoBK9^*pXRnvJAk`Jy=tpwn@q+Jx`&2fc8dVDy zuF|}0r;^p*-a>K-U2SdXRYz;E)QDitqTjwGi=V=lag}@)k}foP#ykr5ZNL+>125R38d>@4#J!pb|(F1@MIvA^%{@5rx3m# zIvtCQcj4MK`>XP>mo(HHbg(@k+>4;`lc}j@HVgBoiL!-?XEeGWy5>*2eyGmB zg&x9L{r$LA#2kc^cQ!;}Ip(?e+U9L@UU8Dqt1|6amU$491xaKsw{qUj+lzMo?}I&I z)4dB6pvi<+BTbrcZ%w#<4)c0wh1;~Oh*OECrV~Wd$;P_FD<36S;nToNbTelFqz`{` zH#i8~3G_d6*fAGX#g)_ADuNeOhSE-$S)MHh3%~|M$|pVRl~c z!Sz|ET2V~pHWm#ai{(&2@qK<$Q``Jm@+26ap6P#R*zN*cv=+2%9_9nWlfCE45^MqywPnsz*H1sonn+QwwwZIlT+4k6j3}qG<4+? z=_^udUL1GlP365l^DyRnVsmf_`#Hyly?#Pg>&TDs?P0aZ#2;27;J+gn@A1y-Z45R3 z3bWjEf{~x8Fqg?aES;c(P?aC9NZIP6&44XOD+~W<-id7s0GU+4Xcb)l^IYN+j@+&uX?`+nx zs-i`$Wa`bkvQnT4zw&$HHwgOW%^7V<%1wf}kAYe6<#&nN{0f-V4PV)tg z%m71?6GavQc-|+wmUH6G!mr8dxWEfG=SkzI5jKf@Lo7_ez={5dgsk`f0nW!^DNFlF zI38?Ya1dWVs5SZ3FZUkg__Gm=VD<_5a|#yerllTaeYP?Oex6z8YdWVWX)ro6Za&Vc zx%knAKTR3l0~aF{Ss4clrrHH8>-6GwG!?Fe(i2HZIce{OvtTmKV-9pIptAk?Tu}E) znU;5urMa6SDFbORLhA>Fw&p@+BECapJ@4U!O`0zEBb$i94g}tW*NY$;P@s3uY%eJ< z$YPQ)4berL^%s)>ouOHmMj}pS1TWJOqK;hCq~YCYZ?^mIktY9Z#q-;yFzmB5Ar*~U z4tCH7|09V28oJJkN0m~;>vfzPJ^!J*=9y&C={~+Ykx%&L7{t>VT;GM#5eG=2acr2< z{&4!5mwbt9U?|1F%u{X9NsCjZ%qt`HP3WDG;6GJw@I5H_i028k9zaC zpX=7AG!qGKD!@Tj+X&*~W7mOC*Gw$`*P2BI2y5zIc|Mx{xhOz?jxe;_N-}MJR!%MS zeH1-Zh)Tmcu;Yc;eGQ{GkB0KWbc+EnGQEgC287d-ayZUc3XE!b9%LDDJ*dxW!^nvW zjN*mUQN3!U&q-=y9drhlz1pjjhmGF%1cUkL?GbIY2jJaI36DDqC{b8ngTQKcy;Kkm zJIyTxMc>p_&)N-{MGjc`&0IuT(Sd8_#v>z`yn+oDgk5M!!EQH~GDShxT5d8+iZk5kIYLx0>L_X8vI<`3PzrAh4 zqM0Ywf(Ca8yvgWxQ9~7_`}1QY2VoCXDj3Nw(25lY+tPZ6POE3-4Bv^C*xN&FEoBEz zM7W}73@Oh&SA8iElg|HJvpI)Rqf1BA?g&p3!kR>-dE~eRlW|IAStn3sv1BVq{HfBy zzsds;;>83$eq#`N@d}8`l=QknIy44jFHgiQ1cTV-Uc^W*KJqJLNYjwBYzQ_D>!jvL zMlX;llUEwbr&k!4xe{b4qIW9lE!5_F`f|%@`2!Wc>(T*6x@!C&%OF4eGb`h%RUlnr z`6O&%J?-W}+{Mh9pYy>-95&}gp5i8+w>NG5{m3YP{x3&ml6*N(_^RJtNgzX$KjT@x z7GoGD2T8hXYvq8WMdMaF{GGSeC~up=8)Wd&c3skGP82;fhHz_DDjZ0{G5H5!0Uqax z5;n&mvk8J-8R7tKMs1q>PetwdQ^l6)*v~GxzSi2e+GVH__N!~WZcmTK#($?N zR_Hlwj+O;cY+wD;_R4>CNGC!s#QLc0vER1XamIc-ls;H23+d_h9^ZdQ_F}rW zOXKbXdbFF(-B}H54vCt2-T?@eZn?Op%3Xs6&VWo z^LoenUSx|!Q!$~C&)nf^JQy4d;M(@7WSf>P8N=EvTq)?cBPI<4b|>>@FbY%nF~rQv zD`q)P^L6CgHqBse+3?q8;V;X$a_{Ft&yQwWH89p@Q5`v6_z$#oT3@LzVonplrLG!c z#?KWnHx}a_fqUwo}%G|;dW=*K#?`+A*RaFVO_x$=I!{(Vf`gJu0=zN5_gf#0C- zSf9f7w|lnl(*Di{Vl}sarb%Hy%jm1vtM>?DTa>3jt-s+Z)MZE{>2m)NN1HkdE#@`C zP8j?872VXJmEQZ|I#TuTIJ1bFRcp?2Kn6C78CFQ{T-%Ahobn#1IG^SI0&mB0DGrU4 z4LyIOeMnkfh6Dsc`iN|L(NF~nvEVsS3NU#MGoNFjmw7Z1dqCgiUce_}QN7fqx)%Vw zcyQJQNiewHdf{H7lf>~;cR#d+#=0D->o!CoV4jraP>|r-(_J#BET_Jo3%3!XE3ig( zDV)U)Nt>6moXtm2ROcGmTb*B%rm}Pk$D3uQmT|v-m}^$+x03D`XHL(DyTRg=Wq_!l zwB5l3$PUqR@0n*BZy2x{udMe@o0S#g&+8`5 z>g%DYjwe7^FBHG?J&jQP{y0T0JXF$=x}US9W}VD78OjAct(}<&iETcK>yu`&e8|GYkc`DN627}PcVeo3D3+md9n`jl5S1V0i zvFB&Gr&p7+9*joEcj$z(Pc`__X%oq-lYllx@Nz$XqCcy@5K~{1?*-mg(wo8kgg%H6 zkr+J#J7$=LHaUD>&@3Hnkz$G-rPIDd-CseU>$H3b>c0m;`Vs<`QYcFt#HEX=5R%YW zt_KL!45q|GN(+X|vwv#12su@eP_edj4C-`O5%R?LQFipG^6BwO@pJ3NWjKu44u!Cf zmG@6=t9)wU*{fJJPQX}w;O!H{{l$GJ;8nq?9os)&6;a4-=1QyGWTtsS&AX~qjg7u( z>ChU4%$SN4s3v(g7CT^C^Z$c(4nRRcrF^zyx8h3oBy8-1a6KVlGcx;ug9Cx3XQyLo zSo2p-iy~gRKJHH;p<{wFKn@ZYg5S&-DAyy;D;2`^=+&G`Gh(~xWb5)-!y>>Pn@7ZzLJ+ltWJuvf4vUhbJ zSC2n`$wp$UpqW6x+9t zFkWm(m?*u7c9rat?vg~zygR+l0na)RY5c*yNl3XN{1k+4&fr})aN~kdVQZsm^AZh$ z&GQ$aW;YYc!kX^BALLTweA#(_kdi7B7!ab97RPEMvNU4`?nZkN3b}GEjQc6ti-~Gt zcLOtbk0I)jW(WyIX8VN8~Q4835brVL%!tp^ajcv04 zRo|AZmG*-)TwN~brw0E_-g7pB?#^%BFV2~QB?YWR>#z1Foxvor+mAFkGO2duR4Gc= zqHpfSv?=Vh@>^x6+69>>elof>jYbo4<5F3rL1wCd^$+?PW4s;+?Y~k*18wA6D7(9~ z7PjUcQInE01uvJ)NzTXCs3W6JN^-!$;m427@}az#l%h%GcTy zVal5D@T$L)gW;Q)zzUU2n=zUeZX2(TX_X_ZEF!G1) z>skq)xdvq+S7Oxp9jQMhA&kXUNYEH!JP*&e;^bJG!nAnS#`ee|fH@|teH&*ivpO7* zTOvFNhcvi-HMM-#Oz$-k5S!fGaiwq>y(+GeSM*9+!Y4}F+>GZ6{P@FBM^L33qTX$y z`zzW71zLhpYsdfe4Sbn`3dKbQW+bWgSd`uHS6+^8JF3XF$b~8R@GFC)TfY@PV(Tx< z!ypZ((pjl1Z`r=p=^Br@6SvEVa1O(qhvefR9@nn7F3i2XeWpj$=y|*|UnQJ7D+bS7 zreoz8RH;JREk7Z*V{y3^@;ZjsX7#@HC}QsKok3`WbAAX@+yRm68w(hu=fbLj7vqLq z4bhSj-VE!({=|#X_of^R>X~A!oBiQ*!@;rG-YXrm9Sn-A!mJ4Ql<@T*g1W0yJH^D) zxSm`BRRvkf*zn1v<(vS|S1B@{x=I1reB3<%^c@S{Uyc{nca8y6s79ZFTMXc^<=GNf zmCIMS3QG}4VT1`MK8F%HLliQ5Rm>$-)iy+D z%SLD{D1xibE{7m$_Vj{jVN>Uumt^}m05kW)i?B5>Li2nisCcj52P7xG{u%joe+rpnl8;WhTcRDDO|K9E57;>f z#GnY{+cNNU8QuJGuvk0(A-6n�Ec;eeQ)INE0ptJx2m;IfMTn!p<@(>b7h9bPPjx zgGe{hT_Vj$sq_d)2olnbFo4p6fb>X9cSv^%NH<7#=MeAT>wcbhy&o>`_XCT?0)|;@ z*mLf4@8kF#&v4MG*7&U)8K+i=8YAUOqIYrUu3rXX13n}BD(hM6v8tH_0s9)8U$642 zsyVEowrV+xF~dI9+VJo3Ae5cW0XnYDc^7+~2-2O7mX4qhqMOTfpUZ7w3@J;g8_hGX zv-i2Ig?ysDORpxVCf3N&X5O~xt&^kP;siD9^#GQuw8lk~S6TX2fJyF;D=xpOf}75!gDZ~`Dio++_%s8!$!_0XpN3X{ zv?l-S8UCMnb?;+7%ILn)hV9_uD0V4Cc{H}V2N0%A!tQfp6NsI+T3*MkQ*Nw;LNdAI zdXC}YR5W6QyU3#SVFoPr5;BM>#PEBc#t4iX;(LbMSN&2;w0k#Vw4>cgKd>HG1e!6A z0!b?L#n0rM7FO)^|LpaKFEw#ORld1QK?heK+ceUE?$*CrKjn`vmP+Hha7u-AN`q7^ zV|+wIIsb}i4uzx*6+_*%9ZwXxA@MuS&O2?IdH$MO*^vdyOvtQjT!yfXxr%@MTjp>->5SIkWA`3A76wLtEhqK?m*jK*@w{Cid2eTfrC4#` zTBI>hGebrzkIqxEe=J(EV&tAex3LcjTZnBf*8(IP<@6|_5&H; zUw^C)3g)+9ycQE;&gfG0aN$kcd4lDkdpV|DjEyDkBsq6IlZ{oizEW6TmM_k z??pxQO*L6vKz9e6!vDJi`hUN;lng8cOsQGGs3xdwIlUGgR~F?NdthzL-Tv8J%iY|w zf<9}`|4*euSorAhNbjhkyDV0K08~F+h&(c=9w>8UY;=qiO;kMn;wcrf4(u6fEVEZ= ziRji`7}&V<1IVz{m=&Lje#CT5*@B$HlE`-*k*`e8Yu-r_sKZjWV^h#TScz*4yu05anmMm09U_7EJ)#^?(4I@>% zy#1>O_3yecD3m7@L9i}Zos-J{uG&5pc{uU;!A%Fid zP<5D7IL7CJ#%N1gV-4MA{_6@6Ng~Rpq_-{pi}RQq-vUlOOEhIdB_zH~&+}XGB|Woa zf4bjS?Jz^(oIeuK{(CdMC4+`#%@sq>e7ARslGU!bMBCd{C_cJ*TjOkbHF|7@H9fNu zaOmMnA1fYxKx0uSI!-?V8mHD`X5h%4bnbXws*M8Zo6mnp)aTSzYJkb{5E0}T7HRw? zT^Z>9o^qS(<{TK<-Ek{4kF7wfxaUd&!2wySPm*R}!EIE?!^?P-z zh?1Eb3&jYMpJ*IwDqTt64UZd*2>w?KkXQiZDA`JsD!*FrgmGliF>eQdo>5f&4co+$ z#NPDrjr|yI^Gg~t{KWl=7aA4`jJ(WwERXF8wO6#HF-#YXhl^V+NH$S(&F z)0h(T(UD0ebcyBQC=w7vl+41BXFBsWtRh23{TlTZ9;pS#nlh(x`D)F99~pqcf^hF>ug5>8e1`{(I@oRVavh157!x?zqmiVLQ4WL zn1z;VO(mOQnF7+0vO#{SK_5onI;l-tvE8CEl!oQkpA#T8fmw`@Ksm^Vc~B+@`yXC#0YXFY;^XBZU-a~?W@$`g3)(6Sp`a; zc(s7uXsn~uUn&h4mRYR0KGT~CAi@F3t{^LMyUC%f|M3F$iYj84>1zm@r_FTkKugsk zNbtOVjPD+dWKMlK?|aGGV$L4QAvhN)6hGQIwrhSp!?6?9LXuiW--xioKu?k&0rK4kG+bvXuZl1*g(NXRq~)h7`HNw`zw$E;2_J!zR_H`r ze=?>Z_Z9LX1MSLjz>NQ|s$shN+H=@%TOCz&1D$L~Ag9buGW*&if8Cma0qS}wKG86h zUF25!+)^^rLKql@#Sd0j&3J{7s4jVBNN6WvqMhGiF_UBhLP%S^9p}0jaPA5V;;Ab00@!hzr}OuJhM?TZ~sy=meun zIEZ@d1B8mX{l_zV+D!e@Lt-iYYwwvo9hnEqC3R@hM$cO}Swfb<3u2F$9)*4&9(&kY zI*me56kab2jP*|xXIUmXmniR(TT*B^!N7@40suq`Pqg#K`<<-*6t~qE7NeRewgQp- z*UIduunLpnJ_>BLoJaVs--n0ojE~#I%!5OSo#)y&AESWQ6OkIDjWOwa9>nF^*&aPS z3K)J-0I8hGHBjJh_XV=S1?&Js?c(J+%d^jwMoJ1xbk*UeY_!=;lD?+ZpykbRi z4Ji=Q-0FpU@YvBD{p1&ZsjL(ge)(}Sd=6FpWh*$GZSns8BQ@o9H=pP814Ek3Zq(mC zdxB-zOxG2gR_g|Zn)^y=;*nIemSu}%sh+xnsGQcc7a-)SPb;Qdq?)Ty+ zn)Z@@V3XX`$+WUIc^Dt>{@&~@m8q4IM-7jHw1SyhN2D{8tt4}4FT?qtn?{#(AjZ>} z8`F&+93dwkO7`@}&%q{P#3_1fi5NvkoWr-)9 zhD)mWO&8T?BXL^5g8Am4>uFAeK$oF`|C_azlFis{6SA48OzI5Zv*BRoE@B(2C# z)o+u<0PhzRZ|FqWLlGY($N3`rY8k9&FB}P1gLP0rn?XFI`C6w?Emf+Ee4tzZ4oEil z?O_$bobR~GC;hYfFl9Wk=De(Q5H>mmiJDgBSVj9@UDMdzGTqgnuSXoQMh2|1^!hAT_QZt$k#2(&RgIA{uX^?q$GA&Cz!-{o=ZLy0l2Cp zDY9V5T2;>iDHyPPYjSkGJ6K?5@NNCd!+X1l#mz&xiH^dXR)W4g_f`Es@z{T^wf}GL{GYDcv6eUq z*UM#P-;}MzMDn`~{{3yK_8qsWk8Vn|+tM>^b?GyN%l5PTpQBCRg11&K{ewA%CP$kv zs%ZY!lPfRlX)WWl9N}yOT;iibKa*4f?0GHhTL4KX;5+5+J9X!xQb+dJhTxw@zm-;t zp@#eufzf3*E)k$#Br|by-@exJ`M@J{nYtXTEq$440Gbr&!J}lcPzWGzI|Q?FnFLJp zJ#jUr;dGhjsp9@_8YN!cs4vU|$nMRoh%Jrt_ zd?3QZlsQ0Skmz~{hekCr)VsKSX|BQ zoJ#o~Mj=EEg6W|kT4oYm_2n|_U=p+Tqh11*jnAr1=^n%ib~7a0Z-e`1Fba;BF4BfS zK9`4KdqQWtRmYd$7G-MK3NT+D_k!6b&53(qy&DN#TI;Xb=Bh;d3a>mCoTPbh+2XlS zl&mw8Ghrb({eFPa9@GUOc)0b@anPlz1TaZ>+OTOcHhKLleC_cyc#8RaUX+`Y2t5z|%%3{5fe7~pHU2%_XC zT)j&&A4v7B?PTHcAtXOv9;4U|C!(V`ahD}HSuuOC7wIw7LFI%|tARcjg0 zElSiTnEaqB!)jYKJ8pN3mOKDpsA!+J73!t=44~$#T%R~X3bch}Q=Po4{q;s`4@H-F ziI&uu5h+&~Z6$xZL)X2)1}|R9j7^x{KfByHb6;!RH9g7r)va}VDxpozA%{{M*Lo3s zPhNHU;J4-Wy>7E7{kF6@MSSU`_Rv#e1nIAJ9GDf`T6#BII_mDz<4zOV{SEN$5U?I? zWkvsbGAzmDZ$kP&o}%srSSJ9l@pxOA#zYoc-bG0W-+Ea>7kX$XLBBgirVw&`QFkBo z&B-!I@3*1Du0(I=Ah=Ak}TDz z5u*~iYq!AP2eHLmD-op&>XJ4MIqUO7?=2%?Hv)z=#|Y#wL~95F4igKjaD*agIfo1{ za`am9$V;-25bF%`Ki&;fdn#gn*8#}{8-E$l}UGMAqwrA_2}7JlDnHh=qx#5UvD>(|0Jka@6_;mw@x{$ggo zVEA0nJd@9=M~ zgog}&0v*XfW;3qeoiKp9nY1+6&+? zFfm3Mq$Sb1J1jR!4+GovBVUK7eC1>4Z{3)7&(9;ufIt)*mpyfY>Y{AbkxfQ%GQT|> z9`NOpbmpl7P3_b}7ZMB$5CMg@7KEJ}VLS<-PKJ@LCUSxIL|IzZ{Myti+klLA= zJKuHZY^3F8Y%U);^iiNhTx(9PKaYl2oP^Gd)c2gbHBa$#t@~kb7q`^EgISl^0LSv= zVIN?@B{%U{VH2XZe~J<+9C+EdDRma*-ncqagO3ZCasS&}!2AH(g+fgY5G1*(oIyR7TFW7YQJG{YX34TyIsx z%L@Y#7f>X8S|*pPpV0dDx9d#(cX8gxBpf!{ej9zM)~fGc=R1mLjKzl~pHofjl7651 z-Y{NxcJxtCym~TsY}cM$tJu~0ZLQXiJAPLL(=2U7_MX?e4VDn zO!36XhTp*-2!C4BO;R!q4uUR>DFVlQhpt!5JIj|>iy{zn^Wo?(f5{+O-c?{S)G>UF zZ*%ot3AQvZ-0Ps2Du3qv`*}6FIt9-q68-I6_gV$GaYtZPf!8p4@CzOZ8NA(kiQ?vL z_;dRgLUl@H_%ZXRFKf*@$m}lBol?w~vGQLFmGzRZyxU3@0^|*Sq&ztBlBqI#Cw2I= zI7r1GW->JL=sgu3<#~oBZ`g`6#Gme03mMEzXX5eh?!uY*{R{?Ak&MHQV_M7A{tWAP zPddEYBwrCmzN)f(T0`-e1{VR)k4QLZ&MZ$13MUE-ralstKEc`&!EaIFcj*zK@5VZ3 z5sY2!$%1pEvQu)9437L9W?rH=56Ql%N{GM{Q0>Kn{|**&94V7u$dzU6aP#biAa`70 z6pFm=!aY@ne={3Mcqw+#r_flsY603@*IW5U(#+>%Dxi)h5c^pnQS*#OWjy&qh14o` zv8K_JOo)@b35Uc~evNn9_k~?}k$}GF!NBL2?2nAA<=^{V73fa-^q^6*By+P6T4Ln@{ahqz8B06NB;#cT)iI zunM3P&t97XlkE6~G%4ZJgkHW2O!%7>a`}Lnj~aKoeacVzL4x$z{bpOngWmU+_3-Fh z*JYHbcPMdM_x#2;5{KdqfAtg<>0>&+la;hesnjafU_#xl*`1O4xTJVxy1t)*>HLFXT!>X?-Gc8+_|M32i zW)P86{o9JwPXy+#T#sE36Afn&IeSoS$CatqCraCgrC<9ger^bU9k!92`utFTsadF5 zti)}wb9S|j>$TMhlB%*9_^8+5oTX;?19f%>FMIv~&&FFc=fFd$$w^g;-D=#nwqV8< zOLuxLXF}I5${sYJ>j}o#0>htdai`^LFtWk9KanZTHJaA*yyvB8W81AowKRDhw$`l# zKHLu_rW&aoaWLvBrZaRVREupxO=S{E$0r&2oYpTZ|HJP*c%1pfdAsKGPE*V3aE(It z;*`(JvY5fApm(1UDi>)hBQ_D3JgJjCf&L;d5tiyV6lcH81_Rh;uW;^O-yI$8nrh|! z1SG4ao{{l?w5!DU(#n4nZ~#ImSl}RT`4`Fap1EyKK>v0y_UF!@N9#?(t7c*OH4{)= zTulN&KF5xK7Vb($Q6b;ozIdx!_++aK!eDNqS8owgqc>+%S4dG_n1 z)VFQU#D-BM91WWcsV497*y2;lSA8gdkv}$XdQX*`kWqv{yK7}w@k7;ow^M?)^K-t& zA5RG*6H=~n;4s75j^*my?J5S&_a$p_Uguq$8Q)D$clqwjuznnp(=lT$eM-cMsr%pa6U8vX@WP2z7&^RpOnZ8_f1U+xZ z@%>u+z_>Y{;-i3CG6d@mt}^Z}^NuWP z>SK-c*^Yd+EHsz|82J?oq1jrbLiz!^T6M{i<;em($4KM$$VwT?k-4c(e?{RUl;miO zAJ~}Ye~Wk~H~uLgxG;*i#2!m~+Pdb=$Gr38 zFRJdzTjGWN&R!gZzioR;GlW*-|69UlGy48+)XoP!k=MNGpo5@pMl{!v8KM1k{NB2t zwmjtE_v9ZYi8rh4kn%DG%Te=X6TriyZeF1<5#yo!?=60rJId3#(y%jQ&lU991|KoQ z5+nG)u+%dV9^-Gji6d#x1uc?9X)jgk8p?zEsx z1HMPE$A>g9nmd_Aq1#SskLuYo%g_>k=xu2xJJ|o$o+SQ8nIZz$)p=b!mia|LOTzD zD!WeXIhXL*r_C;~Igh2>L zF~Qb1f=sj9fC`W-9eXNuu6G7VlKK@#&8WXqoiN~zCYcQu55ghUTD!o~W}Bv93Ta@| z-5P;Dh4A&@pbwhY47W8R;gJEN*f3GFL=6Tqegzz6)=#`BFuaDD@mjNHQdSCRYv+@^ zb&Kot)o?lGgSVle^>Yv9%I&0$IKm+o^JEPrO2{;D`!7L(efeY9mRAwS%Q3QMhKn%; zg%H1qV;>k|eStx;AuF$dYw6s1#~=&+5{A$rO9xvLK=s`*NXV90odI7Lmh0~|NaiGY zR-*=Rdh3e59kC1j?~V45|QR`+ngT*%d<3qEFl0Uc}=pXbu9NPLp|&l_E4VAqi*c_wJDoM z2UWqyD07055m|I}`wFR)vHT|0)t};#b8nG3EixUINIS4OPaN50;l5T_jU2M@ojqq< zw;{Yg>O+5kmthPh3BhjkH9ff;-kHtK4CVxu0W;Qu`%u}I7rqtr{*K|qy9)2eWEK88 z)h8#ayZ1HKXnMGsH_pGFD6J?T&8=)XUwQG+Dau4thQ{OHu{r8tg&W^hxP9l2cUNk{1MlB_;7zX_QI#@#e7=-b50`BZfu{$O=H(!Q*LTXBl;KiITve%xbA`9bzWT6qC%NbV~;B$O< zOZ;IBySE*p^2oZx7K2OSst(?=^E4b>CWpu|wLwTO@L8!H92ay`)Kx234O;Ms5m~c9 zv-2VkFs@V7E&eLhu^3@t2q!KC#(g5z)e|wF$wRP3UL;q|lw0Pg%q=jwVw&&ZDvifE ztG{pysal{P(|1reG`i>+w_}H}sdWU%_lYcRQLuoQQz#@s>fLvgEj%S^GL+iej>bqh z#is9lsV=S70%$1GC1qO@jShZksYR@EgUj17W<th|aF8J*>Tg(S&dIHQ8JNTS!` zCq|e!GMECM^>Lm~4ou59E`UjVBK?8P3$gFpu|Yy+4)-9W8ChmhE>67W^)Asq!d(BJFBr}_=TojU<&;7dqO;V8VmybYpeLU0meWRS6l9k{P68&ye z)eW6*o~nIZITq>t6fv08HFT8hRb1xBVsmdCGcAWQ;S9l(rT~6Pe>E-4IzE-a|7 z-JOOSfJeCFjq(`KzKs%}Qo!Z>K2Rx*0O~`WFnGp`;d&9tB*xGX3NpsF0IZE+dvkim z|J4E%pYJ4rHb>3K8M@~E>Tj}EJD6SoaetoG_$8CPGNYZ1cAwKzj<<0{usY0!8DYZ5 ziJ|%mpt}Gh;+@N22G|E8&9IkaQEC`il#kT&Kvcb3-&(@yO7UMeY6P@jB=e*R zQB_YV2eb!6+wEcxi7?fP5sOFj9YUOq909v_N(IQ@b7UE#g@f>#j9x8eeyP^jDJtRA zra*?RNDM4%%H&vYOfq+EW~F#3w~Q?@=L5L`|2{5rXGFgDJ^7CS450XB9WYzg8>wCbm@`=<@x8d3+Bg4pJ;WbBxyG`xxC*6a3i#{cXQ4`wGSMe%(~l z9{?MLIRZaehl73FmvM{jd%wBb;yw?ve$M>YU;gv3011>l-Rl!z_mrJ7@%s2rFYmj} z90+nRF&W|pZGH8By^E|!ETZ7wFDo&{*!kip;{!1vI1WX(j#r&Rv+*J0u!SA5%y4A9 zLUk){6_JAtU15H%+Av78(7jvyCXMPmnd{t3uU}`^ewHsRM(q_ESJ9Yir6y;wc(L&A z_b_@ly+-W|#E5j)OSdS}4(G!FxuTVNK{h$ba6 zUqoRRw;ekar@KC;0-}cQXdpB~19@L*m+%4_yk{NI3?o>GcDD;)MMIK2R=%_cbC?d53q|zF!!O}(hFqDdP5>42;Z_QsBY6f$ zbiQcE$kWBeeQXcj9ygQc$wMLFP)ynpM-6$9@xDZ{P;s05c=zM-&EgBGAKq`g7L)T{ z%gSrLY^}2NRU-=E z=4-785G0aU&hl*W@@n|eP`EScyU{dt+@zU4*l(z}0K_Eeo%1TEHF};p{glyqlfJio z|3x9>WFfxW9s@+nJ}#hUAXxlN7C!%i=(l&^JU&>VSj^F8N^C&Q2| zAx%g4=2N4{1zu4xGXCLz1*tt^~ljpOk2=9;-s6#D8uYH6FVw|Nl3 zqW>4~+Pf_@r4|J5R+s<%6A>3$-dg8EhkK9#f?nFCJ_QQ=PflRl-JQvN8;X87);ru( znr-8LSPLyU$6az_(!+L@`|D_+PLAkmja5d)!Dp4)A!as{N_lyU`RKsCC1nxFilT1{ zfj|AP!$XF^w@Iy)83>v*?+r@v%d;_;#eOv)2=t;mM7=4Tyr8z6v8B~8M&3=UuF7<4 z=%;K%5jNeM?hmWbs0328Ispg;qxFwgafgRTf{?Z#U!4Z7%Vi`44wj*dg+6cYshGx0 zITXLAKO)S-IX`A#T{Es>pT*UH-PN!lzP7}5yVE&Elc##SQychHTQFt^;VfsL`0Ug^ z>Y=)-qLihgwCbcZY%-mDnu03cGL!e-=-oNHeJ#69O@6E}I_sKex$S%&A9)uyJVe73&OSwsf z)i6gp72=}p1mukj^FE(KHHR%GTzQ4Zv{SHgEeDK>BjZPM(3hNEHNL27jsTw=kBji9 z@?ELcSFZvVHky91f(hrOk-dcWyY52?{$Q$w41I@&j|~7L%GfZjqOw8N-T#<;toU+K zt+w$usmp-WWiQEQv-N$2mY}dKN)H3DN$6RAOrOiLgCvAmek=FQabJ$4vB@w+ChSyh zEORNVO*1+~|HP-ImRT9T4AVbJr;Z2%iaRM0uZor>UYqVSh|<v$T1ifBi@l5lJA%*nXR^~MnxLrN+)=;?Bw*C)bmj0-PD4f{SH&x<3=$OHqEP#N_?;LwoO zi_a)9WDcX;k4P}wG+TrICiwt)sfTk%jkg)6A5HJkSW-1enb!(X0HK9g631l@2DU0i zF6mc?npv-h3hyswg+lsA1DC(ME|(I2FZv{<12c_(KffJah^IY<=d3p?qv;ATgr|PE z+?d`^!~#B<_o76i2>eCmRkfgw=QF62&f9wUzxpm8c2P?rC;r|`MCqU`J=`s6(OOVfJU@2>E*4+G=#(DebAh8-nl;Yo?%l|5WmkC&o#;v3ktTKE)|CBxsj^~xW zer%s6qjfi=vEyJrikFy@0vzr!tisR1oDqZ)8CLNl0Mr>Y(2bo!XPFQ@6$x!0K%S-% znB%=4_+_ms3tg{?wO?6!Z^-%t1C;eKtXnYeBO@$|G~Hl(#J2Y7+l7QL_6@6@0t=15 z`tl|;GoJ?|^Dmiy)?iPm;N-_ykK;A^R!J@8weni4zmnX0+>G;)y>`}2RR!~A%r3j7 zv6_tkN~(S^C7+bA$d&g%?{Fm(tkLKuaMd-0K%d*(Oq0cM3L$GmFQtpevf z5=}O*o+m}BD|5zsN{{ts-;)5PUypjrD(o?fGgc#F<#d%mg#pr`CNqcF!-(|I{5GAyQb`|EMduMc-0LHkj2Y}snLC4 zELbaZ6cAr4btKD|R`+w!&x0LtZs8M+7KTLfjeOn_J08mAo77w-3zrUat>dHm(-VwY zPv?!AF|7Z*$mQ8RWHS++j>Sx{9>pkfVnp2sq<(0lB1#}yJ}~}s)DVKBjh z?7!pJ?>(>Z4WzmoYFFfk05FHy0I`_fQ{e!z{1~`MH^}!Z)c11%DlCGwp+A+4hcZB( zdXFSf4i%Nz;Ta1q7kDcJ?>Ej!MjEei!V9CRCwqKKARNAL=3!4gAS<$SQ|Sy)Y_79a z(wf-xFC8JU21WbPFRDndJ-RB^Yodx?q}FCB?p|N|?eWnVPj9xj%E$(tP<`8-tES_V z@8Gxelyj>c1_qppuX2|e662hrhy`Bu#v#K5#{!E0cQqA8D&+FXJ8q!0*=J7X)9$sL z)lV&prO-`+44KIWVgs{n@1ToU2Qpq6%YnCc$v{lk%N4=ZVM-0whE!JHYm1j-P90RP ziTe2y+rWBHasS|RyiK8o%IE$j^?~tL`Tfh4MT>33azj{?>8f|n`jUMa`rj&tM*{i& zG;6cvVnQ&5=B&2L=z_6i4C8&nexi(0U)rT<-s);u4}aiZ!SLu;_ol3yT1wjAvbK>_ z3&)5IPZdA-Bx4k^-e1mspxFOx`I>#^>}yBEd7H!R{@f5kuzk+5=yU#vcc+f9riK3Y z%>MIMv3$h3oKKkulQX>LlNP|Nyi=xud zFSTBCcImhba)lf_U#GTOcx1&zDfK*pU$Jdw<7}{AL)_iwSuQ#6MRux? zcJdUpAdpR8YBQruwevJ7Xv~@dvPHl^Z-MMgo{`dCA0E(05wm)29Ak_|6ymyYadcRO zcj7B~xcg=IrF7}@yMeF_AGcJ879Rb6_z|zlMF5ifH4B0^@67k0Zm(%Y4;hAcG;^*~ zr&&B!phf&cU`L#^Xj|G1H z7VJuyKhr41KplZU+d4$Ajpz6AjCyTbe1ej=64PE5>kgS3(zzfCy%Mpwka#voMQ<%PURpNF?SjT4g(iQyHC% z|4_#528Dhi6EIU2oIq{}3=((f!IKpA_Wg80+K)s+Me`B~bPZF1iiCo2099omA>P+4 z;OZ~VU~L9x3MNF_Dzk_}bcI2&DI;oYVLOM;ZO14GHk;0mSh z%21{WX{ulO&+SWO;oovWmM2!8D(1m4rHqv7!#2qp%CYi>!b4o3JiPN_U5sBt!a|0U zu59)-fAEXH?D{29VMZsNsBgbsrN3#}&%C9}JEU#JHr;sk7%vp@_&6m zVA|kliG2%%KJxpn10=>T_7TOv4~jaX9D4LfZ2d_Iz;Be+SNv-a{~riz9vW~hmTP5| zIcFC?oi;yfH;-278~eGz66xkQ1edYlO*zSHu220-f#ba|`h^=JVahQp#Fw;>yd@ ze=M}q?t#=I0YR&tD=IBk=C|;diw?q+obe))pUX?rfEbHVK$I@I3VWh(MuEB-`m*VH zBC>FYiFR(mx7T2@6QsuHta2dSD`&gG#8U;K0N8!Z`o7)KzBnJ#J7jJ!>M4;vMPI9J z_XL<_Z6zNS<_#A3ea4}G`V`@0;ZyWGJm_5;1LJ0%B_4@@Duvbu!ULmk7MnyuZo-z$ zqf6O0`C8{rGVubb_@YpaP1?gJ+ZsF1H&ej^V5Drngmt{zuWLM_&~{n4JWSUCE0`^a zfpTkYI%72k@3zl93T4)(v6;aSkDAO(#(!qEscF0vMReS&2kBdFO9Wv;S%OZb zHru&HRhv#U4~0bFWl{$WMDYnPy{5`exO!<0AJR^JS^qKlFAMz_>8)M#_q+v{A6uGE zXy;oEg|)awx0kL4sOv)VXP>JrcRAb(P#I5)*$#ca;A+}n6u%yRnE3yey3f+3FFgR9 zp>L>&EGGpHZcHGxj&ObE4}sw$G3Fu$=ilZ1|BNQ1)KKvH_#bVZM*E+{{mDPwzUK9j z8+b;a!c#%apNtFCaVhPs8k$l}0w@>W>LUhAtR&ICjFf~U}rMAUiCF^eWAsYn9sIv zs5^Opd<%U!D)FY(DGI(Y6*8Sgz|rDVv+!~-(6=Kk+_$2}*Gy=yoG)mz_iP;P$i35g ziHS{<_-Fo~_{2AC?yHR8W!7@}Ywp=$nsYZJ|R@~`ouMmdL+8;Lo=<-Uz)LDB$~ zDp`|7@M}aERG|%BBeF!~Wvo7Pt%*(@B(^<7n(waC%>4RN03w3KD=C{5%I^OH4Rmd8 zjMQ#~svk2#pDmt(FWQd8K)b6IVR><;c_>IeqQ z3mC8gIJS_;eVq6+9_?RqSeCwJ8s~j6wli$;05zX`W6hfbq?Cih#&|3tzND=!Ti&Rkjv73& z?%f`<*V{>Ak10BW^f%iZoQ(H<#Pv7r*~iPllwLBugbKy)s~SgM*j=|6Y-UCd7_5b?=?KnoDB6hb7fDVkev77U`q7<3f)8Q9L1H7l6q zG^?LoHUn2FB;KgZR*}>wj=vws&qRV5h^zNrl7(tLofb)oG5u}Xf3n+yIqf6WH}JLe zNeZQNzVFKet!nXVabw$R&mA`X=>u&m8?l>t00OBHspK4%@hD&XZ(Z{RP?0q2gCdO~ zxeE83sLzhAG;8lpdf4hu@3W>wtF|%J%x>Dp&Tea)tItdc-<7GFJayf%Njtb|8aC>p zEBi0#n&+wk4<(C3B1`IjD37W`@`f?3xGAl?_rH06x%+LpFP5Dvbp5SQ_@68K6elt! zT96!H%IIpwRq%4~u*^wV{IJwXSU@lU9PjR6)KFq#UyvZo9La1)B2jwR_K0r?S|LBj zBzy2Zvl?9%nj@`)Op?GHe@!O~mkq|KIQHqLiz`yt zE{4@$QrhFQRtY7IT$su4YlbElvj`a$LohO9v>?Gm8yGz(F2kBz>1<|Tba8PC^I*Lt ziIW!xOzRC#Da_t&bjD<^@{;A;+WEv2@34Vay3& zuQUA_`74Q2=MS`_{ob@cuXHDjsr630m!02*jvU%QZ%JGY7H@f)wGyDzAszWlf!&k- z6A_1cZ_C$M<^>7{Ky(twPiG;Zo1cRkf=8l{-GY8B65t`GPVt9Yno~WhY#>^LRMqFm zhDNj+jGjM&iKX{?CEd5m2T%RJ2E?z}%K+_Wg9`GiiV{<8b~uwO-7lFEHWHjkHHu7l!{-c;Ng3`!hIf|auHjO*>(^UkDOa5%UaVJHN^3uC-N ztPlt$iL(4wH^?HG@#Yh;SKls$x-tsI8$lHVLBi}Z?)ADpozRLZy`=0Wj7MJVmsrj2 zf<(wD^{K;WwcuWQ0>vvcF8|ZU_6(hV0d908A(!VSpZ3u+7hZjHOU$$U?b(f;_+o1P z$*_CT8iM+-OEyPcVv2{krEirF1JSe-8!A60TUK_h*E4SSV&5@QxtjxV?#=YsYV?RE z>MVh&e6l?My$tQs!sczNecB&?7uJcYWBc5$(&v@l@03cb>nRz>w!$@+=bHEDw*Da1 z@m#4Po_}5H|Hpz;tt=~r?22WPthtTjf1UV&SQ=43Jo-N4p;0}8=*=p>Wt<8dEt?A> zI5G+aVvUIRk^qz4#=U-v)`3%^5Zrfd`%X!>HR#LMGI9rzyCcB5ux6_^GX8i@8j3aZ znjk+WtQ#1jQ*fjXqjRpQIJQwx*^O^U5p%kX*@nI|*zR{ZwN2FIt_Aouc~5m}9(g=K zU%!?=1;sIj;dbEHTaX<8cFXX(V?4iMO2c3huN=+7F+`o6rVFX4tWw<9OflFOt`@gP z#-U|fV`~~Z&=3_RUv5I(Fg7XPNqqtT5S{>ACoQuSEhY4!0RFaYl`82ZFZb#G<8Umd zvy0$+Ll~3No%q4#kDWY;DPx{?>?|bC{Va4otBLJ;Z6rY?*qiA!ztMv%5C0ig-=4zm z{MX|L9GN4&IRROdxVsUV5W-563>sp})aEi9QG;?yft(`2R%rqtInwQF}tmMQK<0zsXcMRNq@#h;V zLG>pz9Cp)I-6$Qx4czoxNU#%>FHFIHQDObPnREcTK;Lc`u>>PY7-_${LLVR5dC>_j zZ0!(mOX>(kr{Uil7N1Ig35SOw4_2KL1+@RNVlJ&7Aj9Yt+IPsYoONHtqZ{r?<4OE! zKW-9?<;yU9wH#3z?vwmPlOm=a7!^4}V&vIf(*pqq-jfi}6J+pPBLPio$jW0Co6mfj zy%1=4w`6rgd=3EkqvTo3u@aB_UoAidkjiMK6QoWlqL&(T$m~pcij#*76L7dPiy#4E zaiG-QugI~OF+M=s1(*D!^WGF{6qDgB(c1Qn=N$BZe+>hZ^ROEw2^)GvS0c!k#`uz? z8-@+5!2h~F)REbKlQe?}zJp{vT!ytTk+4VDEjN z$9aU))rqZ`>-r$-4JK#oKLZB;%OQg)N+=jzb^vNqD*k= z2h7K%%`jO>JA;}@@0`z{N`&-2#vP^hA^Ic8jW+of<;YJ)>bQ2@5C!9ekj~6by$jr* zk-Gf)n&Yx*HRL9DV6rylORvE6{)ymNlkvp6U23kmp-}zj@S$qip{IotI|FVm#j5N{ zbHEeJZ~a1f<+5rRhf2{|qyXi6a;2v+fJzz~sx-uwv9w22EKNIMDpGK#I3P$#3#El3 z>K|w4P35ruh22@5ol;dle=Q-Q;(k^BJ&tumnNi-rYOJ{U!69=T-=7k@));Vi&+#`A zHA;t#YtBDkS9MX2++qdiND^8|oxyNa24$Hs@Dj8&S*H&9ixo?^bE6X8Bgt|yXu@mu z4m?b$&n9FsBQVp=+{lAMdB6#=?x&i-d0`-#LQiDHv1t+H8_*$Q1)8RhEmU}uFL>`a zyIn2%Y$f^ZeQ-IQvt=uOn`k_bur&(HKu zjql3UqOUNrD~*H5l3*RF%N|#i9`%(lmots(n6Qpzk?BNt7y?4d4TdI-+%0jhF8XP)3OFZ zp^yTel|f2iasiCK6jVYCoQ>c!6#|N2lCvkk1Vk)@8f_37?v4=eH?JaK_uM`4q}J@c zF?4~l;e-wkb)FJBNXt43lZ{OiTn|sQSp6()>HY0DD{e4V^;z6F)Fq5E9+%mzRO@PTJ`SF-(emha8oT#M z?+S{Usv&BXjnGgvDwknWb?{Hs;7J?JYnNeK3svo}S4jmrZ50u-ytn}?gSmko3z<iaO)6^YP2a~cHF?th4kwxo`{(UOyY*n$w=)?boI<}Pe8lJ5 zunUA=KB{Y8wW%X5Yb?i88IgWA5-?!!_MR<&N53TbZaG>zq zgccJSD?y?pi|6GMZ~8eP*7y(FSMKi??}FfRzb(23us~jEoTE+**_HjGdKOupDg5xw z9gq;D-6t3mJ7M%gM^nOfReLYObR5COR$M|HFJ#lOz^VWeADS!(RV#C|Et|`kQd1r% zUk%+A`cRxGoJYpevAQhUbKs`;#v(%Ll_KgZCzvFrn<|=(l&6PO%+<^Q(SdK^H~VvY z0y%`Ple&J2{Xz9uA><>r0r~oTiXSPg19Ip*KZ0TOU};z17)hEG)avwcu$5yi;Lz(m zaVIBq^m>xMC*oRZrnMN3SL01gp(mvPW=N8e@4?3`&>a(YR?YF-{^KwC%`>guN(N;# zjl4}pAIGgW)ZO1qjhUlfGFbJA!bI8&@OS7TGe76%2=~vg)4iOu`%3cyU$6VvL-OR| z!p(b~(IJlo^8V9_LR(vh=p^;@I9ppk$xv|i!J`0fv+!q7U@ZP@(;|nX>%q;si2`WN zc{>LOM%424x%0Mzan9n|quH6+yo_C7oas33CY*?FDT9l}rNWYp01}ceP|*Ai4-7Je zDo0Px{wiio2z?bX8+&P*ghiq!era9LL;fY<7sZ>*_zAKMJ)sqRj;6WheDuB?pq~34 zMig{gi$}0~p<7ON!7iTogNnIQ-LS^^93p$P-&?rqtU+3evQgbn;&o$GDINWbCUr7L z98k#i(7^6*=p8Qx2#bvjz%j3_LlNgZ*U?O}qC~`S2wEFL7VGxLH&LdQmPg3RiC7c5 zdtyGf%qdo$6C|}ci^Bol@nYa)32`>!eAs~o>yIl#6Il2AQk~Ii-#v;todcRc&)l zx)4b}wQ4FWhb3MzG2-VRT(y+P;+#7p1;J$AeSRSt@Mi0SW|qTq>V|^gP-($XSTL@6 zHUeiJATQg#fuZ=;{Mes=ZnMk5eO1`V&4^+|X7JX`8g0Y$e**bO3k6n@tvia%{nC+p z#hz0Cg2O2;E2x?tZM3TM-CX!MPHs2no$B9r*Nycz2CP3?V1FC-VD*7F!;Qwbi?IMd zZg|5fdqB+i6TjUrHeA&2{`r&uE@ec9OvQBW)YQxGf31}U(%ZtNZ_K=>ffO?V2J-O# zmuT)Mo{9oXf44aaS64xg)FECM9)nkCX236E@3RljY`WK{wv9+r#klYndVUV%O zFmVxJlXv$riZfrovb|n;?SqDted0Vhw5=nv!KwC)3VJdk7>+(F6=1fLYwb-IPQufo*+3bUyXTx<>5Q({s7$Oxb0pZ-C(gvp{DiWsGa1h9wO(`UP z1c2^pHd+~9o*eH1$=H_xE8;jfYvZsEGz!p*A}VoXXciW0DJk9yo8z3|XJ{zKz}m8O zv=G8FAPGrZw&zAE4n_2y?8%8c9Ys`a^m2PDLr+k9WkygiI^kgZccp6B2~#*B9Vz#e z=pVig?2@GCIu;URZM_hlsAPFGRGH!C!0eNnuR{YlZ<`#XJ}(fsQW%3@NiJl=U*3XQ zfCv;DuT&aEC=|tY$82l;RDxg8=J@zfQCb}czEtjOW8*8C`35GpI>lC{jsjxO9&5g; zbeh-Cc^>K9;k$Y!paK;^5qvz&G%K<-dU-Xvys1xaALk5DyQm`{EQkscP!yT|9SqrK zAgg~kY=c^S4PLGek_ZWnBCZuzp0p^c1k^Q&kAv{%ln1JsnyXp)vR+EdfMWLrDS7^J z(Cq{vtv|`#&)aSFy?|-oR_)BUoIY5%`5@i=XSWiaM8pPTqvcdp$aiI2R!4err|hQr z$>^23-!DWRdg4DW_&;D-J>XJ#T^EqHcz0H3vY=M^cTS$1(I&ohsdm-->|o<-G94|z zr20QNZp(`7FnVyr2Y6Q1SOop!?1R5p`-cubUnUQ{pBgZq>_3qv}CKUK5aC0S53ts;&!^C&)(oGTZ_}L9s zn#o>u(S)65VdD0r+Sa5?GB9lZ^h3-6ka+$PBHb3!;+Pvs*mm!t_X^Og^2sTt@SpT{ zJPNueMHhtcE$q$1hb9w8BL4MO^d85tkiLHL) zrImi;Zwmd^-$@8TG$hUzQg@^NZ@_nb=B4@Mo@b>Nf6GvNg`dF8tjM!8nho2XRjk>j zBFm&`z=f9nZ4{{hYqz%$5NkuN4JGPUkYoE4Ybuv{j$;)QM)d+BX=?s}PrIILP8$nf zyR06UjoVst?seCVDVXGaC@=N5qR2nBV7E>=z6~@M4)2d8M}yXp>^}BKFk! zC(~d7erBlNO!4u@o&J>UGD)GWZu@7Vk)ni)DJH)a?R&loOv z`snF3e5&fePc|-AJ*VWTQQR?xLSgCUne~35Mu58$%o}gbe@g@f*fOc$WMJEiA)ua& z=18qq*-lbsDNfulR8kZLr^RNrnRbbmwmS4RtG2KWtBjcrU%E4h1N0rgg<{hPUg4xMQXgzhObX5Ilr(qinzKLT9g5_OtHJB;hYMrjTBF$ixai+q8pNft+`R9{2R!y0 zJIcOL0UKH;wQXynaBJ|Bpw2hZ+W*JRP22ZCL0p^_5H&)7dy0?&!z^Gg%PM6~qM3z8 zOJZ^He84!QF&%yK;2Ubv7$ z-8BR9EP-?89vZBxwa_WT@b_QWu4sxeY!%$#=cB7uAQ>4ZJAh*9*Zo$S6{FtLCVRKzUjxG@lpdE}Pn9SFi|Ft^|f3T@J%?k&y5+9iiQ;A zc^yLkSiuiqrsmm$uM_OE3Ki~29s#VFv89BMfWb$)6E7}`UXV7;ntKGo^kQ%nA=RfH z1f-c@2^l^hoAm(GhWU}9$%GIQoR%P!oZ0xVD09Q)e~mcHNrxr9m6&lP*VgUE&plmr zprzqPA;p&8qsjL?#4lRGsIIImYrWO=p=0L;*Y;l@4wGa_Oiwj;UV8Y??6}-|l#Pk` zERusN@Ue-nA_H5rk81XCft?Ku=~OlqSA_7L7}tWZvSE>d9sHQcc$H@U#ZtVNUwmY%=g&X#WCnFWFZkPkKr zQDmPJG=7phogsBis|KN6*_d0wyVqE}Jhp;DDV0TeFDq2c=79`PTq*&6lNn?^Axq?q~M;EJBe zCb`!x{GGpJFIPr^liKBX>#%q}3Pxk~!6^i&dCD9I-178&zaF&*Jp8&wsF!b(xKbHIIF{IBix5 z)9kqP{!cUad)stGsO4{#NTK{`|?k$^FvHeH?KajNcE2?>p}kut$tUwA)Ktw)V$n z)$fkJ08aG(p6!s0cd#_|S~%_M9DMjc?K+TLe7C|dZm@Wilzdw+!uW5K{Qr#70RSPp zwMKr;KPyaJTxiu6md#G@pOP8yhhOY?Ggkl)0cj6Kzjk$GvYW3#0}Wh9Ig1x3)3t&g z{Z)N1GGSVxKe8Z0oKr@Ce(*&V*s%U-FS_h_q`qLK1mNpstSE|P+mIhgv(=MtW+y*> z)ac09j{nxwl&D!ZMHUxFhl>rOu^JhSs*LllynI*;Q>y-@5Y-WSXMSK5I_IpMVj<}D z^kqCXo>Kh;gJy+v4qYa;oR~+kdYZW0w@DhMh6ceLuVz#^Zt|<5A|ICFXm$J2@0$jw znBT?>C_jEv$olOyqZ|dJ+=9W$LisLu1pI-F={v2$Ix%DH)Fmb z$%{zhHz}RTz*nKcn|nKIw9(ivxt^WdHy@p&{KouwH(I_@mU`&jI~+g426Z-vchUFY zmSsI(n!|_w+G+QLR3u-EYsB!UetUa7RPDC9DmE9jwCl0bGj8e>#vcuv52a^lx?Q%9bWD%}}Ok>*j%kjxyx;@xQg9WlJn+xF~MACpqO%?CMmD-?C!#7%0 zUs0wjPHEdW3za%(AS`yWS%WVv^QCKGuLjTpkXn&VQ&ACNT<&@OjgSna1PtkEOqE+i zouHVGdfK7r=IMwQqEQp7V^@pOkrKjXObG}b7i0M0p}ElLHc4&rK4%>K83q2F!e;hb z5K=f%1AcF~km;0x^y{LsktQ%T2NO^`HQ+zuJ~7(WJFYC>bsQ;P!>2fq6tLtenI>Qt z(v1s(i)Ss~pU}6T<9DmNmco`put|>qi%B;?;o=YiM_!5E8@I;qQt?)!H!v699PRKW z0zpLL$|aL}`bn3<$;w=l_BEDDK+Rtxu32}{CF4kdQ~!y!bAv23>Yq_G!}|xup4U6J z<1|M1@0-#e7ZESq(FDMwPqp|qG@MIWGTZF0NhHrz9p488Z4f(t5#}>e+xijfzpYzM z9{ulxRw&y+FH7vG9Fb(GF0g;=)$n`|LKyP)Zx{EQ)t>d3{hva28H{N@^tYIw?{4n^ z@+*OlBv2XA{`oT+pLIo+;dSHe9rOX7R=-|F^crqoNlV6uzw?C!ab>9%c}7g*+oERn zX}Zu*K&)5f)1i}P!YC8mn11Bi`&C0qpC)BiomeNq{Ba@gyizZ zm_@JZ*(mHSg=QL8O65_K-|cz&FP1oU*epO;suwO=esN!aCO+73cj_Ivt}G5mmv%c4 z2!GR|N4vuul$-fCbk#pB*6g~UsPJ>RZWAG9 z=mlWcT6`43VI$pS6KO1Rk6cyj($8#R$T2zSGfs#wyXj})QwIDYeBMKO%OGy{z zMd7@@Ln95f2h8m{KM9~|n|QOr=w%N5bQzO4qW<4GsJ`AL?`J^D4-#$7-?I@fM_sv zx@2645W7GaJ7~GMUxmLEL9K)}GPX-@GX#ZuUEiugdxS7mAd4THOuQtIS_}=J=<|mB z@D$%qetBV3Y(6j$PJAqdmMrT7CY?QRQo$}V9>!w(Whth5+IX0)WkR*IeZxD>H9RPBFhIg2(^!{tMbkZ~HY7pvKp9ZZzFMF}-oX-g?R~KJIbTdnzgt14_j#p3FOD>LacqhYgaxwM7Sw zNZok`f^L^?b%KMe2wvb3I-gdMLAg6aeK3$eTF^+6;yS3(@URP?Q~43H_MF7o(N~i)yqTmNRyV-O3;n$}+=L4qbZ(M><+)Chr~Psql=9FKk8^bCcL ztZySievzT^(T^X2l+^l=0A9IpoMt5L_cIYdUgdYCkAc+4#dyD^Sg@8CL!=;sntnI8 zU1_dsb#16`ko#ktq$YXh*;fBebA=bdg7^Kc+m7G)mfQX9j}d}(Zo^I-RoWe`VOf1lL^F)l&##dQ=z&v0As-(!RqOAX=3T2oE#d`-4b|CO;K0OWq)-|AlU0 zd?g|G%4`t^HwLn{#28&A^>M7b!nH^9bAO|kBM_DGG+NTF@kno1{Tnn9keUuRg6 za;lm4TWPJz-X-9i0bvyze;Ki}jW)j@am2S%OtE8rj>SRc%|@-K6aCHa0{#l%ICDa> z?oCrLSwCaH;M?z`N_r!J!@j0XC2A{N*lBo7ItYu7#4T!6DQpcSf-0qS+!0~mi*%Cp zI_$j;W?(U~CWdVGlzcWhtPh^;v+-n*(U|Hn+WBo`ZkRmY^rxlz9>agL^RS-&x8>Ld zVrjL?i@Zfo^UT5RjdznA(r^zOWC@eDh9Z>PLAhRD%FCAVv{15ifPsld#;MjDAD9le z|B;tYGFH^gDgtCKi)CRVy(Pjxr-cy$KY$?~?o_r`@yE7MK@KcVp-sfT9=~SMIm}3> z^?2m+$X14kPQ|`f9OGeaqw=Icl#}HxD^FSJ*mysudxN2|0WR70*4%z9Goc%1r_w*y z_Gl!Y&q;pX6fVgb-0!IyfApiP&2ZcMhJ16CAK>xxbM)0>8(#XoW6OJN^{nQg*Pgqd zYp=-f`O9uE!YdZgE3N-^cLvc21QGckgq&mo)|FwUG@Qr>F>)A=eme&5P>7rVZS4OA zs0aA){PXMjhBy1?bx#0ETaEm!jrX=-7V4|I1k(h*wu^C$ch?+_#3*s4W+hhMU@K{9 zd0w0dH>CqZXDA`z(R7O?6{j11r}A4Ri$+`yvbs=3a6E+b#7kPOKgBcy1?Q!rD4np= z;qQRC>$}<2D8F71F5|hJM7`ls0F%lR6k;qKI`_pG#9yLu=r>NDYRwNVr1ZB?9}Q@k zV!~bBX*v<&(oeJj^`eAdc>^o$Ku@34N$n+xz*z`kf!s2=g{hHWtXX_Mt7*P~b%` zbj3y_o46C%iB5py7u4#;m=hwBxe< z3|SSk?8`q|xDf`$x&M{e#t+B5`16=4{PtD)=!X_8D2kphn8jC zFf4#3gs2R}?Y=(Vj~rbkUkh!lgooq3BcpOdm!dAGyh;pUIIoeHn>MRD8P|ExbHZI)Xo+lKRE>0 zrX1eNue7a_>rW($HB?l}jNsbA1&y;R_~dZtI1_dT&p1q(OnkHqC}c|-u_tt?^#{D>R$sP%uGw~JWdrQh-a%JZRi zrjk?T7Yo#5yRDanle&_JB)bnAMThYQ-_~w5)CG8*E;Wf@x94&3d^@d^@&fC>XZv^h z%y+ySAi)u>`TlnQ8B}rkGwEh^DsXci5uvBqYKofh-5Tu;EfPxlt;>I_pK9D*WB5Tg z?>ZuRalCt&uQs&WNmXgsH5QKe%yg8}#sKWbllpO}b|+XHDGD z<|^wAS9gS}eeF+QE?dN`4I*_i-(GmTdfb8Z{?fNDLo<0mJN`$~T=l%|m`kJlKt{o! zpkhh^fgKy(;|3K{c|4>+F&0GQQyVSEWKt1UF8#a2L~BVU7E}L**SU&J`|~$=&U};o zak)Ts;Yu@CJ+m{BzbobNhA6M8en@5|qV}4Ft7p3`!ogf`>J?F;T1}Y$+1;wc=!DiD zlTv3_oN*MvdRume9}Z3kV@hY(q94dO&b~nO*L5`P>B!KJl8>&SQ@rLOHN`3HYdfDQ zu3v{wu@Ub!ioa8KiD>lL5F>Q)z}lxAA^b#c@x^fbHG6-X#9G2kA#QV+M5DVEzLv1u`LI_LSbwd?$w<)< z5%kV^Bv4EwhX0IApm(q^7igg`R4Rb^KAI2?p81~=>bq49#0hR});d0SN0VSe z?Luzyug3ljfV)4K2cVRx9C2)z%s3!E#Oz$Q+cone!!zL`{`mrLVPKe*R3$~XWBS6h zgL;StL@1yQ!S4-zsGo@($L<#Ju5+-Hcu3I(3kSc(<9ppJ^NIb_#;vG~O+;o6613fQ z{Efbq9#Xpj&CaOn@c*US5hVKz-6J_h6Ps0|HnOdaBvV&PflBMdykCYJ8?)0lipE>K zA7VZ)<@k(P9Lz_K%6K^^f+*rMC_nM3y&F&TSP?MPJzdq@E<(0Dm6puy(6xFVzBUOZ zJMs(mBuPOi-*NZ*UPw@;09`$R+H-XoUkdI}t-1)pS*Mi>;kK2Am-FVrP zjO^?EZy9e|FIg8nrgz<5xi<(z?q*0uvr(zCky1yq3rJ_G*hpj|0z`7!ot{z(*V%R! zL>h<%tc)imfDfE!c9t$$oi^7=Ustz$pHA2O|=5n+1OZ<`4sF`VKkWc!0z%4%E z>ei*s^`)Op$#h|%iRXt*|2ui_Kc6OPDSDdq5Rsxr_3d$H8ocETr{?!OTJ|o!dZ! zPW93p-5+8sCd&Jt6z$yzH^gJ9a6sf|kurKH7KG;@$w9!`R6SLgaTn)(OMH4I_i5?p z-UP*3sKhQffADACLwE=sID<$nQj{$iLTyvLpzxTSl(OvkHx+{qY4i$fguedBm6CY-{aP(CGWUz#(6ODja_O(H1`+|8K^O@?q;FHfhri~& z-x_(OhNO!w>Y|g1X>U%y?0TDc%UM1kyC(to>=Z5SDU%tkvdt3+56a6`DlPQya2)m!Bi2S$1yeY;<*+&{$CVXh94jbZW4z}1sM_1z8zkWobjZp;RH5+Dran{9m+8g|eSLx+7OY|(f5Yx@{NVDt96 zwJS*TcbqVF{yshIsj|J>rgA})7)Z@Vam51u^^+B3?RDQ7mQo!bRL$l%dW>+Y!2Gj1 z`-^zn(p-2q1{GAO2!@7C&ye*LXnUN8K!V4N2DPkjkWI8V`4)sJ6FA8fO(6<+DZT_>ssuNvvQe2d$ZCqeH7`|iMRWk zJ3AB|`fK;1%+5{*6_EKGx&mZx17;w9mBlXm#3}Jh=VY6-Af>t>HYy#lruaGhujnlV{%j0TgZ_`T0--yG!Tle}>dWu|x1u?x zn#BEiAMu5gm9>oaDXWOx$3e$Srxer@hc{nh%y*@)mGp1NvZQ}dWgq_IY5*(=RKHDE zQxydx{%2pGRn08Cjl9d9_9yn+DlvXuai4>;c}ldP?y=~7?C!WTb4+P#t~}NenKtKU zYj6m^8$70b;)6j$c)1^Htrhf;UC-(m#G(dKuHMIHxx?CKMJ#I;@@VPwQ}DQpRnI$U z)j4D}(&^jPSr~O=Q8B)VK=5}={oZpQDKtbMUM0=C#kl=#O^>=imzGeuztalv=$tyV zimUSni2PIwLP}5Mj?60#v?qED}uE8Mb zt|MKwVmyi>2uhv6u7(6Ci}Gy&K?0Ly@>si2{#!wmcBK8<$Ee|$jehJ1 z79@ScS%a^Gqmm3>8PGF_o&5AAcvrOIrH6d*Mu@)a`uQFQ1r~$!2D-JI`Six#_uayFVhC*w5Qman{{eat@En!R$ z7KiULx?SxY2G!N~xx|29^bPDn`sAER0C*Dr7d&z0jkQz;je7`H{(`+S1QhgP9e?iMCp=W7d$q3*ngGIk{ebY|_)MKc;|0z0rMB!QSXWFI}8V zi4|}Gq)?9tYWIEup|P_Fc8{$myvbsJ2J*`M(DB!DU?$Y=E4K=WOhJT6x_+0omr->% zl234cBpdz=gn7XZ$zu*Z$}j^Mm~N$`tseCwGSae`ep2vTUmLp7Rt&Wi$N06-T9t!Z zW?tO~50#h58QVYKx~MYO!!XF2-vEV52Ob@-+rzQBFMJ|4h`eTr-EJZW8-|!2zm(G} zP>PdD0xS%qY*cOMMw8&_Gk5Uh!A`7f+ya)EisVRMo32IUMbP*japh_@6>>ZjB6e-=S8 zmc@`M8IiG1{=ORL7$^m2vE+?D_Gz%H51-npu6i4+{ezstt+aB%D2MeWlf-X<=ci(k z#@M&^W@!H040AR@|5#4ZFb93TUsksDEe?>I{O$cR`2J%5Z0YjBl)>)KODx)RTviy( zpV8x`3(KRPf}YF5hQ<7e`=g2&*9SJ@|Fqy=)HB8iV`pclx~9h6V%G26p>Fhv|I|`p zh5rQ2){x2FHdQYxVK_+s6B(yJhv*?kwxOE|VK9znNq|DmB4>Tl~NC z)n0Z4HVEG)OOGIVIFmdZ;E8fP5#F2`2{1P8a2=75+kpIy^d9P0Aq^)a0+a3GxOEk! z(zsZ<@roJnBZ?4AV+j#JCho^}I5FW*WB!v^W$XtNd9rwN04B{w5QIZTP39Z7(ypyE zu$z=Xmzkb%{pOsX@v z>D*QoigU#Axrk1+3r#~UlZnlK!x7hpugx4f3Rfx$!Y@O90SI1nOJn(NOnu|Qay0DFtnsvr;}pB8+48B6nBuU2l&)PynwR8rPL!J*wSlzHe8$*L)8WNzR&6`$K= zoa?ez5Z<)<`1TbCk9vB}-Vta1P?E}!wt>o9d?HI!?ar5PnqtxcM?!THO|EJJ!vLTHKg7b^h4n#&JM)EZ=LRWrthb7F> z(45R~NULuEfg{7x*QE(y@^APno0;L7GN6*>uedSza|bG2-(Ep}DuJqB-orrbClT51 zgk-~*not=g;>TciGO}{*_z^Inz|-C~(~>?dr4nTaSx~YVkA9U)&Fg{Lp@WRIFhZIF zTM~p=_@xMYc^b?6nDZ_K$_F+shIzE=np-B!Cs!Kvo)tq8YSvHT-9o@}V)=O!2$cSC z`bsc_Lm~w9i88^9`J0n-nlRO)Kd0oJe+V{j^64GU!m1ktD_s<4?0Ib%vXYB4(i9OI zXI-;vwdzbEua%bp^wSA zm`h6Bz#P42pxD5`2fm(NzV1WU&ib_QrkrqLdxS59I4xL5Dm%LXPs1okJJ241%#S?^UNbQ6+|t`iIYoxmdSp|9Mrd=w~jE zy~g-AS4e4z&qH#J#$gY5Z*uE2@5ii-!&nwB!gYOi3~WxrrJUAu$R7VYQKNqQ0d@#F z4-b#gsekzfA$|SzTkrc=e?GsinMFId@oR<>PA~ zwchH8f1f8&n4C9oqTO$kK@|r(CxwwFp+qm6dOTWMQkm?-i+DP+CKNJ0dS{Su(L{g4 zKyt7k-!USGtl$F+wcPvFsoDj>2B!*Z?=Iz5SJXa#CH-up*WY~}5Ip)!r#P=j1 zB&94e&A@M)Agp>~!V)wIZ zSXP<-*ZM&gEiLvQ`p3pv^0bUXE}^KWcP&vmN_G6)qaWbm80L&0U&AsuzeAz9%TZ5f ze0k%!li#txWCUZE+!KnpF_5!h7J_ESrw*=9y5?w1;HW|owaw|6taBHyK%beH4fK4Z z2;G-GP9{#)1)+dOVLYiva^OR+Q$^|Y7P-mMBeyxf^RY6ig#c-H9i8IlCT;{%^6q9U zCMHxuW}}0%hxxDl@Tw5QkU}@$BV#ZT1z9GEdM%bemaU$I!x0#E>F4r>sAAz$5tvtwqRBl2b-KvmUw)NC zHocL-Cx7Hd&=^5_bVSPzCVI)Bxa;aoNkH~0z0$67b)a9a@y5$R28D|I%*W*<+}AC7 z)qAn##++^7ApFuPZr~FplJc)xii}y6UnX@t%5z-oMV)4PBlS?(2_>j+aB7uAZF8Vz zFi57a)(mKkK86tsQwwJSz(^%~=LGH=m;a9_x|owwm!Alna32;VzdvAvfOaGAX^PGn zb#2-3@Gd0b#(c~Uw^R+dT-k=*}hTsA_W%TqT;)> zd^r}l4w#}rptQu%;X-LJMDNm0v;3Yam`s=)N>)@klOGiX_u=^MA(gq2+#ZNcO%FY1 zYGvq?EJh9c-uxiP40TZ#%8T3BCBk`?9)}K>RiNYkMM)9_KD{uG^~@C9cGD^P+5}e~ z_{#<>*gN_xgWS!x+;&SY{#wm!`H`)0IOhJ-_m|DRv_sEm^pXF<2U~kE+64zM(KPq8X7^H`o}#M?e9`|G%bc zfIs;D0}kIkQT`WafH1eaQ8RnAwxk13{%u4cToikb;n66cD$S%Kgy6*pa%0XGZaW@V zZ2L$qR237HX3LB)s{?po6Qgjzh=J|!yecNKX+$C8d_*B?c!ULQ$N|g?7;szOu(%I9 z_ZV&DU}$p9LHHANWbnN1(b?SaMzi6Ed>8Xig~Qs&u4a<)5jKBCKVTBVFn}l4EmZB| z+Ndrb!hed*GW*3=WY{KM)%K%mzD1=|>X(=86X{l3Je^){_3FVKuM)?5IK_M;o>fh{ z(~?*ud~Dqq5qS5q*z36IRp?{8Q1=LGcax-w5g8^xc`*6TwYWZSYNGLpToj1SsBuAP(2kj>#bLzd475@ z8(=+-rz*%NNd3niUr}WH-1g`GSavIm&58w(nP#Cf;^(qqc@5W6VI&SC{z-AGYg zIg7?EukuE-RsD$PXrN?+PM>e}+B%3kLq*j@vZ5mHKk%+0G05~qRpx~3_%(k{RZXEv zGdCk~rkIOHvt@vYIH|5%RvZwy+HXsL-X=GDM7uU7h=37%z=RnQOtCJ*v~*u^gWYxB ziAL^1x_ebisa>vIvXKAQufYu>E_5@k}C!J<~IIul4>;Zo1!RPek>8WaJjod==zFXtbcQ@;a++ zZ6p)rad)6TVcl}@t48{#vRfz-;NN&6xpXYaH?~+w^KVtxe-D}HG#PZT(9hV{H1|G! z-8gl_8M&(6cx6DR>D+-YA$guY*aMjD`oxeNIbS%=nouE9Fx?{q;j#<%_uSMXQiY{R zZ|2>%@u{kqSPk}UlV+ZyfwCM9pE^UDVtCcx1$A0|MD#vzrf`{ zT|Kxvn-U?&T;e$~s_34-!?aKPr{R+fF<89d-#}WsGuwny&ZCMnRdUY30|a(c$elh3|=D3ItOJJyR!i*EfXvt%Aw=H&og?-r1K_qV{urb1ny;^rFc> za{cvnEtI+XDdPWQ?9HR0{{OdeGX^tbj2T7^*~U6#kL-*ggqTDq+f*W@#=d5qL3Sl1 zG?q}=WyunTq6n2xb}gjrd$!-}{kcD%-+iC+eZN2V{f{|kUdNo7^P1;luE%v1FU58 z_r<1b8$TZYD=}hd*!RN4dk#X7=oZgMd?mbG0oMSwWc$O9J1q_!khf7I+aNXG;~L#A z65liZ>QtXhj^4df#R$FiP@*8uIq>68E0gvYkp1eoV;!Yg)ibx^IHg0n)W{&dQq2pt ziRjnPWKYeDPR>N&gaq`Ei5;`p$(rv~OoGvQ8Fw)0o(zV*jZPX{!%E64v0Fk~CyFGP z_(YSlANOgR16}THj^fnGJRtY*&kbqu%e0?$08VA5ogb=6J>O0y3QoW*-ko<6uH->I z-)p{7dWQEWmz6D%iA4;PIRLn4*?I8^8EY@Sw%5I^Nww(%OnL>p4)+gi(nF9Nd2HF#W*Bj`d31DKa3x!rvUiU>)bxx8Aq#nyFh zAyjPpD$-$9g9Z4h{l1&~J0_WXK;QATeE5lWyW34z$#1_Zx5hY!!Foq>h)ZgycX!2^Wo~p#p8QiPi8F+$Cy!VDj`=c4gY-!Ae;h^3T>ks z*GF5UZsbE6E1F}>_xF}WxWy=+VK?@^Ruts)YkPhk75L6E)Ov9J+V|bCMZ7B7dyCK?tPv~o(yDPYf252o6e z4STG1EOO~{>y~Or@AL`t@2e5OoJ86}fm_Z5_t!QB&DXFUtosZ6)DO~>SG~9}xi}}< z>&3_Xlk(RA8|#Xf+(S$_QJ?*u0f@mbW+f`Ib!jm0Z2hTkjZ;nE9J_9w(6>tn2~5cQ z8S>2$qK`9z`Z4EsM2$maIEC1-u#F2`=i0%kF0Yo5J*YAn|K>9d!2e%)1$BHu-y&lKY= z3^XT@b>70}L`zeek?PtHe2cPkbs}#^p1u21e^`LdcM5@e4MnVm$R=%jCjipNS0x%8 zecZ=6k0~0?yQ+wl5Th%6aAT27%jV?;$~x+G2t-?JI3bS+fqBj&Ps|moBP?ITuj#zs z5H+^U=JYibI#mWH(cqm-q%tcyADtSolrknVX+%rTe$O=~>%)0R6rjX5cBQwAn=(xN zzo>i`Y=UB<`yamhV#^BcaVlI{Dx8QvXrg&12Y{75`i1`-@gb?V$^%;gikU&Dh`fC#i2=_&Xqt4|zHyJ7 z?D1h-j$h>KZ`(mKoESK3NzO%Cfk>M|NX0tK4~N+|!$JBQrA+HMQf$sy1EJdwitY%f zK=oE3pHU(ZI85?eb=_0Kv`b0Z2}|2I(S6`=6KSLYp976#Ke`AtK?_Jsn4%+qY&fxo zMDL;rsi7zWE}t5Qfdk{}YZ}tN3iK2~mx^wB*E$BjPRSFz&Yqd_>D8s(b!;5;?TX=I zO=M{M4u;Z>Qp$#iybU$Kvb7=Qbu=<^v4JI);zNXnFXGb{Xr2A7bcZN5ij zjLKneW70hidS_f?k?zmA)#chWsRJC%s0Hrqfjk;-!g8)(4-1}O8ki!)U8XT(AH&YX z`ds>4p#qZ-1J=tbC*h2iYb>X^JdHqb9?8PX(j@HIM|ko48q|~%BdyVmJ+4pH8u59= za~u&)?1c}1OEYte|KmY56nxZag@5jm)5{f$b$B1@aAak0X`jbn-BjW?aHk!?+paX%gJI2| zTa6>h7IaS^WYYfIE*UP+QbiX&=L<*Z*Odh(l3X_i z-^o>_0h+}=j-OlGGdqTo%D$#bX6<<*(Q95yAAj0mRRcOFo6EOx0SI~-es-fH7G02J zniMMZg`@C{^PP0&hbJ^>YI!yKgA%wN(Qt%-;ao=334f8vh9Sml282$Dj8a^=fG1(E zm=p3kV4uS?pUXs|-^-SlGEGwv$hT=lmDLkb=C_z~CQ{zsyk*QS8ZDL4k=DkL>1Wx{ zAF+rqQ16&h?l7hZqV<{$UnW2WOK}FqcwAVyjcCa<_0hAv7jkZ7*_j1_OIT+bl$Xl{ zYmOcjplVo-Hbit_B8Xba==)HNU$hj6x^G2d$i#dKH8Ccul1ouN8=&%jdd9bbE-9X_ z0=F~ZgX4*O=J(q`qgOp47A7vh^luz=OJ#4K1>U( zVBWtO6Hd%_awL6Q5WI zhdcfp`V*%{CfbtYB2W6ZQ7&OQxLDxau^%APcHoUqrlgClh)*gIxO^}@CD?MLLpJTk z#uG!wNEnM?;RXcrHYn$4XR;R$m6S@P5XfeGDxm18Y#$zv3fsEvuBeUhJ&MRarl>5MwEErz``>RQkue;O@CPf2eK05C&S;G{mM`+ z@47eiIP0uP&XtUsref0c$PGXp5ux1+ zX8=jQB4(LT%S;3aBE|n$e{Pr$DFLjGgPpdbHFNQ#Fzyh@P}8>|Vrr5R#p}Q0=IYt- z#5qLi?+}{7NO%1qk*v@3Gtq`#H7Y)+VU)`u%W-yz=3j<69K= zvTMPz5eG40Xt{&Vrp*gAn}lFP%Dl}1YNkMSA)?Nf`|m5v|0)N5$0LFlU$8kYM;`rs zena!ZQu6Jk@nw0ZgIdiC#nyfbT-!gL4uto9J=)&UzFl1b*d(TNmO^H8Zx*QZ{bTX^ zccqEQF>yXVz#c(_K+zIbB`M*IwOR6M3V=Lr(1j%{kx$v(lZoTCg6gjYQ<88EQ>!U)iJ>~Ch8-f+>W8qH~ z%O!d~bx}^T=4sv_#|r5kyT==$6J08VGgpgz3#eyiAlg0hT|(S|bDWNup3KU2#A z>Q59TCPgiPAz~89bgks6DTNNH0k=zhaVEu`>+?B0U={(W z^s*x__B(gZ_6YAe+E`1|Ig2OPpSc!?6W@7otq1cA zqu-UxZ65nQ?{e36s)YKbCz+R_hK{43zf7dJ9hkI*GxmL3kTNK(SA&8KOa}9y zshOkVcvK8%q?Uy==d9(fy45VH;PG`UCIg|`;Lk{UWoVo?`FiVGAn(DU))`z6R-!Y+ zQ>OtmIYP<&d$0)fU}(s268is$L&w zeO}+oP^2@lUMn1QO(CMisv{{KYf;PXFJ@T%jpC@iT3^`~P0D`f!#WPcq zWS0x;)Uh|zneM7`b(JSz-ZsuO+}IP8=e%T{JZ!!J+<6?4FLouIoy|FxJL!sg*z1ia z7ia5ugHkBU{7hoZSdKs8_-Q;Z1AhiO|9g0TprV%UbPH}3OCWQsn$RRm2+`^=C1VQR zObd(Jw_lwGRU45!9X<8;kU?}(U%ayoD*_fG{u~HKbAAD)Me@#6!Q|6GPZ_1L+~=hc z)qQ`#mlz;wFq|m!tL#Xp=l#^-%=*hCA4C7S6f}gwd_oTvDz}ffdhH_{l9QA-9@lqF zKiZqpaj#O@s4{n634V#xcyus!c|1WoaBt_5o zlNoD|4)=1N+&Fq&F`II$R0O$puU)JBEtN;BZWq=#7w!8HH zV(4=!n#BqOR;pQ{kdz;uAHKT;aQ=efRarlLf`p=<-~A{2(*i?ouXhbJVs%3``<{`BHX7`y8(%PretH z&q8p2tp|U$-jPDogX>o+D4#&R=7v#Iw6wk|>m8F2y`oU8B$`c8GvhZ?0%fJ#A>Tlywo@*ZpyGNc$6kb8 zDrM&oDvgrRm6zdIJ07bvQ|o7&WF%BIK6mrV%r$!a2{UiwRsOq(R6QA9S%VVPFb71| zfL{4%Caohpg~*EVd`Lc-zfjq0sbiRXXC*a}X;e^;NVb%zG{m*s`Lw<`ojn0Vd=GnrFxh|P&8s91e`C+!dW+=;8Hz8h zsq8EwX?@ktoP=Ate~u#j&UOVw<}Xlm76PA!Yo&Ws)lA_#68G8}2j3IHfQ=@t+nLF! z8^KlNBkPr8G{E8M0eSb$C6Rz*PDOY9u**$scp){$zodrir;QpXum`P$4!1;AMsOTz|9(no$yot8KUJAKKVKBjc z281~Ci76!C4a$Qkuz-E{E)}fF90Na=?%a-2lVOem!~cB!vxaBHl6Sw4APvWuBxOp6 z_aFb7U)GP1RGB5+;naBd!2>zPuso{Pd^^5n-nk8h=tBw1h|=Jw4~-}w5uKEgs04x= zJupJ7@J8XuN)Y-EE`b%8yN^K}5h2;S&?%%_#MWqIz--71qM>m#HH*s~Op;efDQs64 z>sbaw&#-+(53GsBK?MNxx}wL%bxGhU{oJ_L6yQQ9>&yIXiVvLH^t!TDkT2`i~0UR@j}1r@5PbxK(`d=>gXf+?ak{jPx3{car!Y8i{6g+ zhs%|ZbJ3>G@v?wVHb5A4fDel>bIdw+S}rrF1${yks4E-uU5u$Ip80* z3Vxj=x|~uV()KW67;LJ3ddclR2%E|43q?LRV~-@w@p}q-5~*;sY@t#=D1vAYG-?Fe zuZ{!-{p?2Q5s@323Kud9BZZ38tym-zSR@TfD&uCOd%kO-FI^csp`a!az~3+wj00b6 z40c~V*ZrxXDp7@cfzzj)X2^0Ds7N+HVzb|2c?{#SkHp%2icOn{RR7oiQJ z@5^N&`2ew)P)H&xh5z{?UZO!y$zz!0qRwisTB+RjBNWhSRL&1x(X6KhjGReT#0yEk zF8@5UsxqZVV^Cwc-p;!BI_H_i@&NOh(Xer*$RFD0=PDAB(qNf^>2R0lq*T2!Nh&73 zhaZED41;61%*ogQPIt-Yus>T6AFI@wlKvWNL*sVW`NE5=G7?CXPQfOaTi^9p zZY~{NWp7ih4=!qmNI;al7F9L-j~9TS6gz%~1;#tg%m;;;;Yq?cnsV~vDQYApDf;$n z7+M08fQle)*)i!u;A|?%+#;5lohlJ9(QcH~6=uhzS5X?dbN(!lD9EWZJ9dv-Rl@W1 z#*((kr75~6;^ckR5<|JH@VhXpIod5&&W$dPLXfjxXhb+s?x^$CP|%liCr~!eNM)a3 zlBJ*KSC2tVh7C3Mf>+C~{3E!C*v}@^h4A);d|lfMtC{SVtTFY_-TYHe_$stt_MqO0wzl-A{n*bmzdd{N{U1X5A#UWZU$yZ6Mv`nAf*);nN>17J2MtZQ^-|A= zOdfY)y|ur1YocbMCVHV2@^Yle)|?S4S0eKSt`G@W{H5sG&Ts;x_nWLtw}utUMiD%xpr6IV+2PSILCdE$Yin}T_Fp9ntLmflWzaZ-N`?N~UL1EyO0OV@ zPqK%u~R?RKAHud z&3yJp{S?%4oZ}1MlHH0bMyAsxmW%5`=4^_kdZc4Mfy^3(NM-P+?@9?ApI=ue>BM+mblJPpS^w)~Rr+`k{r>#8 z6tJ_zCTc15s#4ghSg(vs8^Fz$H~&o1{1?LM6)HkbHSII%fe%;AJy5UcA`4jotE!8mg;R?TGMp9 z8>dBnurwSLi_nZg=$tU`rFJYuS*})>6|%9sSMcxetCi$Ma9rP19?6KP4$ig8 zkMuIH%wuME34cn+`(^cU;Qk76Ja_2LOY`BbAKNZ!3Z0vCOrDzqWCqNYQX4JCo#*=`+o!ko z>RhhCZairF(E6vi@?iMUXRoHH=JvfFN90HU)(v4J=h>V8gY*5*DR1M*X)D&*kZSl< z-n98mJ@jL|Bh%sLVdz5cjfX1kVUo+7tzUPiF9-kbk48%x6b}5C4(#7ZL(LdQKc?_= z^6R6pDG(SAnEJ&NP{r|3jL3z6X>i7Df6bHWWu56UOvX7?VZwUk=PCdctO&wFiCkci zsuKDNV^c)U_}rPdK^!+V{GdsKS-({Vw>iv|AMZJ3c=V=U;enX~oDd0XRA@s7@lfa+e`C?)NzGW3OM;oIx6ZSuujKJvE#pQ`7 z!58*#vazMXyvQr4pkN=+BmRn#Aw{*{>S$SX{X)qz@N881dblsom=fOkBoXSVz+Rqsji{ zeJ3lG2~6<4`37v5Lon(>49f`Fsmc6;epZICL>Z2Uovsi)WMY}X%vRe?ea3m z$O~OxscKGdR6%g@2wd?O?%)u`G{7pT~%IUT>16liGF7%)WW! zMMFR*O9q@`Ip(#F$A^q}EL_=9bDv9Ipr7K)gzQ>m`ESs&nr?Y}I%9^cKTQ5SkdZ$w z5EG*W%A-NAWGf&G{nehr**rP^)UqO;#&Vy89_K$2&cG@)-->F_ z6^v)2s9{}C=O)RA7qv?IqS~3YRj1Hp;~b6OytfrRf34S}At|_IT_4ns4S#{Q>Ba{y z0yA?TO43QQ#aFN9y}zN~@>z^tIpOxc#`f27-QGHFWfdXH=0tmsZk?1oPdl@3& z0*Pn|?hA5p(`V;MxXnx8EXkb}-)WBxz873uV%+-W0(TSDc|5*q+XP+W;Q9D zs0FD`h9B|Tg{Y?8-DmfaK;bc6k-dpb99D&-rDrI-nEg3l1PhFXwa{3dorO7`UZ8gL z66aYjcc%tREySDnYTqqSG`?^NE$~h>4w;0&oqj6fKgq2j0gNk}Rr8}HI+flSRt{Rg zgzbft3>r@MIzP!6Xr7^}J#rPrP8*cM`bCYgk+e@!C%y1Em%_e8Hg?rW*tmc2G0vhE z_mCU)4)Bw!8TY*TnBgGjt7Om@Z*K(^O;d4m)vz}iZ7Y&G=p^lxJLO~e>V-PjW2vJO z>1{9n;!|(?zCfB^)+WtG$aXGK)J3Q*eH!Z;*URml93(1JrvBno8wG@o%?4UgAS-85 zDgg{b59kMwtn8)7T{*dwNOQwLdTN8P>?11I2PHk|W3gsn9qcO<5590%p!|3{bx$y@ zZ~p!mTs)ZcOWw~_l?m=poUB=AUMDeaM^}cxynjQUc;%@3W_uDBn}N?Lj^H-z09oDD z861|=^SBLrnsZ8;7jH(Pf<%9Jb|s*=3}Qi40%{B?C0D$RY%rdWhrLiqz$pQ;7G|(cI3&d1Q~X zG(TY_9RwL zW8JXI{sqb4T|Mk{s7THl-N>29=i`Eu;&;(nqA<>~K9}i)tpw`h$qY3dk@gd1c$)=| zmIT%y2w9U!*9on0YPbv}KH*ZgH2REk8d@f`CxItWpy7#f#n)V6c3t|~@{SHFYThulHGkKOCaInIj?2u=iTU|i-R*f1{k^+>&8H%+ zM>%@|yodU1ztWuEFi+Ig{sRvhQO4CBETFdL>tq-vcS-l5BxR2+6f*C$<9pVcBdPG$Ac6%o4(eh7729ToLbj+rf4iA>5lQb7c&dG+2t%xq) zaoW8G@D58}P12|{LXiQR*iP>*VfTKXqzr!>;fpVrcIHoY4`sx)Qi3ur`k|gC7yXdh z3fROOoO`7kHu$^d6MM?JP0ho#;jMAb{{8gf#gJDvc3*+TEOOf~mv&!18yoej`G?Q= zZ_x*2>U=1UIvCwsZGEG=wbS3h1*W<7Q35w*?1R3cZFRx~)8!ojatRtpBjhoULY=vv z4;=kUzN4ogb&3W4rY8y=5q09+naumAXOnyT+wy)M>3#Q{e6x`y=IR&JE5*<9b7%v_ z^c!|+v83>m`~2nlqGFvapS{qoR4pz~)6QUAu&C{iadz4i(N#ZP-H5s0e!k#y+YQ)k z7nqCMugH%0w$rQq)JT{ffV~$^{2&DDKi39yMU6>k^-e(owKa}G&?e(nA6)m0jw)4j zKZ=aGavcgc-q>jztbncwdfzshveDosI4kU_Oe*Uw58e)qy&sBqwn*POVz&%Febm?KC_F#9kY?|QU4 z;sY9Smp}R%GU|$f8V=6CVr-IaR2=h(_o_c!SmLy5Tm+_sw4|~#Rv+|b!TRgvrUfs` zWf*>NHtVXAwl3$wXFE4@3;pX?qklZ2%;r$`(l1VRmeq5S0``V3N|mox)sLo^Ro88# zw}qPhrR)TJLXsY$TY4a^=OCPljJ_`>WMZWP?>bp+uAQPl4-7g>r8~qz;mB^|JmIL3 zosOiZaqTRHBqse=P+tdL6@((gS@4(4R7|z5zuV5M!W{oa)^4u_VU%t6U`?;bk{s5b zt!o~2=pS`c*1v8$44iXTGF_7{qni4}Q zTm1&KLTy?3RzhXvKh_05xcI(n0Y_UEr<~ehl@A*NMU8c}6Gk{Suu?w2URUG?XESHQ zE7*%(Ca9V90I8C31u7Z|^W|f>4KTOOqNl0afU2Vq`*ff}#hLV%r>3k!p)i(2uKR~2nWvH6292fT(;m*kjPa+*qD1qD)@bIG)`)%qGP$eHL|$|xK?qcU@K&* ze(Ig>2D?plPr1kLJ>8vq0DapUG(2A)_uuH6f4$3*>#!Rv7!i|L0`5K-Q*PhDbo{71 zqdM&vh~`s{>}+DClRUU0rc#=pSzpe46AFH@QhRC(E`G> znoh|O%R|12ZTvPbQuK<2gaP|C9Rf#A-ZYBH0{t{T^`V^tM{A^z3ZqZ=#gCcYyx$f$ zuZ>4^XW+v3eKhqT`j8ZUxS>>M4*`95A3=ldWX0L&^#opIKn2X^B05Brx;Fyj_3e>% zMLhu3$ zaRxaH?@pwDRg6r~;#}7@956irW<$&Kix4uuSB{yI1<1r4R$iH{bGAa?s66GIFAOpj z7%)ZRg9>ZN@lq?S!uni_jo!&Ng)XnpGvqp(#N>s#o-qITN@rgDjsACf*MqXc8HP<~ zzROw!!m}F|PO1ftdQdT!o=lYphBYmWTwg+5PZqB{_ZLxe?(_xirn`jnqLd=ow7**N z$N9G|fO##l_P)3@S_X)*O_6|Ikuq;^Ajs7dcw4^s4)H zaKqT6H399#dYIXqM#-Pg{svD9h~O7po0a+&YUB?wZY3^4?$Q{wi5KpeK9tp+Pc5rzYC)_9nOGh9yDyxV`l_9{Ah+Cmj8wVV^8t3~H^-Wp>Rr&dsTeMH2K1A?qxYAj~6;OP|ASMDnp$(Tg$WyS!;<3kK; zARtWQ6JDN-JTh z{RG?QUd6Z0f(wx1(8GzU$#p+*-;p|1Kd`G~h~yRK18=?E&sq^f+({j&j}BvBUHPXT z3+P%X%o3G#b*$dr-oZb5C$@7YA-9@$ANrMP-**?7uh-_D`PpIPo3OqrnxeI;OHLEF zsXf%2c)~SI4;^(t_4B`Yiv1AJskb`ky1mrCIMqKKGJI^h;L+YXawx#p`mf}>n5Lzd zyYqf^E&p86{-gA`!l-JvlP!C+IOG&_X5+_BM$3I0j_EEPyJ z@L;Af?qR-QrX-0kq%_$!f_RenvwmC)KhQnYmAp_GiC^|hOaij~+s|e?fCXqoJm^MmUjri9oA`7R!g6E~( zw*&b`Q2m7W{lT1&C8lI$6S;`Qc4K-!!Lwb&%vQ@WmOZ-5r#wj?vtrLPhe;u|8@a=s zAoW8-UY$eB!K4|pSC9Y2SPwK>dlbvTO6A4!xf z4=&VenwmVSy-NRGbF@gszl&RePU#Y@h5IW-DD!fWVz-4e^lck`K<6{$+TVTVSlFsQ2YXnbfo@`+Ogg>D2LZv-3Ka+M3j#rE7T4n^PxhoaTU z%lYT>2RIN78p9S8f&FLDQQVlGr2mH=lBVS;Aj%1*_xKkqF~wX5NBa;VNvDh)Ekps< zVYs}a`3N~1)$OZY<^uK$vBB&vQn8V<$)T+{^Gx?mDIJ7U#RWT}~N(C-WD&~%)J{0zE1Q{z(M1MN) zgsBp^dzVB?~ZsRb>Uj3x(~MK>W_e|@6{KcdHd?QPUs#{-MPD2bcFwA^^@XF@Nx-z5{_)XU#Q(w!DFWY=}#m_6pf##>L3zwDE zQsjQa_3wHx=;XBx7UYe+lHh3rHHg<5MWbop!Ps003wy6Hw z{Hvj}S@Y_~nl^kMZkIL_H&t>r-3Dj}vpPuI#lij;rt!YmZnYl6jB3y>Q@ z9P_n&_Y;FSET6L*GJTFM)ou%e6OgeQif$bxMj}1kO?Z9UO$W3ZqTbuC;kGsrpmz4- z6;5nu#!b-v2&Vnm-d854M*8Q^c;0l0O*wKTmEhR9Oz&V`2^y$oS`w|vhhk=`+#Zac zALCe&YHn9d;?@{TUgXt5=G4~dPq!^=f$b~ZLMXzGGE{a+S9(B!5x+wyn9YQi9ad@9 zk~$!;66+LBjC+K>lymP3BP%|`!Ir|SXLTW(0Jvl`QK^iZcRQGSnAPfY8K2F;vqRi! z!>7rZiDjw~Sot^;2UCfS^gvtSD@}GM^|1b`)18KQ8%0=reC|ow&n1~D5#BbLWAqAd zU(_~M-S#sZTQDKFy*WrYoWYsdUO$;Paiei{-N7Gi67W&Lzd_08>0tbq`Q{rf;uKLy zXTo%1i0{_t7iWS_1A(_~Qte(NF?y{=!qb1|xk@W!+WF4JL$J~EmCLVqMW|zk@xsJ! z=9>8+X)GX2YJQ4Dz!OwiIi7}|NqHD%w|2l7;UVak_qPmU@P+&PI(ritCxgzCQW(cO zn~2LJ_q8XsQ!!#6HABhDl}_hH1%DEsb)2t5dIi?v=gffV4mvK0vMdw&ru`W^zF zj8kkp=Ya(3ufnwPz__f=o28q|!%h^Xhrw z+`@zy7C4Zb#)21~PH4<|rpm-FFfZ9dFKOmRNR7^^INP69AllSBC;KGd*E3M>0^cmz zjT!Axk>e=0H_zP zAysS-43F?6-krQ=7tT9F5ETGV-P%5L4l8wjWU0+f7=YDKjG-Vt;g>?mkTYsnm?slh z+Us6Jk5y|sS4#41=O0Z?hDgZZfE*fW_gG3^c(hh#8|cO1&C8u}sY>R6WB)*C|CGsX z!PBopNi(T>25>aqAiMH&R;1yxQ{R8@fzA5+I@ZSkmPH!^025N#(B4$TI;8P~9z!Si zlb!cJbpTTu5(B#yOElA^-Jib#I`_j$9>@o4@c&6YY&f*&>~rqowhdi7?!55z(U+B= zTi@VEU+!zt_u={ix4vD!F?;o&PzjrAgkKZKe(0eh=T!2+cxy!1@;CV}_x%jYc-^#q zsspQgmv$X~_2W&Qmp>=#PdL07dU|tvzu%ZDRh$O`I|LKgA@_oVdh9609RU*z8le52mDR>&&6qk>Q5cMO`|-0*NBhvO!FtJtF0~FG_QVk*DHHJ z1xsZZGT#h-ePXHz2;cVY5&-xp_2b{H^D>?rg$9nF|NJG$)VZDu05bJ=YlAAZKt`8) zf{{#r#*yp6S{sc5SRc*G#%kzzt@YerB2i8cLQ&xq_;F{S3kd9!W6OC+lRq89H6K6R z9(gLDG6AF1S@-^AvzBF=LK|G$**20xKbxdcKwE?E7SYn`!`Y)kODE4%k4(AWQC*OW z^=rV$4w}Q_hxEFzX8|4*BAsv-G@$%jKW$3+UpAC-c|8vRSP2B&@3|}>10j%m!?W~R zV5tUIDzz%xcH83TWD3}@I1XuP0)b3_{OhFPCi@j1Hn8;I(J3l7z10&izp?0#R3<>u z&oV@`x@W~_e+cVE^YE(6L{PzKcR?iFC#Y#MX6Xf!7=q^C{4x&o)+<@$Er)bh(XALn zAI3i$%4LrFwofo~37iDxFQ^a4cX5?Zwyt@$orS>d!e;eSV^;ztq(hhhF=#-PR&Zs` znYhuyd7|COJ>f6%6 z2G4KuL%}pi-@(0N!k06gZ+|X!2iD~Vz?1gIgcgk*&fobjxT0;sWXcopBxI|5|4{T8 z9By5Hv241uci?bM|MB~132>mwUa^JdR#YprF>KO^tUR}9|5(-b%>5wlET?rI(i0W` z^J;?;m;jv`;ES8uU&M=wcflM&1GJD?FtH3`c@EZ-> z90KVv?ir!*>mWx!sF}tu_(qHduF`VyrDUk#nK7&-;zP zTm}I@t)L&EP|Q`lmV-$Tl9Jh|>UFMq#Bi67>O&RV7a`_F!=nTN!>d25O){^8+Lg6jIVPvoFut%&Id)NL6a?RB{&e<<>O;~g zZ0&nGmn0az)WB@cVobI#Q?kUG1@G)Td(=SuG(pU8e@*hUuw59G(p8~0pGd5)k$_H1 z`)EEJaBzy+_#{5(JCv8q&7kG@c2{FWz+cu`I#L{Pwqp|wh5zt z?D}F5Yomr^{Dl?}M;hZ72Lo0WrQ^R1?G?NroSpJ^ho$80tqqkFN^pIJhs!@95}RCt z&RoamiYE3|pZH0&fbZ6m%fb9%!@Km*VVjBN*=v#w`;fa9P zX*#JgecMMO7I!aWrzbm}Ke`0Y+&`+zng3(GTHn300f^k(-Mv|uguMZv129aJwTKGS)gm-;dJ0{kS5FK58af~b zJwP59%E9u)ehVz$lzHWBCr(k2$gbowQuuU}@kX?UatUyzQsIG)XoWFzoWknqfhyER z=AHpg&)nEG;z;*^NB0OS6BsK+s$!l+ZCW1|P>+7_s=78fNn$n}3M|mqLZpw*B}oh4 zlxsSDSk(R`$xBkYu!}dy)&fU3#cDb*2`L4HMfZ4wCj@oV?Xed5=CdE^kG3 z!D>TgSL8&-NQ$kM`!tu|7x7vRie}iED4(c5jV`%cudD-Zwx2u}o`iF=ZG+b8=&?(J zX|&5X2kP#0u^6xMSIfl62@HADQW>MSiha@3PeQ-ARv@mIi&qx>MeP=NUT_&sXYzMLO@8cib@k;P zI)4P1PX2r*rjReK>ZtpV^cvcAsY*zOoh}M%1>M*=j8fo_z^-eWGHyRdA zxkTAx_;Gs@J$?oJjCN@kemD7!?CQs?u4A?9lERI&&%E#r^sq7>!dwlPSHmr4h>$Wh zvVoRZix*fNdM;OtX+LR-s(p~ydK&^i4kFpIfZ+vMQ7~TV1Q)Hec091+uw75uv$Fg# zn5G6bXhUVN^7~wSe#efy!?IR zVlMJihw6g+$B(a!$lGnje!sDYqt_>qVax9=e%s!*v3&oR>5d>X`2XOigZ>3%?1^+z zDx=0Vj|t=0Kp^3oFoM(GfUbuDv=w+@S*|N1m6t11@ONxwkKSuqpQ*ra_mXx+Ug`Ch3FS6z zr(_Y$yKyI@Y~FA8$=tnpObrWPl=6`rxeS^;7IjF(4D@GI5f1Ff()SZYH0CyY;-{vt zI@qD_&M%j@ztWv!k80RcxaL*s5Zq%>JfezlN`OaJX0c$dV1|loR;%m9<-}=7fBBhQ zoPztLf9A?q6%)VZIbbyCS8XWkxL8!5LUHxOZ_C~DDJcy0af7#*e12g*kd>jRs#Ar6 zr7cT1ACnc2XyLKMrRS&le~g^4Y+Z6!dc%qnR6`#_C;&V3MrmyIO#+WT{1DEs)9Zgx z*^*t5%Lby=0!!>HMF;_-Cvx@Frq9G;CPFo{wQgr+r%^P=CPHN*qOyTeAGV$Or5E0= zD`uM9+bK?V&Cuhf8O|;%chipMO)e0!5I9~W&Xn8uF0Q4tikX+i*kR{X$D=N%#|Mwq zUfx8&{M7Oku6@{%u&tz`jyN-5qh~Kl5@;;lmEk-ZDxNc@KE-(z@5U=(@+&0040E-g z-#*w?6vlELDgN?BJQ~J>w|AntrLhuleyhw{s1rqi0e~LS*Ajjto%IO(yObLq>iqu^ z_SI2MH*EjJgpC*jMoIUiQyRuVKtPy?fJld+(%rcc(h3Sn3;_Y9ySo&nB&EB%`?vdk z-sktv``pj_*E|Q$JnZ2UfhVIsh(lo#NTu_Sgx*EU$q>Sa zVm%go5XeE+!&N%i&))zDmvXj{c9_tHJA-B(d>#jah2JGSNLF?8 z^W~Utj?`$ZTzpII2YJ%B$Ma0K-)~+cE>Aw0&-(|K+}?HIulffX`ft`)Eciq7)$$&F z^FDptGxdy{vHp?!bz3(Nx zf4kQSP|P9lq)J+yj6Gq8~FKq9U2e)i$PAu%5Dv?SKRk~Y53^BGZHdUl7)6S(9a4O1LKGy zsSjod>dXgk^8+Df=wB)^@oheZ>dRAZ#99EM`AD?XMKlxW?p@ulk3RQc5}DYbzS%*P zZxlZF4&Q&Qt?Wl0M%>vrU=0=-_K8Af9x4xaLg>R5fWN|o6IGCv6sAwI7q8UOhsw2C z8`JKeq(lIzUT6w&JQ4#J1TLx(&p zu`bd4WiF#?bU_?u$hhUW`AIrH;%Z7SNcHbsu1`!?&raja0m3sDItzXZ-&wx9(0-9h zdU!vi`T15mjUJ_!l|3-7fO8LB31FeeUBbx@u#m#D#e*~Es&^*2NH{gkU(@+{RwHnq zLjHh}J>j$x;kFOg!1hNBo&MG3(=RR^gK5J0i}xlX*H}KIq#rnI{uLQQ1ZC4PaJ-*s zSWKylU5%ER3p{hva^=o8O1YllUHzed#G%2@s4;iJ9VA5E>_k3-u;OJ;c5*)#DPYs7 z>EOge17GDlL}2M+H_<2*<2?&i+7GGWeKMV%TZ6kaJyle}1Aene>C~a%r5kP~FA}Ir zZepx^Z27 zSpS+zLm=R?MM}WcP~1&>ex;N+@OpjRourx{5#H)yd3kw_ZA+){EtUT%-Mw=!iK-`u zV+*YCq4q-l;eo zOl)gTx5kG+-vof0kLnT$0S_8yJvZ8MM{Q9UW`%I0NLywB_GHMI4URWal5Dto`r}Aj zb50GMA*c#iP!sopF-VaNGrR0EfKLcj5k4a4;V2LS5Pbts4Z(wAzjt%_A-OKLaitCP zYmjD$IOvP`K@kE8nN?2q}%kN@d*;#%-9fA z<=n+RbA5>P{qe!kQe$#SMVsZkUc0SU-~TWs;A6cr>%%_nCHI^ znqz>;@mKxU%bC+AV}-tK{D!sjTbzLFZckj)H{}7J1L91bMN@dgME^wdm21syvma2v zKg=0%onJZWbWmi|O5=asTiro)xWjX#aHvp)1&aoz`S8uY;xP5b%JuV=mqc-XN6qav zfxFch*Bc}A$r_VzZog&X`J*TkqHT6niQ?RHlIu(PI?D<9)lbcYH!HjH&6daZ)t`xJ z1X>c?M9Tvf4msx&j%{rBrMOlqL0og-Ry0?jFGo_j8n06g z24!b(3{|D+#fE0kio95Lg4dq&7#=h1ORE# zy_XVeZASs99012jt(b=}mI-(;y{->JYpG|iwzTzKVpI5JFc#KK6Rduj{rt$eW$vo| z5S|Rl2$t;BnYlR1ZO{Lmi))VH)5fA2F++Y8B6?B;ku%S&-Er#Fm>|RJ22Lba$BU@v zJki8^xzVbKm7R*>p9F|Kkrb-ViI&W9)dl6$Hjl5?!B#_Wl* zP1Hmer@4Kv1VH&CO-?lx>TZD4*)PfDK=5x#2B%xg14=HP!~7!u2|A(FSO=x825({2Er z5h1$doIhFaF6UjTrzoL%ou;wsNM^T8k+ngrQscdUUJH(M8_@z*B-4e9?2%;Ea!Ubl z1Mn#5=zqGZ0H`Rj5gctrOu|^@grHyW%_8D%pH3NBEoJ8W3 zvO%tqD_^Nn5j^ufkmA4%x?Gnyf16x*+6VYZ@{+_pQ9Ifvkm9s#xjR@&d%xs0n)4e4n96fl3_Me z*RQ;;T+^GqPspytvp+CRB;*C0w+8||o44g;bjFf&MHs;AyJD07H%mpx8Z1Js*HsII z$$EmR@7(J+7-}b@)U5dopRaXYavX5`1!uiWWlIs=QwGfSCus( za?}%y8tX^s+3FOSizK)W%OuRVkvbz3ffMxRgrV0IWHcKtHjxqMnN1OJ(GYPSE!s8| z-k4;?qp@?DGK!C=3IiH|b!!VO#1AZ}jBkOrAQ21ofetK&&ir=5WZ;uf2{M$jK8Azk z5C*a*99}|sstI+Fha{zngy1*VkHe^YC+oJ`UFo}tsRZ~iQIzINat;x2pAI2qO81$p zsD^2d;ddV{8E9cvBj{`>N+A;R{bk!O_@j`4e3>+gcp4z!p;I&PXx@T5cqD)zjU#+V zD7-Ho8>dB2)d8a8&8}N!f+nDMDRBsFec6Od(;>+R>pC%(SLTxu; zNH2;6tn8J3`~L8qdj;a3P*h5fjjkkoH2}PB1kU(+BOYs)3<0727J_2`xKqhYbGuZ z0@6~MY9nXG9WT`ityO#!QhFHxm5v_p93>XY9NvAu{Q%=saQLm(S+(aFt(0TbS|_%z zX8s-;+;-fHq8w#JM)Y8oVyyYTdSgB;iu^F@aQ*S9;v~eQ2xiWbvdI!cNWhl$yOL;7 zHeqPFu1+z0vRh+K4CuU32iBrhoPxlzKMBxw3><@nu-|MQv8E3oaQW)w*xVLOEc@O8 z$q-4{OM#pW8>vrJ+vZ`6&&i-=G$!T|LKf@Ka(x=L0=;wfzA481;Mps?`)g4owL3OB zfKcp7lkr(Er)LCnLk#x8_CvNvPsE<+$0M6diomziIqieN%P%;^8?U_fHoaOnLrZ0I zSHbe(B@8+#@b@H&2?&02*61|7J{@l=mk3oU7XAp%fLLr44V&ips>^t2D-g(yUCK-& z&5@Cr%)FW~Yoi>+nl@CHY?e2IP*rvusV2l;P>G^s`wHt7QM^OWrEKT$-{6K=Gkmng}&98Crts>Y| z-%sVwe|hR;l3EsSkKG5(C;PW`-3iOmH*^`b0``i*OSO{^X(%OcN&AE5U(H(7h5`PV zy7BT|9qs-#k-q&m63Rql4xjl9$8WOQf70D?bWxiD-S4-y>N#ISWh-}%M&{GpIzRHl zB!x2t#og?Y!H2dTF3%(scJH8;?TzIE;O3L9*fqsM>Z>v;&mI6RBMy15`m+dlL4m8gHWo$4 zcJ{fBF~Hv}(l$+3-!|ImdT|9fBh9LnClFR6w(t^QOIq!^loPY?i{>+burK);ZA7pa z2id6#gB2c8ayj&K9?Ld&3kHF@qs?x_P0JeW^X5znGr-*6in2PkBcY_8ELf$oWBwf4 zDx0>7I^5enT~#ZJZ-1H{lRQk|WEnwpaWY7%y}A|OS|T@JN8w-)M9j^GW=hcQfILQ2 zX{!LBLnF$(51SpOsu!nx@S++kgp9XdluVueR#(8k67(iTfp~S^dbd$dsA_p--igIo z@D-^!ZSxj?ude-F7@E{X1h%>B`(+2Ke5L=^FL&^$L#kGqr9NZ6CC5uVgyT(m?7?}*j{(O>h3sIBZ#v0U&kT?Z5)@sno4Z@nMPuw2II z`wut?h*a%&^U1KEfE4}L&P@9Pw^e+FWHa7ZHEO zJ*Dgaglq$_-P?EdKc*NS6{OURu(r1+|LoUua0b8(tS^5p+r&{MAP4j6)=rVKSg}B0 ztI|Tq>=GdZB#Cw_+*1xJri(%n3Kx`6>g1VyrcYkOsNy2lhw{rzR6wDY7HQy>c123I zprU9N=BI1*aQrHU-E;CI0?<*|y`wPDQE5m2Uuiy+MiJHu-`Bn=Dxq0h1 z{(6DGaeOxu72}h(f~5lL`x_WeM_N;7c85K_n#P4zhp4h#H@u)A>2r%;k#%agcAj0h z?_kC&!58>$ITF&delP-RdNS-PIEOm(9@tfCo(*rskcHj^{jd@;OBQ^{%8e0Z&6h0- z(xl?q90a}sQt7E6-`!(6VP#8(Xry0ck+-5`9~h}TRm016qU}tjHy)2Bq>G>=5aLw~ z@!BS*%yu_if!k&ZP&x?}jRIgxSc#uf;S5W5yta;}4Isde-Bnpp955k_Ef%k^X25olEFuZr)?AUrU5~e<0(&yJE$a&Gj=OYhs#8r z4f+2Wifu&#c51OJQ!BBF)AZ!QNBst9z?rW}?P7OfUq;A9VgGo^O!j2(?ZuznI<{0K z?no;JkexnL0$Irx6iH?W&^2j&KMoT9=|u)zbAJ*xfAx>7? zr~2JQ^O3k?sKV7acX3UOetrA;@7($FjO(qD9f!;R=KlOUMYIH40J3XI!KC&VEDDhJ zrT!Z)=?NGGVbdV6M+11~I5#cI;w4iJeW_>JAlVM@*LDt!Q{9LYoRtlY^+Gw>nQO(j zJ(KiEUOglYLb$+sh$3@z%PsMg^9DXU2&O+E7ql9WcM>n0{Phi=Vh)9R0-S@H5be@? z2?pPO21ma|(M~R5o4O?v;}M+;M)x4hrVNCTFnGEd6g)Xe%K`1_pARsSXhq>s`=F3x z>%tmB77?r)exQ979SDD9)}Yql=V4uUT$ly6GwRwJ&{4?-6ULyB@wY8 zy*7?svZU@Ey=)_TE-E@;TTT2{a<`mOuXH@w1;LC4m2L>myO_~ zdPdr#`hoVcF?3Q+R7q1EN!o}tmX+0frz~C0gtr71v^3@u!&fpX5`{O?1hQe7N4WTd zHQ*nLs8kGWC6gJX~WM7WI9N?SFs#kro1cx|NG(*cN`*#3(qE8 zEj1jgbOdMnJ!ei_^lKoNVUDm)yoZEIvd2)l;XDT5-1}YT#Dqb~t^tvXbYeCBQy4e_ zn**eUHd~eTPI!lPk-P$qqT~QJF_)z~a_bL4+~Hu$0|G9d5*qa_^;f=oH6uLAKxmg? z7a({sh`-lGzsU2lWQHF4MavNw$`KmyR%e1SyF&1h2v=*FULm4TFQ+Wl*0_>rTaOrW z&rY1aC^h{fTXxCFt2zo{=|DLHjHeK*zo4}pW!^qhWMZoUHj$>5(uMZxe$MM`{Mu5m zV+19UAaek>cLy(=mGlb)?`P)ZI=p&vIlp}wGnTcg^`68ylEqy74d$-C(L^-wK+C;_ zGA8`tx44-oXRJpv1bs~o(HzI`0OfF`LUNNa2t1;feu>075!NdGl?;JVL9(+Y9MSO* zY)%;+->`Mc^EfZ;x2HkZh;+rDCrHOC%#5vK)&yQ+z4NSXo&#?EaxqLrFyMX^t1Jr$Il*I3I>mOHWBIF?6F@K&-<2icAK0M*fy*^ zKQZeqc=*pl$pBCPT?;;$EKc>b$K=SC&!fw$>cC5_vx8j!@8ToEFIT&^`>~GAwXkDs z%Xx8VQaE$N#-jW=)hxK$|Ca{WPKH2w@I3w)SylbUyYU=G`rKxch1x~R*Sp3|z`&!f zFLWL zOvBYN3By63!iU@Tgv{6C<|fJ$9yd@g)W=6YMj3eh0$@tEECycSZgJ&Wnz9$sK6M7cQuD~|3EmNC_r0Dt zRcC7Xgvd94Fll!>UaHEDjiTa~&Hugr`>%WnQaAT6x%qZlk7|w6($L-n1M^9#AM9U) zwyFUnuqj7|-Yt_8G-=({^1Oy3yJI|HE`8HzK>d=N0>GB>~Y! zB$`)jY?bV^ErNwqPrk!5=jJVbKV87qc`{1Y1QQT^k(|dSurv5Y*zFJir6EpUWMC5-|^|VgGePo`Nq?qeX3Xy!gRs(*6nbX6ta`(pK}gtt=1o8My8hxDyVn zlV$#9hF6C#L+U~SVJ`{V#d6COG}k{V!o6^yYy$d921R!%#q_^*0U-D9y4&7I<`@5# z#Y5Y7fS&W~FZck~A>thrt%z#@D1kos1qq~QZfgDcy$r^IJOkG4Z#va}j*KRG+7xsJ zFINqoy7=7P18utEk~djNqB!VWq!`*RF0dp#Iq#NRz0lU_dhNTM2y=R?1sU1he7>DMDK@6zv#_))ERug(7lw$!J<` z<%0MyYcaJSQ)ZFD*g|fQH77;{fc@{#v23&;U4Vb82*IiXk|C{kjk&u(pOD28`32%1 z-KK#miMU`eoG?^A6T8Eke!*RCMY6HK@rzzK1jj|f=C2Yg(PcAsN(}7Co}S>;7uhUXe>@zxb%Xop z9Ov|Uw7AW``FeBAZOX8oRM4xtKGXkb+)K`zV7mE2@G5Q@JWghF8@DG>t1Ww|aGTE@ zDjFDI4>Ua-kiBYW_7&_Py|Q_glfn4&X7KRC+(XmLADbR`Ob_0EXdzTMYu)sam5Ki^ zOYHwRG&qAvvGa@hMe5#_w}dga+t~n-x;G`ILe!s@75F;fQq6G-Q^BD^MDM^EaM01zZLOt4B2#hcL9r3cCJeXef<9wplaQN5p& zBqSl)QXNy-4j&R}a=;_{d=PTpI-l>L?mm8yb8-U4JBfnq;hny{( zJt%nvcO!{t7zZa4!9&H_QJ!q&B^hOX6(xV}+tipl>)^%uZe!T7&m%T`5;ZG5WZQPg z95WaBw_WsD?<3@%asec`ZS*IJSZ;2@R& zZ35@z`-~nsAJwXH;4WcZM1?RC3}l9cHVCvpKsHZU2D4BPvIkBPwMzAaIkQd=O7K@o z)3&EJUD_}03O@1CQ6iJsk;&v$UkhzRHXSVT$(weuyEV7YbJQ@iKeW(l#N52Xgf5%9vXHscC(+%c$ z!;;UUOsh!IIS@zAWtHj#=fp>|VpRw%A)%5&Wbtj$aD<7fnOUq*=6e#T#i#vCa@D61 zPRQ6pXR24n1lkdl8-9galXE@@z#{EIqIs9tLl}OlY6)bO+^fS6!lyfqEEWLAgZUZI zN~*E04}S~WR{g>H1%!cG(Tk+FE{jcGrO(*^X@4}+<_HROa5>@B4lc;pjy&1ARf(QE z^9>~3@RYT_&3Kd6O2r*7@5Y>ZO=B8}zP+A%L*{Dc5%CJULtbW7nGU;{71gBW{nHF# zKmiTZhFtJ#XBhl-br1C4_Ea2dJTbL$In?)~ zl3lDf5#5&zy;Q)RI5=lisBSbd|Ch4iwT0-1om;-C!>YhW_T0Vf4^1RZXBju;q-D3n zJ@5Ose>yjvBn2VokI!l^ck1V_cLQ&aB@-Fj|4)=A_YMwxo#b3pPNqPjfu$C$vF=49 zmh3&ezj8MqS~Y$rd`Y%>9k@CXhbW4$-zZTJwn#6stxh`t^z(zq;rH-}=$*Vgh++j; zkdpW)N~ZLjeyJflAV(NH(^Yz0*-VKeh>#JvCPx-d@qQl>PNgmvJli^8KFGqlhKeRO zUVV%Zx4pW*(3TfDFTy*?Z@nWN1ai*6)5_CQ0ntuT>%wSR7W`Q&BnYT^RPxu%LF5df zkf_NxTr}sAAPUOsvxT_4-j{_K$ePCSy&q6?Lj$-wM3jlW<%5W+9=X|Cg1ATq5mJPA z2)IK~tx~p^T|r8}1YqAKLCw#B(6=>gl%>+xAO_0R4m8~tsi|gJl;|c%8xI;=Moz&I z6ifJP73UH507lhfS{O#zx7m+f1wmR7ms34(R&zAaCQNPK7NG5Q@NT|?zq)1rxdUr9 zq&KBK99t@v5`417mvrS$+SD(}T=Ud-<$*bADZqpEFDW*w?l+fB0GFKG*k7thZxDnt z`+UUu<3%Hw#K)NDavH2?(3H_hw!4Sk;;=vfqwMz$EAh}xzq{2iV_)0*!7#UEmNqY* zP+p_8OvD|MQuc2llJ>=rOtlHX7FyCA*{npAqoiI{W*g9TjJVtCGU#=q& zgTKh@vV!k~1|^D`qj@qemn-BUKc`PbylC->_OXF(iiCu+lDwo`A@;T{6lxn{+H)Vl zUT$V>+lP~1o3LDD9a;JZW-(G7Xt5|QhvAo!h8ga7r$U*a%03)D>m~(FjD%XIgeFEo z%`Eu^M?brr8s~z0jts6scZA&#^w5uiT+N_(1Y`0I(HLt@`r->Y%&s*N2OUA#*Vi{- zlbZ3WI$ya!s7UYSSM_}3A*a-%+j38Cv$xjz{&TnF`xdk8BIQ*(8xEC*c{$XGUlst1 zmI7)-RoHHMs~QRB#Nb7kc?%1m=Y?HKyacYOP*8NHqAg#;e1h29780P(5iVXn=O6w` zpTdnDLzg)JI2z$usnwZN2fk+-`d04NBM<%cSC^w`P8(0-Xasi(`>t{t0tlkrxcpP! zy?o+RIB{FWlI#EIr+bZ)T-X?w{r4ay+)zdOtjCT*YU6ev|JZ&W%*(PgL$rZF_ZYz8 zA(YZU7JPK{*)xn#m>o}~rVjL$Z^R1k0a-M3V-Z(njedCBosjFXi&;Y1PmnI*D4fUJEU2TKG^dP$lU#K(n} zDoYjVSd(`CkbdI|&t!>k4rToq2(7v54tK}|+2VZ+;==szmzH1<$?aL__=Sx^Ca6#- zxJ@De|Bb>;6z|sKdTAa|MZiw@ zekHYVVw;aMXp)_L=_}J1x9lA)H`jCVv66Jet0gsvde1LDQ#mBe0rxrK5)(2_JxA~7 zmktw_Y$5v~1R_NbnBz5G4(U*kxq>F=no(y_5oC=Wse#oiwnAwTVl_|=*Hw} zEGQ`Z(bG zW<&ItRiH|>W}Hb>N3Az>5Zb;EWp|)7(Nbko)4>n9Yvg>mHpEW^H5_oKLwa2#6?c@% z>@HO)BInaQKbKT>!OW`+l*0@&S#}NHWo)Ykw|&|a)$3oG13+QJ-xeP{WT8D9BK%4x zEB@Nob~H!)#B=cLZq{!HAwO{z;Ol`!btJ7nc?c)-!e_)JuHt}1-26|tfB|**CuZ|s zK2mBu_|k-YB=L&%eee)e?K1{J>2Pwx)B!{oHCyz@)j$4(H8WE;OJ_fw`4oxTg*Vt> zlJ#AFs|BfyqT`vJBFRqY%dMfQ8vb;N1B`b?)z{YkB;3PAqbPP$Ev#=17=>S#aSQgDbYYhuagU4hf8^%i3~MtX_wI~o<%N- zN_ve+TaN?-qx|61#tzJojceFc^`d-t2LS=Cjc!vlR5V0Rj3H zV-;6X+b_Nk;=0+RyDg(t*`sZ}#kzKO1Wry*ORKB9-7{Vl-p7Y}{9%k%VNW5Uhs5)= zqDl=&nDEhn?ap}Z+<13Gfiwgsopp8z^pgv}&y$V@S}x&NkBgpQwe8L-pi2U724zbz zbVB62R#3i({2D_z9Ib(UDxPp;$8)i`WK=jBJygYc=xq;ptI6_CkmcPk_D{SlX}=E3 zRy%2C_OAoR=1Pr8E>Jz{$ExgSiN{_Yy=WJz2MD6x*RZE!kMjyx)4sD(zwwad3!Fi_r>vSBWYLg z_)YNxu{6^^yQ(uM)X{a**g3Z2=#6i3{GO2d;?t4gTHuYgUR6fc(BqAnVnwkhMv_zJ z<-u_`Ezf`TcNVSKHJt^XG4H>1^trY;xdvZkT%M_W9F*U#;Ga#HUbd)T)ERMO!Q$n< z@oc3}$)U^TNcVpmyMNDOuTlypXM{8XvBSjT1XibUDI+rl_o-H95&-H9T%ue8J>nn)CixNj2Q9WwT26&fSA$|`$qO@tAy@VQ zp*ICvREaruO%p?&BNNPxT%o)H8w(0!I<1c&Cor26af&DF_{ezJyl=Da8bw>y$@<7B7IMGBDbrW;5Zhb| zMR!YE(xfa_?tS+46K%(|ylKIt=&)wq+9BZZH2dik?}uYL;6r^UztNS)0rqsS2S19O=Ga=FDW;Eps4bGPj&Ud{J;jEiaS0urUSNaK=Cz+rEHrzWz z`U#&;oJT-4p{Bn0#k=+hZPuB$m8OmASTs5DQajoLJPK1w$ zxa^5kU=9sUCdxlJxDPxD#VuucU_rnQ%@ex65lrx&{G(R#kI;aw3m|tQmlN|N96mK$ z7m@)r#O=@fvS%Ocx20vI;z180NPZlXi$`D+yA2t%UDE9jiKG>FEKq)_c;G$+`bC+N zP-ZApMV9O_*4iig$ll`uGirMp;$vPNndamBMZ6xLq+33WT_I0QYq^*cpWgE)XK%T* zOe+eo=gnm9H*|2$=kg4XsJ*IGPq9)Lo8)Nt{$u}Yye4OY8drj5PK^js4bIl?^V#n? zD#655-X>j4N6*2e7n`Ke@{4RLG`Luf3MXqGqU3=8BwRA^2JgOvgtN2jJKoCaX*oA( z#)`=_Ol+5Bie8F06F;RI44$d`3Lv^tPWPK5YcWOg>vveS_}56-TWra(MTD1dk((Tu zg>x5Zni`AXgNgj{p?wySl%oTrMw0s5J|V`OyCA<=ngSQLGw%7I$6a_i?|C+1i6Cgf zXXM+D>Ne+<`#&om_OH7eu2p=Rs{3*xW3OTze%&;z$b4>*5{#AkjCo6?^$|s=30Y`< z1=kc`Wa(!72N?FRIpYd4@>~2SwDX<0X0Z4vr%-3)?2Vik_m08S@IU?JYAv&VV49f& z{;0s^6(GZ9j9h%MW3U-{W&VXJ=fnK z`pv!X;BNSDEkN;x)Q4%wyGkqKQMQb7y9>Drk=i$H%{6v3`sIo*>=|dT4tI?&5_j5} z#hc?j#O0Xg?b#n&?c{FJ1g>4=$N2-}Zt7=^*1uC}%|;dnj`j2R--t5fbB*21>dPPP zE3|uFkJsz_OcA=D3@DM!yBGUTyH9-BQT`_k`EOtuOwSrjNBcUGzSR3h_Qcb(R8?($ zM*tuFNn5THmTB+1+RsiN!{!i=C;|ZPmb1c%KBVrkAz}0}6B5a7oXEKTTrY>TO;tMt3i;{JwupYx2zd0EqkkLp}5FuoI!4fmmnUQG*gVTTE}dO z3hA+;jXevoWjo3_C9!ANpi7njo{GcLa4d`H*<=`x%TW5#btUbyvEb3_$|&n}E>9w9 z8;p>L5`rtb6+Hbk1^-<4Z7pIfQwS-Q;PG)$eKC&}36)4jCyrf=p3HvO=F?RhPfVJcynv8S<)^LB-y6Nj9;vuV{LnT-nO7^(AlW*+fsL$8`n$K|ObsRFtPsybI@|0R0*A9VG@3O+?hbT{Xd_t$FLa zo)i@1)^KvY-k|;AT&%kBYI$7J|L*HtZC7Ij|GS+rq)WV1T_D$G5ee`5AHEV^(`ij3 z8qdCe`<8|cHpXh#LYssnF3tDDW$>R7{5bF*J_T}4A^ZagkEiX2PpbzvE9kfw;<~?p z@gsU?D%SDS73fnxNs)DVMbqG+g4OJqDh7;D1JYSTCRnsAlu2hmu|p+}-(NDsqSqpm z@9LF#9#o2%C(*L4s%Ca;-A~4S6$BMAux&ERcA7xDPLMg@_YSo&dBbURGTt!ptGM^4 zvt<8AdN+s~t>Z5H;EYlOr}+I1Hfh#Wr|2H5=(S;*hygSI=+XVMPQp7}R(Vb!E!v0` z>@e{hG7D>-7nZGnm*vr$tVPuAUfb4y^=nA<^w4RxSJ4GbHk9v|M_HoB! zq@(-e^2+J zVV9}EU?D_nVa7wc!Eg1FJLza9_Rncq{<;<3u+I1Fe2|?LMIMJ`-WOTjJWk7=?1eoF zXD?^6(i%d+dGn=C;Rv503#PEgWO&dYRy}%c!1Z))N^rhLFru#cZsI^23RllIv+X;o z_)Zf|%4P_p%_tLP)P%CjL|LnF_T>B=z|6*Lbkv{Tv$C2^Cq{8=~;30Nf z4c<&>;Z`_**lcp@pA%b-6iA~+f;FRW5dnKc%H&UY%#P+i=jto z{Di__fy8zV6ME8MWSej*3>rinHnyOw9D5dpMix*gY63OZVBQz>xOnZBuH{7iPp;Ud zs`sXBN)V@0+a9jn%_Pn50+zkD<$DCp@jKcu@9s79B+AjWfwE9kc#>mxEviMkwDj-t zJG%RCo%#=7RB6RW;k;CizmGJ36V0wl{#N5XJmne4b|S{P>vbt)E`+t>>b=i&Lp&8O z`s704yzGPd^~q$Z$K|#nKeIv~9Lqx_5ee3xs@x%Rd=vLnF7lfiJh<%}P(#Al7!P1` zeq&Ig`1J-*In6(OT9t#X5mAMkM^&?9Wq@%)OmSyYY_h#U(S(o}wkvFC5f-u36nrW} z+88FwpkJ6E4QB+QfE%kKZXJ2>ZB{w~}}04W(qOO)MRlY%Dsg^d920R0NTV$h=X zgUK+j6m(0OYOpGL`pOs>9~5ZdqI-*jIu(J%DbKfQXA~tOwPJ#??R2N)yIbw_5TxYW z6^%4pBGAzy2%QF{#SHW;QDeQTBqC=t9RqJxMZAIB1RnIh(>?AMVaBOx-bG2*$v=oI zU3V1DLSsJ*Kz@#W>^_~h(r zU9+iD@$Bk#*?_h?LX!1ZVR8%iuw{_srqKYpZvZtBBQpG=_lO&r`Tk8-YV4tld>UMY zF5~5*7Xv#!rAv(WTS9G=-vpt?8WuKiGZMpDwQGK6cgU*lR0aq=F15|<tviRCKcs9P+-}^%8 zw-($S_1(7OK?c&wbhe#PvRqu z{}cN?zZcw#i+)ZcD1tO_{Zq@G-g0u-FRH2LzIwizASPtaMxn@d_s&R*gRs*~5-vJq zFJ?kqNIuk=l?MQQp`-YmmmCR$nUCP0M_iaFm4y`n@6?oeyeUj%_mpXQDykwL;PZ3X zHjtkv;du>U&|UuKlk7MotM9k(cP#-G5ur4OHSsaTr7D0G%(uQGQ3F=fh}hva`R@(w z7D6#8$KW#IP%7#1SrKeAv;^6m0}lcTH|I8~B_a3*pNJQWrfr-(6FXLvf-_5eA{@?6 zAcUC=C#DNCFvn@S!(tvmK+uATB0V@ryrv8TtOg?v9oz_40)tNq%_D1+$DR_yN5u#iSlQ(Q-+lv9G7G(C?y2ozkbg3EubG?By$x` zgoKtS%?l6{83OwK)PS05_?j0}CD_Dx3d0~F0EtqK(8e69%#KOazxQ-yak6MpDZ|*& z)qsFlpf{jT#4Ws51d>jFc@UoMyUy1VddT~pMBVnvDnY>&Tv06fmnH7wpZr$(3i8A7 zhzq5TE}eU-Dj?8dIh^&Ws5hk62!k-W{|+}rZGZ!Tkq^j>wv#7@O1ISp^W&Ba;DAV( z*74A|Mr)d|p11ceL0ohmvmAx}veZeS1XnH8{BalMQJCeCN>je2-A;Aslibl(fwB7= zY4R5p(@u695&aU>QN(JthO#MEY6GJD32J>UsV9b($?+^Q&q3-86mSWmyVr2W-pR+_ zrpTBFpJ6NIJ*#CfA?4%;tRFfJD?@bhgV^TLU&tM}yWhfPS(5~WKDr$=Jz|XUkAJUl z;ven*Rczg9hI{3j@-&YOK_eGNnLnhV49}oye~BoqtVC~b+uPaOTl&5xem<#NJ!mV2 zbQG((fA`T0ccym&PXFN?Ck38N*FF6SQ=FSY|L;NH#Jm%}$7t&0z5o62C0%}wCbpB5 zC`A`vHoc8QOlSSm{mm#G&_y;A-h=JoYX-~IcX}w^E;U_S0d5R#TRIB& zhEy9tvC~So_N#i5lbe3AoMt|`gu8_q^?fp<(=Y$a-Jd}Z&-5b4^i99tifH(V|9~S` zQpd^dDYJf4##y8QruiLzHw1B)f?6QGe zCWg75et&YuShC_GC3mk|;n*_VrRNmuuUJf)-GAS?B`6;}h~_Tx&Zs};+PV7Dc~e}w zSPM4Uf1^J)sLE{YUj664Tps@i8cVq0eZVKGmXH`6pH-a}3n9wzy>hmbKV?`%RZ*C! z2$>HJ*JK{Qn4*4NB^epdW5G|69|h0U*6MV7qs|(N;EM6Pk4A9a!8#+j3!pVby2;`F zuz5ZmH8^W6+mf6UsS)|2zX9Js4QtV?qF(p|kj)@sF?f8{Ahe?;thI_-(G8Ah1sQ8A zGteIfn!{2fN$s#Fyhqf;R6|t+xJwU4?=V^o7~53K)DRSBpb10A;)Ua!OrzHa!Hk*% zcL?}LJz1oCfY=I=@524&A&33TmLOq7)a^s}__mbQ+Ov#_t~*4(4toY6K|;zz^V%Kj zELkX~tXJf%)@PVdNf!kUNXj-o@NEL(^6uaPn^sS^3yU7DgZ3Aq=P&}nZ0jzN&E1ko z#;n29TJe0apX?Ze`8UxnJm8=uzUFWHB9##3~Bs)5t|X(kg33RJO>uW z9`wkBr=B;y_Qa76d{XU1HUE^l{ErRBiN12$UhKpZIXT&e8M8inbMwPIh;k^rfH8G{ zT$R8wY*e&Ygo}uRNDSMbxWYHIqSpoD2ipqE5)j|{&2|HcRloNlfajRH^N+ojO> z6d#isuJNpF31?*gyeJWx$!DJ_q|Yk>$2BomKKWxPm^N)8}f z_Og{UBj4`}T^>d}bl>qBrP!)=i@Qkh?&aF)1?`c|q?N1(!LSIV&swC%TD0dy&d_Q1 z{jYg?Kk^ba^7QhO68cw315|NLh#nq}gc%dxwgqxokT`t=UQEhWtAZI8`cm=$FNG9#T(fh#5m zfxEHJki9P#&!t47_9MG9Bo>Pgx!AyBwLz4BBHz@02#e2Zcu8k|v=H%8UC1)CAq?@<9T-bJL7`jVp00EJ1$zec1 zB!*BaX#wf(8ejlvR0O1E2qmPXr5i+G=oXL`>F)FF_d8##bKbqbA1oGwvX-*uey;nv zuP99N8d}jZb4;0*kM9I?JDyo3DvFTTyS~})DESgjB{0CdT}7XC9|L=%0*c>B1nzvm zj=9c0=i%aQyqg^=hcB7m%MJcQ_bZgtNX;$>0ph2ZiXz4{XFap6^Fcy4{Al-x_7%hF zhr%M(>#_6E2+Sfgx~q(C5{})LKr?qCU+@L{es37%+rf4<)bMWfj%Wm)l7Wu<`)kKf zVBJke+po3ssD;QJD<(2UlEG~&G}K3?Qju8Kt~Oo^u#yVt^3W8X_1KYd+mUhEkp-E` z>#_cV2E@f?Q3&WdB__u}ehx>w7SQ@60b=21HD#Exhn}$wL3)XF(@wfWK=z#MW{WQy zZT;_iMMv4jAeisj0#A+Iacn#tk37$8q!~$tn2r^_#OPfY78`gV%Rjwg_&3>XCN0B#^h(B0 z@v6Mh65-U+tlp=@)@`rx1HO8*aOIO=$)ZUaU-$K$XA#rCHt(-D|Dn$kN~lY3XSy0j8V7yN7<)_gJ&OKqpox<6pT#u@OYUY#I zI(pKHpR@fLwH0o}vL#Yl78m9KXPTr_n|Rg$#|u7oV;;A)wLmzyo6`!R3u4Q^qFRnu zDsE<(9pw6!Mfxqv8&*|B9n-)UGxKHKgtk}RP~ZK5{^0|C_a~;Ox*!eN#JTtOKUM;= zx^WvAGxybjT4n~4(LN+f!#`}D4e|C_z1*VJU~_lN)PO@T%uptQaKfv&H@TmNc%Fy; zQ!1Nw(Dx~lg(?tlzO;w7)%dgB0!(K+?xPoXI(fr?BT4}l;ZUlyz&86IiNgZeC}j8Bu%lXzPJrI3+ijQfK!rClW+dhQSJ2id5Ot3zL(_8ep4s$MrJTg(}@f%>0Y|RIS-59VyoQyPJzWBvX;}F>)7r;4s=3+>&q#Bj{GqY zd%nFg`@24^0rz`Lvi@rh{(BNNl*?70vYrW6-`E^)(>x>vp0Fv6{LC6ayfKvBjj+vG z^S=FKn9q@n@xb~E`kdo1n;e(k2x(5PS3l3pl6=b3>Y0ZC>5}rHvU|F%c>P4K z9T>!($~x(H?2rX7G9ZOI z3<@ELEZ{2d8*^*N?j+m2a)q7gkgy;4bhcqq4FdgqpIBc_4P5>iK#d*+CKNC`=LAVc zm~S`vlB_*rlkIA=jajOd&jRB68>%?!4~eWBYc+E&s$0{-k)YUn_jsHf)9MPb5UOjU zdWjx2z!jx_R<=g+UE5kH6tDU6rAl-CX~6X2jB-_NtiKao{0+01eE>ZvkPYX8_V!b$ z^?qzf)OX+(L7o%H-O20k>>4QYn-DL*-CHjVZ4-j|S8#J78Vf;7o4+B{(rsW$ts_cL zqcPYPSsjlJAapDm={W;}@Rox?WOaLbK*hsvUw<&BTN@y z_uPh+*jZHBwI(`y{Aso)VqU-cQvM89rcbZ-!`h>7)xFQggFdl$^Ng5!dRz2zsvsa7 z4&v8UOP%@?z{|VwafmGoOs~Tmbnqyy*92rN8*oZIlpRDnoE`LGd&YJ+cfvllZ*MH$ zr{mqCNwRqd+Hq|~O9EdkHVv4%WRB}uC*IiWW> z_hYnGbDC03lFI!Y#eyUi@f<~wch;ez(06N6&n{l=fi(HnF%`2N`|`tu6k~~u+PrK6 z=pKo^WBd7&VD~*)9)fD|*osTU+uh>l0OF7_W{o#y&8rMC%6QoQ6`8cf_jZf;Mf#Z$ zy^gD)|6>2PjoXVb#n&|&KlsfHS&@G?EdB*5uNMQwmrgoA?`gnA3BNR>WmDx6Z`19z z`w6(Lj$9^7{+L{Sv!IOjr($Z1x;@+?@lccOh0lv)PG+4AvAvnbPMl}j_+d_tX&#UCsSt%g%VQPwDuT*{*X@X3nel{4ch2I7d##@`Don3u1hwcmv~RnzB;71c?75P04MxFtWf(884j0c64ZsT>yuva zUXL%swLJIxqyuO%MQ>~7eGyw{_=~tZ{suSG0gv)^`M~b01}M^XWk^QnQ7}`%N-qOX zer@oj7$8HVa+mYg`014pvc~xQdUwjKqyA&6PMw_PU+3s9(~3OL`Xg+UGn?7%+L1kP z^j__VXie=?_A{2c=RvITmn=P*XM=iUXh~a+RU`IsL5EkGFVr)Vmb4!6sMWa&lri1UvQKx0j&0w4?aW$})zJk;B!MKl~St5{=X6`jg^p zJpN$9r0h5*T{^eZXI(f(N;~gWFOx{`UpjT+J3Zn~1V9jo!9BKr+eNs~5Q)~H=UL%F zg_PhBk|@)g*d9@(`W3oT@DOu}Ci8H~TCmS#Df<|<7&ur}O?KFn#>ajdbsKw+*b#4;fd zjtGLE7wT?TZ{0YwLyK_cM=z1Uw4jnthqFH-tOX2INoV7!Ty^WpgEiB(Oj_0Adw;TU8Uh@C8JjsF_sCa(qVaGFc_RdSsM?;WM}p`w6nT9ZWPb*Ls-b zQ?~F=I%S~x`TLp(V|)*4ulOdF)#yT=Qt(38ca4hZCV1H=1mEmj@XliGs)`v%UB9{H z!qX17T@RnOiQ{wLUv7?eYuHGw&qHOU~f6O3v@-fv{uHq>xH-tUOCn_ zN?lB~9(}xOFu~6O(!yQuZqCe{MT1QK*DFm1FZ}5Rzh`buvs@%-;j}9+QAERc_XmS) z$T~6b2L3>yp=M_|zx0Y_*(R9)+g7Ja_U>#58;SnN)g#654h~U6IlTi-0$pq$+!@O5 zrO18<)Sn=(AGii6?H*hXga9lJD&`FDzyVU9**6Uy(vnigb)~UR(z>-N*2>vHpmc&_ zQm95iOJ6kVQTosl2_>SAS++cr^C_Cy-?WXyelK**u!F8aZ5Rfk&BL0$BO~yNGXT!f zgRgMo7lO2&PL>;TV#1kpser*l%%2`e^eWwp?q?lGx`(i>g7ocgu5 zgdcqj%7k|?1dcErP4|xHM(77&Gl*zOVfN9AR9Ik8;Q?WIY>^enShgXHXv_qji+Ul{ zW1y@J&BVI1=nI~_F;8<^E^% zJL3Gd=cQ7kq1B&(vtG=f-1N-L*20PyMIYg8&lsCPr?x5fnAd;YreED6B^bqm45&ID z*MD3xIfM(SrCz`!u_-Y}0M^7D$rO%@N21)8C(lZ}=rZNxzx|@bR4idx< z^LZ$uQju;{+5~hz&c{Cb%y<+4qc^m^nRcgv& zD!G0Mwi(Wf+n=B|HEUO9C!gG~=$P3Ud!))<^-Y?&*OxGkOD~SQ%}(7bX2T~#s&209 zq8V>!?9h~|aZun}IED00<#;WXq&uS4Zdglb~C^lkOjds*^${pJpT8aR)I43)~*$pP7wQGYS zS-o~*zf^qLCV+fNOAik!I!Va}-3;YiwR};v{I4eOwusfY0bODV&vP7JK7C%bg3;*5 zdC}=--h8y3{VtZPSk#<#0TA$dZ+Q5?k@98|(PHv%# z{l(tu1Mb+~Cn0frM;PBEuOBd_V`s*N4sz4*$chL~6G@@11WzdPa->JgCpeQZ_X#hb zly>j3H@h4$l^c;v zARBt`CbmQsvh2yor&lei2DT#m`dp2wNC2i5+&+zgCp#Shkor7>2a!Cup+_A`7Qt9LCl;qBjf9ab&lA?T<~*r~xY@s*1R=`61#>J5xzh>0k$tXs0{O(xJxpi>4@HP`ZF#L!#y_(WtD4USt+00 zII7DQwg^D*xA<;CI326!kGZTXUO=@0dudh_D62P91G_KjQruZJl+uV`1Wr72TA{X# zSx$n9z@bdgJmRJ@4Ymx4-pEkObco*%^yu>X^)8*Wmhwyna}f>F% z?(@aBwq}39$>+h{SHho2J0pM?cvrzJtx5n%s^7bZscSGl-3FLetkaU_-cXrbWXV#o zV@oyN#w2dpYw6cb;5Z`zvq}@(G%n)+hQ)-Q*WY>M{n4w3z-hahv2brM)V^^7L*b|W zJ_Z-#k#7=Rd^bdhp2LB7Bz^Do3^5Kao>+9I(kZZ~EWM;+VIw#cZ|~x+9_Z~lTh36# z1#Dvh{Z`dKAV`J?BLW?y@{H~KgyjA9G&t3uRlD@jHHM()B~g1PIahTk_!TW%^ivcR zzJ8wegJ%(nSPl)D6s)zHFsI)R4LeK=ryP3FhySK;Ai|nKXs)FL-i%9_*C}t=$x>HX z^v0Xyz!dw9Db;~o)2qVSB{B z7?1Lu;+%6b&B5?d>BA_Z8?5$>!^kkuDr=N`5fp(xxdv9>19X2tzUQ9UKMM$ z6R;|xZl}pUWO%{P)rRZH&FUsPDi%8{7B?h*pCu35z-iqi4PK7W zk5Y03Y+OFJ_%e-+(+dmTLqh^h`iwJB(2V7S!U-#GSiaR4wTI720F}}8&tDCNMS9uM z=w|q_r=jzNgRG8iVUjWS;H>;Nrw#*SlYkvs^$Wh@HFiKgp28k;L9AOOHCiM!r7tqX zlZ%VbkK{eOk4<=(uY^`?$vH5itEYz9)k)GXEApVyHTvar@%lYU?!SA@sf+V~tKq{` z0?NQtwdiZ4Q0f|EJ*& zzMU3&x8x9dj!C$T(YApRSH6O|(AAh5@^Cg#Hf+oKO|3Uo&RMCuy^c$m)fu{VWr5c= zuy5muLg|V6Wl;k5si+3{bh1@?H5|SBPo|PGRV*_3b2b*=CAiY!#Cpzkz^cer$}YEC zZ^?2gKf7OJqFjvj^&jn0PcvuRr|^!24dKAk$qUJ<^Q|j#vsMrAitX)Ep6_bV-6`y= zVC!nZ`E1CgAl)U)UQX}+4_n|ez9HeWe<;ba8?fARwcE*&DC<7hTg?2wQ=8OOQhhEc zkJsb&o7F~tAh3c{ger^0`=lhO@z(H!2S*Z`Z!EFVs4%IbqrT>;Nj?ANc07>y?$-kvh@hr~89WfuG7wR-cmpgIq`{n#PdM4tP_IX<8Ap_{l6@(4 z+(mxT@>I7W)4-Dh1SaHGZ+<``X_-CYO5v170bQ0+q6Vk~C1@h2*yApZ3RA2o^A6WC zo_oo$=|JPtW<#GS-{vnh0oqclRaa7uYI;_M2@HQ)y8K>(e&aR}voHGbtpF|nkSHtY zAVD7V%f$BdEU}8bw2*dk25Kf9a|QowY|0@>gr@+Quy2k5C+U(Mi5_edp!zEn*N-8B z#=T}S!@db+h=hrdBn~VgNNwmH_pqX9V=~(bG!=SqK;%lX1Rp(Y^pmn(vK=gxq)8XK zRh56faF^DTt(0s#Dy0|_2k`=(+G5!qIcoRb4N9f6JA`)VbB5_FBRkTc?uXu6s(}Pd z9nP0Du$mnNf51RsGQD>H?BjA$JBPz zND8RA!4|H74{2`5GK!Yl+8TW<)95H95p3dGo4)fSDi`_YM^HLr!m9-y-_T!ihOg4` z_`iy@5iPtLgEPd;T9jppGX>rsB2Ihd>IAr`MmK$# zV~oYj=1yUQ;t`UZs=6}?4t&D^6SGKe3jlhe7(a~9GyU)^9=;C502i%ZEG^zUB24P7 zkI`uk;VpY4y?{|<^l)Xv`{1}+?ls+M!{~~8%VA*gc5i~k&NSYnghYk2eWfl%8hR;0 zKkxlLjZ-}R_ginWW^hCy;oS{(nS~qPZBGaCH>Mn}OzwS}JUd0d79XjknzW$;6yFVw zJ=J_u@in~P*C^}MpV*iFY&$&_sIB#>Xy&Myv9|(F=0fa1@e-6#YCu`MX_e32fR~nD zCSS#1$y{hjLd+{Cp30%&fC^ss?%viQ}PXAgBB(&(!ju8z7{ zkAcb>Jh3X%LX*R&W`8e*VZ!WmIv!OdadYE|<=b;T;wv^@P2#pYWnsHYYbQ5U%Sj7J z#}(LWp;hu)p)S71ts&Ddo-#zA{|rN?<>!gy$He{5H7wu$23A^e3pMP&*0|emT)!1t znHQGC3|#J!Pt5c5+*u&_I0x)R1yg_26Ki}O!yLV4a@fC(vUoP87wKwZP^Hex4)F;y{=b4d9jN z7$M-{qZ1*f)&;a+u#Ss$86m*!taoVm_O&tr7qQXz&OOSLVgd<;U62=fqo-3_0GdMYfNw=f58 zVZF^TKWryN>PhD~u+5Y&6pEW#Dtbj=-em|$GKnv^^1AYI>wLO91wn}R+8#jZo_P-O zODWJjC9Yat@qk0e&OHARJ>LXY%x(hy51ZDE=e)<$#IiiS?r7+IfrD!YlZ5l3<@1ErG6MDM&v?-ICTYph~C$c*({$1{rw~%mZ)BHR68h7*tC}5 zLt8MGUA7df*(xL0L-sV&&_n?UHvE5v|3C` zwO9iAK1TEmi@ZTY?sU}PtGT@x^>}IY1ypLXw8U3o8pf>D7o0N!QOd3 z#wV#WxA3@8N43OMwQ&RjFo&{?kEK)Ie|WE+#D*DkVM5vvn2?$=NPBwfFn_AaOdNR_ zywcu;d7QaDo)A1%BnG%~Mqk@AlpUMiN4rWfxiXVP*^P338+-hDOtJB4P3*5ryy9N1 zT>opF;$H1s_dlGI39PCu25+CgWz2KnYXgC>GowE_!=d3cBIv&O+gu1$mN$_x-H=s? zEq=h<-1J|K>p51PH$w6@&!3e0)`MAOyfmEALB$KkB7GmZUrFJLkp#6Nr8 zV%EEIc#9VN`*}qQhWD$su#U~LzHHeb(p#E83~6t=e&^3L8rPZ~)N68&i9G8+IYqMvc<^BnpHEn_d`%?o!EPkuWN^%JRehqok zdfI)QD*XJ6X0e_K&<-`AEqrY6-JP)BhEMGDZEc<3n8C}hms!m=1CFmZgl6SE{-vxu zncRc?I{w8fG!t^@CVM=l;Kmbm{njNIyXCx*)!@hNwG|uNp;7DY{=1y^#Y_0zj!|R7 z>e1p+_SsTY$axf*7wc@pzkf3P4+o~IFx>lHV7P?;Uz!Edso{{*Dyge+y*O&!`-Mpm z=;}plK2_Ii{DSIN>g4zmWkJYwMF3lB64ke8X~x#1;6wS@hDvU zakguPH`w_{x|W|$nnHH!SBSM^pM2O2-rNvtQ~=-670DHqn({pB_Q!|~^Td&@ZMIBT zJUvd?PC5uW?OqcZ9w9dvAQX}ipsXX(g!`fN{OnTrWYRNH7^pN>%ZTZn1+QhRUx?{F zC8~(T8G4SlbqB%3q{rj8p>7=>09eeJW!6NqYLBpL3n71NCu1jBrU9(Vdo>YMvRIvC z*)%zyyK`9TU6Jq1dCYQ~LsPrJoc7YwLCo06S0ecI=llXN13523+zyZ?2Xg;>!ADfX zpm|54=(85CDl-PcS!D`C#?*@G(o=Kk8z&O7?DfXbhkZp?6ow1b(2m$o=2L1-e>uYN z5o5HJWyDKqYf0noqj?cd)nh4a%C#E*;k69W&4_C5O_D)nNbQQwu;0ojm<5E$|7n16U#-^iG;hD}xfN%wg z;ZW0Ba=;0M<1_p#LL@r1J@n>lUuMAVDYiIukkZmLbgo?@n*N;-CqVViwG%R<0=!|B z%DbQB{XzvgX^j#z2zZWdi!l&hoZr0Qgfd=TTuM6A7h8HoIMkHaYT+T_w}aRSObxZU z={MosM1H#9sd(#60ahGpqmlXU+jTB#@2^-Nanivbi#!8qxvZ;27?n!LdV@=Bjp(j+ zSh8onEMGfgZ`6ys1(##`g7QTT^Q##0s|>A04D}P8kS0aBCZ$M|l#fH}^diTF-363f zbkTdXEW~(HrzT&VA1ux}@MK;^P_g-!pMHCJ{LP|t=MU1b1Z6m<+Bx259TowYbrPSP zSoV=~rm3^1sDrzGEeLnK@#Af%;%$iHBlW*&t+@H;5UG4OU^Pn>LDz-{g*^q~6kK66 z@7bk?>iD-NXygT`y9jleOLduxb(l+axJp6S&Ou+Y>PZ~9wJdJ($46%wMP@RsGYgtL zq91eEU|Djpi!qqzCzW}m)1%#6r@E1IYrF%@jxU=+n@josIA_$>#QXBam`KDsa)p~n zggbJ@+doRwm5n#gT}=B+bpkY*AmTj>L3^ zl82&Oe7FQWLx{l>Jjq4ZEf1ef>J&R8^UOs6$*luwz zxQb3m;P5+3<=;6v3)ytq+}Zi!88SXI07y8)Q$wzN0OL*|fIO!J%Kl~Csr-faR`4bU z(V1~+6}W%Z!g0QGSR#8Xcn4?gE^0k}7CV!3LELk-QSSHR?)K~!hwOUg%)~<>_4krq z&L#D3>)ox=LX>ye-<`w$6Oy*+XdoBtD`%jj$~pYe0`h0+!%K!?pd5cf+nhj3TM`(( zr7Kpxum(Y!XvznxWCAr`WDeu!@3Yte;9pxH6a`!%y)8$pAvqjyIv=P5x=wX?QU_nc zGa?>Jbv1|u_#PV~c-$(}l``JK9(Z$sl!*Z%72FwtN5knD5l?>A{1|V>Sy%{F+WzfP zKH4vnIRQi5(_BeJGHi#>oF`a~fJ#~+uzBBOI-!BmK&HwLg%qe|h#txf5xA9X9a6+m zf}yABa8~)v5%}$hclX)AC-nq)Dq&vWCOQ+<0axYO?8NR<@w2A%6W}j228G^?$P0j| zrF;KiA$st@Nb=i=@ zA_m=p^ZIW*`G#egvTp)wVz9)+sk42zo>qGQ1|qmVYgz2n&V?l+{Ca=;x5ud-csxnG zSbqZOE;BZ2noz@C-Jq?Wh1ZiK&f>rdSznt$5*HcNQ%8W4Y3Z2Y{-0U^tw2*Q$2eCg zw6Bm5MlVUv0OW>Kk79^0F8Lg`oAkL$0AE8LpYE#&59=c8JJorfjX-Gzg+Z zh9h@1_|#t4b$-PTg?>}1y~pf0Av0Z8SNZbunndi+bRda{=W9<=d?|lYj{8L$A&BH;L(DgBXbCRynwIYiop2?=hdeHvI{1#oufe zBK}ErB{NsJw}Wb_bNJ12Jp$p%rV!nV155xa_zcu>Zab&`o1WiBzv)tew1ql72^s8* zs8=#+e^tR2r#}buL~xf`g?vvjhhix+@N$}XYU~|=0zbBBVCJl2j6Imt&g$tYnzJ=( z(N|$lhp<0s%NA>K+~Mqkk{??1Ean-H=asaz}W_ zGx9z0_oWa06Pu)#z3tT9^Od`E)e)(yAK%G-8;7jbCH1Y*Roz`Hr5%5qYHBsR%_3Xr z{dwd7xW5&dt*Z>h7~>HNnL&0k;|c%7x&Djsm4bpiXPu4%jon%BB=d16+ zsO3+n8?gn#R0e3dIceG?R0y{M0HL41o5|{cG|$Q_h(%4C6v~N~hY!#`Cv`wcgT=U{ zz|ZJ-;<(A5a4Jdx*@)3S)=_x(silC6vt=NT9qNcagF)xeF4O6U^dn3wS3dJ7fiOEh zQfVItSDci0u4R-IDjk9)yuc;9s%hMX0!OfoXd@NyW8-ifriD6fG0y;oP6CsJ6Bt~SPQHXSP&_~gud=xH(0tEz32>f|!FF?ur2eL)OD z=E5Wvj}b)`Lb}Q>G`D-={VoC}JE1@q{xTvrB|5R{f#s`F12(F>3HtfBvaD}Ivx`XF zP~wEf0TDgsVMA(0slR<=_(DB7!#r`+WFROSrA_IAHC$u6H!rJAM2(srnF;U@vCXF}VMWiq_+g<7BQ`=36=-y5qh zeKBBtN=+D-vHJ(YEPYeuCLDC9#(#N!E}FRn{yYf^{+zI2L)SP+>dmNI)lK<*g10WF zXySwi9Kf!Y0!7n z^)>DD$BiZ~JPzw0o+65MQ>t|eka7h`9XDVQ5~lrb$J3k>#7gn)h3`Q{w=7fZiU3pV z4JlLO4XK_s$Gd@n01=y?8OQ#Qcu-lP(Pg_@-dvgZ%f+0ZJhINGTU;?^GUzIU4lH54 zd6=?CKR*YJTm_e!KngOIYTny^sn8wb$cp1&OsA}86(b@WZ7|Lh>cW3)yx~Nw%d?;4{E3 zVuna{PwFkR7Wgj9ZHc~8aTe()RIUQ6ZOXkoc&b6GA*40bK}3~O2u04Rdf?E5p? z8m9xdYBv+iKyc%A`3l|Lf_>tgT$syMtxIQ={4uIThG)U(f7K!xbHbfJhby)Y$Gdh| zv?i*8cmjWcGW~vmfLw6d3u_GOm}hLrkCItHzH_ZIrwBG(&rC5}R@g1KDMk%8yu*@q z0!o@|z}zm? zHmSoe34I|ir1Aw!Ny1F&<|u`ur!-}wQ(wtK92a}Gjm&<$~AfJZ;;Q3pY?Vj!voy+wO^Fb}vx724nP%B}y3<9gV>(Y0K0MUo69 zA{l~4Ue~Yqxh^&hg@%6;+=d&pp>4E!`&bBE1P3s=q_Xe^Z0)g4>=Ioo#d{tX?@-tW zvF?oElrBd&1c(t)e}cd)A{G~GwTtU;V4F)3haWspYK8)=3JE3!VI~c6(3*OId40j`OiaQpVJHZ6lLu#B3BehE+4&;0RM<`>9LPmY1}HIVN>>S$&xW>Ym2+TN zazgqCV|tQHdeSQ(afY7sQuY%y5|+UK1?K^9hS3lV!trWW^+J@7n;hUB-C4jvrp) zC1gppJB9x~SSc(k=XgqQBF`$aPRE&f_tV4}vx45# z1@otE*|TrnK6SMfjWrClgPNX>D01W|bG`O=Ju#I@Y-45WACYX~JK zi*=_8{Ih%adWFFVJeK(q@d`5+>C*OTFm|BW0}1i;e)g1p@MH0(;!$(EE%@Af^+epe zz{lSEk7}RjC92~w^*U($gj~2}hTJh|wYaZ?3;5_+(@S*pn$4(CgwpY8v~+Kq9& zcZajfRWvD`FTq;GekQZi;lG_L{$6Tqf3y6>o3zwFe)#EOYk?tJPFeOM3tO@ zmON;GU0|MlX02M9epFV09ZzI{>vq%P%7ZM$uc2`-;pwTqPIDHPs9 zTYnu$sTWWJGR-FZdUkbV4+P=%{1g#gq`vs1st{gm={{E&kjQ}4!-1-6UFW(b3_ zulvWFkJB{VFjZd9JF1^g6t`<+jiRR7+UlM0uAN|MZ44iqv{=v*xYW#E^_qx^P z4xWU6x<|Dl2gKERgKyd5XeO?;Av9olI$f=o=f#RS*!-7TZf8vh+uMEL74u}4}SOT^& zDGqh;uSy2UD<$Lcl`U)R$uq zt{=Esmi7r*)TAk;z&ijT1s@@Tj{`x=dz{De2@i-LJhDNq~Y$SK$Vz{#KnBWl@>2)B3h^|N!6)CN{ z7Akxf*RC=U6hInBK%jt;-I>MI6P2p^<@=M#WY9-wH=|8JL;%!2k;eA(h7x3XG`{ts z^&o~Q;Ss*9Md&3_~yf4>@UoMmEJnp_+XM@VGwvpyOzIX+4D6WTCyIEmh zsN>G)zDb7K|39H^{$N}Q{o_=jvi;xa!36)m?oKB!_M@3XLiPHY0Y@O+O)%Y!b5y&C z(I>&-SWz`K0`Rj-dHWBzS5%ovw<~~f$ZRvDua3PGVtQ!dl&YEs?#Tl#@Oc!1b;cx~ zb0nU#nw~}x)Dq|C4BSklzW0icE2)ogxQQ8qT+Ev=IF46kaUN6~SVT;XoXz|0^Rjw7 zR|%nrm3Ht^7yFX*`JoY{Fgr{qkHH`DZt-QzZ==`^i6CkN#1!r|+ga+W7WiedIF+sa zYMaOzR5+DUH2{1J2xusGCmlMs>&W+>e>_fM%srRk_T>N<%eJ(Rw~Vyi9^$UFp9j4>YM?e*-%S*9G5I_F~@>c{SC z0$zyw<(&@^MH91M9S!eQKd+V8L^iokzki}`G1ExfP^%nJGdp4NWShj}#ao(Rx+-q ziN6oY^vlLZR%H%;Ob9*ofN9%qcA8ofsKuQJ-xp#l!`)u zWo}ej$wm&y^A(>!D3fqFG5_w9uQ?T4Qqp|bN4=Jl4x)OuEy}W&O??O?^s+T!5-J}? zD_sBSDvs(cW*(7dRbE?<3Ka!U1q>B2TW2Ss<02;913~>OXP>3dAg!YAb1VvqMbu1y ziO?a}>VsHF#kl6;^2Bx1$lAF=zslHkGICm{p`i$QT{{yBt2fiHe1mXldCxk{BW$v3 zAMr)o#gVSWpzr54Q?wYR5mrxW_8E|PvvdmDr(*~e?v5$=w7k@66u1q-#w=cAAQZz> zL6Xp2dVt$$^@Y$aiYJ~sVbT0oia+DJuYOqBDEv8S*m;DJ7t38c7de&yzolm!Kbpfr z5R-q~L>-0)X2LYzF^nEFnj@1~>L92`_yT;JEO|LqA@eO`D5T7tZ-%w|ymxl6#uZ!j z;wMd9>PsZJW{i}lso`b!cTix7dpWR^b(=F)2#oq9vIi{mSP}O z6+*uDglB|eAjozb0`^Z$VttPzbxYr4Ba%dRb6$rgg?6}d=E9-4H#+!2Q0DK^ABq@6 z^k7DfbcdaI%7T~ikyw4ihmX-nMZzNNA&5ory?{m;VjA5+h{fx~)FUx1gYqeA33||x z{FoqL@#|+Lz0}VOckiugYQJ;s!7z!gl)xiA$*A{dm1KT)H&BK5K#UFSqv^$(B7HbYNSlzWpc0*`|@z$=0ghti)wQdV5EW;e5_HxMO5KwTVElZ8*RD6Fx`=4+os zZZnaIgnnZ!`aoVvOHoAq>?J?=`Ha#y2I52n8}XikSREiK?AoB>YDkkB?-nv1Pdvis zPzfQ603>WVq7(wox+luxX6^a=_R%BPR0Zmelx`A}m$Pjt-I_05%&p4f)SKVLOhLLG za&=wIUB}xZ^5_}vS>;zqzWbL>A$h!JbP>dzf21Xz;ZAGnDPjkwC21=ZAY--gq|i8u zcR6Z0+9p3VHtutAvQ$mIUgz?bkc55T(BVXTNG6W%3d989;!=O0(NaTX6Gf1@ztLsx zJf9{|QB@I=mW~^t;N^(!Wv3;vmaQ=D?KmW)O?^>W-4xTE@{CWQ992;l<)4Mu<25dq z7_uXk)i0bmm9=9fRW6g!xj8O|*V`j3CGG4mD*;eB;95+=M+W?@_tH(KWcNP}yv^QV ze85?u(;in&BZ$qku{Eajzw z^JUpW`=UkA%8mk-!(@r(7gN+-?uWVX?6xHhX5q^&`20@;tYhZs-eYaOmP%Uk-*=c1 zt!FQOF3o=Z`0u9ie~f5uQA}v_`uckP{5P+G4;hj!BFbt!;1q8Ftq~u<~=cr1AS~$Gqp@zKj^LvC* z_U)W^`BPov$;rvV_QLcuy^XD{8xSfg@Rzyn#}DF=S|jIw|2+C14=JYi7|RhSM)6NI zr-0+LdLLvNL1gl73=*=JKZ>SRn#Lt$U^{l}P^)@l$CHnQ7WX4wQv&bo07tU(zlwEe zd45ppPRA$1iT(XY%`?$O&1!L_$#`HyvJ6n2M$S0L@#PCeI7wtbazqNO?XcJRW9n>1 zV(B%MWD7#APH&gGJGWLnjA|xDF#a9}BvGXhtFYrHDFAf|qu~s#HO2=#J=Br?egWa`tGPQTcZ|6ecf9 z$855lh8H)2=)o?%M>;Cu4ASdSuN$8PlXD;vNGwC4P98!=^lfC%hT`acTZ#H2TQkEc zM3kq^Gddy3gxur6w4*s29Gf$bL%R%r1p8-W5k4u?5l4mTFV2FI6$uki&4~c@jxh+&m0?lY`7@xj-081)MVWUSW>`AIQ#4xN=9RMy-22cvX*0W37_ z&+$_yACJyJTg-wOmZxCRFELx2?jpd(5ezrhS6&v(`G8({RAB^2w4?&{{~F7En+%+$DnT-}jX>A2_;6`MEKW`pfEL z3p66U`7_2}W*bRxXMgji(;I7RYhl&-2SaO>7ve*j%J!?=x};5$k_W$yKxOebzVW6J zbWlT%O%F#qi#^wq?O=D5g$@(t)~SghT_`NT&%TlJ$`DDD3YfXdN8y_Krrct8sRc0n zfB)>AXUu)gS=*?T`|Ih2v!mwEt}ihC2~*ZX3Gir&yoXD_hl{*jT>bHbDls`}hr*+y zqf=7t)^k8@pwq|-t6pOBc8j3$rB1M#wJ>itY>I+5R~4Y842~Gy5!9n*E;Szcab&4r zF6SBd>65&tOYJs8Ns?yI4P^xbPqe>7qr8X{33;zfVG&s5a<+q#4IFfMUXC$A;@ilB`R1&pN(G-b~oGy_&GD;49Mqmuxvo z$UBmWFKB)DPj}6eKVX7siEVQq`~1X&gcg|zf^`TyVw(SW1o-8)z8MWqdXW^t?r!aL~5%TmUzaeB*JjZl4wi_fQ4 zf30UqdL`!H^IlE{j_aR|QVCmIS*g6M+IpO`kFk6DkalRoLgMC4G`R7_JN;|*Zu_UV zOEW=YvO)Z#`9|5G@ar1?dt@G%<)a1IZ)P?@#AXs!lzf;+`6;dN?lk`|KK5Uj@szZV zzuYZG#8|;-@WuUCz;fk2K<_|DY#@r2Oo+f!eVbl!BL;`oh3BTA@p_QOL|Kj-i2`?T zX^6c*ish{_gCJ-@nR?fY&Y_cPlAkw%FK~zzjoRSrG z;E2imXimL)z4;<`bozg^0JUzB+P%9w8kHIKiD-)6Fwz;9o_-8bri*K*xnf6{vA33} zXOMD1+2eYebzs{_9bqC5!&bh)h?kdN`V;?$~$Yzi)zJiF8R zCP-2Jn*4EUfHxaq=b8U}v{C67`*l9Wg|U@EfK}kj_^MvO4KdPpkKwysE;mjv>MxJ|AhVrxL%7I?zy7tA} z9rC$EoHs&-@S>>1cLroDn_eF}tIjJz!K1XR?*HSnZ(giM-<%#P&;p~u7>lqXMIgut zQEW~ATJPJ(f8E{foE*4M4*W|31NREvhysVEb;+BThMxwer!iT66TkBFjU2-4NtpBQ z8uBqFe0*5?(YW;EZ6vDrxtqM_?};Qut9r$_b$KtJ#>&QM*UDJ^;#iTluC(vb{EM+V zDV)#;2XmCM*M(nh@M?M5F^m)6j}t^4ggN@XvB{@|38mdr{Y=w-dk@$~0FqjAHCDih zU^YDdZo111{linj_p$ymGb?Ybq&>YhvQd1qV=nMA@&7Ub0q?9~oss+N`i zj%q0}0)Y8;LF+iM+jBbnvBVWf`VeQNSE~R10bJL@p>)Z=qVhGcNsL5_qcib4W5RdF zXIg{mDzQyy#(m=s(zX>&E)D6?@BQRDU=D}TW|%i(Ci#*4zt!P$t@_yVYV=ZbWZdbu z>FJqWBJtB~;+n}Np2~y3cj!iglcCAzu$g)am3=zZE?sZlqs&XbbDiQwvL5X0%b z1pkywSoO^xr~jTw`l%3~+E08>VB7gATIwyu&fhD_nh+2QERK?qkx}qnqA|==sw^FF zB3_kwM1Phf&B4V*GiRTvvXp$enEX2@a$Q$>T&S9bGm@nIrHseq&648Z{SlX2IGf%6 zBjdoip2&j>g)3aPbG?PfAE@hIDRmRldx=T+QytS3CIZ;BuCGtM1#k zwz2i8DJyJK@Sbpqb-iP&&M7(+0k*E#F7M=Ndu^olL!C)N{@<#V|89Z=`7v#)(3^It zNF8+BUMZDW*F?FoNjxzyM^&0=G(Mn)=>K3B%gZx*c8}k-74G6g3(*=@oyVyt%7C7f2^xdc z#IOqbm1)@M;)K8v-gAgaI`xtaHfkoNNs}zf%3(0%lqYUik;|`)93~q}>*Q{%&UV9( zNS&JDbdLs`KgYoC}D4HZdBh*B4hm^fIKbYC%O zzUgpcBb!vnwNto^p4MpNwKkYDia-Lfh(`)yLYmoyMnG}JmDMPuE;X~#Lti>aRa(iq zZt`C~Q}nS++))k*G!M@vB~~)aE~bidf#0>!7;-8C7iFIb_5AfrXw7 z@?;Q>5+D;|K)hN*QUbR~BxAb|j+5x&+Vp>uB@uUWTg>&yPWIEf zG(PJxg7^C0jX$fO^Rw)+DRu}EO*-UQ-B#d4e%q((cW#qhS0*L~8y%j!Y*c~aTg9#~NG=y`uwT~w^x%d`o+V!r_d_;js35Zh4C{b?HQW2rt3!XOj} zV6@%=@Jb~vS63_&n9Pa#0kCD$F4EY!77bdheHFnpnKFMrbF}oEwMn_lvtUEg1 zyZxy|6&)-ts9~d%+d$=%svK>?Vm7_F~4|` zJg&rJB&oH`%0uK5R(=q)Q678$lJ#1J;>yAZfyb7sih0G>m(^y`%?#&Tsn0R0|8O)W z^ubSaTdPO8-VTr7yNR4|KUM99?d=uf{qw3hYu!QNB-&H@9=@ z!Mr{{QEbXjyGT0H@Q05=>r?bQ2HwU$$<${+>eXx36e(@xc><)5sCI2|F#IDL&u7XG zXpXxP|hu3*VQ6Wuhge=Xb_HO%s zkA!1n(CjN+XYq^rzH48n$UM0Znhlk!Pbg|XS=2tOblbNbeiDjgX3zL6sFSUbGC9bs zFijS#lxpp~sLK#BtFCq$(FOhYPxpfnpQ=oZ7Mb1D(qo@5Ds6fW5FoalMptyQ1LYWg z)%q@z@UiwrLWuBf?HBX(k?h%*`}y?WR$iu_WL2v~tDl-R2Q40KRv=(mT5IRNr+Z(| zQf(qHSi(*gn!WQKC)8#_*JNkTjIK^R2saydotz)cC`25`2CR`4w~wn|WL!=iUvgW9 z{<(I(YigF+2=tJX+Bkf{=qX^dNdz*ULJTYq+V`p`R5k@(z#qT zx+LR0ZlQSbX@jED7>`_)I|Fii))#Wa2?+^d=Hu?H09euwtFqT4qhkBNCC1y^*Wg## znmSRRe{f2|`CDUXAWfG_mh_dbqZ0dqigZhlX_ywSF+||O+TWh#>hw_x=OR|#`%tm} z9)A2L3h$mw4%P*ITj9?DhIe&U9eQaEFJb8~+3`Cu9aM5A?8%lY0|HNJn3bG?kDk{f z;+GZHVGtZycS##i`9%k-*yGAbS@wZ8QM3h1F)YlXS?zq0aEp9$*y6h{gx?ZwIC#E6 zeTGNdLxPK0@#J$JC=3w69z5CjMf-P|pCAXv>71MLoK+8cW=$`LPtuaa833dwHXiq< z%azy|N1ong1mP1JJjqqsO6rnraEJ~rUSB&T=^m`BV|AaS@gCHx)v8%@od0phL0`!}f5n%%858R*|uWzi~SjpfFzq!AEAf-PxI+*|=#xypjg`B!jLtZeLDyVvV zsCqbXI$^-5{>RWPPURANoew@A9RYfLrAU0#`&ZJ-eJ$MB|GXx8dYk>)y)iq|KSMk} zzpK8vqs{O@&!4{;Gc*HqJnLawEXb+u9Gjvi6tPNlBcR3|-5fAXmH}7C`#1&JOD%}k zGfO+tAoc>ib)$fMeXzoZacv%*tX7_0vY(5~l;|4#aGjQ@28K*Js(qkQp#uzusICb? zB)`l9vw=2KsDF&#b+M8CkqVDa;~LuEc}J#}W31e{{FKDON#10=-de;M6>e=!hhT9N z_JjSVU=|GQmuP$$nXUp(T!q_MNs*rKe8TS%FsubjV*8#YvqIAb;=q%n7?7!H_h6Gu zd)`s>PL&?}XnLG}ujc!8C8OqB)z1^C*J~u3`wqUJ_>bU?h3WyS{jgPj-4OeSjFzqy z%2=SME3Nc%cqfR9=k^$#$qFf^X=GC~@lu5iw&+4CUN_9@xUc;BXP@aw;fu8Nl0CkP zry-U2XyZb{JJzz=FH!iUKiAyFn2|Lbq!{3A=&Hi_*ji@#3B3uO+*(8-eqe@Il zc5t^Ey$u2v0qs1~2B^4o)6;IV(uJY7>os8qP@uQRdgt+*pDb^FK8AF&>?bEWc-u2Y zFT7@l=AS}N<8%jK|G?JEJGkKys>xA!B`6t7h(9%?F4_so1w&_en_$RAut?f618eaD z0(G^RggDB>gT<`~e8bApTH7cPd{IktdiJ%hPZBxyHjzIw(RcdoNAU;-nCY?&?E`l1 zk!V_8*#28TQpoOl7RTH={fjEjW6Ru>&O8IvTZhV1=c2@Ya`52`&776%Y2My|iAE5} zbG7U0*^Y|R<1-A-P@<(kU3rZdJ=jO zxi++Zm~;Jlpzh;-&)0C$9HWBfvmYDrR5Y^a_kAF?!Xv@++3-K{$^r>@{|e2U6Mag`sPZqeEno=~%EGAa+D?w<~e_VLPtjTxcOC23;H% zM#ep#S^Ab2LMwP3q94*MhDfGu%!fPz&wHD+U-fLFToXaOO1g=2O2w z!i(vd)TFdZFx-yzn5Yy_EHzUVHnyrbC7Jtc_MCfk{tqVHt;*4Ide}&(r!*4vSCe`= zv1dV-0&MxR?IH-9%(>Pn1}QlDVgH=&GJkp8|A;@D;!9DoTs%!xMeoLJL{_u)RZzOY zpCdB3rgZpN{or0DO9eelRuZQ}bmBv*@%0;S5J3?~gO54&@pT6=SDfWcNXFx=%>;4a z^?g)(Rrik6%AX1j&Ad42yPHH1px?`YEWiPNf2!*vdi(~=NOl4ciqH!Cd#PD%F_1M0 zCiaj4rWnw)j6?|Q{Jt&uYT72-&%l)pB?a8W{LG@E$yqNHf(^KWc@H#V*$1Z2L5L5%=v1#9F$5B${!`!?jHlV7kU92S@{WzSUVnuYtDzbGJMRp1kACA4-5Lg%ML^6*cID1kRd@vesc6p%;Ee^mTRhgQLZ+B&V@Du~M?`KT|+p zmKVBunWt~;7X`^WJ6sDPqBo! zT_y^D&2qUKFl&;h;9JpLv2mcfBpaMv}6L!xFI_%Rm`hmHVL<8=$Xv_pa4z_vDo z#jIqe<*ggR=g$PKRc#2js2eBjo&K2UyD~Eo-)Z$*Xxy_KG)7tY?RH44aQ=Y0EM74` zG-R;YP3cooU0kf$G6Kmjm=Pu=Q|yBA!+bC~%;M&Y36AmrBd=wY)xn1X7eS7h1<4Vq}^*^gtUeHxjnpIP-X#g|R5D5k9fUAZxK7MP8rjkwS)wQ?nB(bL_ zx6k_G+SBVf+P76{56+rR?K<%x;|#WLD7l!tWoASdEt9^&Q=pFk0{fD-=^Z^{Nczs0 ze4QcTdQja#>esDhqD5RV^BdBa|9PsK9aynn01rC&I`W-G22eH6wzxAqti~poX z;aM+Ov!{3}$cEm7q!$7&ueDKZ)Vv6ftS3?-#tx^3lTu!6r!s7Es2ysi(EgiDB1&jL z96dd4ecrcqPNx~uuL3j;>+7!-)a%Vr0P?vV-N=32z(M?m*~NzKDaG-ft#IAWNxJLx zFT>WRDXQu(PeqT{nvaFnKKNw#&dp7wyW(0mRx;zMD+Z_AV)&z$QVn&9y zs4ijQ-5RA0`vOge&F4(e$_cbjOpbBJ)xd1azAvweckS-FEg~mv%e$K?n{j}k% z+V0EbIp*wRt^5z+LX(p-GqJ5;;F2OCjg5`TkLaEZ{Cn;W{N$Fl^eA-o)afXjZF_Hb zs?WvHwGJvTtER-pY3nz4u9@mzv;1JIay=PukAVt5qKHDc-Qo~VbOqqCBz=ep*qqhD zemjybdfbzS$s}#P6-J7&hQju7cpcdiI{?hwX6lBO)Q6*J_@7X%bbd>uw(n(-MhJjK zA8BgkJpCJAQxb2}7Zr=PnB1rwGSiG1v1z-v{?M*sP>alTgy2*#uy5ZK>yM{O@W(({*UiO7%56$ykX=aBIO)X* zU^ihb*9bCYH}?_Gz4q7;}3!JS4O> zHzdUS^gNix$f!*KBO`NIoHP1WY5!8?idWmB1)>Yjq7%OmFE#i zS7QII2_uURMRy|oyF1!{H#Q{=Ys|Vt3sO?bhq7V`g;t@Jg-^RE+vzZnFu)BWi`U~P zwqhiq`m7~L85fo&c{hs7-&D>sAFD#~bT}$|Y2chbV?{owGAmr3eug$CcU$orQ6<}s zYy7WuE8R7@l7+XgVyU`;`5lv?`QwHZB*HR-E(1MLVV|dDZr2 z7BIcmb7biQM0p+3d103R(i@r`RK0fmiO3kG3t6KZe`w%35Sl?g%GWx5uzjR@DD9@Hv9v#Fq-Pl<7sD_7| zvu$pG4@5yB1wR;IxMGs>msF+wWt>0UwGM6`dC30Knlx>VfRwxIe3&i4e3f27$kAZ; zzivVc3%@(OxD*|swppzMpC1zVl}ZUS^^b77Ly7_4$VIPjZ}WBN#!B5%dRlIL-{|gL zY@{901?fc{4_pOGOgXZ*=6q{Si0b!RC1*{*2B^eF{=h)2v;;j_Y%-btiD{AE!x(5Yp$NRRH(_JxsvTExDXzs zK{r8P+cZJj^z*OB&(L_5FC|}gOY4~a$y^0Ltj@n0_O}&I@?)Eiq4K*o{dSJPK?;Gs zdDRyhO>yJWPMcPxCmaeJJl3Lrbo}`+wQfG~kR6nd{(HlYkmEhtWq{0tA5q6rIPhP<)yRZaq559z<~SCd6rW&4*SC?3!GE(xWgQZOmO>eN)(zV3UEowiBtt3h}08y%`O1HCoYpDD!SzSAVVp9k1k2)`YKgPU`G8(g9zf@;pACwh4od> zO`(Y7@VE~fZhh}%+!|nq@(z#PvWnR@grl$?w5zRX`Xni4XQ!)MVw7W^(=9tS9&Rnj zNp^8}y{tHDI*=VcoB>d~Das#7eX~wtp0JYJ2V`xxeD;0P9|NnolHXTV4z|8{VQu4- z*O)x#@RLYt&;7$lR=p7|E%R5-oQb?nYb@YxO{kZ1|656f@oP49O7pfB#DHLljHW;p z4g7a=9=Vv!Yq?UH21wm6QqCrVOVLf5URwYrRTuVQWp!OsA0YTML$ThE9AnXl5^i8s z%4px!Fn35KPnA5EVwSE5&_m_@JRB}ff5?}y?K$(tV)F6(Ns>tY>Q9y~Ua3;}Coh!- z$=^J$@AC4(nRn$N$27{zx`!)W$(;)g??x-h^nwIXeD=V!J3gQ4dZMHu+gCtAVpXAv zSw3OU(wKv=E|Xv=r_4IAAy&apEUwFXt!?^{8-AmQKno--iUcf5qt?um*1*5tegtA< z{CyS^_CwdOL{|oQ<))C5-iL`d!_O+&aq0O=_Tt7XMrU>?QM&>xyiaoh1B%SeS#lwn zlQjgYZ!%VszMIZC9tKGZ=S5#j&f*4cq@F2`^w1?2i4I$`8juE?$&Te}umXnkyo=m* zex_EN+UJcXEEp4V43mMGHwr~+_O80d%6eu|DdR7~OE_WXi>;21?#&Th=h>DPqK|{D z*c7GwsoT4yGJ;J-ZaN$FP`*<*PWWKNN3nY+xQsn7_^xIw`VRtJ?G{ue%-2tZal1ne z^i|s@h6HvkKd=gac|&zW3>D@__Ikk~EdwhJY{*)HeH|D3S}P`P>hvuhK*}qR>~rmV zUz{4;_dGrr`U%~|knGlncCj6n-5YD0JHC;amDRB_LZf5U4~PyV<4w&{#a(hutiz6D zm~TAQ9;mB&LH4G~7oylQ16 zCG792K2|w%uI@T;%mFhx*Ax_(Rx*rsnj>gMqktp6AV2p({(XA>N%eU5Y}=zpXDPr6hr9m#FV}vjZyQ!GY&5Cep*Vlk zWY%qS`#%@p*ZGOJuEOXUEL57T$3fn!$LW#mmU-&xHyisRYs1;;xA@iaKswn-^%kLO zK_PEC_#tICufMSu*-PH5-^PSjf3E$jR5gX{1wY#4a|{MU-qYXj`~Jg+wqL(k0|EkS z7FWANKbg#RU$3;Fot&I(m|9vAE*xEx`pYr!+7PvRGOPTqN^?-*6|ivHbawTD=q74; z$zNPH^L@Gwp@o4ESSQyA{aLD#?AZ7uUz_)Rr{+ucS4Pdt$nn%$zsmeKM1ERwW*7x5 ziG9s?VtB#xn*syn(Ju`n?zO0O7>_t7M6&T-^=t)vX^e*I0|)LS>GRs(O-*Y1`}^4H z>eOXpoQq6yo{QpX&jFzRB0PEhpA+~0GaH;k8MP>gn%ah)JSKcm3(OzL zOvt^}`9E3!Isr_QIZ2Wp#6Sn^paMiv)7F^02XI_~)>u0-mZB|YKTQX$`H0<_@Ljxr zPu8A&TPIQO7}cSpCG~fbVpXR#%b5ngXf3wooG1D!jac*EH9Jj zZ)dVHB{uWd-r+nZB+>$@MY_E)+vL*ek?h@as{lnLFFWb-Fxyze=pvg_qEthDAuI)F zKhPS!M@b~K+{X8`&f{AHDOD9ojPN%iE%qpi(aVabn1C!FrTvJ>KkV%Lgg;jMDhW`F zyMu9bKP03i0h~mV@JHvNOhKhue>N<@v_iu|{ zYP1g`BJoSgTKG(MiIhJX~6O(SY8y`{JY05jxn>+YZDWrmmo1w=+cp-?Ccbgg|rS#)XYL@iL ziQ9f95}mvSxK+yA+jJ(&KwM+Id#%j3cwWQTUABK-xOW^meGl03wPL>=#H})1YuL+o z+gf}r#N5){F4x=Nc+_;)lC$6q z^lWA`w^$HG3K5A(IYb{~K8AAeGTYZHhnrph zP~oBNn-kw3c2-{D0WG!)C!RUnE%#i&cI=6+k6BN`i>);3)Dtf6F=Kg!nrEx^9NC|Z zSM#iUnwEx>9u$9i;kjU~etER>+bvajLRjvQvw4RTkc55RU^=}GhiPE*2KGi^v5mEDijX_68=UAo(b$VVq^>4TH|Gv3FEzC9hKSk5(18DaM zrIR6{zRZ}g83|=&pmE2lF-9|338JJO?36=e0AxVq!)s;R`vB23ypg^4z%I9xf|(4G z2jKhpH~26~&Rn#>R(dcjR|%3Jc(+oVj0I|ke8>wG5sK8Z6!;01vcsWidY`y*N7IV5 z4+QF14GfG4s>O~uD*75IU>z*w<9etlZY&z{S3eEkRH0@wnYo#Ut$dg`{P9sbA9&2Q zMgf>$RC}QSzu2c-mkyrFf4V^QKD1>S@8X*Gw zifYxjinW}@or3{ctqk0p;EdNkcM7#{J`b z8oz6%aHM~%6f)nY$Ba~A-tk8p0+(lpH<>2EfcO)okd*BReh}Ct!b%Xc5ruELlNIef z;WkB*ac0Z4^^;O+($(WIYGYj6`tG20Gi}4f^O7OOmA*f4Nz~W+59_9Z@`mbrG&=nm zXuuX7a#bRC^Y3X?^Zl;PJ0yX3fuO0ZBSg&=NTRC&o?YR5H4cilr%4Fr(-?CLg8g-u zLKI+uM)T&T`^O41c&$0y$1BxsEsEVc1zVwKPK;qhP{|<+c#;cV_$hpOe$t}PnE^CN zq1XJd%IW*%%0LKwkKC;hS!x=pyeR#CqXwy3_*r4uK;HO4 zIR4^X@)DssOD6>A^@%Z12^C7%VuqYFhl1@{KT6b4?`ZE6cp)a@#yk^%JZCNe8V*6> z{uDkW;IlK2)%C5;fiB4>isX=`CyFKESfr+ZQfvn$cLpHCSeh^<=yy?osYnUSspWU0 z>6FSI6S*(CMS)D9BO~3PiE|@=47@dvoEH(q>;@l8(`t<#XfR5k-2xY+(@acj^qlk<7LtfTXzu3C1&eR!ZM)985+wdyC+gi?V`K@ODr7(W$ly|EEE5`q?VKhp} z4v3>Az5V?QYokT~=v&#+0o_sF1^;|Vqi1S^+utu{ zN87fSvsd(-@@ymtfd`LwmUx3ChQi0&WPQJMw;N^Z`VHuwDAkrv3|$*xmGJ+1+bY`@ zXqjGGa(ldnhAu15K9dMvy%RX?S#C~-%FP>a`z{=QQiZhZ85ps&v_uoALkzG4s%Tad z4{&2ZhLZpDopxu_%gDtNqgS&3!36jJFdgWTbiQkOOR#CfCvjHw%r-nL2E^B!fBBjl zlcqO3O2XT;(2Rb~sBPH|%7Kfr00SDst~;-NG%88i4e6I93((Lw3z_GJJUfJw)dSVCSU_SO~_EgXPQtHRRVjvSI9G;7U<@DNT=e&db^qqfa(z z+FQ_MRy2>1$1T`ktA`4w<>AA{=5}{DiT90E^Co-=GQ&;pHCXkCwq+Qy{iP@7V#R+G z*4mMw&?#S!7kt>2zj5EVpLm23AvI$hZA6jIa~j`@Sw{wdhNiVT#f(n3Pc)tF zVhIx3Po=IRcq-mfo${Bvwc^=L6a#)dq83jKJ{e>A8f1wrGwswFY;%0MR!0t&2j&sX zrYllfdJ6m(5;`>eTAFYZqYs^wBJPdkOBGo3bBprdDb}MUzRHib$86@$V<#_pH;Li6 z;1cBJ^te0Gb)j6q&Gk~oNz7yn@RU)+UHgziW_TB9aAu|5+i~9N%1puML}2sf42B~b zACSJasC;hG_;a{^^21oK*A1+<+30r!&!|4*e@T9*MxRjOkgw4|>_o@JFzVzmYPA6y zk}^^>@RW#o2E4^go{J8p^>Oce;niO4`H^yHMsodDA#c$#M+S1*EHiZYhgl~uxGrQi z!ltdgL1x0XH25*v%G^co78kGKH~aCL%a}1=MFU1UNrm*vHWk`b{R^M<=xvO`L0T8^|tmO>X4A zx*hRiT&<*u)yoB&;*GbghL|WcS3E7|eoDn+SX2ADbKvuETAE_QGnp7j)*l3=Q22l( zqfvp<%ejjsy6`s5*K_JJdQ{Ha1`J5x$xbf2x49ikoRN}6Ve^Tm)IuAuXIQ^uW!s2L zdzWe!q{K>_Q=ia&1tH{K9X%eVVSchI1xJm=Q31^zn+5i3Bhd^Pwjs5Xc4MnmTykNg^i*5>c7CIU^P#t>|}e_0ucusz}P!EE~V0M}yNJH0tCN zU>E%GD=B>OQFE5+#8%Ri{ePQ*%w3pF`#H3vX1A%8U2!IWiP|LH`(}j*k@tW5|+#8u}?{ zYxHJz|HA(2@Yu}E=CN?|N#uoSMkaJCsD8>$A#t%bkS}A?{lP(!qN!JdpUPQS`~!)I zRkxZGfeVV3OG}aAguu&V+XvZFP1)5*_Gi9cy1DhGIibe0R`7#U`Tt5$clyu8)c}ja zpSLdu%tJIok+LEuDLLEEG`N)FCi5}55=+~ zPF=*w*nb-Q>(Bo0#s>NtCk<3T(09M-4DezTH+ z$DI_w$RLWefv2${iWCZuDEq^wv`_ZW0YOb)0O>Jm{nFXIA097`TyZbuh7|B=-s@`d zi9#$(eN_umOlOOt>J3j4gD&=eOw3b_Rx1qmio2HnC9$5741D2Fl7j2t2=5wrXxR4b zJfnP=&JR%jJ1Is33U~{{P=27$29~oJ>cQvjaWDJRzXivIXDXwBAZnfClp|Nf<@P-zkQ5?ZUk@PCMu+=Vq6fzxCie8e za<_ZlpItl=pG+m&bOS=_4B`0k!jTeM|2@1yTI8*CP@R=dgc~bf$Ud79f!j`+D8ue7E6%Sjl=^v*Lkv8t)N<=SZ-{a7>jhirXQY z-~&qP)N;~FuVn7Wq;txWMiK7S9}s-ZkK zKpORH&!PXVniHK0dyBwiD@G+*Eq*b>lJxc_DY&hRO?2$W8+OKN0ncBq5LY|75I&H~$t|dPM_&k0{Iw$7CWbpm1MJW}< zq#rV5kgQ7os#4x(K~oyww>yBYAo}9Qq6FUvvq}LPai1WEbOtHvBzj5ej}<(aA1x5h zEqnf$79#GFk)p|AooZrgo? zMirprlOd=2^`{O|XFY!osQ86)2j|YuGyI$i4pz8Cuc;mDl9NyktGa|_A4nh;$jsESmej$(6DUMm%QlY-2stNUMJZO$x9c&NpTRjl2_kt-0EmPxgJ@%u#pY|nwy%z^Cfq6tBh@%F>AeE(**T~$jf`pRHcU5 zFFhkecf)5f&+>WKyLM&_1aW$hXWwSg-b#V;y<`3yu?;7?t+vq6Xv>h(xCkbB)(3!p zw_1@N5a0J-y$+e2ntJqQ`}APl>I33vwe#2dfl^3F$o9xRqxtiby`aD4o$Ml+Sy@X@ zBwmiZPRIRC{a+MLez%DCF0Ot(C>^F(@9lSR@iZLW+cUSeYCr7I!Lm=bW5AY4B%~0F z1+6wmkhIVdyoe@k5I%2SLg5gu%JXZRox;I=wp zFRhInYC0W~CQ6B6R+#B}6*;)L8#RF7y|zD(@bEO>`(A0CxP$odT53LnnEKqsL)d#3 z?loaP-+AZ|4GsqoU+)8m@xMA{-w|AE$p#QZ8i{d?R_gJ`ij%{z>}GZ7g&R58a;_b) z4LQc4spJN9j{pp3P&xA9EVKm%=pxze_$L)AflFNDwsZjG&p((7x z2E{tpp~IRU_bT(3YXL7e?YQ({x1uw@dYr7Go`+TeLp8u;kl6fH_V7zIpaoJ9V|5*a ziKYR2r4yN%OxS-bF@gDsCH2{d0Zk02^GNZ={hrj2D6xi(E4k0wCqL^Xssq%X1*^&^ zx9dEwG8=n4I4q9r0w&`auaMVxDkmp$Sc8O<>8+3>*#|=L?q-lTD+fS*PP-Ps40D>C$u;h!akK~)WS zVGEzUkHS}~crqYs-Z>RWj(*U-n&Sh3C_GeURlcC{Vubfrtb=+Go&{hx@W>ZFr$2m{ zupUsYshox%8C2S2%vl=Qb>TMaVCIA@b@L5OK{WO4ALtOIP?_ge1LnDSs^v1JEkTSj z=XxSjo!v?+pR<+kuqOT&zawV)1YrU zz4QFH^sTDEFsyedMH^ssQi=QVkObT>WdbPgU6o&AH!4WM2Q4|;xutGz%52QwsA<8j z0bkG!D2>pYvImbd$~63opMLs&jX}T09jNEE@NhF}bcrdG^^HPi8i-?PJzSEXA0ul3 z=XZD`Zc@OA2W>?E8d;4_S0Ng7#=Un;L0*`mL15B;D{|JaEv2R8(Tuz6{MHL~p2ItN z9{&DE0@Kq8rh)WzLyaqIo5aMJ_dr?yHN5N5eeQ(e?N6#mn8JK{6RrNje@;AGNZ-R3 z`XgC-i?ZvE&YC93JS)!Q)*5FMDC`qP1Vb?gd9}P)~f2p+hQ^pz$^o zu)mdX6jQIWSQG@17%#-Ugc*qfhEpA9sJjuYEM@v-8Hk#@eW0hA8|j?E_{BTSnzZ7#^5e#A#@Y6s9az~R@)v!(F0UKG{o%mGQ zX#6HNAjkrDaHA?-pT^u7t(GLNl!kpu9v&pw4$Dq=GDZYWSQ9Wz8x_Q$gQ~xEP(a>^ z(;yAs9*mC&i!Cjn>ib!KHSQ%shmjko#f<#2 zn=%j7@ZuqREl$S%Up zhGz%WQ1a}ZFWUide)T&C5gb^gs%?e;kh?(Uk0?7tH3L#1WGNA#xrA%J`olZ%k1f^N zsF=$ffWe$_Bgdx){Ua!pKNRt$m6g?FRIPK2b;Y2A*AfeWsEK?_DG<}In8xSNLv_QH z0aYgZS7lQPG$%j$P(CUn#CPFixqP)u|L`g8044r#y_~<&BX-x1duI*#$#LCOD*4gp zs7JL?kkL;hcmtyid6sY*Vxa*)B6eXK`xvl*%DKwLgNOLe*Mpm;BC|7^IEg`NB_~^#8tGhin^beH#0<6uK-ytb(*b241m@%FEzSH1L=!a`=VfUP^?G|VKS*HuWU1) z-yWec?9HNn<6e@i-t#*C2GL7KEv1NIG(C+Pmlm_ZrT;zgEGM8c2(PrJ6$8?J{dwIfC6j;wchb5+H93G$SfN*<{L&C^98|z~Kp&Bf{X=6~kX2SJ z6UH)iJ}>ZgP3Nm{<1{y{i?%a~Fqa zQA<-7R7)1j=4`p!hV#RbuOzIoSG(`NY`z)2hzQ%?QM$T{p4v=lu_H}uI#R$*6y4BL z+s}XU{NINoK`^F*QP+R+#GSXLVdS%?zpB|+KbpWG$H#zBYzN?^Q2Yd_C4cBdkvy3V;j{HKv9niiBgcLSPgb#PaO%4Mz8zz~*7=M+)a{o@fz6e0!}LL0 z$rJbPV1N4Ts}V1rvCGA8M!%m0HeZY#&L_!o^(OJ(x;=h9xgzA7&HeFZ!i$O-W7f;L z*-Kc{`EOC(hksslN6a3D11T!~)%J^S)p%+EZfI5G-TL%P%r~YU{dklRQ>zS6(rp1c z(?vqt_3W@$>sI1Nd_0AJzx~^t{BOzDR1R5B;Q8kOM)7YaC+mdrriR4IPuK3hODzYA z?pqHYlr?>|cMDMBQvMFN5jW|AtokoHZ0))}|Xm_;= za&UC_X9G!&@u&P%Rm;&~trzYd{bI{K@-S3)dBKI-!;*Qa5hq$Yi_SEzM>(=k%JNl> zZpPnEa|n{lsY5Qm!NtOAFCR@>3hDHM$y;fBoSYkn5=4w5MlsWLflYlmC)@v{1#s#A z7<2iA7;x?vX=qckRaNB!i~#P5ETv9bBahS_#D#h8XTVGj8LF0hw=1VZCI1|JeM&6Y zT!j4}M7h$fZXQa$#25rv5tx+Or=);Y^P=z!crm^k1p{w>rAmC_BKN93yM=GmqMBA! zqCq;pTPEBAjuBUK@UROIA%7ELa=v{#TDfn1OOPs~2MCfX@0Ya?3rVRMSeF8Vs5X9j zd7%P3-+27py)bx_5DYomg|P)1i);!cf`AN6XmQnu}GI(uu7TZx*N-9}=G z!5m)qU^w*Dh$YLxoeRS{#){7y53tAsqk}M~^krp>QpAF3KEW>9_$k%;eXVslE#U8( zT&{k--n*k3A-`TYu9XEan5_to&1k%qFMK9L%?#S&d^(^_Jss@mV*C4W5YhO8G}hN# zNz`z>>$e48bu?(LffI`q44ZmZmV(ovvBV+5J!zUcGX-fOg~Vj}?&Q8UhM69|puf_a z!H#bLfIF(=|6=T|qoNGheNh@_=pKd+DFJDaW>7#ShETdix}=dFN@)=#1ZDtb1Sx5d zPNk)rk#3}$`?}9Q=d5+t_Ph6Aa;<@R-skz{vCqlRN#Ih^LUoLMcwY_S`QB9BE{!>^ zlGDYbAtNgk&ELc%Q$F`JdhU6D*$X(0+txEosio12kAqw`l!q0TMMr_;fas^CZjk{B z@?K5Xv!+ACN*@70r5DPRpHZ;p%HCWT=2#cu_$9(IJ*kaqpkHeV6c79lpPkuM^w^4# zgsJA~l-O`h>N((p*XSX909fpZLrnjHMx(Y0;T=yymLmh)=xf6FU(pqKWo>P#aj>X~ zQmS!eD{)}3BB4B$7qvPY$1U2J2=CENDDT&aVIq=1akyczJ@5d1Dj+Ci?ck5!`=pnI zR)`V~apXwVK*lDw;nnMcNS?n+>OYgV5=v3!VhUFVZ5TXrKq^;31JTMvSx;_b7gwRr zv?rnvLKzOY&_e83mY%alCyDVfgT`MA*Yx%*`)LDGq0bA6f9M18RbY_(oFb6V17ro= zFNvnm<{CR5Tjf@=BAmzi1p1#Z=|%R(NS#vT`OF|X=T!r%)J1;B7S@|nnn>}gl}P@dxgf!%J`2^dk(dL~h~?y1ezH_iX~SNtWW zcloiytD8=$N&&s)?|Zd@ONy3 z^H{I#yM50+bI!S%4vj7l<01<%s|SSKl*}2b(*9U%Q_d|ja}hO-F&7u#FMdsd>VkF_ zt`#SZn&jV~xhNiClYGpUW`DJ{O<=pAi29~|JR5w=d)dbQz4*@P#9D&H_^Zb+LB5v;Mw5ugbZUdBZx>)g|t)NlFv+=6+!oilCRwP^dMalzA3F8nj!tCM+rG z^Nak^$v;t$|9%MV>frbSnM11TY?!W1etHNgqjaAGrM?rB{MsDYK zMDZfBo)yd%QlkQzyY|2+ujt@aSCC`r_cF>QeUN~oB=4W}6AP4v!EgnQ&)jdD=IMC7 zY8+1Q)h-lMpzgD-o?UG%I8QJ&V3S`@GnJYdv?BA~NbGji?@`zi6B6s@z zEz{{!=EJwEZ4ospU=xcQvI^4~+x0qc#+3Z0{B_Lm9<4WFPk-o&mO2T+)A~84=KAlX z0!gBWpQXa!Z6btx-_`DIePejE_<$R*dcKwu{HB+s-cmHduxsttf4J!Hz3uF3>HCWM ze1~+~`OAj26=CX2$|`Uw6@MxR2a5aeC7W;D8UftCxfjT3T*;U$VoD&IkV3X?_C(p& zh2~GtH$Ow0sQSE;Q0dLZiwD`Ql>L&X`2enBUmTuRl2^sAyMjZNnk)F@vCfxYP;POF z90Xk&Jd3{B;48Xy1`(lPJ6S&)oIhkRDE`K-dNA5K!Nhmpp<7yUu2pJ;TThGvc?H=z zta?d5b-1S2t$q(6t|F;6cT=FuU$*B~NOadfI^mv(z z`CsSx1tH;(qLLB@3lMgm8wh&_1+8!8$wb?W699f)ZQ!6~X1Y3$d4a{pwXAi|&C$4d zesmJ`Y||l|0e-%wwDOIdH-9OAEsAAwSR7~`uc;O2*OQN)>n9!Mw;la?$3#(578j^K z@^c=CMdH!?Dh>N}nB)jk=F_Gi`KgYoC!mAy0=GvAh}Tp~2{SIKO|GeBbuc5K= zi;qAPGClA1<2wxeOg)tFG}RKR_>WOw2Hw#%AV{$k1$4lM^18$KoOr|4#oq|8eRd2; z5P8vqzGEhJ1pQ*pGqz>RjMpGqsa|R_T1Q3_MXHLU!%~Tcky+>4Y`rcA-#fE zO!WfjW_!xsWl2iSh~O)l-2o9@$eRL_ZwoNa=7bk{!P*I!d$)axT{*!kjLdW6gV(cz zm=7W6fQo4qF%1b)Jq2v>S5PM~D)K0O;ma4k|CQN&z3b}<_VoWs>o0IUAAv~+1T^)B+Y`_UvqpenQJYt? zfL4>&3kf5>y6>tAT!^&H`YsK;$Z5iAaZ}+x)k>(f_6R`k2}jSo7|Fv|SY8Q&z+2

{%;6qL8~J9+2LT)?lE56_FJ)+DC6Aj%EUXpgw~R&eP>yqGVf z=G9W!kR3E-e^@{92+&?o$0si}n??@VV}toFg>Zl!GhbX6AePdl8bLNtUh!kICez-{ zw=K9?f5TOeHd3+_dBXyVKVy{})0%6tThA~SO->ni53I@@%;=Y*Oa)qs42IDLPj{Xw z6G2+-P22GDBhL^z5j4MMxN#!`rm-SseQnSQGI^s}zm!m&$AS4tva5hji}Q_>;Q&_T zRmqmqQuW^E_woi|06qIy_DuEbCSdRGD!Ri}c&DStwuFI~%XwHCOp8`m%6e=xcet0? zEnxYAPmGn*Yq<3~4P~6Lb}0M3BKwVzOTC050U@az+KHH|9#)t9)4PA)@)a7+9u<&> zjU3vJu@HmXm%~Ds2{^JmT|I!Txmm!PSQ-(Cip#>g9U425le-Spn7e}R>l1nb(h zf0|NMPe2P?@E%R8=K-JBqM`YG9b(~k!a;6x%K`qo+yI$rO;c(91!>M#q+7gLKII_i zvHKMV%$nJmvi2gm|2f20pO+Uq(kg<3GJ?aAq6g6$g9D}qf**`+B0YfzCzVERd{r^? zS2tHNxU`5^?2q({G-7#dAC2T)oDdL}>1A3!|O}-%@N4Og_=9RaLn$q7= zF6{*>lyFK_B^v3TmQ|MZ2&1sUUp}`#zC%qy{aFv-^g$%60ZE)lVEJ|kcCk)Nf-9>M zfGoyvDRxPUuwQUN)x_!41WL9HVdNS#YtZ&|C)8PLfCu0 z&8+(+v$vtu*rxTj4IXb#ksH`g=vjB}L2{N4RW2nj{LF3_x*jczT-Jsp;;uI)&PZjF z^|KvJ+$@16DW~xNy?9>X0S5E^tHVLh^EUdX&?g^8Sv5i30RYwRDAMM3d*Y_XPkR-Y zwv48#^;ja{%_%M}PEb9lxZMefPYgQi2Buv5^yEpKsQE?WV4g1T0eja7-$(zgOyTqG z6!I;0->X`4zZI(a>xqz(yq#?MS9JFpkEq`MI8h9p8;+Mp#-~2MLxFeMc`rD**P!|0 z>CM$%M@K{_7$op9D=SDT5$HXT8 z%MQ#O2qora-%C+#JQK0w*$B=u1@mJ~7GVj?er!*}27Ah;Dv8?@m=sA?^$fJGS_7pc<=s84m(gI6mmYj`+h~_}yG8FmpzL&_p zd8Yre`{atff8$r;>vISOP{C$u2~;iCp>Dp%{b~3T1P{n@p7X- z9&gflZJ)1kHYR%N7iq zcP5s}Jv5G;3*gpV;o^!wbcrsl~ zEy(}<%AK(2|MC;+%41Dhl<6X4DlX_f? zLuj9hK5ir>f<~35Ue&D}Ku#t8(dViw_EvD z)^Vr@2{l?bO^BkICaaiB*ht$lkADavO|mOfhRU7ov*mdQ80`uO<81g|0k4n`d=ao! z&i)zd$yTH1J<@?GD1OYIkHQCI4C z03!nNE!VT=+1NnV_w4lDES1K0>c$Q?n7={3r6HEHvzaGrsI#n;^fzaIgN zPXF&8-2)t%8!J2f+et|ealo{X)m<3TKxUr~d!*^;QE}v*uP%;AchAfMLLM!V$M(II zIN%1|M_!+Ft3Q){1HS&RdkPvdI^kdZ84gng``33Z<`{Q(lQuq1*MZ^F7TTDbn!=^o zX-R2y*RtV2J_5j?Kjs#moY=yROc%cp1yW&YwE)?Y%pL)6z8#wjs}jdlrxMacZ>?7A z(kL&exV>ls&i4UGznV}hxofLR9{GKk&%= zn-!(z>Q3`FHpJ$y=uODHmqZwk_uZ-6zlNCo2c{aAMOpht@d8od5;y8s13RNI{me>g}#$CaGoaTJzBjP z<1+Y~vdr&+!V-drW6#g{c4m~a_mQO9zh)gv8eVlx4MQtOT+%e~w(DIe^_$x=?}pG- zx!tU~sn(eWTZ-O~W4^9Xyc8R7y-Fl(p0o|wMC^4lnyZ9_sWiEMz`E9WUNgR&^Qlk! z$N8&i2@jVyUaE(0IRj|MUax0}r;g~uRq`Uv;h~Gf0@&Oi7IJh(v7EcN7 zNFpVjxGVA^hM3yXx6@nAi{K|GhT>gSi-ju60}hK7i(?NDkW==;et|A1x5Pjkr6qS- zl~Bs(rb@!!U5RO?k82O5M`YAe>mG>YK*Q7x!C(?V#4U||B0nBn)>4R~443pOk zAq!1p<92b-3PEMM1WHi?HYj}9GAs`4nD3Ea-Z}J&j2}P{>2+tNiesrnL(y2OgROV>rm!r%Ihvb1Sig!0h{sdZL!W3IW=#@}(Dp}g7dWES! z0VeCvhv^Lx2K?+Z0a@}>3UiV9M z!ax}+VIphvmJzBPQWW{H3;k|IH2(+7kN(0#`^(a*J?8}7b6&4UamNftmIO2&WqtL7 z@Nj)C1As-KeNeWx%v?tr^IjS3q`t}x&(47PzkCZ6y2tXo7Zwvkq^CQW*-<3&h{Mr9 zh|X45Seu9G{&^UTm8CVJI$2vW%4Sn5E$sfem~sreRh-w2D&ny)X8NbZ*AmnAY44{d zRs2y1g(w7e6w8#EL zv5il*0$KOz9mPh(0Qj`WwxY+gf8TBd9~^xYnx`;Ut-~FWEec0_wB3ZZC=LQG6HHWG zlY5Ryz|SpFZeA5TGJ@)Ttba*Lr<_F!@Mjnt%7=}e|L%~; zyvt@|{66aNit>;k$Q9R2c85D)4k){qw27Q^ota8xj}j=kd*pump$&X~G(p`#{iuT) z1URI#vK7O=Og+S>84$7#a0PwwT7AEOmR_ylo|6C9n5~V)Q?)oVBgwg0^A+iq&wkfL zcrZ(ZW~OY}X4%^%HVQ1g-?r<0QQ&+o;|S8oI!fa2se9GCq>Ja?5v(S5;oTh3;}mj+ z&U*Y!{(q+RfsE)lYJgL(V8awBUONN=lcUP6Df0%vB zwE2_Hu3+DQ^<3~B_*})TL_mYeo%*cib}yt=G4Qte{wVxrO7YU}>^$cd`1C5GzU=X* zHbcys!s-3MgOr>O;KMmK0Rd329Z5?JXQJEzvWxRl@IQ$B*+5JUe+Sw#U*BN>!Tvg? zUp(RQr^uqSAK=OZj`JASNBf!;nk%?F9R^HVyiW?6ue1 zCaOIgA|n*Ic5pG56o?&nMArSJyq^LjG%w|x6>H(_q)tiNd48MM*Gnhu2tv&QY`IvH zjN{=09QUL~$eMSV8D`_%t!UJp=^bL+C=&7n_VDLUh5!cTwwcgN1gDTa_tE5fV^JFxk6z1fAtpdqG(AXV41d1P)&Chw?&cTH4x&2Pb$V-xjPy zRPbSB0OF*M3Lb2Uh@)||cmMRO{e_JsBW@%!Da}bV3GK5BFmI(astfEr%|6#HFjFj7 z+6DU3^&?{q+oTb8nWCM9v81tdhByHt;LQU zs&p>5w}Q2S#Lned0=5C0zxJljZ!BceKqR6hy?a-cQJ0o@^n2qae5=KIgIYu*HDk(c7r+Xta8)wFzxKG-}aSLeZ&;qiJdA5PR z1X$sWYW;$8n}M*^TX)sc!CpqdCCV15%1lh*87;QzqEll>+l{SmwSH2JtsQs-?2 z6Vpbkp<30lC>6OT39(Z1h{-c195b+Zm(Rsn9EM=oiQPbIeyR?0Hx{S(be*i0cKaI4 zeYXF;5}Vb#0p^10X?gJ(vncQvNfR?u!-e)w{ZJvdFFp=MQGVQs)^%~#U-BL6;;4DF zEL~mcDm*>YGC#@0J{JXzmwq`E1NKS>5B5>*z0BC_VSi0>pIIwtA)x}4#ZQ4ifjzQ5 z0B?lvNbB0o-YGS+O;~e;L1xRu%*g7UyZ1_AB)FlUK=_D~;XH;UI))_Z`zEd3pP$hK zOHawO^mb_1&Ilp>_e&K#+yN+o`j^TI0d|PfmZ621H}hSoiLyGq*415>YM+x@EuoJs zzrTnX$_2gOYTKwt%gTDlo}$sC0>WYw3&GdQR5s`DTaw-T?F;z z`D}KvsBTARzvKK5EmT2I7U%YYr4;tV$QP?hg9KHcxdn`5LaL~e_UDXG&?!j<vTKd2uDXMVekb!FH_ZX(g1j@{2^K zTp;GIMQi_EgIcrZ!1OTK1z0&kOPDbE!MFl8Oo`oyPyrd%hC|201K~Bz7Mf$>)!;<~ z(nK9xnl7w1q94xeIiWjrW}J?J``N+8@>F6$SoDq|9ZJ#DKjAkq2x>P3>P{JKFA0IS2gBo za2>y*dA_yJGf>NyNBQy-6+n$q*8@~Fs16K2d)aLE^Q5`wug)kL`{%YAPuQOXmm(dN z6ywIIXL$qdRda%sP})kyr{YeuEZn?;l!=@y21^;f#Pc(eYkmO=NDVPm?u_|HLBoKcF)f#j;rOTiU``a+z5jByq!lU)9T(P z>OLlHUz4Inu7#*>LQQlHq7Z<78wqnd9%h+dF!GAZ^1#;Z89&5st05rqXF)JT$^Lxt zea9a{GPwI{2t|&HQ00{BNiXKUT(oLoqTURivaPj88PyRG;{K)9H@^;Lkw19=v|O=< z$g`4~q$MGr#M4yE235+KQRq*)p8B0lRmq#qe#QKH@pJ;pu|Wc+en|7?p&5_+!SbWN zdjgihRxHGaho*X8XnX_pa}s4TfJoCdZFiQ9!vuGYATp2cWEss_Z2&2Sff@L@Lbchw z!q|$*FKRCaGQ9RlV@ z9PXVP>L*@L&bypYUe4eh?YFoXaUB*NCKW2RPqS&~t{BcD>p~L1Oj18uFXtQz>!Ot! zSN_|30?0a(2HpGDMAOpwMd5}*t0G_ST7Ahb7;hargrtkBvp)q3rVaoFu_Ev^EjwUH zCTo16)aHUvin|D}TMg}rX-w|<4(|F~k7 zF8BYMmC{-uh@CAHPkxZ|_!h90tXI$2*BAps(d~v{;+|PseD4Pa-u%y&5-ZwhDGJJ|f5`Wt11GYJTI6$-$Aii{zkf$W@#yPB+Ka~%W{CB( zMg9yg6JAuXgg=sO6`3T5)FUy$&xb-Iv2W}Ed6G4{RiPo6{w@=O80gOnMV6?%RPQSb zUa*X~Fa;x9%KeW6eQrcZgh@DME>%Vtu!a{A?@}4M>*{8nD|Lt+x5QRwV_8W{DiaUCy z+KG|Td^5>wRpRWAV1pt29BY&AF968Doye&eZQL7=1!iwzPc+YovfI|%^+VKf^DwrGbU>v?&;l{S~@zX4u zsb-^2v?cx1EHcG10a@HK#RT=m1i26nmPEi`0(f2q*JEAZ6o!2DXiKi=Bds$jcwpH? zYOE=x^oNLDoQ-9{v^x|Q1!fi=$eRCd$BF|JMj4fCmO!QB!<0!o(;xF%eVchuIAZ-V zX$Bd)JfzZgn#4qw1PKV_WTos|Ws4jqtn~E_l2stOJ1W3_YVWmX)G&V{;ro`8pg}AK zmG`ot%nGe0Lbl6*9%4f%+bt+y)vmMIt^JO=U~fgQyf7w&h(rA1Xh$nD;);)^vMO(v(O+g4ZUO3W-er^m_0C4I}9s`}fh#SYVC z%fC)PdI|~@pp7j|CBhXrkO+O~BUq`&GY?+)SS-^920B2JHbW0}(X5y-mZc!^*{w?9 zb+4hl>fwphzpJaOrA<)Q-4&f7@?ZK6w{`{GK>v)UG7!CWWFG|O&p(EsGK&-+h^FYM zqei}+14$f4b1zLn>`WvVv)>iQST6ARL`~8Au);al%)2{z&;`WW@y?B|R^`Ix$HYGp zsg45KEqzy@zCJ;#7s{B3(O>DN?waBu#f$56&z{HrYs*^vq_OC9%X)RM>GacV_?pG( z2~jQdYB(XU0d*SE8xT+Fa*9Zepm1he8jKHsL$#wE^E#v zZZS4MkeX&F)tn&iej|=b8 z-!o>4PZG!dlzyjfpWTRHwd(xGbD*Uyf4_&34ZUBjWJT8*$V~!}X>|N?9ZNACzhfxm zdYT@{MNoX>gDHd&(;0shrc;WHqg3@XSLHZ5`hLCZ-optprCNy@a>5lQIEndf8~4!W zttb(DqmL;qF4ckk&e!cCN0xw`A*tAv9bA(3!#xCve;syulEmM zZvt{ZpAnU+t-SF+I%DzfZPik+8CF!@-6i10R;AH}U=!d`{Ic^RilsXF&Q35-5oGZ+ z=CI3!S%DQO6sa1X$8vt#RY;$bOr#pan z^Y78~b0LL`fQSd0reA;{l21;p*^A=lWoh)wy=1(ESAZds1xV7y+Q|tUHmqijhtJKy zyxnB=P2%|p8p#Oe$R>hI5r)sj323?~<9~^c&JA1E&w4}n&~VZsh1Z)(vRRKvsa3tB z$E*=??hGx!!)3r}x0|4?I{iI4YCH^aKIURHM?MxG$i&0+Ff>0BM60WAXcaJ|r-bP- z@LoTB3DolxMfTR!`tBjqF3PYdzoszG_tTdLPL^~iB2~E6u~Z! zkS)BHgmaH2-F(gQhTLKDTi^J(&f@+2ScTmn*nYGd*8Z~6ZPhBJaIc7PFcZkm(C^2J z+$ZSCf7_E!RnN`98`wFeCmnK9SzM>VEfA_hup9Pkq${6;v}s7Z>AVmMm+=lAhne7Dc}KI-L2fNQxrISqvJsR%!&|>3zV#NXTq;j!u&6CW*xwyZT0N8)Sbr6m z-D&Mb8qcAsZYBO^suRd88=%(pgJDNbG1)|284Z&k6J9@!B3!5AZs7l)S4DLk8_-$E zS&-6gk(%s>B2G(_p_)Gk@dGu(Wm=7nzGz;53~v7Gb1Sc|lZ2#Z@a#W3H-mDUH~(%_rkNh71q zcDE~4jlQO`W;m?*>iAP)5P0qdabnO!W|E*L)8-%l`-0oAZ%-JmdhT8Is?04bo*@)l zOcwYVz9+?ZtRV#dSYty2S!LMj=up^-F9q8= zEb>wq7SF(MpR~#;2E&eau7yZ(F29E;c9p9Nczx~z(S{O$Q%`c$2)r`zch;E^;}G@1 zagWNRGrI6?vQQF+-la&R^`XvvSW6D>Wx0TLYoleIP@f&)iamz&KoaTaO4_|!pUEz8kG=ry*r$K0G8_DS8ryc#C7!;DVNciMOdpsG zxaeaL$T9BvvSM2T$Pjy0zF|CjoIULu__DFOm&v%~g*Unt4D9Sax(g3!l9I2pzom7) zkRz$n{rp`+X5v_w)1L%d__(yxqK*m%2Jy#P#lVD|OcXw}wyzB?&TiO#l&^4hlFVvL zLaHp-?PT)vokmcpEZ|~~Pbj~M0o4j^pT)(>g?wbBwJoSs_Gd-P%d~?i~ z$U7ym0N5E2@VM%WL&+CEQ+bME1d)Lv#dp#Jb(vZq+n<=p4>yW=BN`7xw)~m0RjVS* zwc(R-tfLqf7{oU!m=c#Kovh}-F<52TW5bO{!;elKw@$ zoXHv@aP@Y*3SwUEe(RS3WS_p=XW@P@#3UO|urcXvZz6j%K{36)KJBbO?C>RMqmmIU zuuY&2=pGQk7RqThYhAOyANBR+v~0J5Oh7=PhE80OGMIJwRoZsLzZNGv&_3(WvqrVh z+H~rUwPiVu z93Fffa!_-_I^Xx4J6@{kkdPvN?wWPXC}fqY>A>Rl-3QN2Z;S3p4C3s#;BObvg!WAv zYWL!D;Wqecd+=r(C8S69Z%Fh1WD1ojVqaW`*s_v1UvBDYi#+yQnX;=hZ*&hU5K+Z5 z7#tZ_T})5=B-Xvw@|?wpMk|lCTv67b%R1d1Huk>Y39s=-0Dd<*drhPInk&j<%wC#m zL>MCtM_Vbcn0APZN|Vt;W8A+(Q#v$C%_|sSKCuME3`RiHUGbpA1E#&m3SlJ_LXx2C z%};w)Q)x2tUoS~m(9utupqn#)$X!$Zk4ZA0p?ssvx(IL~Sz-9$diE13bD&Ob zimZ#ii`*;?{$qNS9bWXQBn<|t>WstihU-hkQUBVioKT~J@+`|N+s5bWkT9d+rJ>Tc z-cW~%A*wDqZZ4+bMolN)m#n(dZ85$gaa4{Lh6@|%Ze&^}2IS43luA5cxcC_fjj9&_ z=2<(uz6uGcj8lX)X>A`2@J-kC@i=pZ?Vlay7beW79+0A?loYSM<^#YPa(CGj(>cX zk*Enxue;ONch4#yVVXm9>N^h4u>}uuCv_ny7`{9CE$4qGl3SR$_WRT@x5tY02vA}R zmps<<=nc16rZ7Pns?4F{#4_K*-4M*7y7QIDOw12zmS5Dl)KO$kR4o(O>fhzae7299 z3BGSOSg_Lq<^1r7b@OH7 zL7T!QhDT>%8lxH5S<}Q~*Muo=m^Qtb8f!iq3VG0AymoGWdU~SS?a^D(+bg-&bli;bElTVr0#JTDch~B;&PRm2AE(G^=)M_|r0~TN0VZiH2rrib zM~N^@l$!(&loGP-Sv$+ca|Z4&7Jj5Z&OT9PLc9}oJhv18 zB(D@;!N^Z1IBKX##5Owe$9cNeT}ghN(e!8t7XCv1A}IR>iF74Vu9zl~@@QH%J|XFu z)TlzDDlzFOeK?K-l%B_{yagewTt&)R5x*O3xHvQwlJ&6TAwkv#jkNF31@rTLz-Er6 zTe*)!+=V6$Gf~sCkhrT<1p}q@N-E!y?He@jAd^H#OF@sAD7DbqpmFCTzR}&pp<~r` zGOy!xF^CoM>rmJTP=c%!iJRdg9bW1I3z3H9ZJ|`yFfDfbNsf(cB8HPJXZAW%Zsqd+!g9g@DjT_WQ>mP&oc+=2k#C2^M?GrH3=gunFe z2|ChcE?t6IKbEncE!QX~dmQV{=$LL2N76PgQdqNUMz=kfl`kBW)zyM>ZMT0HjTrl2<0MkN;ppc&vFrkNlOc;KEnD~;jJ1kzQ@Z%98jF@V3py@~Q*h}AR z59H9Bm3P-^mL-V}>Jq-)bH9U*m8{-*-9Z<5aug2g9Agz^BoaHl0+7Pi&UpUpk*axC zYe>8mDBE z?7Z}GCXez0Z-*g22_w(S5>(aPgk2Ynu=7qz`hqra{=+k<5U&b0+{6Z0On(3 z<|^a(yH#r?Ul%vyB}^O3-xNuGDPhn4VPpLgO074-yBS~&P%reWB2vwvb?5$lf&y%r zk452-70KT}jWRxqgmsINhSs2sqYt)TMg4#PTUPJZPM%A?_m&%&Y3cIacE-PU%~^k( z8)`*7uV(i(vG1dLzxH?(E_K~HhK+BBSgn@Afv^G$x=(SN9omo?@=XzJ5=34Tmg|S7 zw98VmR7Rf_NK(#v*4}PjDDa;wfQv-jN{YB=CpFE?f1`)Uy|-9osv;I{>2D#4A7#DK zz4C>`Gfm&Z?oAK0CF*;k+#%V-ghQBZK+v=Rc;7s>5;r02e%6Q_LozsTeN*)ad#iI%gH*x!hNLv{1-#r-V% z71`YnLQ~TYHL3JSlhTB?{YRF zZyc2t8W4^gWx$o`x$^%kzn=U#6Vuj5 zw<<-54?y?>3@=&a=1ELKhcs+fS#b%bYTe(jwM2s3J(Lv*1;o*qurw5c!g1eC{9x=G zbP?J2%^zrfn zFy`)&?;MPcN0zs(HAqL6jWnbU3IHQ=NWk-|(Zl{2h>?$_esSpk{a~w^rC=ZnkowNl zdDb+}|HOOS;_+9&xD@D>h-vzxc@&zEu|_JMFJVa&t5n=5*ao778_rF&%nuM;1yAHg z99{aAr?uj@OCxdS^_v}fl#H;bMCS|KFyhP$X>(osNEld?GcHNC#%AfP3YYdEeggY+ zc4O{TAZlG;(DF?+4hhv~8NvK|Z2dF_G6;``RmAfMB*#33!|#0`ylKulI2^!)Hu z933Z#Wm^Ma!qEe8iq^7SxoGtByhK89-Od@~^Q2bTwL&6s^Ru;h1u_CbeN^E<+F{ei z4c?OT#N9MkAS;ZVp6-Dc;LiRk#@0>lrV@9gGW#hWEaal^;h;CxoB4Fxn_!)sb3HM< z+Wj{k5XN2RXAJ^EPOU*BBO`wWgtneNEBf(Wxfio{G)>wA2C7=T&@{DnSXvUy7@#_- zeyqR+h!@Z)rhSZt-!J(C$%rQ)BF-Nl^}b#O?3`b1>^yO085vDu&q-E4bBoBzzn=Oc zy84;N<6;yf&^Ku1VFgHVt^{;JIN&kdy&*mSKmM#E(kN9blYZ`ucZUz6?*$=S+_TN zCyWf(I94-oAv?Is_#-~Mw#+Tz^oH9^%$c3+l!@H;rBbXTE}zD#W5 zw7h(YXKrz=%yu5&Ko!Fiop!(AhE%D^3#UM>WxH1(>A#Nf3?|rry1)s!urKa+{9XFa zRxEB-R2G&(Zn9asZ!fC%?jNnNbmA)gIoy0C*5W{@|OQ7u}mO z3urfCRdcY~<=y=)Kl3>;@b`${+P&)wl+Z2k6Ym8d8-yJHWpMsKnNz@w_zpdld<1ckm{6@Md=iCqLk#Qv(tvwIqP|C_&z~mLYN| z3_Qg!JmN0V?wpMFr}0uhQD1C{fWw>;*BvG)h97*qL`>}p=Y{Qlz@MjK|+tE z6%qs?fV8J1dCpZ~J`7>A&FBURQ)^iyD*VN-Y>(y^@qYUD?S*K21eIuXZZd3oVAm48 zs7y*hC09GMoj1>n9RE{0F7bD-B!T*Pk+R3w3@s|~4qe-?5B8&O9yA{siEmZ=r2GhT zf9e@1UiO%Q1~5lGUY7(8z&kWZ`0ls2p-l;KBzt_Xfutrm>{ESaH87}`gQBR^N}#a8 zqzDZN9bqo7m{aF8NeF{FY=)7PWa`4KbCbuU(G60oT|b!_0Y`^yY147Wz-Iz{6?GIY zS%#LwJK4&mBr7bsgR2M#RN@theB}~z3qa~S_WP+9*231%cO>9xFOX&Ug#aS<(LF-{ zd5r!8RAK~`F2OA1Ze=6s$ktGEhctNII$Je5FPi)T*a1omjrz1_0u;$l&DybU%`(~# zu@G{mW=7*6D0lbVzu2gcG>~HfDWTR8N4*sTD!6N<-45+WS(1+aj*v2-*1%*Z|Ug3dqYi~ z#;YL=?di^8rFdHKZqOol9e!cDgc=3_cjFaR>)?H*`8aSznMyaZ0+ zXGQw(PhCVgg_%x8XlFMoH#^4E9U$)0*{WVTEV9l)U_fh22BF9EL0DFnxiZ~>Ysn*? z8k#?}Hb@lq>L~qAOfrkW2Bvz>HE+am>Z0$HQ?1{Q>QIS!G^-|?UYZiO1-wc)Y(>nj z-0LMnERXg}GZ}xXa}9!WBJ4=cj*WY3;opuh=PG9^7h6~=EG!h$1z}3~G#9fBcl@)o zeXr}_mzpkW6E4nfE~sb4xzCDF&-A>%r2&0VK7|qK8&s>GdwJ;(dtT`F@{-L$vkDgRiE8<;KPVuBMRor%zf^o0nv?MoFl%&|qpQA;AdKh2yP!;va)! zdMcVYbtS9Pk#T9O%x27xtHe;=A2W&U&nRySUBh4TQ5EMn*5!D=U*mB`>I0g^-%?gn za{u!jTU59sTz~b5Hl(g%I35zxcv7eYT#jPuH={IWsU$b>iSAU9Ft z5v&}fkr-NWZ*b6lJ2RM2`gZ4~@PF#|VL{?4oRlYG{#Hny+i{W~Zp}1dbgnW(F2oEo zG8OtdS?X+$XPB>6q7~nm>#e9M`qrNn8Y~`3$-Qwdr{U>IlkZKY zMRdi&*|D1I!tM$|%2N(;n&1iCA( zWOd&yxost#>diURU!K|N1BATn7h4fYuvlh5A4rUU0se)twI6a@FR}_M!D}abpiYqJAHo{!M-j2U zU4ctgczqvBy7Kb3KrE4hLgJi@_kK8_z&v_jib)&}OL9vXapi?q&zog3=qw`+>Q++Q~jweDHMD3>)!vv*jt80`KayQbPOHRHIgFT z(hLZQ#L$S;h_sY+=KxA7jnn{=QX<_$H%Nzccel82*Sq)o?0xL@U;Ft2%om;E@V>m_ zJkQ^X-Nee*yN2Lj6BR`ZV++$d?4J#(@O3g&@yKoEeE!^fr>=P-1drtCuL=d5U-3H1 zpu(fbi_><6J{{ITge6X?Sl21wz|sy?9gsG%`tFhSO*yB>IXqx-;5$q+Gi0W*4m|{2XEqeB&`{!=+x$<73$W6pxedc z?XEv$F9HR=&y(xYb4AsquGoFiHJTSF4J&!A`%QPg@DqolAax4uw)8n%SdHAQ+Q;cw*cE^eR(TgthixShD{ zZ_RjOfb9PLclJ9qeQrMH^cO0a7yS&oy1JB>Y?V!7<#V5l*^@T;pS>a!4ps;^2_z$p zQVQ=z1Kg$iSKiwmjoWUGd>tC5O~F>>-RHfD>WjglgnF%~>g#dptZ^!Az}Nbp@*j}6xFDTG6ghFR%`a%1<&+$% zaf|vr&tBrd{DWWfn64Y9rO6`<6cIvwZaG39JRjE|5t90z1+@rg2M#zCL_2(Wpn<%c zbjJg5teF#o=9*u$ws(y0C#fM|HwfUfIE`qyeiL~ zw4QN~b3xka1*er}x*OuKy)A!^4hKB_Nq7t7z>yW^fX|^C9*ER@Y$JzM<^ue}sBpfz zY)srqu}j<^6747}Gp?7_7cZ<+yIFAGkxC3BLs3<%`GwaqX09(rtjU650?tIy^aZD@ zMciV&ysl9RGpJ685VGP|L}@(uXf(3#mrkk3@tH%Ia*;6}fe^vr=b#?5Hezz?nsN2= zOL$!!dVz~(i>ePKOLec(efJm!My{u zZ8^ha$Khh0ltNFKDd*uEO=~}$1R4l%#C&hCc>hfDe#YEDI)GtdYq-e!;XcD5MwK0x zZs2cPT2lDM^}z(t&SHHRw|AxED*0X&2MB{IOzy`Hc%%$`G2$i@0q)L_N$o`< zic_5iL8~Rk9>SqLzH2HZ4j=`e*pg+Q{>4r}xCo?i)Z512JV%AA8_=-n$Rd&^+^`2A zaFXRsb$U?`+v3Bi$_DL@XcfH1Qfc?sQipk(+^Xs@Z%Zs~LBW*FjE$A9ug_IA)L`DW zG}_}j1#znR5K0tv1N8JLd;zl}@efgCwlgtdJL&vR2#tQ>0ETl-AfiL+v))&|xUcQF z9`tz?4UHl0RYB@iMRp;7Ru7B5Vx}9WP1~d5omFvAutT^hqTd&!iT_}Z-ajFk^lrYP zBfRzV{4HIW=Du<&Ea`F4U=Q4Al;SZCJt4y0e9`O`uDke2NgA^?b-tjlZ&070sjl(s zl7W2Rl8;{139O49lN0yiWYe$_$1xzU5%MzR@9e)xh#`=ml0;C$FA;G-F*ngbKdAl) zJ=;kS6%O}h!zd;#D;|9T{0oQCSTV|;cVx)q9cUhn`?wm7r_zZcQH#D-JxeIqVRSBs=@pHnl@Z!u6TgDUvv zL?IP$i&T@qot}~iy9E>d*d=<^OXYtmNQZ2wfByIr5FafKaVgmu-u~_?A2an7GyHO) z^^U;rsmjD8@2Zs)+kDRE8s zhB4^0;>V_er_t@f_~%jPAuH2hYiE3Ep|ex}I;Pyl1;6=~wrERfN)I{$T^?^E9BQG6 zW$qwI^*cq3A?LCACL)2i16|9ZsLFMW94xd7_OX5Z>rd+E7&1l(%U*1Wpu+(mO92Py zaUi~G;mGiDeW6BaAm|Nw#1_98*fDmoEJKl~`Vytuozcx$-_Cen$Y;g|@W^N-=2q~; zpQi3_#=c^8Z**|GX@H-3HI^7xwER{Dj`_;v_J_BE%H{0+8^lm$=!$%-Gz}U^BU8eo zQSH+eYmoWh0H2=QV}`7ZMtbOsgRpGrxa}OUXoBQ6L|WR%+U3^ZX{DQ2QsKxj17QP@ z-F2;{h&V{_Z>qO0=$;7mf;A+t$lqtOJfrnmkR-;) z@WUhh*%25UX!V0B%Otr(D1BPuF=IV=_B@-WCEQwV@NXt)Qnw0v&AjuuM+8-IJZ$3)GQh+zCd3V1sMEO z8{n4BALVKz7G#J>2uAx#hx_t`(@pxu$mFWbPSL;H{qbca(VydO z$~d!-6G~Q_tYcdT2B}GlJ9={2ug zV_cMN|L7uUp@_Rh&92jNW0Y2|e`1h8OCTsxxFV;$vjU&e!2ZZ+$cIMHJgn|0LBOs} z&7y6SSC}to#BN0aO;1;}vBl~-#n(>@W1PF___%XdvRC0Pn-9Z;Tmn}zq)gVI_7@J-BH0k6V=|Aw9s zpp?HtD;rszV-vcX1ZXy1Ygi%<3vB@UVW!TDtdW+s@bECFrZ#+ckwM=)e^k=lAlDY_ z_I(iK@xnb227yTEfjo=V7TiD&Lc#>1H6=d)t)X40CL|b3Se+^t_MM1aymuH!_qYGC zxTj1?%ph@e(@7HzuaFtI@ev^ua1E~|iG@XUfu520FhOJy)FlYH3$6O6o%wtWy;PYD zj!ey!&J@(^eS?yUcR0DBAau>6R}s7z=VN!FB(~NgIf1rx_^Q1FC-feZNsrhO}P zM`wb@#Iqa8_(sRny>$8K@G{t@xMd&&JuC(tUJpU#fqI_qzX}5Z%!VqTSXDRQzYdpc zhI#X9t6Fr>>mhN&Xc}PT!TFP8SpF_ow>*2YFy~icj&AvzZJ&rP9LHP=IWAkk-u0bi z)beo2Fum&8Psu3vXJQCyrGXs6Qtty4xciKm>2A_6y+iZcy~Q1Wjw>5^&&+X{C_QF0 zDa~Zc)JrC?XfzpTb_Ebd;A5}b$i2P{ws%5?1R|{yDj`qZk3w)W9t4h}4oYW+Pps+K z@tX;kA@e~oRNqFQ6J)^9B^&6y3j`kph7fXY_pYP9Eo=}(H7OJ~1yM{yEK@I!W;SnbqAHN0fr?c3CxL8-f|J#$)rptxYo z1|D#<=-l6bH@ayFOgvP6FImVRC}MkVjI=qxZpY+K1x)JLk0+o7Ql#L7(R8BSrh^Ob zZ8x9d_j_-A{Lm%lG01mon&Bpm@J~mmEwN+JNkR5a=gsxU!|6s9ZrsiaYYXfsfhFe5dw@>~lE|nL zchXtckLB8md<7#C^zza%uK3|Uq;63aIzpSIZpE~9d(x-)AzYcV z0;!6UpA;T{T33;Ud3h6V?7T|I-{gBH2#^^Y6nE`1!@!egC0-3dm|*HKIu4G{0%kv) zh}+;F-u5FSaM4J|sZ9cagtP>dZqMMoYf;gdNi|h>#eeFd4mpBWlmhT`yR(+Yekl3p z*vXqkw$NUi1w=QIOl3vN%z&E|d}RK_gViFUrQ+*wfw(_DzN$Kh#X})_VmxO`EQ{AVPL%JEFf|-j*pMO20Vj)O*cc1(i0o~ z$bUcg{~u)XLrGNgDyVR4>(K+lAHeNbS>4cYAHO?CL!@6*TUpy2-9Jo7^t5hdhOS={ z7S*M5pnHwN?*L+#MBy;v+NzY)Mk+{CLO^)+_()k;FaoJ8M98P5JQY;Tqi9`;ObMYd zY=gqWnxf4M0hh}9)epf{G`OV^4yPo_6QS^q89oXs*VuS;5?fAr`Y*4+a8yNWzl_(b zq2^9791gmUd%Dn3G=B=#Je#5O!-^n0VH9f=8SEMD(Hxs$@q_E%h1rn1?gDxxOk6{u zxRvW$dh)nwvcZ7?DeGSp4z6bMc-+P2uxyAh-8u}RY~?fP-FwQ1gXjzTO=899HKQ&% zL6xWFKGBeV*7b~0ScSX}fJEeW(#ezTj(jzYdE<9JGSuiAD=qT~x_xgXb=0!RASGq* zQm}=Xz8a&wF23~pwqITO>Ikrq@hL3nmnu!t1{1LS{shkW@PzS9)3aqD1)Y5HW|Al6 zRJP>p{q5Iga!Pg~S@1LIRNqWz2#x>tm=ZOsk+erl2@0Hdy|7oZ=LssX3mhMl?7n+1 zkzJu#p7U&qW|H33(9QKVh$~M;77>i0YxT*&0dcarc(kl!6@1fJp339m%Zst$_V3Lz8S1Y@;Nt&6>F)CXsbDC0%okj zyj|5vTKb7JdmUQ)vT8-_D2PIlr`1z_n$dHC6G@6e8mXaf=%8ZI?dNv1tOx@zVE6Ht@pY+hA2d)rz=W= zkW$9Kgc~|yNAJ@7F`MxLFSj8a4MV{g4sWQDFiuqLNvS~d!Zksub-F1*{ z7j!>&p=;DwA7&@2SNT351P9Nr(U9EXGPSt2+inj zKB?N!Q~dT&yeKj)H7geyjwpUQv~l99yStDqqk zj!)AX1NnPT0F7+y3Ad5^KLGve)-djue8?j%4n1#~rNF=ME-+D|nVS=@eAl*p3|~_+ zA4|2<9C^(QgK|#5nJF%mrn5 zi@f7sfX#gC@A;I>OzCrr0kr>gfBBy!?~v=+H{Z_xr3FC!``E3BY1Mfj(75+W8JKQ0 zRMmeFrm3y}@T-)2AE1m^oT$w_hWS7LZT7vr8GpE2Y=sKy=};5e^&a)?D?m+_6xN1- z0U(HGuLS^fHbZJk?f~8ub!Ek1G*IcTv)@WBN#E{w?7K`PoJP)Z+?;X zjN?$#HqFxr3Sosy(P4ee1-yTPj^Z)+uup~tnw%Mv%V;{WR@yb8@Du#Ho+FY7fJ4`d z(DtH7jo4cCqq>c{i4McM5TpV`DPircqxVfiJXoH@M%#k{cpP!H?av8_AcV%yQPn*z z-Zl(+<>SB|uFUHuKp;+G%YEz>1c`o}W>2LA0@4R8+Q&cPy@4q~KuTcdQHa##{nQrQ z9mCpaEIR(&c;qtFZTMC8D5;G3)>|CziLIhYmR(`*5O6Q)3Gg(LlsSl2n(Uc1&vju< z8d8?Fgb$lV#B9yejLU-UrBUHJLpFQ9Dwn3Chp5nPw3188r~A%&d|&a%E%D%fvMYU6 ze=%HD^FT{C__w7?VO(DO?gO-Rdy`T^wmyZr?)LVRSEENiM)zv!w9foAJRZoqRYggV zv*g>4us}mYVZ{72Y_gI3+_ltZFZbp*rO?UJylyNR(9S15@zcvczs2-$xbnbyNIHdL*Zh@0+pI2FUF-&8<_BhodU8b7c z_Wamd#_{}E>6HYLH@@U)NJ$tdLjx~Bg!(A&l}>YIO%QF#UPIB!m%Z0BrNVUwJ84hV z1p4(eSyLR7!mTJNHUE`Y24Xc{JE_CE2HuM-nAK_+r2XZBChbCep~3wOt0VOF7aERr zMl3o3=@b=Kd*d{H0FOAB2M1~Q)$P`iMHN2n2<7@3E2LsA-a^AQ0R^j($--?7q;3@_ z7}-X*&L$Qf=WkK)3)jB2V@tM@<)esvrOdbO+n5#d+k*;SG;O$!bopYk4ZIMtSK_@~ zu$SWdPyV#Fkc>9#gD3bh7acuMLs0|EQL-Ih%CwmtfS|^)Qf;^ke~fv#uX~e@{&>eu z(Ci$wHqpr5E89;-&Qskk9@hS5$YF2Y>Ec$}O!^P8fnpT66MJq=fcmBDFU)133cx%H zcY$~L~8?+DWW(g2$eF?)z|;&KU3vOkfl%EE%+ z=QqZCQ5#B{dD*5{WxiGwjd?n^adIByY(BM;IpR(~>^vuv5zN%nLu=QuoWTVm=;Pow zLoB+x0Es4}nN{mwt>4BM&++&X@J%uC?k#I8XGq@S(|ytRbLbcl(5JKjJqZdO-kP!Q zgs8jb<+{_glyFjV(hA3He zsI}~5Wy6s;u33(eVvG|KA~)&FKqPfr7>p|n^<3Sb^`mtpo{?x(8A$ltHXsVLW~MDY zhe7kF&UvFa^W+Mp-$T4Dl7o=mZsB$%CUUW6NC#R!2&mCMtmS&Z{q!-_sai$_FQh2~ z^tK*qZiST)`ItgGLQlcmP)Ie9(%gaOedI3TH@R(m9py6v>(O9$TeTXp?hvdq0ebkS z;6~RTW3cB0qh4ToQ3B>ls2?^*9t;gc&A#u!rIj)*3tkJYB>CQSjT1Iz4FtN-`ijaT z*b)i!tbLdp$o3(jG;9;Ng(m7aPhEXPc0CO~&A5Iqe7)*^KeRWhXh(m`6a{4twx?49%5RzBe~YLu3^05U``!< zGBdoLBe)%>n2C$UiC8;`-?l;J^FnsErFH>ys zBGQ^B`g5k##Ma|N`H2!?MbKU~&2BYk>*B9Pg=Q_y(qdbYM@pYq@8~|>a80`uP&nH< z6AnbW6!Q#$llz>e(jyUP06te(tMIl9HGy(DboZ>XvKmOHnVfQKZfW^Y+Dub(Sv)f( z{Anl`nx=rz(W^G-7n*?2+D&i?ErjXKMY=&Q+R)Xp0&xruvo-*ma5Gu9l102q7s zkdU*nv4(9`bN#zbfkg$M1bnCHaXx!wN+zdsbdS035DnmN;Vg}0pvjKGHErBRgocOH zaO*@Mg7gV&se;chI=cQ!^{goQgd5x3-g`65-v3pjkbYoUT_7c7^7P4$%X)oLJ@~Gq zo-Spt?$%P__*FLS=JP*Pn;>k7pp_nfwA|f-SW_G~6iP@y*5>uWn*F8qc67zbg0mK| zPXVU~^vVkzK0L|GZ5;}4fS(_9>!bG4?`p+%A|JzB;oDdDrb=&Kzh(YUQ(%lD+Fydp z;zgOq%1hJRpHjb=ZoNi({#=T#o`|-fE__S{(Zx{7AyVxmE;Lv zru$QO1q&D(32IrRKWcNl?{@qfUURu>;r0KlZOUl8OsFE*-@^Go?V;UPv#>vAlo!oX zugj&b=>D$Xx`)0LFV-QrhzOFLS^voKE;zY$p&!+;=b4IA70x9S`o z!4Jwm`BJ<8CEaU_vm^avkUsrm+`|Y2yg#icqNJ-Vg&|~{ec_vMn1b+8Y0pXKAv$)?RNtMb^zdxC-+WF!fm8cy z)DJLntyF2-^i)xUFzT&u({jWIEq1xvH^6}+iqCKx1@3#8s<>5rXXqt#ScDL0!BpKo z%#rpRJeQkf&kG{FaNVWTQ;#g-KTX2nm;mxS?dH|qO*PCEy6cpgo|J<(XO$-JJ(q{LhC=^>H-R9 zz!bthoDM651|sF9jJ{VS!vO1W3qH|YNK+q=!^WnpEX>}KcCy)i;&Q^N$^=N*8{Nz4 zQHFg1^Nx>e5BwA*E>+epm|l2C4p3ZWY1pFT z_i@>T+3sD{M$%a1oXxXdV#`K7x-OQS2RGk|1Y8-IJjGpnyDePBd(OW1mgkzx z$`{NkG)^wh*@!^?lg;kJZ%jQ!~SlJ88=nrsBwxw58x=Nw0XNZvd-OT(j#1A{sc}YI3dX3~liVYAY5Q z$W|K20;!Bqqdvpe^-A(X)hn z0IMY!etIt1Kkm}2kw9gkn*URoZ9IZKem5Q0^ao+fqi89dk}WzvV_|))pQpmgy;<0R zTL}ARlkJY@pX6%C0aw+sIewDyGgPS4j7D5BElNMU_=6Gb0eP$b>C0o+#4hF8NA162 ztLeww(y7q|d(M6LtcdR_eeNPRmCg(ME&r)W{M%eDum!~i+ye(m)0;=W0Ijr<_Z5lO zb-tq~{?h$L>@qE*--h3-f^X|nxULOSzPm1_NXFk+Bde|VS0e~5$Hn-%Z7Z9< zqdFVAtOT~vqbCJsNayT|gdX1~NB^2Jl@XYrwHJ}VPC5>sGMem^ZzXiy90Lb@L>4_C zPQlq5hx)NlMB&QyO(aKpJ_hBpjZp z^IG9Uegv+{?KwS{c`pV*E&;1-)NX$3lO$QhEQ80hb`dNT6DqAd`{lD?Pfm(Hq4aP? z|LZe~YhK@vsZ<#-h98?bkyN|!qjWN9-JU6y{?gU27M&LuF!4Y0K=eqN|H>vDXy-4= z#=U3WxmHe7o0b3CGTgxrzIkkx9+!l8KfMroPQCp|$UQ4c1 z*Z8l~U~8mYd5Cl^PgqL<6w=~Tv^2bi*XqMOMz4(inc9;N1w>!SM`6JcC!Q@t@8&?w zvOazHWhXuC+;}S)gBm)aQniy#-8;}+c~&!~mN6#erhVweaEqSx2`NqBBmMc8$WK2s zklUUcG{Up@d3$sqr1(d3M+6Ws5ReNizNDnO5NSAM3|I0?b54mfZKOh=;R_d0xMmBh zQqee8La?6+NfCIMyB3&$LmI}9Tz7R_8g^DrfHYj>@i|rqep0Txr>}G*{q9A{;q;7r zxF0dD6XZ;G&4=L~?Px{pWHZ(jr`r6~Q|@om5{0gkI*sT$4GhH@sHCaif^l4)y>;VG z8t5fcHRxr|;$<@JWzOhh%2alG*-56HuhkH;o!;oWa8a*>!qD!1-Y|XeuWoP;v9#x0 zD%d$KTP1gFlJOJ~dhT`REu|<|G?WyXlEqUZa`C6igI)IX+bzGDKW^@B`X0JVQdgNq zPC=8XvgCy5q&8t6h}%$zI!NW@;uMG@_(FaZW^=2MR4B{?Kd3?SG5SDcEDESUUQoNn z;iHj@U|=w1209V6&LnTd?q)Z^jNo`d;x643kyiL_77$L zC&))eM)hHl10{8Jz66v>`eQEx-@DRYF{?iFqRNZ7FvN*n)dT0i)8)z-V5bdE~N zPm#`s(ZTVXl@sz{NNwN2J_p$S&D-8IJQI$Y-6XdxU^kY6&(5-$e~#BCLj$t;j_=X{ zVmt1mCvScE9?d>tC69n!(oQ>bT~SgO#)Z1+PHh|_o;3#U)IV1p(QFRgnZ;C98_5)cZvd5B$J{2|opk{_^fR+@<`hR~57YKTL zV@D-6{F({-sF4aZ>#Vijt6abKPf}|f3K6|l zI`q%YhJ9=FYL4HE&mVf_Vx`2f2ox8d0*7LL; zD$aYna&Ic3`eSSpEQq!Qz2t2UeaYLz zbP>rbt~2b#1#_X(D$HG_gDMlw$w!WhwadcjdkPAiED;~N-_m1{iLkSerW6C=g6|)N zs9?UR?=M5G=Vo}q5>dgj&14Qo)e)17VUl;_?EFa_s~{)lHC%sf*z^&W0{uli_j8m8 zx8;)9U#cy@cOD!gM4Ri?-U0TePUj27`z={q53g8?SdoR>?38E{n&=pNr!93=Vm)> z{>UztrsufmxbTTYQFBj5 z)mWu`>Qwmd%}3JDPjlAl?cXI}lsLy1cQ7BJKARdC=F6!ig2C4_xyoxRYJPRisgFz= z8_m5lcdHGzYcw{RKRBsChK15l&_g-qGmU>AWUO3f+VN(`Z8_+(b!i~T!35^GAo4hX6?UZLHwprV7W`eG_9fs6azv>p|!QDaS1 zw4HvXzdd#1{Fm*khNyfJsInQ&igAm^<_cGR=Jj|hHV8eU!T# zCK?wTbGhO)8FTm3JP0OLU<159H_D`~QOC$0KCp4`BMvT#u|1{jOH4MF?27qV&4YZ| z6@&5)C=8u{7lSMb=LwzJPgFQQ8AT-8qeTiR2QlJ@APQE7*cKSVJ8E-eu^cCVKoDI# zJ`BjhCB?QYA?(Rk*|N5HZrynuNBfpCJPLS9Ibjcq?FSsjiiEP~90*g5xF{`uBl)HJp8I3bR@#d& z-jXpv=r&ZPxZ^6NsXS1xr0Za_VG`+JN6DMs8s(C#=X7`q0#ZK>+Z_tR^JF;+=&p38 zX~)y&-&TF-3nND|jz_UNBGvDjGZXx?_DZ7xETjD!E0=LO_?PW!cap0+HSw}9#_AbsZS=|w6f8vazq?hQA~LfxN^ z?hIqhcMkuiBK@mOiS^>Lv|gE0ef!fB(u6DODB*Xy`UOQ?H0zvC{nL5Sh7|!v6XTux z&KT9#-QNb|(ndWPf%<1z$wU{qd}q^8-yRv6iFs>UtN&1noVIX%fA5+0giD`;eec&8 zw?>{V9`)$>(Uk{W)ULScFa(g7dv6OdNLGfP%+36E=npbwgoRp}Hbgi|Uy-(4M^|0{ z)E-$a(U1u+ttpv(w~EeqjqiQKC2Lfs3gLWa<6tFMJ!^l7jckNzP4=ISyD6t(7qxSBIc!? zx3MhJ)!SK`9PB#awANVyjqik6?tZvVi+8pBFfYoCz&sI1&^rF87D$t7Lk~lIe-arY)!p3m_2-B(a3zEK8+4P7de*&Gs^5R_qZ=U^zo#)karhTL_4rg zI|S_As0BepENP<2Ieb@f)4i`@#HxkWWrvnqGmYQnYKUK&WwDycu1L>=r_$$Nn)$g= z+m+~7P)syRye8xT;_g4XV(IMg9K(%SmntZ(W5r8AWSc>da57FPm09TAiz~{f4Nh9@ zo)`uklzTc07672c2m*$Z|pwc6-|k;qkN4 ztBSoM&5qmDPl0}d?3h_e^dJV3y|_hx1qMN;U8c$)5frwyGiu51?ADo@vWObyp+Je7 z`WQbf?sY6qe~O6p_Btuxp1L(jTQN2e-xhpfIkz*vmrzPD5i z&sZ<^UCGQRi+Lw)6OT^r35wA#&apHAcSx~S_UO(fL*3b^F{<-~(nP^e`OXe0$-AG= zB?BG=GG#nc_qK*jkr&b2uHL`1&!JyZ6#R;VaDSE-Ws+|@`})#VpWv1iK}CK!VE-aS zEi^6@ot~!RSjZow(-Dif;|fj2dkok1v$dgMr>L@cQyc^N`uPbyAt@&no>^Z9XVJJ+ zP~(#^XN*T-X5i)va*GX{g?jjyy!13a-)wrjjtfQf&a<*2)sbQIH{VxkvUb^2Hcl5? z-xIqp{9=64$*>U5+tf_fJY?}t)h1jd=sLF?y}vQs{q8=j8ddVS42jpZsBkO%+;M+k zgq%;Au%7M;bTs$oS^Ll0u~wfZB!L&Jo+;Kb4T{oA)GybcG`oH@{ zY`&nx#7Dl@9gN+rxB7oTc6N5<*A$~f#xkDoafvb{yD!jtD4jPuN!Q?3F}y9S2aQVv z)*cNrGmGuFe?x9-onc;&cukDDUnc7x-@^wyPksuNnJm>U4I$BqBm=YV`?5O!=548} zj+S@Kbo@7}8x!j?ccM}5d@02|jv?UdZMoRsUAv#H61&>35>vXEty&aT{!JEMQom7I ztjWQol`=ZX(m)LLeCPey8NyjWnHEJVQm||7qy}BVc!LI_SM61XJr9Bae7g6{*z)$c zLAX1@-xbk9U$ z$%dLK)xNwq&hGel55@w~cfR7R_uHxYlq}!z1S;k&_hS?dtMc#;g_9*WG)W%o13E~F z-uB7D4$3fu1cR*DytCu$V-fd;8T+fs@k5v9`IzH{YI>l#TRXMvncm+b2d!?CgE$^_ zx`AR2rPOnoTTo5}TF6%Va*rd)7qgu&frzVvT4J+|%r6~ptNO~KredW}FxEn0V_|m( zn&tiP5<^^Ve$*^lqG6FQS~`i$Kd3C zfXe9CT*c7IRZLmAZ*3?$)&G|kApKVCBZ>FBxcq_CZt_<5%^wo{r0n>cc;e`2)0*g7 zg?t#;k9eEL#5Tdd6fN=x07z<6=<-VG@KX;xbp;JaGY&gUP*D|6e#6A;M*DXMu62tG ziMI>Y_P{T7<)c9Xao9j_5#S9NpVI=I) z3>AxEa?9FtNltQJIn_;XZ3;fqF@4p(JIA)IZ{aaVZ%X;pyl|?!UdKpETC>N%9^c$t z*mPXL$JJ!#!syp?JF72MJ3rhmGW&u>m2MypC~GaMK&oeDquaC*jo4YEad9~$!9}L zL9=4;xd|NH?wwD|pD?Qp$bp2xMA!7KSx+VfoC~iA3h_bSSn}^ZBoP155x6xt$P4W! zK~R9GVbZEEDpv|B=`ZowurZq-^tECR){FPzHeRB11!h@jv0kHmcSciNNjpg;HEM+q zADofz!EZMld(QIN#9+cFhvA3ltRTUx<11O%7+_i8eWW_!c7#dpP2|#M9UG2A z&74Om4|qmHckAoSb!Zii7^OG0DZ^zUoa@9-^y(&e5tAQAchARcwdq%0p@I5ZXIapd zb{}Uw3Y{5SSuSjCuRosxPBR$-c-nv7=DiTYCkLTCv9R>dgdw}nf1tpJ*ckkV?3`BH zu>mYX+DB={m0ad|*TOQo8h#}yV8D&NC2?x2HPu+K(=T7X-L)_>1%#2fxSR{W7T;v^ zee{kfJiOA%2HqbAX@597IW74$Zx`+(wzs20E1^2$o|xE=*7{LG7mmm=zlawYkp*ve zf4cmV6&qBE!k!1)S$-P@{I^B@L=}NFArF!6%#j5XP*QX33#c3HypW2fA^^gXai?_( zwX^vUkKs?GJ@J5!Zp8SkN*1xlb8OeZJqK5Bwo0|)Mb3hwVOhbBCR%3DY^CX&leH(J zOK@i>lkSe|4&3BYQ_*PMYn&D6BpXMoKk%lwwaFEihH?utGx zNFY>D;8`chA5&+bsKp89NsLR-%8sklVoxBGEEJG_vGF31v_&#Ij<17?>;j98jW&u{ zOU=U40ats78d&kY|CN&Yyk2X=16ery{_uSL#BEQ3@fh!$@Y26;j_NdUS^lg9w3%Ia z9FEUj_Sy2Vv?bwX8SVi(}sDG$`yQ-5M+m!LA^erN~v& zJGguWYYpx{_kjCP{H|yzw_Wl~&sAKW3va(aj%^VXCUs7zjCV6V z$4^^NpJpFa^^B=6OSG&>94}tAP|DnQ$V8>4rsmRz**E{2h2;PF7l%s%S^KjuoW3p{ zt)-Ux!ePj>5h-@r6_=49uzXtnwE1XUSf4UN=_9#i5O4v`X-6R%76s~8w=#^rVC&06 zc!Z>m*t3{At&VK1=UPbuX4w^kmzb0S#&lY}vWN~WOja&j!@{Z1$6@zr;^aFObL5W; zc6@D<3Uv`RAM5xeOC2g#qn@29m=Ik*lPMI+Xpsc5y=?=BQ)~ty=~PmJK-$35>K;YG zxlWlA++HWiRY$3nf_i^xQ1&K}ftOAZW3s2W?Sp?t`z1m2021y`>xje5M(qS$=^zH{ zOmB9}!WtZm%X{!_2oQIOh};2xjcn*awiYhO*KA9K&f9kaAevmqr zEYoutb?LUL{!LjwxYc@YvrpHlB3a}uDzK`P3_2gBU#9u1%{Rev9BhA34uaSaC7O^Ureo_5wT5J2w2A(d7HFV5W1jJdQ7*zdAr;(d zAYwJesm%akV)iKfY}CWEHm>p>nTaMhu%K<`3Jnj5srLEhQH0l!AwGmt$hVXQ1cHT` z!T8dHuwBk9;^gP_sq;p6HmT#FJpkUs?DpQfZXi#T-rGF);C*%`&B>dSK7yC!^GdZ! zU&9@0V@=9q6NikyIaF6R{A%A7nG|T6JcKol_fRCdGioA2A@y8s49NnVll-IQX?mQG z5}rN^yHrG^f)-dYmPm9`SiUV*1&#>Ae5DewaQoq?oX@i%Zm22t6a3>TuFu18S<=5a zTnkA2lC^&~$XMmQuY&ddn`e)}AUAofl)`pHzIqjrBhH0iLa-lhS-GQ`y&u`M@vHfn z&csP!qotYdIkrS@qooDK5?U}#AOcdf!qg?8VuALkNJs387{yN39*5S-xJPcSF#JBJthm7pkgiInuh=w!(`Znw1g$m7N`~=<1?u@8y|< zvuGE*mudG=b zWA7;(o#7P0xBd%L=KNdFr<@{S)K3Sign(su2_ZAoMZdO5^Ct*52>`tQi0MD*(=v>9zU) zY3t%Oq(1-*_)qg{S@Ax=PA>N7CiAHM%KzwUHQLd4Eog8H56I5*2cihV2Si&=qS5OK zrnZxlQRo~0a_O$?ez!B;%Sm3&nDaWKid6qU3s+KGkQ&p+UPWSd@CWnJGpm+cT_Q3Q z&j&9o(0pU#wmT3pA#P+X1|*l_JwNX=rotySZ<7v2gTGWm1MM*fA$N+z)8fe@038%A zHODs&Xi82f1E)^Z=q%~GhC;zl@}Mps5+^=7y&rKs*p_f?!bt*>>yBB*;U7*;U#>tl zM~%+!net>|yJT3_h>p$EaZ4sSV|6Sh9Wy>Sju!*=s?AW~BFC}DSR1r~HW)*`lMfHg zd%+P$a!{?no+g+OlTkGYS#bc)@np{9!z2nvZKYe>o;sSQe0Nof4)3s^CF5`v%MB;A zk>SHnaR6-Oh*%7uUt;>Xma;q8^1er>JHfSwj1lyal5qPe#_(fjVsL5JCtzpg-O%$Q z=oz3Xfkf}oYa0YUSlDqX_;jZvBh z0aCu~V~bFW_`@s=0ZP+AIi%e{G9Y&l#{}I)Fw0FA=HL8`RQia;nV{%>#E~5*p2FJD z`_y(j8{)^40WI)rTp?y)$%a;nBQd?C0oMBpv7R|pRU*unK)q6C$z+<)(31CY0`Osq z8-L@#9cV4EKt5Vm4Jl6)fZQp*c2~_nq(D%=D?c}}x&a0lj@I%E4N7$>ydW%t397!5 zH9#=Pi0{`#kjT&0kefFNXY*mApeyq+3)zJ)^=%MhH&LsIk<#d}5TQZ)x#7iIyJA~` z9m^?k!;#8+zD+>KZJ#UAwjRdw@pm-6lb;%a5|9fNPIsl9|c~ff<(8V@5NFd*kB1%Z&EKX z5sJO84bUO-=$V=t{>%TUY?ikO-+TFV+R4qHOdlv-ZuaQ<(;^iu)SW9N7#N@NKiAi37N4)*h zws;V<|KJSu_bZo?fN2hjvV?A^RL@+XSvm4G7kSQfo{HM?Vb{DfO61+%A zg`P}TP0x$HV_g(s9Xe7(xZ$m`e%Y+;UVdhcUs>8SGqLI6N1K+BH1wdpu6OEK`vb23 z9mHOv+uF374IVgjb|0cAGJWYdNh9*Vk)r=U1u;+h@zW>qarHy1B&m>N>-M0~3;dJH zG5N&(fu@+!t6hfOpEGBc7nx0$emejGN(p2t5=*put@O|Ng&q6V1Rs6PUJ~P-Sa9bV ze0+PS5-?;LzyxpIx)p8T`b_BG6u@7pSt}E~MastpV&MpE90i{|&`c*^Usr+htxM4R zz?(nakAwPMjA`buz1!OcJX->BR?ep8BpWu2Tcv}Fe6i1moj~(lVxhwF9%vWVPNFKm z=Li(zO4NoxdMSiiolTx6&P2$S*908~fey z+{3QA%Xzx&11UuK^M`o^)-c%s<`GYG5a__nD;?y+%rI(hA?i8p>y*G2`<=qp4}3MX z;q60-fUT$o6afMey8b+So2zm0+fI*|1fKQx*~B8SKu5vn;X;q!eN3W3xTq-F#*e*8 zy1@uq;IzWouFswFri-H!>%O9jW;9riYf>n?$r%}PpE8VjZ}L@t z(qz!Uo60MoDCR=UL9LJQM$J<4S-Ts;VV0@hI*v?uoIMvjsIQe9vdrX(gga^8Qr|x` z^$WSYS~_5Yyo#&kLPfeV=ZA_JIe|j@z_g+SU_(n$dgW@M1DQgw4+qs4wkg|X+bL6V zdcp9VIF`bbr({|_5l=^MG_)3Dl$ppJ4vr#jFzwKoMR23BRICTBE#6G8;123ZC#THM zlNS!sx`^i7VDfgF!%OZkV)`$Fy2_ZR`pJpIEjWh!7H-?VWk1$!;xx?<1mUHwq&?$AGhP9AHuwb5~=CG+2ZY*g2KvZj_; zwdTLxc+l9G_$||=ck!b1Nxj0~@5t&&QXc&)ZgP{*4Og+FSz$MZW}`RkI`YykP2W;F8gNar zYq$n>t-%pFGln)!B&^f zXQ+h8)A64_TOKLyGX<|3WzTy8^0vqtPL1*(WMpLif3oDO8V^5NG#yoxE)DekzhG0% zG+q4eM^CM3F@tkR25Wy@^No$io4?(EPXAgq`$V^Au$rUH89=|wVT(ccys05dc}vR% zSgppIy>k@y<*$;bQt>%3 zcSNG>g8jP&>o{clLqz4;e&9Xrc%-SfStcaV3(&@-hTbs7&`m8lYn2|2c%6vm!+sMB5&3qQq?Xhm(q-@-;BWG zH{vJR?GN`Wzb7aawZ>Km;ektP1O0-u-LViDi^23tHOanxdHj{o`zdWmj&hh9F}-K! zZ3IC;AThb16@wK)Kt}7J@%hp0O=}*)yI@`OiDY6>h0zP?;54}yXb54<@y&BQaRfpF5*ukbLKeVmETmwf{l;Jnbb3}{<(o~QfIomNvXbB1 zG$}&Q8)49{8jq`gtl3sgx4IT?th41JKTNt`1+O+pe82AMtNlD!AO{h)Z#}pZVc+AkV3PZp?F}dY2Q&`Kz zpyd_=hu@9c$AAl@r0|quBeuf2IS4>P_CRza6F0_vEw7XG5kulFL(PQ-a>ezIQ;1dk zv-H1>F|&!ZF-k|0IAYVgo)iaoB@~F%L<&KTFxvV(kt?#*@Lw+HrMskwN_yAL4cBJA z17-&#h?=0vgUHXbEljVjMqd)=ej3&KJHk!@$4eEp#J;)p==*@w^2F8H%yrc+?e!x2 z4VNLe>$+>=>vN?uCZ#Mzx6?l!`IDd7mk;{}2G}tK>QaYmh1pI;JHy#Pm-b1!n4OEu zX0zL{?F0@MI&;z?HUG^m^j0J?N#SVRc0zuV{_ij1zfbP)ptNQ(pf9pltYNYD_5RA| z#@g7;gd5M?T+d|7>DnGZxU>lP;)xv0;JV6l;FOy_!Ze2CMGGUr_`^m%eNa7V0iWE& zJTuxfC;>>D*ViWRRhu+NIWQ(L0VQSx+-@MSY%sjQXCcxS_fA{#Jq0=+ht>T}f%o%; zIa9Y>HOsg&WW*y_fk1DnII>_m$`I*0qIZvB@q;;jY&dsgmSmFnEK=~Vc00gH*rt{jHjM_w%SxIXryw7AzGc@2I8{g~{mzSvo}! zyq}>KwGO7dzDXmg5LNI^XCY<5f-8(%HWCsXM+RT5=0t&*AYeJ<*d60xSM+WKZcQ|f zGOk{l;+Sf51GAq$jV2==YM#l_1E`V7e)zNFw8AoqbbuCKy^Mez=@3BjxD;PLkleba ze)N^v8dRmv3u!zG~=kCD9suwgvhF)~y#Z8IR zA$2)aRDM?Dzh|h&VHzRBG;suuz-8ZXIzu*mdkx5wWvkhAh0pq<9(Tu9qsTv799D!p z#6WHTbk;ORf)-p;ZDE6zM$_B3;L_&t;;Q-R9rxGgJtLAT^cr)>t=Q}%)uU!o0sbvN zh~nx7rgOf-V@0@){7LrS(^Hxw-zn;MFwep66&Ls&gCB=B8Dr5;l$Hu|#o7d=nM75O zJZe8P#SivweX&;kEDq%4YuuZhPrPASf<=xH-ApN6p|Bv!-#R#3^*y)ntECiA-9;;z|^d{5FP!#80*6&9k} z>QfI>54FM!D`h@L58!WY#aoj^O?wD2^@x9zLcJdwJ{JWTN&}W|cd%`UmwC^qq-6E4 zmWgHhO25hRCl#L?CR=O`R4G>l>L+bpqoQ>Z!6A^Q>e z#yR<^ap&L%<3S_ke{_b506aiV6M`5c;1Y*eQ)Q$Z2hrN)?;#z6t%A_g8#EJA3&?d%tv9ui=cW%MsetMyHaT0bZ^*PYd z@p0DL+LMcmfopjH8$a%5_(jpZFy9_`0tC5Xmp)iu3XZMxXUg%IS2ao5J2?@#`L{AN z@&pg3|7vSZP`3}0nlSq(`PqNTH+EwA%NUA$wthbpwys9d2{MRz*7z=gB!Ld6<%ye; zrPiGt6F1XA2bvpPXB3clVh|k&UOk@HY?qsu-$9_)e}|Db!-E1vzJkEUDx(M~!64(+ za2PR70o0aWz_=M+hHcK`kmGw~Km|^ocLbc%PMWLXCm!WXIRTt?FF9+HgCv`A4t>P> z3C}Eu@VvXSHAq!80jPtngIoNrNhr4t+RAU=so=*_P359Wi#&lel73yntJx00S+ME7 zxxYEMA_EyJz4gEs+ArqzxK=oEHCcs|M0HKbX+KQgJ5*YOXvM8G^w;VuquLkaPqt>fCqiLFp-X26VG}VA|B?!IbcftEyy51`hWv?M}}1CGgzIa*ZFw% zWtUhUud^xWd{Z@3Z4x_wbu5DaO1#p{^0#+j$wAF8Z)MvL%;Lt?lW~J<8mnqRxq^jX zE`+KuQ$CaYqya8U&qSN2$Nk!@BElJ^#bp0lV(eQtsg7Q}y=Mdhdy`VCaJ?ugDOb@z zHN=kPaQEi>AI^xdo*-?xviFb9Bl)OGFQpr}U;3G!@$E1=)Kbdtt(FJ2C>#CElAR!( z3!z|S;UTT`Gaq-U_)I&JK3Nw}yHzPS{>10R2g^Yy#j}V;ccyv30tZqVoca#;a%q_6t1>)JxaLF892qotB&y1oy|Qn5dp=uCtaX)B9qX``4jL zk9M}n;9}Agcd2#Xv2U`UhiFFx=hLJWHvFyl`VIF(nKN1^r0gv`Q z1Qzyr@i&Qwt@4)2XP(KqIjr}CWsm9{FMa|MojDjK_`S%j9syEL8B*8P*=kOBmysN` zXd5nFWA0ns7?!R=OlGMO0@`-mRahgVS44t&8b?f>X`efQ?=AMVk68u9HBX9@mYpbq z5oUo54+Y}GcOB#HSGNn`?^+2gkJ#83FR9AC^fAy(y)XT9$okB+YI4O@n{FyYV`832 zCZcF+cKQ~N*Nw(I$!Dz)91=oRa%I@eGkyxt88_v_droO@vIn~t`6)Pf{bE?TaY1Vh zv}*Xcpl;dcjwfrcUDQbjN;kC{e%V!{2k% z0WA&OAJ`0q7=|XMVK1m4^Z`Zia4gq{F+6H|?R;__NQ{|#xEH9zYRPzI>B(az(H7%P zK-gNVsm*@JvcOvGn>J&{hbIkz;$(7yr~Qhb62%rn70on;@SQ<)G$xFPwVG!kZI>kX zMl`-B;bEZIX9gJTO*vOWm9fV^DFW_zfH17UpIIaqF;=w61G#&g@y6>rFq}Ze>n}pZqPiU|i?@-U7DOV{DBpl!X`Q4`ZiY$?-5?)(h=F^+WUIh&ysvs3 zqezQI>3SbXhl0Gc`JqjktZNHt*0SLf{?k5o!$1QyM%WN==G48Jq2 z=gjw$C+*7!XrHvb@fU9pFh{d=BmGx%qN|aulu8%S^}{o2tyak&e?1ca&m1>v#fJ%tESyla4X5#4x(N9A=_83| z^_PeZ)6)69I5Ch(b$#Dq#ge0k=Bm*wC@cr@7^-}m3O^hT3j`wSu_Mw8NOEw$Bnz;R z%<(wA_2GGaljyY|O*HLys4Z}led(RwRf(pN%_xII#C0I6nEK^8STsFIxeFaD#gFy) zbwv`6HIR(C$(Vzp{w=)xSbej;4+ughsv;g|co)tco!S15gyWMN4~wQ4gt3b@p#wh} zt@K6|A7}4&{Qbqzr4H11HdkbgWB|)xPAHqi!SIqzhrEAUdVgyew{2T_<}V(QSI-=> z+@rRhD&&>NqEB90PU3!-4MHZ$#crncAxG^e0XQQj>h0z#H-9AQ`=V|`?*3aZKbZfJR{{!Uk`nMX$6bnI2LtC zuiu%HKu-w5PlHnC^-Qj8;iK62$I0o!^?rf&xUV9L@1cjR65^qha@CAkbAX_W7Pc2>}RPB5G|13}>VL^e?38^U&^% z$i|-nW!YeZuW`HqTf4Am(mm9SpR}jK-Q(N$1Bb`%_oQ6qFYHh4o#HJ=k-9DT!aw0v zZL~R1tJl;SYj;R-GKI2PTHl z0g6}zP0mvoRZxaIkD3X>(#8=<6-XX{c2Qa^Ymj1d3f3{ z#9om4O!Sp{wCtIo?55~Mrl>4yamB$|i1&F2e0t+Bfa$eiGFeNau|Ad71Ho#p)}trNer2*C?hv$wKIar;7f8?zzw#ITx9l1`$Z~*5FN8*|>QW#l;vUQLX zRvoaeL}Wy2wZ9n2r&&(Q#9n;AC(xP4hk*~g^foF*Z;1S+NdLQ`B|Mpw=hJ<1#od(T z>qLJC?nxZ?qsPxKmnF*TOk{5|2PIW`dMc{h@3NITC<$j>$?MGgbp0LO@%yFZpi*i5 z2BiT@_6+}xFHZk?!u?NyySp^)$zZf1GHi$P3O7vj*o6JM(=8ZWdM@@$`u6Gh%&w?K zgFBPH;wg{S`NY_-^?4wGfj|=A<+j@^o{mu*`Yuatoi}cu?+hh{g1`@X265;Y!`>esqfZ^j@z(DFQYY|dRZNk~@CsYs-Mpi9SZVnxx-J4YSw|$MNIK)Woj~QyYZcHr1x!BZU7z*F0XA zo*Rw>zoxzG4KR7(uw%hOeQ4fU_y&5 z9*9;d1M`i<77$`C*ADaN!PoVamfvDv^o7Jc`mGzoOP)-xJxR?>1s!!pI;!Kx{tP~L z_3D~)(jCAM#LTSviSJH}Z*VVmQ?$pUb+*!5l(6mPw0b}R`q7!yt{a*v^Hrw&Ufeo2 zZ$Osm%!d(*hR?5#>zk6E3l~DMdA7NJv8;J zz(GZj3l8?g&*icw|Fj3W-U?SbeW}zUH*?x=u6_`@dwoS0 z+-W|O@sK&hX+z&Z#?aH$u+!#>OV>Lm zBgIB1ht}6V^-CgdHRf^UOaDGw{x{F}f4$u|1mNL!$+tg-YQ+S9DlM@KvTsezOt?{h zaxh%&xy4eFp(J)#<28S0)sv-n=urk$e~G5D(y{jo1R&!v3<-9k`I>OAPZCg9^z87w zSZ7>7lHvlau`mSwz>~@rK^0*G^WQ^sZt^-$a z-TEX+qA>f3Ui6nUwYNbyWD@GDSwg=G7yN@MXuPlD;df#IVQfCw3VPc5t*?Z?JI z$#!p*A4qJF+!_ps?hJ^B4kuC%quvl|a%%Ix)g=-d zxfli%KbE2yb*h9+$*-QMatzgJ;9eEYT7qa~#o8R_to_dAr-^ga!NFEprW;vxbDV`Q zs(|Tw+G}8cF@<3sC7U5v{zW;bo&n5vaA<=lOa**QpHTZ7brwczFYUd|mFK9s+oHEb z?2)7%!#wGt1Dm>^&pt~3$}f#Z@7$G!7i{1Q z!5yCIxMRVBzmEuj1RarBJ3zyHGm>N&MWWmGnBGo@yTd`;R>$Qd6g_|TQvhBB&-yx# zUS=#WIyQRJdZXjhW47_SV@w{AeLtaMJbufS0ut59R|AW_{W+N0#_ z9Xu(W%tI~eiABDl zN`;|HzS*2@pprj)h;{PHN`2c%V!Xg;DSD@cikn=vPKMl#M{o7KU(~27TR=ZFY43b& z+I{bv!=RC`!fp%)3`TP6PD7I2-Ou$&B-g?=2*VtNA#RB%CYHdFr-+=WXmE!^rFf=Y zIUL)ljG6>CaZ7NF|t-CPP&M&e^0376q$i9z6}j=WhM})6~*3 z>Qr7`(-~1oqX~h|2?z^!@A!wj7H8&j9vw5AP4D#tyE1>BxczSRrn1jSk^|W+<*SCv^{d> zh(vO9bXV+pB`oTC=lZHL`#Bmr5O%fizxmWU=)*Ue+rvXi_h?TvH5UxR1|NwlUF{|< zM2D_MCkBEmFaLJ9{>SKb3<;+a7`cx$X&yb31xhZ)^S@NIw+@8tr&sTN*RDR8kE{Oe zOgR~_D5|np9~+Z{4aY$Zzf60Cac9|oi3je#L(2E@mQGi`in48jEjG`>7Qj;#;9V3E zc#*QgCdg)_?C)U4ZB6jhZ3+m0SKBa%(@c5t;#UZacu{XhTvACKz zs>S~qjfrMh@?^}82*q_zokz(iLlH+3y$3k9h%FQ&M`Q>EWTdis?8m#E!P&uJTzN*0 z@Wp3&`^(DhRQ%+&E%NpL!(%VJSpx>e@i}YPU}?~)#^$13MjO`&Dt==mw2k@nkcV2V zbNkje4mrhgwBfaCNYZVgJ!OKT_SUfQP@7;++irvS2*F+#rj*>%MLjd*Y^4C37J<|jU@!>RWPfj@r% z=>RhaYL6aXK71;Sqo;5IZAFst>zxFy9X#n16}E{&V1b}fVd3g3tBI}#VCV9_S=DZa zSNizR&h>70vd2vbm(t_jqj1shACNRaNwnr%4{y0|Rr1|r)pM>6&|1%Z@62!IzN~7L zKMcYQWs4#hv~;Bz62wvn_<5QWtc~ucix_avTe2vOdXV;#d7yCM~Wdj@IBflqZenP9oHF!tC~Pi z$6MlbTi;S?Q|YO`vbTD`iARf9)SGEkN}<s+8U$;~vA*UWjQAB(;b9 z=J#`ib7|*BxN@iNVAY|7AJL@FvGwwY{Gh3fwbS_m=^VD0Hy5ys!Rgzv1MC@5M4pW? zBxlCsud+1WdGAXLsF9y+Hn%t2(YhCJN0W26lwVxy9x_6el$6i72px{KYX{6hU|$wJ zMmkVD*pSl!Z2)U*>EbRV(5B!+i;AF$DixY4f(j{Q^S^RmBN*G)=4XLR zqYQs;?i-?_xASg=nXiPVcmE9zp|Hg3-o9ic+PK~wbQxoHgA>d$;{^KE=Y=#NJ_$AV z%Ug${`)YIulKVre?QvASK7fJpO~m!_@vuh?%HH@4}?rva{Yw`SRG69aJE{aIkEgZ42k-YP`%gZWn90ByDag}^?K zU1+?sH6Phwl7pPXwNQB)A;W0{EiCD$D*yB}8$TF{u6Qdi*|jey$@or*Q)e~NHi74B z29AbzQO=Hv3P&}>Z)1`p`$D0NIk)Ed*j(uP-l;Xvho$+qHb z1!uf0Ta&?mbC& zG0+~|6oND6uAs4jG`2M2sRBF}Qb6iKEa^H5cb)J(tySYZlGCtg*?e}7P&#MBedSDK zN~s$Mg+~i&V}bh4m1&Tx=0PdxiJ*1`FSE6l*l5Ua0!2sB9IXdLoJq`1wyI;{y@bhc zG=_SUhZo&+zDovSpvFJe_&Qnj-!P|5`RNm~fUD7RzTVs#okUOeU0J&KM_gXqt|&Mc zlvyTR0q1@FZPRa94CxGo-iRC9>d=+bY23d#6@0HXQtv}Fv#Nkqa`&A1XZTC@v-d9Q zk}Tq-z6JNOW9ixrPx>knenrQh6QX|UA7pqanGPq=GHAhnY!yYrz_h%?o2j@zJTALj9d_=;r{5bIq5+7#9o+f}G_2+Sr zMBU8|C$oir;MluJKOWLt{A#zXUzroQX8u*Hz#wYTOnEg+DIw}#m^9X~<#X~UZ^}0K z0($*f-*5tuE>8YBlDznHTx53mLRPNdWS=r!EQDPoQ7%>fRDbxt4`Tm0z5JdbAmRJ% z^T)ce>MIM>g!-QeS=+wax%fITkM$bxu;oYHNM($awjDqrV0%I^%uZW5K8XJ*IUQSe z|2&d_&hXy*JLH1*d62ZSd1Y{#6{uGI) zdFBfa z^ir(Oj|sAQF`GHL8|3*O1X;FSvYi2W-l0j89kSA@wuZgJZ$30^FYc+yL)Omn>~%74 zwvY~g-vsz+BrO)*tN-6xfR5F6!z6xcJ}P@VG)C`^T_4y^hmJ;v&hEB_rRN`4^}U>~ z2RQ_*CC9~u{2??V6P#TOJtM;`5oDJ9!sD*t|Dlk=7jo>!H9lRs zuj%<)RwkqHa-(C}C4#F~jyqM=6n`5pxz$I>nH5v9rtRjQ+2@{RaZhlcI>tqFM6#TO z8qxUOxv}DwM?iLfy3$wbD?|<~_lY1{Ib=y=WyB8W#W=j|+f-ya_qL3bO*D z_0;g8#K7I)5-aL&{V2Q8{nWNAQs4^#KXp=uBzxC2p0RxZeUv<5(Ui7r{}HU}O8IvI z-4--_(eKKfyi(CCT3aUXYbgbSfpS~P@OyTBNpNpS^#Xbe8&vlYSZNd)Pq+7veH)HF z){=138k08Uls^de1yjaupD+*?wT~MA{UQ`rcwv>lT2ser{m;8eg@3G$-Tso~S{28B zmcx#9yFPN8+3RT7R|vzOInHVd*I)~yn}>9`U0JiYH=RCeirK!t+V136+$FsxOfk2b z#eIw3JL})R(7Ra6zFNa|KD=~W?^HYzzu2L?-nq2?{AuWKAb`cQLpy z5&g@R>v*pL`ADxv181`9$uD8U2S6;A1UWe_sx#fEPj?h*TIvzh`cf^=|!*f0jt+3vM-fFP9?=tKt9q&$Zua7J8 zcUMB1ABjj`YXp9ZVU$NJ^)M+#S}RNIngpXQg~~yU#b|+nH?)oC_-3JdPHFT}x-0QR zm6k>axh~s;+As}V6sPNIOWhEWW6Z%H+gZh6HRqr^iNLD`sZ2e6A6E;C+XrU)ICSa+ zF97Dloa5C_gb>5n;? z6*4p_wQ#_o4_vlJUer%-i|af9zyrY)xS**+wJ}W@+Da(4Ko^H0q3}u3D<JFd-QbE4Hwab6to9)cbyR}I5Tht;ceJ^h(8k4n62?-3nqa^4`DU#Y{#f*#qx z8cygQ9;ft+S7hf%1EEYNn##5_fL-6Hn1XABh}2oLnoT4ANfap||1*F*Wqi$rL8M1d zY2s13)RaF;#s}@&9Pv+)V4(`Bg^@J(TVp?U$xVz>&bd6F*A#>f#W#kvA- z0ke&$Yi)(LPPxnK`Q6^U%$U%$mxsS;q)*(}oTJjytg|Th3400CtY|9cl-2*-M46Q>lSPC&%!K znk9SAX5r`o_qo&xOYY0x6c&q;bkm4Ig~!@wh2pq`M{_>R+l<>G+>d;D3+u2wrzTT1_)% z#)}T-@Sd!u2oFC~|G|_aJG_ry4YYmglA$g9565q?5kor`$b{s@zw|%_tZx{FjP)O;`9*b7ESI{mD3>fd8{rsFvJG_D*1?I>dr=;y` z^X(4(%PA$Mx4$FVPquez^h0kdT@EWHWS`e$*Zs7k_`R_mc74A4r`@%=@;dZRhT_+& znt>3CKbF$1F+mrFf4cU6{Y^t2=u>?uy$riPQc>@{Wqk?~l|N=l-5*elBLjY1>k_OA zfd)q*pQ?>;Y|LWks_Fy@3vb~Bl(ezzIr}jKFZ5ckaP`G1!bI+pHEeUnm=SRsOCdcfX1{)8n&2WP zl<1g4z&ehmHaKfL7eQ-+>vjYkvHKh+Wqpz1oQg&B85(;LYnR@!@1HQUOr>g&ReWm+ z#^aD(;Qd-cMd;l-pu_M{=-Kt)-bh;)XQb@3$T#L^Ne~D{GTFYjOmx{CJaH}fOA}3% z8}>4_o#e81{0!}~vmXVY8{DX!nLgSOhjwvC5PTa{;UG@OL2;feSPeh9FeUR=JQQ)v z5{5IzlLchsHfUsg$;EImK|CTL_ozB4*y3q38fw2u=Ww80O1Ra?e=2E(!+bQ6@Y=&| zlBvfN%nf|LM$UIU3<-P2YScrmZ|n?yuufQb)IWQIPdPh|Pr0+xH_vqwXl2gGS`Ks$ zoN!F*FHODC?O<=AYt$(&2ef^{-U9>)#|_v`nl0I^@Y4Fhni;MO0rmBD(ElT{`21Q0H1d`VB&w1#&$uKuS%uB9(uk!Y#NRj%r zYj_`_WDMWmO))(7!q~=AIs1t=K)kE0?sY8AfU+9y_?P>*(r!YllRAY}biF7zgn!i!&V#*NJf z22jQr58D*n9Z16hrrNKJWqpf&XokF+nfb^1eOW)B@4PWUq_aRITMht=9QoIeC{V$x zEroCC6y?Wparw0>fFO&^X$s*m>QN>V@0GjjA2-}%m?SKKR6G=@m6;~6R6vgrhC1xH z-TDboK#gkM$-F*D?zs@#j%qG>^!M8nj@O-f%}O+L@^Cf#r|@eI+_SH{MmT2Cw_aN@ zS0CA(`4zO*ygqjNu*@EBpzu-AlD65tg_3Y z+g+JvmAARmdTM#JKY?zy-{?@mT+ezWXhm-3xqisHk#y@I0x?>SIM`#f|M_3}Ja4qj!)vfzet!f(fs0yX`)Q<=5 z|4}^r4JjU|lM!O!Jo;H#+SZGP444&-6iA6cLic%=-j|XA*@o_LEfBrn-L4lAL6)Ck zQN&ClJnZebD0xwL84?NOkAvy7t{Jz)V6Cm`JZEpeI!K%&;lz)mNmf(skP(#2yVwJn zWPx9%-RmB>I+{<7kOMbXhG5sh2OD-O@sBkMLShBq59JICv8^KO$R!x(qjS+M4bV0m z1!G9!q-U;U^_avYSBHA7%a_Yg3xv{HNXv7|DsAgWkD+&YZ#6s6Lv)Tyy+Xl~U_oAlc3=#lvW@$L)dMz&L#O%_-IrJr z_IOgkMIdto4TlkdqyQ@Cr|dh}RWf;8c5a(~)M@?N+NK@?79tjnupt5W98v7-Jhh7a zLs&0K{{X`9mM`RUkuSd$h)D#{LvCm66x0p!N%N2b)nl5ziw0BiJ8dB@r(7kUEIKOa zU?9ipc4f{Mpt$ORXl_sSXqrald;5hVlTJ9(2M^s7lM2axMur=-dYY}gg89I-Uo5Jc ztW4M#+x`x}sCYQp%EGsD^m9WE#e2UhN&o7x4QN(Zgg?vkm_E&H3;2_VQ)0gUH!ag1 zr#TCZyh*8j00z{Su(kR@)LR4=(oFiKS~|vnjU3L#15tIx1##bIG1de^b!`!b)R5i4 zVtSjzGj`;ZfT48Hve%b)EGep*IUC(OCpP1U?nG>C#%<8mS(IkZ_P&2Ye~Z7K2<2j1 z`7pIIl9P{7uh(T%Jt=~W)tmt*`e7L~V@zNqNLY!IaXs*>l*o6Y#(O=p;SX6QlIYI* z4V@Yr02?yfbb`r59j%B})sH2vgUpR23eHFYf;BJl<6m#wI%MrAc9?w5*j$MRos z#+IJf`85igNBn~trTGS}zb!v@#bhG%t)G(g^P6F4--Fy~*M+*qjk+C_Sg`HDljS6l z`4z=I+xqnZB~I(mT&2sp)V| z{>;`T2J5!bvzul>O8fLLSWr;(Qwf@RTzTU(FzCTsmQI}z@>>fLU_rPhgcBEKID-dY zErWHCuqtcb3E-cr2J-?Ev`QIZa~I=xmphqxiuLejB*1Vg>9&ARk6BF!@0Xw#)NK{o zk33_ti4Q!{Hbjstu~o zKV*Zk14ngM{Hwg{HPj}pg(y=am);;o0!UElt6#3-^K=9(>~TIc2ZMATgUA+LyA}^Sio?`yC>XE$eh}@=-ciRzZIwNG82!r` zg3)j_0J3*ya8Uz-@aiEzZ6_(}T8^|cz@WdPOJj?8y=WDkR^@{Wl{vo+=#D>akHlpQ z01{|}S2;6+Pi(_M?13Zz;xfXVP_Cm}Q>89AHRyX2?(ZO$so~lRfVQ4>7w#@)zT^aa z^=-;?KE!l1a7ML|yO&uX&MCN97R+q1yJtL+$chPqF?$O>IO~TT!%y#Y{Bv4CYxqh? zPdZY;5xtMJVW&OoB}Wq~nih}jo?hOR94oQ)3ZXOK82elkns9$d`niN=&VlZ<+62&_ z!0XL^`{oTWeYFT1y()V!?AbueAGy25A2ti9k=PrQbN;HK7GDd^xpM>YkJ`D0+g$iK?%+jAvSN zm-{Y7WIDG}gB;tf$ybvd@88b?!`!8V;vZ33Q$BsF$hB?puwR6vE zxwr3<0waNFjP=hPQh4t!$uw7Ee;q)0#`!U54s5x)SR3hiJlK^E4Cq=uaKLRD)UHAU z0{2C@s^h_{nEX3)zQP`Ju7_a zcD-$Ik$B90qWk%uG`<`>K+h>B>db$`?naW{eb@1W1VCyscf+mu>wscV>lz>5hX28j znMCQ>-4WBPzK}|>%q4~}AphbeAdw;FEHHOoFrPUyxtL?WniJ7|O6y4Lzt_#bJ3Vtb zO&|9UhuZ(qzqsquz_~dpNHUC|jI;TL*&TbQ`-T8y+;h7Bpec}Ul9_qj%DS_EnXgi6 zR09tc_wr7kPP{GfbOWXsenvhEo-}uLfGK?=(Dp-|yyrg8Yrz+PssQLvcBCl7f`U$MuPz4_oU_Yss!G@NDjR;&XxY=CbEK zPcs5y&lYd32XXCBbsXl-_F*uQjVf=W-|Ya;C;yl}=GRgjB5}9Jr+@so|D(de(PV3K z+Abjb`Z}!tIjx7AiPL>YA4jK4G1iP~5B&`3-MDHgJ%{Ow0#yP>%Vmm93I6VY&GS;a zNzYC5fPU2*WIms6B_9^z5PSOdNw7=Qx78<|$vlIa&NL^qvpm!DtwCLS9>#|3e(^aZ zCt6)W(ut;wKkFQag5uTnv6$B_;o>DUaIDj!1;2y1o0b8tcFKzG+5gOA0%BKo<=iVmE0 zA}${PI5f7S*}dd|Q?#QR`vn6KS8v0gHpMYfHa@|5!(*c(d=DDrfp^P@Hm$)weC3IA zi70m>_nUX3sUvKjh~m(ORVMRbriSObw+-_`F{-Ih z!%p$ISw_ahX?Dpz%tYP0bTV*RojaPrNs5+&p&al3W9%)%qI~;xVH#%WX6TZV1_`NQ z2oZ@PR6uGd0qG9u0YpFsL}?h5FepiB7*bk7x}+QFX5X&8_geei>-j(L{xk=lhI@{= zuKAtk?~H(4b4vbXu~>X!ky-k}68cl#@jXQ}JGSSErQg%waM{6%8|$yRDe;VI;3Qp{ubsk^7No!!jLp85bG+eYWxA?;44 z3eV70hn7K^+(t1iqKhL}ivE7(LQ*e$zPuziSSOe(98|CIecO@}5O)JQfV}c}s2l8b z9NV6{z^q>ALW|>JR>yvkq%X_v>ImD=R0aVX=TQjMvPL=QF`W_1bj3VJWE}0TLg=EI zbsUwQK^u0YTBfMn__2OI$Q~y>ln4FaUs_gpQ7?BQG}tcgpkX18^w?_gc=Q+gXs^C* z(ttF z{^z@H@$NUheYDPF+&CTG<2TpTS$7vGB_SB}>y#*KXlQVmtr8e@y!RjJh_k-)K^@Lc z?`Y>Q=T3iz5wcDQ%uf1Tf8VK|-tXp0KLL-mLWjAMaSltHsJQLIpVc9;h*iIM#yY!V4H3IVI{n-HqC&Az7`9EIB#H=YP# z80BFRUP_<|Q}8+Kb}YL=CTz&!slTbgC0ubJprIR$!x8Py5W(^{4peMU!G0U}AazF! zmGX979wkc1vYM#2kz@SCNo1TYf^rq5;yQV!nxn;HPpVu#Nb8%CNCY8%FN!%3s$S1l zS!~)^bt;rVbn^N63~SGX%V0KPt@q_v1sWkt@2S2oOv8>L6*?4y#WIvM7tK4UJ$vpQ zIj17YhG*~1>Gc6Gi*Gdvg;_Fq1knMKyA3R1ibQYr`V_bNN-_I^cM02z{Aie((cXVhmO3o1A}i z50v^l0f-OoM+zI`$+^I@D;OZglDQWK!NDrz88S6iPiiN*olJ5AE(vz7NN*sNB!Yo~ zQMq_-!QWg_w8a+4?fh&~oNHRlNz#kcC&tcjpS|pR4J9H%Uajm9k9t5`*DBa9skb(b zzw*gb6!)k!tm{*bn8mz!W*JhSUzFhkHn^XCLZD6lQ?*sihDMZSAqmyRU+_Va35k>y z+uRqmBH_Y$$0g5Z4FE9m;TgjZTk>2kl90C<%E}PKQ^pXVGG}#m7eQ5ePd2;vYvQj@ z2nOkFS8cC(GMdy#`=PxNSK>5fZ0jjPOSyUo!UJhi0{Wv(nYpzZjRUtB1htWO5Z+n%J}6 z5*@nIpXxU!B78os?v~6v{O!s+pQjK=qPEd!L^DwQG>xUA=^VZ6Vt>}zIfu3ELS9$hqe>NBC#0cL)R11_Z+=>97W?`@Y7qz%q8;`K(7lh; zb4j;P%1}I4GPiSavxV;|4Z4U5!8K$SC(JQmaw|U@l6OG7tO@SeedWkTD2Hq5Ap7;_ zX3llGd)V4g>LEPI|NF(Vo);yk&3@heHEej)XZ~IP-t9;Jva$*kM)IN9A0q5Xxfjh+ zw+qjOSij5tR`RI)$Qnq!Ak_g1OxVCqHa}S-iaOc()K)C_@gEO$%M%^TFSJXg1I4R# zM*P@He$)F=b=SG%K}4kiV_&5VeTy|uXMNceZ_fvT1Y3*8a^s#We6QV(*7Yc+J|*1M zU2t{{WD33jiqdc2CKHwYj0E-T-bEzsbc34u3rf+! zkjAqHBJ5RoJ;_QhZq%jZ$0XAV%xBkxtIbhuH+w}+f`H}4D_xgGb3>s>5+uf06m zk(C){RwAaj{|e=kA4+quE1TTa7I0ySEXh{D7n-qFBx=|xBBdF==5nnsn@&5tb*v%+O>fcB0A`IWHyUp?DAvMu z2dMLwh&MAUTeVQTuEe)heG`tkXrYKe3XVxd!HdV>#Z+~74DwA>j7rd^pV6iz?1Cwz zni}*>t-oK3q7_K8e268z<%GPZoq@WaK588qxybX$SfkXePRzDiWo?KgAQQvqh@7$e z+#W7^?ZM$34LH-1?K9L@c^C%!fz2*|`3!yrP&EeT5}@t`LsWq}bRcaC%4kg?P6|(> zf~Q)xX!8%IsHsarG@A7>xI#l+p@=74o@e5hgKrp|%z|CQls(hd3M48~gl@nTK}HCs z@?%RLj3&Ia3T+6|sU+OqD+24983|WfI*w=6z_bdOYu}!%=`_S7%cGXuqbO@TjRtB$QE=&zyvmAu>p$(_al5$YdAc`=I3aE3DEy^Pi65 zf+Mwt(zP8o{SEBqu>o5WR*>})6PAhGT~w_EcKV|Lw6ureR)m<(e0gH}^e3`w<<`Q$ zkac?|@9T8$dj#PbAz1lqx4-XABc=Y`q%fouCemO1XRKCdFjhoSKD6=P`P@uEf;51# z{PtT3$G=8(wqVWek0^!JH*c_($Vn?iDBUFNwR~RU-H&ZPi#=PhxLNU@p7=sLcbI*; z5FK(BUE_FKt=&wd3rdwBu%*?Hs56+1_G=CEu2zg>}sa#?1 zo&zzer1&t)MsDtf_0hjy@pOl4{?^UEf_Rva-IQEt`B>ah<7MJ@a<_Y^i~nl<5iaKh9~G zwZnkyhf32?amiHL1Pb{FyMcSQ=>2XMUs1Tmp^e_-EpO?u&EuJ0J8NqJLEpoK`Rl&Q z$z$V#eb2C)^n6@{?OwaXLSEOg=#_0?)aVJZ;#(1;gal%u05wa&7@_iMj42NAF>5d& zYV~bVsGCiDenBq5ANZXD-*EhN+XbY&>yQMS?ALtI<}1Ai;dN1^>#R}nZlCrAsES1RK8Xy3_*^FUR{oiAgkvmC0Pgx# zw}y@{`BpDSnA3*8Uk>?Ut#w-TRbJCH<+x8sUYUhLsZ#A>#{*D*SflDHoQvT4Q7OYCa3XfpHw%I&in$H z)%{S+pA0fl&F_J(PsrlqKA5=fujNBaNP(}(%F&;}4W<==yvxu3G(L8?+tW+U42Rq-Q;5Q5Mt zgZfFK#wr(<)Mv}Jk4^1=mJY74&C8p&DSR9w-8t#$ z0q@qXu|};3AD?yjHjvI=m(#C(=^Gqa^uFB;>D)X3-|X()kj) zy;+Rm&7RPlovIG<-wT|NWxHx+o2kAYfAiuW|AGI6c}|UZzi`28!sfsikoo=EnwkG* z;sdwEBL}T)*@zfk-6hOhU9e`iVz?0qk8T%Igm{`2iUFqtJ|HL?Y(XmOr#{(&=ooz# zd%}Rs^}Aaw7>PqJ4ztIG;nIk!+Ec>9z<_MQB{mG4MZ07^NTD*H=wAIwLcLv)epQfWEs3W}J~?2c1uKKcr<(tG&q8l?1&0)WsQcw<1NG@_*f<9 zCh6%rC&9Z(chrKD{q(IKQo{WXzggqZu*w0k`Ncn>`A<};o-CE?&rUQ`x;E+t>?HD( z$pRqgyR59^-EmoL9Prw65i7(h=j!u9Q6*1GyzrkLE#PW|QD@7LC|4wIM4SE4RSQKM zQopdzmI6uC%b{6hk&^i`BhhKqO4Zz4c{+dXZf~rSkd~)}fst`$*D!|pJ)K=`+=n;D z^P$lsR0ItQ*!B3aotdL?o8_0*=t?PHXG8Ur73p#Pf;W-S(b# z+1*z_DIJj&Nya(j@m(yKfj&8ikNfIP7r(p=mOep8KM{K2cMOk9r|gsJ!%i5)Xo8S$ zIjG`gh3>3B7dzp#ab-y;*+pp}7DB{ad!)T_kK-(+-f%)J_fL{HRHx~6SH z63y)F&fPZ^<6ZIW$QQ-W@A!o<)fOGN9<;$qu7+CcFS!9lUXPFDL8zOb@+(--62f@Lv-gEd!6x z6>(==rG)p%>vP7o8?qYu6wpFpiqn}6KN?c`zViNAiI;ClZ$Qq)XyHDFzG=VC zDUjDCxvaM|`1q@w`?y!me?xTt-|6J->v&+V$uK!g+lkY7d4IK)W+#N=l zjQXjJZM^JD?2!)ucJY$lVUs9YlZ0@DO0~fDixVgVd1Q_q+ANCZqB<&pz$AL8Lic-2 zRgT2$stS@!yb_JTR`c4iEtOjssDWFYo?(fA=CBhH60Ke-!?AANgF}XC)A)G8(-xo1Y0J`ciPE10?L7iI6BGoow52F z`%Y>RN%>?-ECtxw3rdN7n@IA=wcU)xaBbN^5r2wNK}Y9%wO52d-d7|6&(X8898V{X z#A3tZa1>0A($McT=u|cp@T*vN!M~1EJ`pf&HvPPxN3Ok~t^4?_*1T9?V`jC@grhN(BxLdXk=7p*f*I&7$`wALurcSxf&+tA{_bxc zZI1U0<2-p!UshMyedtalcZH&a#d0trf#OC2i$gI?w!hT@L$3s1&Ljs;|8JQ^XZMHD zbjZY|1_H&ym(Q<@Z4*pFsUAV}@s*fek2(s?+@g&~D=tHir(r*rOvmBXl!d?SG5QVC zd?v$jnzQ^s;#0*EQ#937>zoNZ7Xp<{oMFzunOPgUFSp>)HAD!yGr~+~e?Utz9E~rn zKZpxbI1|~5b>5>o_P7@Fv#>}OCBJKTi8$o9j4p}a=INP*|7h)2iW42s$jvx);Vgpg zk~Y<1OLWuGz|$*d^7;a&#icz@3WTF2rpDL#81mMMg(OhVxWR4dpTw||;@Av5fP7ixBsvsZTV>{g_g3q!XGgWv) zDd1SG#>XM#S(-K;o1;A=vbV$ehw0U~(@@6LpFjiecGpDqHAC~mo<*~I2yYRfQ_qDi zs*5n2=>99N}Kr?`L7XJ&1Pk!C0S24w>lX?dx}y zD>7K0o?Iv_KgvmuHdt67!_bwk#xvj(?fOe-4hFZ4ResoV{5O+;6Ab58bhdMx{=R~c zf~y5?4Y~7`T-Rs|`SlR_qVCPI?yv1J>5$8s-)q5Z*91P z1_)U`r;;M{tYfZqflo!ugQlYdzk_c}GM-mr^8Qyc*)O-nu)+SEc|btkEbcv$aXdce zlBZ?QKZRY_;{zFDs4`eUHg;q<^#>D`7N9_hojc-=Bo;^iTWk&>_L#~EnraI%v~0_oWf_TK0jk9y==0>bdmZ;Kg1v5hS;ZI1Lh0(H$}c>eUY{ZM z#Gej6mAg20Ew;rE{mJpNqzM~8P_zvwnGSprmqqct^h zT*Q1xdvAiCC*UvXwFBSV_K$0Ht+{D7aN|dp%|EyK7W@+i~3inrdgJx*i19wBo&|U*i~IenLn8J&)M1R*S0G zlwrLMs5%9>mCbl;NrEW&3leq`k-c8n3y#Q_8)P_weLB|e+30qNU>`76UXi?CeO^}H zfLsM@_u7{T|FMkFyGKmTXc%7xJNe0AA+e8ECdN1UNGwj8Nvhs0iV%TocspR_7@>zO zBk?kgv9!?=;@H#_QV(#gghyz&UWvvra4E-8w#zFqaDT$%{~{muh*gy-6W*uzky@_V zwQ+5gz5c?Erh_lneI!-6k}ntHPh&5~8gG<36t6%cK0(jdM_%UvU&yzF9j24h^t6iZ zOpf=Ln|GImC~P!nO`^LZ4rZE+ZFC0`SzAP+x6+mtMJl?woeKx0mTguQdI*YrP|ox{ zd3V4yQYE z0n*-U|2!;A2!kqr)3615MBeU~1;veP`u$l{zKP82_1DtU3JqFN@yfJS==wK zv2+O_D14K%{`nkmC({45GXAue6n=gEHV=vZA> zHS?jVGfPhp{q;#)3vlhhi^Ch+a3`9d0lyI72Za8L#rIH8zQ$1(ykmqHa}xF9P&1<8 zEii0Q$1^b_fO+p1FSvgd(CPY(BKKL!)@d5}oR}|l5gG1i^g}2@Mzz~$?{b#)J7N*G zswX(}1hrYT+8HEzW|m{?-#)aJGqSMlb3G33eSNtMVJ>%2!hPX2VLPLI;t;Uk!mO3r z`bNQTVP*6Xsut5pNLU>Gn~gUk%R=`g?Cq)MT0T&}-o|(M$%>LkP`-vNhLF}nsrcb< zM9^-7dvwkst0xbzH?}hi=;aO|zn8am&8||K{jAH0^9~x0#c-R@epN^!}{*1Z0)LRav9D%I2x;ZX||Noj1cqr^q0%L;XxPa%6zd)uZr(YbCClb5Ku zz3s}fk2VAE*rCPd5B>xGc&^M221~t}@#n<_=0yF6inRU$KKkhWgM^rpZxvK z-DDrF(7dNx9daz$A9X4DP*sP)r30{Bswgz3WPqgjr$Gd505rGd1*Dy4Kb^25#-+QL zh|8KG9xBe2a44>xC-DhIpd-0nVaY^lLpZ_^@k$KnccjW@16z$o81itNMj>hH{?vs1 zCJFCaN{NR>+HK>8gJ!?;CeR+R>#864v|F!U2iVz;M=cv5ukgscnC|hRJ8qqrPQKbe ze)Bs22q0rS(v!Qr7Y#|@Nwu~mgJW}VkLNfw&fJ&98XzRNzIlGVtxRGT(jRPeg*x1y z+#q4^Gjx%IOBcpM;vir2UB240JMJq#HQ9$VXnEq{Qk?Os+)q!rk-;0vHrhR#T(a$o zIx!tQX}gH6&g1GnO^S1P{Yxmy?sd|nc+RbP>CD3y^P_QC>A`7ChP9w>Ngr(RGx>ZZ z^{_m4Bqeqvh% zE*61BUztXoQcPm|w>C=u>`PcLXK>b{>TXITvBNWvdY7wJswgn@AZ)y(9r0+y^(UZ> zVnA%$im6Ox$-mk$|J}+udEoF51NkdS=eImc`?ZN|W>^-uWw^nEZ-ecCNC}+Cl>1qy z^R&6DxBAVqY~EP9h0Wqsv%bp$mpNGZf9To$e#%MhcjSGYd0$fUPaF?0X1E!H`h2P7 z<;RtIAG*7t8~KwYe!Ul|^P!OgB|5HJBjvg@n4tuyZaEdz*;`6E@p6p`;GawTyHqCNq4o zg%gQK{<@Khp=juRc^m>3V1!2X(GfE;cI6ZDuefCV^gvst|?#@THsQ)n+EdJw43@moKU~-R>~i@2x;_7NlXd3?Sk(1 z={>9L+fBd*#|G`ARkkKyK!tM@!$rV7z)Cn~taXY5(JvY|tn7F)s+YaetECJslGShVHB z&uo$8{St71(~F?h5vlq%v~ATi*@|&YSsNTEwQ|glkL|TH**L-na(P(sMs2{&1z}O1!)t#C%*DjH%gURRJ32`Hr;goTjq8#tGl{ZwVzlTx7 z(fb67XL1hX)5SdZcX+1yd8SND4tBq3_Oe~%jl9?U{6z1w(ZVB5)>i@KbZ!a|-{Q;} zwO3ouDur6MJBU+r(w0430;noR-U7@D;qkXeK}${5z~p%SkvPdRl7OjFBiCP8F@u~s zfIU}j|IE8>HSGc~OBOxU@;scbXW=zeGJTNLzAyB9c4s&RCfOl;k-Za&vJ7p32}I!8 z<{=a0&Qn9A%Wa~5(%JJM2V?^X26`7GS#9jQ=IA&DEhGK&%0yA8UE8d1zJ-tE=MQzV zk*OR}KDG5}`2A9pOH6mU zC30#}f%CFCgE?eDSis!JCDpT&Ow_+y_fvMQZBjY+U_^_c2!|LJf-#vyoi88%HkA~3 zuBR8hvlsCw@kY67KNFK`Xz=A`NS6{DrXI*JF)P=@k#RV?;1vk&p0?>e9}9~Lk&tm-hI$))AJYi7`MSR z8r_bKilJ23_@NlCH=O%4BNj}kbC<$?+xCz!bgw1HJ39WnaiY7-vqI2ZCDJ`cm8|M% zhGl(V1?Yu87WDI9AH!-_oF7~vF-IX+g7BD{Jsl_YL3(WY&1yjdS z31G9r62+B_Vlp0vX5$+Lt7l5<(ba?KklFcPf$qmyd+#YJY~j_BKn=$ zA5MLHq$?A0z&)Voj!^q4`Y(+qw=^n|i9)>SZ#f*wA2i;f*JBfIi z+k;`i90R$lS{znsf-iui`4#F&t8AoB5e4N}Z9&CgAxOyR7L=>4`oziNHX-#LrGq!o z_+(jfzwbKye)4KmoxBw{o?^VPk4*Qr)FHvZt*s91yxi%$12jR|Lxi@ zRd7)9(Dnl|ep6f_NpEpx)MldK?JMp^7}SQeJ@f{YlzUJ_s$UCnh3E^DuIZ* zgajw)Ua>6;muGa`l3nArQx$`(;_F;dbo7EPNQegC*5sPLo%6~fEYQjbiORkNe!+-{ z`8f7Gls*kvG>h)((C=w^!x5GjnWuMukO<&oUwL?Zi>w_ZTYYk0zr@EqI5#G3jI7}4 zRI2&LzWg;0Q7NHuPMOL;M|RYUm*vK~8;*)+Mbx&_HO zwv7idi_@;pGf_MkumrBAARj)sbhUfEPLAX6wg{s)8U!8P5v?5CflneLGgym$9zZLQ z`fymSKH~HH_bHl4y5X(K$RmA>Z*%Gn`$J6#_kfnRrH>=dh{0NI-rCn*;<$*(n2Sd# za9<8_dhR9-S*?T_saERQj!Hs-qxV;4@@dKS=iO%M;Y4t>uGe5f3GV>oOJ&U?u=;sf9dNb(3$Xqd*_I)iMiG zqtQq@UQ-f*b^QW5-bz`|htcfy5C{WvE5NA}5CA{#m9f2LrW@yezXw%sV(|=pph{Tm z?eA9QST0`Rvz#|b=481$LEApXn$@3Lon{9yur$XM&IX=X`+3#0AaQ{lc=05S{jcOz zb<^yJX?2wAk3}s0`NWz!<81VhBqcO3woHC?b_^^H;lR(H+4W!9Cr7c6ABUiy6e^c(5ru@ml)F}G;h z8>B|$d9xpta)$?1H*0d=e@2aFS)=W9h?3EV8x-1#3k0XE$zjr6$DUgOS@rSf` z=>;@W4P7ScVr4ELO?#n{D=pv=5$I~TT)okXB*45)7BpB#1pD}t@k<_qKcQI+8T`dw zxJqnJw&t*qh>fO@RtV~(DMw3Lgjn>&CsWSuXuo^=GYdt={w9>0z$zS+ujL>v9akMk z8487^^}YNYCqo3elb5vi$d>z#v0ZN^w>`&kn}}^T3dZ;eQdCA5Qz;EQDNr6TkhA2K zN?d*95J#0$0prs*erTPX=w}f3y7gYK;d>jm?OnE6=Ee%=`M!Ss`OV{Vr+rHpF^xJN z4G(|a!_6Li49F9TNDIRVJ`Y#J=cS?0SA2FB8(7~d*6>2wC;p+VxYQegSuc84B)QL& zP&Scze)*W`V)?A&hmpi_d&L9@75qD)H32U-8nyaBy^94bS6wSAD_grOd^Ic=LMU?9M1MSbxs!8^ z|ME&#sk=8iDr!Ibw1KeHujB47=e^HG`yC>|l!HOLo;f|*bMWc9y{60kAr$*dTSbZy0=cyO6XiC@2$^PUtw76{cTZ6*Voj zYFR)U?y_>C-6#ls$;Ax253N;*HgTb9mM}v{{S$2P-&&k@lAjCyM?3rqcF~d}MAH~RN@Qy7c z_4->-^*;KCd^Aa&Ek47~tqK)_%iZV+HUJw~jQ8rrQN&VcvRxKuJOHg|p=M8VS%{C} z5_29kTL<^kWECOSI`Fl}c7?Iy*?|Ux?16l~0niy*V(Olkp%tEAzkeU?>{yXX8R>P_ z5~>lK-vq$Zibw7{Lhw!<9pSQpeSCt%3qG?yg;}mbX{Vp>f3na$=s&SeWTiPuTt4OZStd`qYHrT%)0oame`;wrh$`*(;io z!iiyYXY`Hzg6AKMY$vFYcX07{J486+ZZD9mX>-R^a|7^U?1aA0eiSkbbSvQnWx{DJ z>vN)}E4CO!rr$ibhpX%qe{Jk71I2 z=O0LB_Y!-sOCn5S-7a>33}f4n?0J30ZW3d%x}%Xn=zlfyJVT*-h;fa(2!D75GffQ7SnSIJGJw#9)}%ga4Gjjs#UPPf7FVfCfglP8ZFr*$0fqj% zb+z2*`=y~Y_v3!9*pYn+X-lb}M1=*RI!Wj+3{pE${9^go#JF?R$%&kK{+<;oVLixn|a%qzRzYAIj$#RQZ$VPfiI}Pdvv(j_dx7{@>so z)MJ$m6}%dPFHl_Q`1aWgIkC`E+=(k3v+3PXhtfK-7{TIdIBJG!5U!Kel3ipCo3(Y_zT%iH}Cb z5miH9;v(0C1|IM;3W^e**!E`mFWS=#YP(By2Rvw7r!hTK&ET!}FSXr`PcSyJAF9@y zASA!zWo4$9?RmLVyw#AXh~n@RR@@$srPh3Zy(K0-fy)%ot99#f5>sperIk<>J+wWr z%w10E0%P7kv~wruMS& z4m933a@tKyt1GQL%Q1Z({BA+Th44dZ;(!OmH31sFFFCn?%r*?Gv5t;!IfK?-3R#3U8P^Sq1Mj=fdmgW4b%%3*EaDH9)3I- zz3N~GX}pHyx0vk|rc66&zL(i@Vb2Jfah?KEwtO6qV<_3N!;q3cUOJVva`SN|P=ZzM z#W2Y(Cq#!IZR4o*=kfR|H5<|Iss{@MKfK337*`a;ViAynnjf`{_*mWCB*G?S~-5RcT{joQup&)XTPK zicqPCf0c$8OMfpKV+;y|`Er$kq_G3iM*cO01FVu3UQ@kFf2DK~fIc46K9BCXyV-VZ z`Wdvqgl5}zo__E`tYh2wqR=5|0?&u-4Vq+m5{Cd;NYNY0$IIr2kJi`e7Tbz^H1dW ze}O0f!f8`|r|I+tM0kBV+Ey+eV2QW%qSCT46}-BL3k+TXudt<~70w8~u;r&Gh|Dr` z(9cg#M5TfSBV&v`e^aLTGa)|XlIbY>aj%Z*3F}_6`<6T9M z#f4am;>!EL9onC;=+iXQtMOV=M~kY8bOc;|fWk$^Yb%BnbM1X>Z?W?y*&3DWf6^d1 z7hh$|z`IP{Um<8~-p%6b&$c|2WC!O?JCaOn)klf1a zB{SF362oi-VSQ(p`|^OC`^aKj?T<#4Sy|pkQorHO1FQ~r(_4ON0>YYNZ~02;r-`AZ z(2?g2FUv+Eb%k5Te@A3viDE#Yiw{Zr%?>zVk<7NEQU5+IDt$lJ{xMqRF8$ay9d-+M zaU-b61GL!`G!kUx%}~C% z?Z+QYDZZAu*#CKdU{0eu8Z30{L~tghGC~Nsx&V7ovPw$x(4AMXEHaTz>&fMnZ%qxX zV7D=w^JV6q#{Rr^Z<}+rk=w<65BXwmzE*Oqd~>#7XXS4>pvJnuNRlwnpNhbQ>S0o$ z7)dA%QbW_W-H?F83IJ;ex|RrL?1xD9+!e7D#ISOzDjzQ;CNEYr9*(FKRmKPPP?W(l zAH*uAA5P4wOfq4M>M(f8K?@^7(HNJm1tkA{{vmB#VF({dkC6Zbjf!b+IZE06nKyXg zkC^+q8gw7vb^btMxV6j$9yG^c%A-uUpU6qvA700Qe5Gf2VOnm)@vc(-O?^YrtdqFb zgu|nUmWW2N?sbHtSz*j(DNw)5DO)$8%UHaG#6 zHf(B*rn3hc%{Ow;+WmwJX&Buh7VC7ATzWgdFo4E#L-79R2J3z^3)|>UJyx7k*MNm> zPW>Ic(`zct`J<_?P8v_g|M41xQcv)Mu4V?WnS(EDLN3#{2LLN%ymZr_c)x`(LQ!!K z=MZ+^lWpUd`w?vIm-Dy5f2DonHX$XpAOAX__A3h&glb~`)`{CoZIog;?{qy-y3lJ_ zz9TX@l{Gr||FAT7n#L2xry0=FvPAe05RZ%)rKWZK-X_sexM1KJ%x;a8crNCDA}E}x z5F6$QiFbrlX}$``kNXyut_+G+_~b^R9E7Eu4-NtNwaM&0D#z?y69&6!5FcK6m2*8^h4?M-D~=Tgoh$@ICBjn69U{mY!WM z_zT6{7PD~)O*iKJ&6%nP9X~rw_h$H92>>k4z5r(Aag907Iks_A$oOxSY_tdl^wH%h z3d4u#Abt+HlbJ1_koMU#HBCO@iRU{)29L!gePJg%b|}5kUH1&-FD*!%sc)-09I>_I zMHzOYD#rcnejjLod~l|3k)Y2uM}qel(n(l@uE%pD?I@TEKugY&f8_N^xPHf=F&qYD zNN7F{nvtL&PfU??IcziH@5D97V61HGP3VW%Lt?FS&$dUsfbo_D)T#=J6e*pp*MWj7JvYoMy@Y*E@Z`?S2 zwg}`*M-+y0$pDCAWOrluK~t(R957)}Ke6U3&ByKe;16Y(JK7IxJ4F$UPs)eqmc@X0 zydaKnDs8Ws{Jad=yCa7U(%qid11sjJ z4FlKfce0EQ3om87lUz;Wp=#O@tJ-Va4#tFM19{+~K+H=C^zVWkn!$qurrZa%<&W~k z-$#JyC=Xt?N8I9hN%W#QSxRK=iKm zlnBs>|HIa2#va67q04?fu@!nS6JNd6l7^RB7D8$lxTAQ@u>U&-OYeE$Nl3+r@P8n) z+k&!5Atkk)*>oIf=kWmxHT6HNcp{frn)PI6YFu?+{^?zS!K6j!(ZUbjoc7|Hxq!#@ z-utUSlX8|Jw3yICxju{=(wYWG3YHnr}qpz-%R=>FasE zNDwIJtetoNTS5@Y?ODRD-#a1m`7tG+#&^k9B+$5o`toF_ts|Dc)U`{h42aY^w&;2` zckrHcbX4H~3BIk|fghQ?R`0z!l6*o(S5^)bJ4LDqvxWQz1r1))&Bn+I=w?x6mN5fj z&XsjbpvyqTT19`C?vv;zX}}SzukzUhSD^C?Q7=?h6?*3x?QeSxhuPOu{PqW#rlijX zCxou>op8rNmA_(-j6?f_jO7R^0-g}L&iO+5o?QlTJpc0k5JZ}+KBoG)_X(eT;f;p0 z(AC=Mq{a9&Tl665o9g^WLRtw(P3=#*u#u)+CNLy2HOTka zx#Z;NFbt*)x}%~<&0@IT0NL}tQCCzNEKN}=7Id?p7W#EMFs4|TiVyB^`Ee0!6#!XV z%aCav^0KX<{>XA?T@{qFlj=n0ulv0mi)O0Wwfc715_Sn$Y(-xANDeJyV~7B;!&w-v z_|AO7we)=4?Bz*q%sx!ESYiQXAelp&G3&ecYQ2c3%ofyO(lkY@`omUWLiY5hb4|R>o4QPS`&35~IO67VXx~7lmpXaVGF+ zIZ&#HFb_y$`RAbm7XT)u=>QIs+br)bPkc86H_9AZc%{%&!PK0=L^Xo#8?i9Uw@lH- z%;4vcC(7j3Emkh)wc%`YEDp%%>dXU4s7&&P922}|l2Y9j1#rVR8Mb3R4Wx;Fk_xO7 z*m^xG5R|5P_d>p7<^G2FaPRbNQnic1E<;%dUt~1yST*7l^;%O1`hH4F353?$$#lZ`DtQl#c5M zFEs}I+{?OMaUq7&RC@Gb8Jt6hZ(2cee7WzyhTka+%b1fPyr#lGUZ#+!UYMc@fGA4& zWH%TwF<5GXfdOXimKuiXT%h=fv<^H0L^zqbn8ly&adEqL8f9l?(%Qq%e-w|ID%aNv zz;pRGDhdEQto~dujRa($+p4kN)R7;hAC>&4$H|tA?EtS4h;3y8jUQ zPu7QF`8%Mts@1T`!^L=yO5xfZYeH*D^%@77K>dZ29e*-&_un9R-9`sd)0%I|cMw1` z{Xe+@0u~Q1ue2@GgE!2rX%f$+@{6swN&{!N z_W!ohrB@cm|2s+b|3Y&!6QDEpr%G{OYa8NzZ3^5k=1JMHqfU6a@kr)+!uqeI+3(=Y zJ-hTN%&?b30MW8iqD~v_L;i;wun}5E-od4z(qb?KROcanpH1y*fF!&D;Yb-qpb9FI zj8`x`vbqE-a`}T1?;T|VT01|Mkm*SXODE~KCxnN*tZ(df6CWXLIX@g{YN=r*-95Aa zpr>)LknKOTV>Xc&(fssUEv*@igx-)PhQDGTDmRT7JPis60vJ! zvn5WoK6Ric^P-35y?@Zag7RQatzjVRs(nA{+O{a!fVN0cN=w_ukH7>T*$p@z= zi|#q5MWi8X@2=8oCqOeiSR6a_DUsMPN21V6^MB%wi66K>j*5+)im4}mP z_BKM#UMJpJbobZir@bR|v+*(8Rm@_FyORxX8Lp+AgDeb1N?uHNzw^N4xpRK!Mg$vn zijnU!!yHyYym6Y5pYfsvDCv&Bl5VB?lzj(j6a=oN1eG|P4TI* z2yZzsRprGx3Q+f_B>oS^-a07Chi&_(VV7=@TpH;{nnhAT#6r5eyK|S24ncZR6jYFu z4q1?p4(aah&fmp7?|nb_`X!b+Lgm74j9&-1bMK4z|}MyAlCl(bVD^2hp-l850`1YD5 zes0@syehLhGmP1tjZQ3+rlOk*Q~Sim?6oHZK?e&JH_X@`u90eZ=J2bA;8&lgaQ6kj?Yh`g>zglq+|*&YpN1bSJ45HCoc}+}0h{pH9x|YDeqAr}y4P4L1^j z_`VHyIxuY?8m1t0lpL&iC091dml*w51}SkecMq~alK?#PuY2mBnyT~Y4Cg5KtV+Yd z-RpC{Ywo5y<)9j?nX|jJySH<9KdK!IH7;dVzZ=w-`kguEtV@Oggde$@%F0ShniqSS zcYmrE)`xeIT^(^t3lU8*E5CkhITZ@g0KU_+W`+{~6|QGrUWjV>nZ1-bEsI|O4rwmm z5Azfv_yq*Y%CJViocJ}L`2oJJCT9)Cmc8q~y*4Y^s|k&b0?~ikuK(v1orB0w8<~)- z;wI6t|QdQH|5 zxA<=@z?gdO+xa{fSzyKeUbsBQOXajnbJnd);V>YDOiDVwIMGl`;9J^Bv5}ulu=UXo zs=RMb^719Jp(Jn5Os;nMDz+xaYBlCo4m`8_ljyS&QqwESPzYBwpWXe?m!@%9IKvm0 zsVIsh@-2Avd8U(AgDw=?EWHj!*bdTE=Uck=Ctag7XF??OK0qg(EMI3dz}Ko7EpTfV z4R{_kmku2C0;^_R&t~qYxbdK)UTykEp+JvhV(m`WYGx)F8}~c!Fa#-+nrtX7974kh z=z^T`KNes4DuT|#))y5cvHP&jHmfWGeGh4uU=@_?`V)>~D`@RY*}`4|ANjV&%L?%1 zh4K@4Ls=ygL>jHK24Y`27_{OXVa8$gd{!moaFfeZ1o>PqLEx6N9bk)TGl5nqEOxW6 zWMQh<3O1Aqs@P%ro&vO=srcm}?}XoVqmjAZ`7mwt;??kksn2{Cf2#v==xGJuaDu#ns9 zTVuhJ&%(H7E$iuUfdK%!77*x4p&I{j_VkO`sX`XI7mEa6Uks%v#gJV-H+F%Ru%r-` z0S;xYadSlJaxfB(h#^M<1DrVP3;0-2GdA*k4zx+q;a`}1b51#TUa+JCKTZmt@yIrH>K)-!K9Cjr0(b3V# ztMR;&v~QqO`cFa6$1NpQI2MFZN2=a5mwc}OBuR9;C5vv``g-{7_kX&eJEOAO;_3{V))o)3-9A2><5PV6|i!&??04L9h7i{Jfn7GCA; z+_rHAqQu`0x6(MQmTyV#|3vpbtDozgyO>r-?DO3n@*Mzmhkq=WSvhWgy4EdirX!H+ zUq?HdxpSokpu_6u0629THp8N>a{*@yc@gxJBceY9{wgT0^)3VTuhoXHUrxJY@_llDYo_~^%HQR2pW&-JG6*$jg(fZBHH;eTCp&bk)} zU_#}?DtV%}YuhZ^6FIRsxJ~^Ztv?O^g(t|(7(AT=$NW`u5Yj|T_5NEXHqJ!DIuN}z zmE=%B;aIkF;0voA57><-b=?Dt=N*g3ld5qk7M2-F<5HhuQw22eD_~ambL*~OS{Os4 zNhVi*dGM%@<5F7^pVu#=(1qdrwqasR%p$yTZrMJWy*w2@9M${Av**v;7^!K-*%gNR zYD!@8S&XNc(l@RZz~!aRUFB?&*Tt$~PRcr3A#j_ZD488v(ar}g!lZOuPCnOO&x3;> zX<%olIjvtfJPnc{n0Cb_nD(S0nD*uBkB^Brb2euYBbO!IsF~a`)R+@157Jd89S6)u zS~cYHZ%=KWusVN1b+7+JhDdPVtLo`j&lclf!0gc=ec>IFW`#a%e>M&8z;k}nmCQxo zkMrt%EHu4W2w_49<#_#=-v7~V+^0SD^UphvI(ro=sEdyfVpmz%=mCrhy4GogZFG1n zo|}i)D(_8!PoOs1JJ(2U4)HvmFHTatzcplZtqm*W@~jSOPy8DO=EL0yAr6Ns9Ie

X5_TM7_USf1`adgDB;OfwpAJn05LFLK4lcOF+6)OflmgS1@O6d5}iCb3>UjVD({ zTvkazaTtu#nrui)8z3pj2ze;{6hpI}v+k21Q|N&jIq!y#{&yjD6&w>&eq^OS`fqYZ z78^t0xCnv(7D6o~i5VOiLyz2x6tF}w*(>=?h&d`RE8!v)LwPByF{e%mMXNb2Flud% zD;iua6)lqtM$!A@SPWUaN}U7C>gQBP`{cqfwGpL9pT96$j41K!d`N09WP*|l^kcK> zVM+I1VJ8ALOjcOSgbOvp22?B;3`K$|!vtGl-8j_j`92c()NJ|8w!zR#DBVsjA=#_1 zA}_vrVBuIag##%UruKU>F?-U%WztvtRaUa-;S>Rtxzx9eJEGiR&a0YgM zmkFul!Pykt{5M4E)v^2ZHnl%x|3xY-9MY}Ac(K5 zTXRYY8@YQ-D@pYc5)|y@Cmn}69(U7}lw!tMv(%w=nR`3)uBdqHzwcQ8={Np84k17d z!0?2*;P?8l=1eaaJrsOD*5!B~i_6@y2i>occ5F6pUpHXPU2VSJKVxO^JI_5BmoyA^4=TH#yRBccxizIAbTT7AArd5AJgc(WY8s62c9TzV_;yhZj`(4}n9 zikhd{jK{r2Y^fvO%-r03I0X6Bf06V&XYV3Mp8+RU%5Al$?q*U26Ac^$xLIj|@>_E@ z>*sQLyb)Vel_#MbPrssnocGs0@V}x2rT%~bPKaZeZ1%nG(GQ3Mmi0->gFdYP#uYim z^H}&tMqxg27%aj@5J(J8+rm%z`VtmH_R6P;lA{QvUAD6Y=9w-Jo{;G@2{tVhPf2Jc ziR5j?F5XE>=cahbJ=!(F*F?x%*!qAF_jV$!m?|vJ!?*l1W7uWKe%8cF5L zVG@p`T|u#R6x>WJwH_8u?fKq;xs|}r1-ik29w4~15J?}i?o`5`i%qs7ZqZ%7lsX|h z5qNA+P3YZO6@yNI|JHi*1QGfVZ+kZq&?Ok;Y!7yXA*?La+o!s@k5b z*Q9Sr{fwKX7OK#;4VUEHv|d}*D-$hK(r{yd?1U2C%lk?aaJUnvecQn)SbHT9NGI&O z``$Rc@yMn4>q$R@r=;UK_hm{tKJ)aFQ~f74*axv15^`@ZvQ`5YO;R2F3)9E>>AZ+3 z8@G7HmDIi~@jUou&%hI5N6GUKxJL4Wo4~tA$LS_d=S!phvSjv#sc@i=CO9dT*nEtTjfH<0EnX>WH}OO7Bd1x;TCe(`;e_ny z2}BOoMGT2Jdvu(ZH~Vv^~V(;1M`-LnhniFJ2Rp{VMoz`+c2!o26Su3)QmI*kYpq!wi)&fF z+UDCiB}NXi-)tu3?~_(0AUrySEm%emd%``mm^nj*I67 z{3f5>*q?s*Q4@UnTX2$#%bJHTkL-tY8xpqE3apF9Hi3Q7*jJ832Ozn$7A>V)D$xZs z^l_1k@slNpIl>sksMXiHSD1T9blz_gEMu#3w4D*lNrxH*%9b}JeKD)DGgedr1@sJ< z!Z3RVLSWN;6>-0)edxL-s!1xIYiU7cthQ|uT0wwSK{^*rR@BMBFT=0dYhEr7S>BVo z{OE{D>RkpYN7Xxm0F=>V;cLtF^ z5?W&YDI733@;%!`nMgY%#93+mMk}1x*Ps0>#8i}Z0ro?wRpXDZ^cenJR$WG*llfd_ z99!wj`(^hxdg`6AR22k35nJiU24{Y=7I{7Bs5)W$-PrO_YSjvZJu5eTv3zQWikmO2 zd78j?r`E#KdDQ7Dkm35}l|g6LdM0`YH`1y_n%bL0^gU6PupLSa~h-g(HYpY{lfC>-*{pVI;GdQ?(YiQ zH{!8XuD!=5&1g!Oq$d2pjU_jSd>hOGCGx0!JfcWj5IKZG%nV1ZvkCUB4e_)HE{Nk? z+GN!Fg8nXpYlAH=?xo|yG-47tl`st?TWm{Q91U?Ht59JlxM|ocO`WAibwV{DA{1_LlgU_J`re12&NLjPl_&x#>2!Ntfr$ zJB%^R=%irHPO$<_&jBN7eZLd`0 z9u8xsT$CF$QbNTuW?k-Cwxmrfyn zg7yOwYRDx#@h^$c&#h3tjUjgIMp$m%+i!XYi~qhuZi!4zr`lD$&@A24yiA`@-I|=F zo5Y+S&zNH#SCTB(d`*fFKBU7jyu6aLISS2R{jq0cK6ft?2Ex!CoIv^P16Ygp<#$ z*Zi)NFWa49e}T+7@wF_&<+#O}12b1lT-+isuvrT~&=05pTA`rJVeb}P!-TEhgFw*c z;c{23yVHz>46tsNyG+${T)3HtQ7(R^P~j7HeekIlM}6u)1?caC?sy7Dr_A~qS(fiI zvAWC!3!7%{>LGH2z0BDK%yo36lat%}8!0ukNtvfMj#jdrRRe=W zdj=eU_41(}iNVNGiC;oiCnwH$4t zV1K4=b__^TI||2%}Ii^B<6dH}r%JVenVVK|CU+zwpPv4MCE5?N+=RN=L-qA;=c`a7HYsLClc2?xZ~;)T-4V`iI=Iqo(2oH6w{ zNsk$!N+41Um_mjRr>Nm@Pm0`FiKm<;I(N0Tz*6da z=a4`!ejKS*&E9>kB(s63p9-1YA9R0Ts*GwfUL58!-TW>=Bfp{~@v_!+ zKp`A$HiN(Ou+X@O=-WB(Gs%M^Uv$+IWf8pt50!G?g`2oQ(MeW&2tA=v*Kzm+hisizol=Bf7pvc)!gKCS zwv&Mo0i}&r*V;cZ#&O>sFZHHI!7zgY>lmGv_b5?5D1eaGT|-x{e?(}CwBdUsxv%+j z21gz?bDe}t>U#%1Y)lEB_(00mtz_)$M*;ChRVVh%VxgqJZ=*$q2nDt)igexV285)zPKRzz1b4Y-9R^@koaLTi`rcN~bi%Wag5Ph_dx`*qt}5x1M;_jzqRJKKOaP5*n% z;zx`OgiR=sH8Giod^u<$!9tEO36)sXI8t=~HeipBn4j@|FpmxiWW2wWd+S*_ODLP- zGhz8*jAC{zfBk1qTx-X+X#m5zzPASKXmY;q-?+WI!GZ`5*%_3kAWm>MJh>7R|v>}|DHw0i2sOqt+T4$G)C zdBN`%k8l*%$tz2VYcp5TQxwBLbUq_NDs}tJ=CmE^k3Vb^tS#%{rpn{Q;uL`02<2bAzmJBd3q~=kVNOQxA z28D*7R~T7cG&zF;8ILyGAb0Ipp&IhMu=65sMM@ zzD_hDlI)lXIfRovB&r2ptw@o+7)OGrIg7MXFx4Jnn!qi61m)j7)mp3S8|aEyC(_c1 z8?=DAh35AVrDA^gDyQ=<@^`2_K>vC+G)@BWdZ0*9?MQh8O&b-lW~9m{~jGeQW-!Q zRqcBa=hc8Nd)`90>RK}_xA26o5yqb5ZOZHLoH;WyQ{3lpd3tg<{O`Tk|Fml%V^8LR zx4KXBoDAdLGaY;>%@2ly*B) zp~6)&7#cUNXX_bK>Uazn!p)1>vutWW^Drv`(J$(Ke1}=C0`H_Rm z00$m7+5B7rk7Wy|#*N%ooSD<4XZ6y_WeT9)gVGM`U`5?ucQ4kMLuH`rs6nx{;xrGJ z{V~Yw!xgUTv7tM{TWHwKq9vXtUY#=n;ad39;}1*&;+>IrcKT0CAN7=qan@{SWEexM z6a;8EDy=4v5hZ8zZq@O6LcdA^Xnt2I+}*0;*cQyC)s3|#1Grc&03GmM>HvdR_5g#A zRBhc)q6`i0<@eCNzFG?nOx6U~tfJp+Waqzu2;ayn-XojP$ahVIsf^7|*s4Zf1BAd$ z07~7vR{tUfP4LZl#pbJo}i| zzTPGvp$gL^Vb;LW5hgu*BMxL#wGxT+`s01J-f6xO>DSjChpgF$K%=KHMK*_!F#H!B zawb9`A<2|?GV0b(-vuGpfGC+tO!roJ=UmquOxJi4I zWpa#gSb9DXq)BZXlbmU>(~YQ(jnIv_P|5>cE-M}>mP?OF>?0)s9xZDVb!<{m6^Kc? zuq9?+@}yxYNZooj6PH(>uCZrkpm8|OaYs?rZICfIm%MFddh+0*3Qz;!s5G3+s9>&W zlGIOfaElP8Ohk_m@5>ywY@p)A)aHNs5)E4XDyP31$@LI3^n>%7e4lDmo;>Ib??ugP z<#BurHd;VAp1R?oNLi;r>^=Aa5u>5&A%#OMpshv?#N~puy@A+<##^*zWt|b>x0pf! zjhO-c&pY=|l7>3|wpyC6Vbi)ZYL2yCDOt9P?KnAofbvjzUP)G|`6dkIrAyY+&RbIA zcJkCY!|L0cd9B><9bEI*0y4_1MEbWF$h&Y4VMpJl{CMd(G#O$t6V96vwP~d z?k=fP&zU5=Vv!HPo;2U1xr9n+)}-~xI?KT0=Lac%D3^5!PJxzFE1eZwRWaD63CS{U z&I|Ll^{4UH%+3wFQ(_mSNTSiy=1ymHgH6n=J3VC{TJJt}nnR*%Y_ZeJd;MDruQUN6JcVcE~q zgIWi1N?eYNHNeM3k9+k$^|L#ZdD_I1i1@xFIeFaB#(!@n9?)h`}$mAEL zP;_z<)6Vnv*?#XY*38v|KQO*cP8=Z*@f4i0et7GAETK?p#9CL;lZL0QqVizLNzmkB zx0*BXoCa|ScQ@8Oe)}LBk@`U7(k8|cDwj7hlM*MA#CJKQ9+rqik!OLkouF!*GUJjx z=!vsp_cV2iYb{C^-Il1g-6%D}mNZLVy&`KM{mVaj&c zt~v2@L5PARx&7!<<|x-iv=&e_2?y>QOKU=o&7UbmisZ($X9>?#^Xb{6{pBfG=r9cF zSUI6se88q)x{n*+uaa`+=yds1kaC6KWOh+JUB%N}XY*V&{RRXFkK1Ydp42Jea}ndS zavL;ZYgZabc~s+t%D7f7FJePGT(edNNZ|k?=@?KC48JMneB^NbazyJQ`dBw6VcG1p zSF}LVLj~Pcm2N!Mcg#S>NS}hxcPt!vjs^NZ2ni%FQw+YAI01m?;?e3fS}5ki7y%Bi zP2wM3R@(Dru`VUZccQGNGMHrTZ)4(;87h@Dch+!b3k8<&pKlaZw@co9TJm{%y0$-B zr13u;%YR*${`JNEpQMF`=XxV|XF-_xtAzbh`_eMYbOVGLO-ATbUY80G!rG@^<1@x| z<4tsQIRw(MoGktCrOux1d>^D>0dq&ZeXoBmbx^VQNZ`mE%0e10Z~fwwa{t~j-T(Y) z2ejrBy=M5_RpVU!RB!x=XS~cMhhgBw%BVme4afE5SX20Y)N64-4=GU=CfV(((=8i7 zNWL}ZUDFIf*0vSK{{030=SNQ~pkV_v5IsGrogI@JuHE0xUW9zoX9m;W0HMtn_~U<| zXm1z1i4+Ygm zW!OF9nU%}4n0r?lzavKLV=t*4k-G7vxN19k@&KOm)=WS?P{c*DReeA{6kN;uP=Air z)Z%q8^3M$D)meLkOo6sG@j!w!^_EvglaxAQ;4F9ivFn;rxeQ>xp?I3pw)o|_K3|qp zl-*O=*ywoa@aWeiu8r5@X7(GMc1@gzfo{T0PHu!wOLbq^_QUV9(eRZEo=WY4rpdL$ z$A2aK&KVIlnbmLnCBD$NS~sG%)mZ`bZ8I0Ra2GNmsES-x@vtMI&7?`;Kx*Z%h>A%l z3#5jh9N}#HN_u>zeYTIHW_D(AlP(Y4m_Z(p zZKm@~-pWH|?Xk!$Feu+p#q@aSeIC*w+}g!GOQ>rpAC=C0Lfy|#$qti|#7dQVIxM`gefDS}T{XJ*4@a{I!5watFiy!caHrjOSXnlv|ujzR@d zo9)Z{;Y11xgDoFw7P`sZpGXc*(ciQdl*@`GT4VlFLG1gB$bkMxaQ6#AcLTmr>N|80 zh_zB2@&|)7t})}hC79R0R+zHYKepwJhH_4s(S|!quL*hIFRrQ2=;@dufJ@}}kMH{j zk9Rc1^O}n_bK4c5BZ_YQxKa?TsfY|Q`ak@FU|6A!}q zqKo92FGjc_!_WHlcp|!xrdd16jfje_c*x~S5I&1L!uOv#kpT>PeY9iAS^#p>9N8aq zdqn8SuAIMefBtGQy7^=D!&ed*X|{~+BxLqO2rEwycD?uo-x=lt9w< z@sh$PHDiwG2O3Ue)?OM${^b2AzA~cLS5iOvI&L$X-n-53%MY)G<=Evx3F%W7))_KU zv3l#U2<(AFmqPu{_EKRlm5EO~G{Q3*&Rfgp5R-7$)*e?K$W}P+K0}WtTg`&dGKPN7 zbo5m*nGg3qIxq5I>V;vUvz)Nu^>`MKl^-~m?>`XfFb&nv=%0CDNu>_n)&PIUP9`a_ z$8+}c0(QJ9QS)zi78Xy}6+$Z7&zr_N<@e`e#yWbc3#s2D2+4H452rsp5qCM|R)AME zU5-2#zw2bKky{y5uB{TAdv8lOEZy*Q^K-^e7Y)D!yX0$mQnM==QjZFb@RPhxxlKqF z8*?=CQ!s`;tkfr~Rd=0vOokUue5{ng+u~HIP6XuMW3)e0C6Nc+oM4a)NuaIbFhnzb zC2e0=R(Osg|FC|FW_)ILx!fu>T1rT}L`>ddzy1O%uacFN?>0UU8al^)3$$U`uA!O& z^3_aPTBTK$4~b|H{7SKf-v^?BeX5^o46g}13I%RL#snO>6C~n-BX?;U_`%dco{SLO zWnnu(+uF6$KbrcL#wJ2|-XE;XfPck>waNWrolSz5;;GPCnVetVJfi|G==X9D6TFw@ zODvfv$_GW9-lJ`JRK&%O0=PiysE$L6lC_4-xrBq7ttT|!U`TP z0t6u;U)U0IX5$L%%7eVvVos5Z=Ac*ZC;!!o!nai(?Gt75E0i{r9MpkF z%QybaGhApGPw&QX?i%kFW2N+R{|@iF?>8FqFv_`G`){}V7rTva4{3aFU3`YvWiM3y zSA}wI==vH$kWT(fG~Rbtb90j&0N^-!FEH)4y#Hp-5}-~n1p>oQ-Ca8>$N##RJYq@E zVBEjL*rZ4QN| zH3Dk3NoCw2=fAi;Akng0v@om$ZX^{xQL|M-K?STMEO%{f%R~xDcPe>djha?s+0L!Q zK*oU!p<(8}`n`)si$qcVo%LS^(ZJN4w3IbJgxcHrTQ3<~+l`Uk8bO&KJ^dEOezjCf z`mlQWZZnd-lV({OuUX47Z}jz@>Dy^r0^3%U!!10#xPOzoNzcHj+}JDGfTv zIkH-@Lq%Qe?>mn199`+sD~PU9fzbrX+0;{9*@ z`Is>e6Ks=R>y!vZ17QM$uZ+|H*s#9-!GcIfjg##b!xfG9;4bOHqX$AQ)p8~VVS$f& zk+4vbA#sZFK?wY1R2E+#CVPH{nlv@)1(m!gR=Gf^f2d(qgrR^^HLxFS>wcCF;ourP z?FP%gG%biL#Yck7!oeU;T1F_)v~_w=z+xT>s&~F7EZ=U%9$d0aAjK0&<6u ztf*khr!{VB`z(!CrPDzXQ^GF_`4?!Y5-!R9_EZ;71-+=grQ77YUXz1|7Itoj~#F>QvM@iwNm5{^%w`!Y+^H;{lICX4`(6Jz=!xQzDgX^esNhMi~9?+0|) z1m{2Zl~7Y6Pr7u75T!~6Np5DT($5DUrC_7LHPS596E0e(@ygh+Xj2l0{Mlird(1FT zadL~;a@(|Glek9JxR!jN6!|TM$|JL%#buZAC?07p;9D_pGz{x?g`t2~67W?vn+foI zRRij;tEv~KSGvWOi6DQ{06)e%t{C!3*UM|4F%RH<*$+Wz&`trDZmFt*SA^Svq&0eo z1Y_XRg8g|`rxAca#G|FYg#65GnX^vkhdxv-*h-b0X)1amx$eF!fW!qcE<+=;zI>9F zCj@b^6vmw@ka}WmeI?1Xt1fFSds`eU^1OtppH0w3*qK(NoL0x$!W5fo=taY`d`uu) zen7K`isRTB_-V=IayqZ|aX9cmf-z|BI2g~wFxYXOwXMY7vF;ZxpWcB0H1G!UwKGzi7gj;MX^;H$yS)&^kwpa1 zaTaiH{5w7LiL^M*0l8FV1AqkBPJrstV-UMybb{FPC2)SxyUUrlxpZ`xu(kOg{2VJw zl2oP&$6{>=b?Z)ZS-ob>GH42g|6(N+Wt|reG(hYJ&Iz4d{7s;P0MTw?e5GBm0( z*EmvEiW?kk(n0+eL376?G=EC?(Twb}C8GS=Jc>hlIpR_UUq7e&sTW~?$SXPT%T}Dg z-80>0gp0RCq4YnkLA}4R?7vb5->t{r;xwPyHIGWn+!qTVTXlgw=#X9S%KM(R=M;1` za0 zzNR-?12Pi$xVlmUe*O&FD)AS=xwU%`nB&~=KI4U;`nSr#4y@oT&(~5YWpIe^TKPYy9i>SD9+LKboo-YVmHc^_ zxH~pZf7Zxckm>a{uhg zx5G2nDeuxSt zfFdV{_~qD{QutFOz=Il0ECTO{qI|d`nZro2=wEQCK%Q=Yq?XG|ZxhlI$0XHVuRR^3 zK@h#uU*~@z{z<2sWef@nJxJ%m7IG1!q}>H0Bi9{=MtfM!An@6?zKyZlU)Vd{s+*se z@Mg;%<4}OUktl-5$lu9r3jXBSHA^}c_?(gECpW3AGGGBD^yEiM7{IU^0e3`48n)38 zRfQZRb_{FGJ^?E68H+>svL2l&U?RY_e4RR}*X}Z~sZ(MJ*@Ffr;LPv(0l@XNbinGW zTVKB8Z>pBi4PM}Ds^~13#|Q#98^JF}pt@w~a1b@Su!puft-6Yt4EGaz`CueZNerVL zM5Fa6*cKHWOYjf%CAN>d=W>^;Xj_s zP$&DhzCgSQ^x|&6qwwz52u;<;pCIX0;EDkik8lq%*x%tO&4Bn$AeQfa2YOX=EPSJI zc~gD-Q&$``Pe)jEB37`#t+XF9Cx70T8{l?Y;`h(U-p?cm*{bV%^>6M)kJYT}#5I7J zcocZM7S!Z14HT2RdzdE%$)y+??j0ce3*`0bM+1LZzy*@M92|C<&HzGKfN~-J|7L}% zjD|0Tt>Xo=$I$(JxdMzYy<>*0#lW8(8nIH(8}j4wkaIl4fJ+z({6#87o&MOfw}LTR z%0MiQ7L`jTG%;$sz1dddIszRN(-N0SPzQ&RCAsZA9lciP*3J?SVnBz9p4;jGI*|@v zGoL6H^2+oe*$ipMoejmZc@l#Sx8O=TPjr=Ct->ZO;x&VJ3WscPsqG|Z2)e=gKN56m!6YCU7OJ_%V380jLBQKb6M z%YfyG>%c#p3*0F0U2jB``i^SqNmChJb)aISM@h z{DD8qHa=*x;m#5NWnr=b$-HX_S$TkZHhyXI4wIwdvYYMceXiIM&!O!(tntZM-4}baOPx~snphJ!&%Rj2c^C@f0{Zpg zCuocyg>aC=jE1TEqKX=m2USE2(P#{@Xm>~mXyZdLeHy4y6*&UDtW3>v2hA&LjRpE4?@Kv|$l#1{ z#gGQPgg=l&iN~)L8>H0I_%$i@Sk?Sgk}zyY+-7$2LkR@c*VEpks$+pQc*H-)NVb42 zXaU^@uj`NH*uDtyiHzH(@5x=gk1Tw0M*?0Kwej7j)hVr&{6^EZ&P1Cq50&apusFU< zsuP zah=VK=Ghpd%|AlDtKR^x#swd`RZI1pF#+O(>=mioy@cnS6K zqFjOlgP=GZH=~LUW#c0s!STn~9`s=qM%iUqO9GR2PvCdZso#NXN2jQNI+0$pHPEq{ zLPNO!$4`XR7n}aj;Nh)?9fP}5`DhvY^?Rls1!*N?o_jw^7@#YcxbyDJwE-TY`i5ed zWV#aVHDUy;EbI}|6x3lJ&p_Hh9I1P*U_KlEtIkm4s{yTd7`Pd04-n2-?>Z0P=0Wvv z5u9d!d|5CkQ}M_~Ik-^4UP_Oc;eiw82>y=QeCLybzqJvSpVbJd6&{%j>?U(D}7{%695mrLRFcSvkCtlu!2^#InuDomX87 z!%Xs^OdZ(a%NyS5s^SbNJR#~R3;X5GWFJ!g>cawTqkSVIDT-=3lsk9t`20@WqU(L} zF7K;~ru`~37pu$uGTJ0J=+ZScN~6M1?$r*~P4MZYtn%@~-D#lS(Aqx*m|CL|3ZtU! z1m27V(FGle1s%zqx#>K(8v@vN@&3!q#k)Pg@%FU3Io2vSpz25bS@Ye^`Dk^MNE#I& z`N;J@RJ~qTmaW?h0Dg1lh;7mT>DBz7@0}$EqaQbj`m1b@7VC}c78Z zC#%%!uZ$HKq=m1X1ciO7E^YYb`WY6QsPx(wVNDv)EnO7|wJ;tgAWg~?8!a!xN>CJ{ z=138EnYK8_Z)BDSJ+#LYU$;e`O97{l=nrTLVHWVA5xQ&jHyBqW6v=*BYEK6H4>Op%2MJHNde=k@ z8vpE09z@ytCI+lY!n1ot>>Y{(a^A0{N5{+9ZM3ElOXO_BLjS-aOjx~4kBP~{#xYSN zl|J4iGODxYY(pOGK_2X=NzW?km=|`F$iHMlq-(!q`udA3CiEv6B8?@6ghad3gBJ3( z*IWin)oylw^+f)W%GU};at0@i9@uyAU5$y5%5G*77g2u$T;nL=f-dEhAPOe?-TLe| zh5fyiQVFHCANIwiz1|J#=a0utC7bpqVgAO29;q>#KQ}N9#aLqe#iC-M!C{hq`iVvQ zJM)7lus;G?RAFqd-E%gse_zBAX=$uYguo8Pvx6QG2D*?m1T_@z^-L}u8GZ50V5gbg z;Hm<2nSogY&7H1~P0VBki+Jnm8i^39Vhb=Cz}ODaSO@V6YvsW~-=K%QPq4s8S9UuSkmtaF zxAt7bwld)BhKI{3@?Xo63QFG0m2SKou=@LbyL4MjFvBQ&#IEw+PB^n_pcj0B_$RMr zK`g!f2Jy!Sb44Mq3E2~rWe@8wq&KHrh#>{aYVapdhx<_oH*kDuf-52_oq({2qWJlO zJE8^Vy~Z)C&C`FZ1V1xVe*!r)Z>~?B?nJAqtLG|Zq+;lRt8i||y;N=V`zk(J;F{!I zOZ?4v{EyRv5JGl)ZIS)bIZGOT!E( zF++C`NQZO{-61O7DbkHJ4Bd@%2&gD6-Q6J|-Q6H1h@6l6cb>D(dDi~!XCKz^hpeTu zSkC>q-|@Pxi!M9ikC?fqHKxeo;+(FrY-?k%Px67)FKx~~ICGa7nRyktc@?ApOdkgN z%w>^XX(d=W>cZ70Ck`fMAR;bK{eC#&w`-0^VofXH^EG4}M*-N`>^tAJJF8~@+*pHu zud9va3+R_tfYNXj{^24Vt*Ke0Q=W#q8+M}R(K!+X$X z@zoTPPu8CYcM@^UVPNQEVJu=rfi%)^AbR;+Wp;lS%AC6!PZ+C0fL1Jn0pC$Z5Kuo6 zF)=Jp=;soA(AO!*sk{#?XdhF#JKBmrjJi|S$yp0EP)9~sAu@$^xu#Gecx-DHb%NDr ze;poA+r81pg{KUDW{&y34CfNVxB`Eam%`kv4AGqH6+AM7x@(kRmnBmrcxaXy((LnS zz|55@0i6XAbMb-{0+2r+JuxCVuVx9|%*1AocX6~z_58hYge<^d(pxgDk)AWbfKAzTuW8)z_dLeUWw42ot-^-M-7Iqc!n7P2XA!h}xt;>cu;ix#_(m0sXIQ7pHDwg3@{@A?>_9^7`n&rz~k!ped6lS!biReyu z%H9})SD_$47gmBoA3AHmeFC1RzvlLU0=|~r;CXA>vV@o2B^p^zIG8Iblxe;%YO1xU zT`#&k$64ADOtdofXnHCMd1fvFvX{F_8J=832V2&gfTMk@P-oxKC%BeAzgFM zx1#4UY)~q2l}*$sSCY0)wtvb8G0mU$FX}Z>d3E~7$`ywJ5aZ7B-JT466A3A3vfqFp$KpaRWmo%glk3c2#M_yHI)uM>EIpA)@r-*q8M! z_08xg&}cZC#k(R)Y=W>*Is!Ek#uz51$ji}L7Ab`3fClZ1BD8R2S*ETz$i2zB9km|k#9sK!u6AMOuD$}LRHi7(Xk3KU~U=(s95`j`I&Rg z-f_6lg~s@isbz}<=7>w`0yiQii3{Z+2e^rn9_no=EUcHQYUYn}@!vugWvRizN8h7U>jtZq4V(JWm(5lA%0nwS32If-fIH_GV!8nqgw;Cnw=T1xmnp z$;)@2ju2sFm&vGQ)=;_DKisefIhijE&B845lP1L zzJBegZ3KE?02-IL@53`D{#Qm3xInitQS?(Cs?ZdU7iNHR_VnkE@ExaYM?Hvl#M*p7 z&>6G;vFc&)iOxTjNB`Q(WV}WRU3wTh{r%J*U~H*HL_~hRxz?!t*$-I^McG}_z37Tc2QicvDZJ@J?=`4WpcNt=@pZC4 zgQNCL`f*t))a8e4yg7s5h%2-cFJoXIZ{8;YE>lsK8`(sMbtDayh=$Qi)0R_WuLiQj z=vYp{O%W{ih*9}Jdp+J~`MA_8_-t=C!!By~J>K6hNnj#TA<^r7HwQmWDX`3Qy#B7Y zt4J3Ex$_f3;=T-$^$+{ehW^yMj)aF@GEqj-l??@V%J3D6wMnSa;@j^D66W>1$7c<1 z`tl`8%B8!@N`kN&o5eK-M)@^pe~E@}ZFbn_()wQa>@IYXosF~{rME?MB$ z=E!z#b1s_seRtgae42$VSCr-I;|s6LkENBqSF9YOcQB5O_brtE>RhK!EWXWU0sk?- zB_~Sa3;{I`Rr8Ban0(`CzZK8QaS5rJ8SoNLa50+)YCt@!o7@*~V?HEXJ6((jWsCYf zWggGo-Bv5LLlXRj1j%r^F0Fa&v`H_Okf|5=A!%vtN~ur&d5(LyXq`$f#89C%yl`OI zUqbSCikLZtD)nAqd+HL zvUJxz4&WBkv{Nk5J@j#BH11k)*Vk|Tc*0GNN%xvUd6Zb7txzrgbt-h~gQ_YGZEDwa zZ3{8GSq7U~0-yWbKCL1FON=ssFw5D8z>q?r=mC2mn3FeZaQ6UbWkxzRg2TjO_BYOo(v_S-rBLa}{0Ku(~a6!?jeg2D$W5b2zS zP7NQ-lvdJ|)}4=vCKu;}g_h?}?kOICq6ZSdokR`*D{jGckGbA_44$Ok&qLFEuAKOI zYC8BDFn3kx-L$F(TqxdS9tH7tdfT`?3@mu=qA|#04M&Lu|09#?l@CBAdD0IEW7LgS z%%(idm0PS1*UR`dTwnE@TGYF$)zQ;Qb&~kS@g`OSpC<9WQ^MsJa<0o6Yy+OC3Ofr2 z-Hzpg(QCVp0-@Ga?{4pB#s0I?FD_TmxmV2JoV(j2Yl-N;=AF1s>bMpA;mFWG-z6R( zxjqLUXQljZyQ%wrv|ZOVQj`H7#s5x&BM1l8z9s6L>^Y~oDIkgGYWVf$^U<;wp`XQG zzT^+6-q$C0On=c}+TZ~*CnAa^APn&-GPp6f5A;RV%pUi&P13awg*(26xQh!TlgSeW z>_^{6MyI_BqDblY`b-43QTMuUT>3Ped^!-uJIjBpS`=ZwrjgM0ZE&)ddH3snUiibZ zb32vrUfeO&?EI$CTI1IM4Ca)tB!UyD1f*2i!Gy=cj}4~|l%zs0Yk*{zTuP;^JcEgy_bahswOpk9@nCHl0G#V=5LS{qO zR@Ou|vkiswXZ0uX>A%AZ>8f-$HrIbot+69!&7q3YKh@zD}O29?5mA zWwT(lmrjayALSKsn-!@;+>qauPyvgkYPja4H+v#v0T?_l4f@-;=3T22KaYVCTqqI~ zugJ1*%hQ-*v$S~02Ev8oonyG5#TvaQMRzR453~QCZRHE1PnUd||L3!M;lka)q{rnf za*NaL)M^_#&htI$v-olQb+^dm^r!RZ6Y&nK$ZI{chd)}~N>RFMsHM-&S6kDq_rLwG z8~xul6dj2wYuo9@ed%qNi~zd2x^@vl{d=u|qW|K8XXWwFO~^$1ZeGBjwwqsEfnBEo zkM~{|gUtGV4i)fe4 zHj~^l0WO2a&f$IK6LTF^NF>2WG3b}c_L{w;-J2X7~PzTx@o8qD}KJbi5uQRdF}~}T;=d}=>Yf;zpjzDbBv~+Bb9cB)M%N))DpN2PtZO$LyWA*oWZcA za7a^mmbPuAl=M$u41&@=pxLb01$~<#IgO2WwORGO*;30*ewX%x*?%+(F5SUl474WM zjb8ZHY3YWoKsBLe*bw+#J1xEOTYdAwDZbC+%-1(4xWd46mD;Uq;ZK!9hkt_#s`2JJ z(q>}HqzUfkB%b$kQ-yLiY}CBezw=aMosB7doh@NCts7JMTXJ=uQTfA5|B|a^Kd^_P z`8(Gq`hfSq3N+iv&OG%#Wfu}e@H0CdlpSpjKg8lx_5y}0Fg5&A${xjFU?6+!cFWxb z0uH4jlx_ngH!4X>8kAf|PO@0dF+Af1c%qWCl*{S@Zj>`bLMn8fPm{SM1>zEV@Hb$R zO2fsO{X~q#3&w!ht!6VC13$qs&5_^2QQVP(WFc8Imx!liyQ24R)<=JD^a`dS6kvq?or4Ly?*c3ZW_}q_9>?`j+Tvx;FdBi?APSSM@j-Ds?3m7UOB3MU zXx)GXPE$rBZ8fJl{*30Z`ip^GZxA&2RbNRXx{sOSV_luNVqps8>9(zV%A z>Xi7KMjp6Nl~kDB#WSx1-Zod+Vf7@N7w}RBj{_P&XGK(XtuK=6RkwmuA^(8I1CNdr z$*ZNu$UFT<6on!BX@G5M(?))FsXi9In-*_Z{s$&5O8H(|eM~}=W?${``#l9&TOQNb z*^XZ6+0u7HxolEr)W12p>I1fnaz8Bo=PIM0DJVAfi7#L-=i)@O9;EHC(&9bduh4Gi z^XtOWpw%aJxX$j!*-_0nkBY*u+kL9>FT&qI9_FA}z1TzO-%8{xT%re}E;_jY1h!n@ z14W8)i_T3gTA%4f2pf)hLgl7e5S*JgThVMW6G0%HpP&|4 zC8H-2v6DyLcg-hWdoV z#%@p{AAUBs#cc!T6p0#-iM7q2@aRQBEU8vIpfOp{Owb@|TfXu4%^HOrw~ovReCyX) z9j<&n&|pNp6-<_dxSw)?9aMH%I*WX74hzukO(>XRTsQw*q z0R)#b7Zr1)dSRKQdm zGHsljjVY(}93pn-v|?o3JKG2D4qYVw97Cw}h^1WohwHsgDhdIQOa`5zNcGY_jiPYG zi!Lm5R)FOaPeA8|Zp8uCo!b{m2TfgGSHgXtD@+@FDCt?B{m@h;0|u!3LTGlpv;RAbcYY5~UC)ImJbKZxfr*+I}$JkFj)=8Q8dfDO+u{MP} zNwm$rG7q9e6~~Jn7b*C_ro`!l5`BYyuSS}mY@p=~cIU4u8)9il^38k?Y2A=~x9 z3h**`ADY(mBsINbYATe`YTv$z2JtZH%-~>$L4Z6(tOlxcmw1z|d^ueHAKC{Wcn65o z=#2#tzM5&#TyR~ir~$u^tWep$LoE7Ye?r;L3S$&?5YY$WtQb+9DaN@A?6$* zTw?g2P}d2xr}8MtgJ=K{()UHb-avKuH)hHxyCQ+;#Q=Q_u9o-Zr2Ma8G0!GS#{4sY zN=XbMJm!A5RDb0Ao2W9r&A{?s3vTF>0@1-BM~G_SXhv!>sQQchi`gZYhMF*whFFuz z*n~H%`0Js4gtX+{k_dxjb~qOKLsqYN{zN_^lsrkQldB+u;VH`{7d#lJ*QX$=qRZz0 zVF75u3hTTNpJ(#H=9H;%uG z>?S;RzKf*{Y1p*0INO=Wj4p$odoa%}jaF9icG~Mv?R+5^1#tIip{XiIK7_gfiAsEQ!0ca=$*YeaJwG>kf zsn&#}rBxjvqv1PJ3Izj0uXNIUT>W|hgYNGSbmKS?)=ZsP32wrBZtr3kU2;4UJ>JC- z>eWtM&#b#+2-3H3nhaE+eG0~}Uq4RgZg>EP0pxP+1#4Knu`E9okl-X}N|QiK!pMgR ztczNMV+_bzJpYg-vnNBl8EOazL!>TAXt&;TFrfqn!avy-_DRyRFM`@eXL=4f&@AM% z>s2JNq2HVVY5m7lQN}B#!X3C&v8(I)+E`H|68Cpmk%t9bVqxh8m5-%u-fx_`-X8{6 zb(!XvK;5`-v|*9oUo8K5ymRKrLx8II!5#)gnmMaR5Hrbxb`;aRNJ$aT(HKZ(J_ zVx~ufd<3x^6~HBMCZbU;i;%>G$C7t7az(@Mw!F2O%d(|Ok__$V-Q*gPvL7x$~s?L%u4wYpxw#FlIO3VvQO5qU~}Rbpjyl-WBmLe$#Ws z*+<+Nf$S^ke{LFr>R+L3zy3pbcF>NoXB-f}z>ax#iUbWW-4qcBY8@>i+4+M*toBOK z+p>={u|?PU23a(gUicL%nz|psdi$eso9_O;|3A5){7Xz2RR0!59t88<|B&u8Ruxk>#}5teE|y9*%92_&?D}x78qAJh6LE zo?qmsLYwu=1RM%i=8-&pXr7iAtr%M0#+pi1%MJ{h9QGgu6A`*e7KGz2xYL3lR${>3 zf@SM@jtJdxqB`B7Z@JT(%rWG9l2MPb0`s*50h?imTv~H=TF2*>77YV>`9$oC2vBm`9*lrqC%vs)`GwEFVN&8el2k2&$s*K9^T_boPBkIw~OHlzom>0ps+X4 zEGIz4UD(BA+au@x&Gn00+`?XDguCpYmwr_O$j2SofR1KW$Kj4mH4tJWWr`M-iryW9(?)8WJ|TcH ze~YRiF1)B!Au*ZM{}c{hhEBa1DJj97{BlM38jHF3o=-8#Y%&0(Slk5AYYvV<%8sV^ z%SO~W4#s%BeD3^Li}JT+#xHXVSY0^ye~@|@-{WJ{8+EPC4$twr^RMu((WnMvec*)% zheKb*5MBf|(GgRGLavjrS_NI)2S^ISAya7V4y)G1PT(*%-Nija`|XP`r>`KR@-pL4 z&Q~#93yLKw;^@!Z>isUNWmz6vmy+{tyB@JBvAjS~{qNNkYYr5Mr;> z_fZl&@QV$yDt5C z*Bzq^vs>P|>ZqGH+8MvptE4Gli`I7FNG@SY{}Ro7x-^kthm6R7p?7Y4W0{d~NFb{S zqwNgAV6pJ}z7~r1_EoU`!+FmTKD>z<0wY&k5N=|Nb%-#`Ws5J*2 zAAcd5yY5+=SN2Orrg^kvwq&!)uH|L>7>su$PdvEEv|7U_ojT5J(o`G9faaUSz#= z+daB`3aQbIts~yIo|Av{_;XG$X!@((;qEw;8g)V_xKWJ=-}iTboY#3K{har4^?zJ< zI9);nl_b}nRkQ@lQ+XE?-T^cS_g@F2?BPhjMftye3Iq{p*a)ktp$p+}m8PH{Od@SN zr60a&2!B%>{(DCm_S~UpsR7}#`8+CC9|!|sr*rR73$7z*_?AIq55Y5ojbTa1El&M8 z@@xKr{H#8L6i#a~?loJt>4?_1uG+TS+9{@@fLkBddkck2| zHX=2#bPZk9H|Kb!Z~ivcVPGnU( z>+>a}9~TAuV1NAl+Sl#QPY#@{7OuRozjpk1l((J;1b3#^w;LWX=UH@A+5-uoKMpm$ z17`f+poG%^#~rs*ae{+l84ZiUVlAeC7X_>=*NNp-6e&Y8S&f8nsR3$sPw!w}Rg#ut zuR~Pvzz0z51K09AfM(1J187Fa@FKbBdBFoj1?JvGW4dr$uz2jeynmVC(Nu`q&utcl z1JUehY*%d`eoLod%Hf`=4h*sBFzSs>^AipiIg6-pZKzHY;BO+Ct#F{PXtrbTc9^WM z{hzpXAuS8aFvm^A(iz2>!Kpt?^rHO$SUMcYdp!=4;&vBq6HX)?89{6qUsk;nAStp1 zrW-jbtbhT}YpH9uA>FGp#qU9Kk^;M}LO0?THf1&fnS7GQnn>P}O*TVD6NDLD2d=7! zz%H6&8jIq}5Ssl9p%|Mbd==|AWYM9Jq=PX73z!$JbZCsNl4@Cj-_v;WsU|VR`#Fg_ zQ-MOUT(5WB_|K=cpE<}Z^uqO#l{>Z zH?$x1OUt74kK_NZ*6n}$Q&4wGhvxq%Y=_f1>o@NI3UoPr*RfqAwf}41Ac|D9k{~$X zz#~l)Zz-C-VfOY}TRBpdI1+fbrugj6tLa32`|*i;T?l)@p#K8xix$>k22| z$w%ZltLR>(tv&^wb&Fua1PvpRNNxM==x0BJ?gchpwf9_ij{Pf)svkd6 zeV18z^jwjmRvo<<oCPvJ(0hRqh`&7H`AUSS+tjxge6gYHgQ*}x|{y5ah(=lVvS9Uz5EwPW34 zo3zVGfOA~8Zu|j^puT`w82^g$*}WI8@^@2_LM%hmCqw7hzGG5$I=tF=YCB)({bDb_ z7k#^X%3nc%dZPjx`aY7fju)`|ajJ|4*e}j_jOHcgXh`)Dc`qF@GbKZ4sk36DPRhhv z=>bahvB-j=NM4i^!^~LCT}VnYi1WpK^A!QmCUiPo3I7O5eH}PBpknq0lMt*Sh=2%} zRa!~LA$2q0Ohm%nx)Kig!1xfYf&5$(1P-nF+k>=g|c~!J1NslsP7J ziGNa~1`_CH6ine7rbD|KOmbRBh*ZfC9B4HPCy6OJbMaeCt=@(LmTAXxi2ZVlk8iQY z?9h30gq82dw7Y2vg+*Q*QU$PN{%gOYxOX}FZ~Pav zBlRV6n+ED(xb*i$fA$WOdz;54yLAgh^6RIABn>EmLz+#Nw843|=~w~3CB&6lXWRY{ zV@#f)BH$C<;EYPlY4kQHJnz0SuX|VG@mFIu`F}04cS<3!p+(Fta}mhs=I6#}#D|yl zNgI_2x1ZBP?F2|zQtmK1`&@l~h zR*?!G^)TBXh2!A98Aio0ynhi<(2cq+`2G)d3}on>Y9jsz@P@2jKLiVcaM;cE%)>^7 ztK=dmoHYu#J-0-MZ!5h@Bwl58JCT6Ng=6zH?w` z>T$FL2b5Hzz~-!tY$ENwbcpXnB@!h1#^~OHlC-TQ8Q!(|yVLh%mYkDgm1$PxA~h{B z5bH6M{SnfS>Un*sz^y+INUP(9Qh~YCc9gaF;5`zlaCKJqxnD-n<@HxT07HgNa|0G{ z@+fGj+&XVn?X&@gCDXU6jsKN$bRS+07OJB*Q zKLsZp8S( zB6kqgle`e@5DyNk_LrK4tgN}B0FO8PM6H2F(sWvWd7qxifajQ|P*@RPu2^swJuzhe zHdtYdGaRCo3xqUz=^#giDO<#GId6qRugXCKR+^0+m1p3U^ zB-p(&T3xp3q4PZ1WgU{$$(o*K^~Q0d^!$}}DBdd+f;2`KAjyD`3@v;T`-Q5l-@IJga z`>+;Cq+EQ1f1sXg4Qtpk*p&?%wCSlhX3L@>S8lEJLQ!TO2ZV(zY&oK%h%?P-f*~)# z1>@d zsS5WulN~L&J_H>v{ut+FzV61Y%-Ns|Hoh|tW#$m;$g%nUe`w>K>OmC1>=4j~G&rrp z4<`V>V}Im;U+Dqf_2KXOkD;(^<(U5pn$lG2F!eMlWk-9JTE=XyjRr{yNs1+98L4>0 z`*mOkdu1#=sIYFgfzT+tj=6&)uq;L~1PAn6c-^F)`c z`MgTnadpL?f^P40@%r5T+hRLsK)b*3bx8O{8SXQmVVr`2P4VZjeru}D(cT8{ACoTFciO??zDndvdmGz7oZo0f*^ z@T^dXL!5ysm+7ll1H%h5zo*vxL2WlpzjGJYoRARLj9cvl*@l}-6#LJ<7z65dYYwBH zzur4O-kIr~q8C^I6fu6NrYRJ#l1;sNGI#S7(1J{rMqOXwF#>A_T4B13a0cw`ck2SWk3FWVr!{8(t%8rdhOS1ed!3 zK3OliVe4KIW}Wnz6VsDG)!LO77ljr)=jJ#c!S`~bD*$( ztek1I?;r(hQ(+XaZQnX#(->zUri64r4(^x$r!QTMCV0Pa?*AsA53rm94JW18y%$w# z9fqx=TyLPiraz`t)atPv8elL*gqjP_EN?_0t2#WTab5N(Yt2Yl z&wfA7zayp@tgdZ44WQ0^Ib%2ze;|Kl-ehfMonh(OnSmvyqLUW$CBXus$8e^Uk4Y1X zjE{xPl+a~5Zz_UEfK>~pZRsO9p9b5rVaZ^LFz71iBoX@P5G`2hdYG0pmr~CFUsogj zeu8bMBD+hjj#lokJzu}{bbsXC8S%W>Y2D4fZ)UD(OV0TLjullH+KC`cCQim=!pB^#MlHR(0_PQ@S{}ua$Yz@Jd-L8C5hFD%$`V{ zyc*AMc!%11@g&AjTOvwWBb#1onRaJiBOv&vN$r-Ck@g;gMIF__1AW_FSozGts9j z{hQ0EXRlshs*8sAvfqcLAUni$Jwtk+D#3dj4Ix~iU1)2RE*G;;A(s2$)Ob0e&gKasS$iD$XlMQ>W83IS7<#;JfyAsMc$#0!}p)K@O$lKHHBkq0IM# zua!1=l1noNYcymuGOl?fWuoYqQuYqs|L!k@1A~i?V>vAxc-f6(60QTEZH4o`V-5<7Jk(qb40ugqCj;iv}uTe%g}Hc@3Ovdn)I5zV&`O|MNHOee)G#OGKGId8rMr@D9NA~Nc z(PKq2LlTO`&lS%k322c2-75%$GE?f0d?oQ%BUvFx<~EDudpD1J_2&vrggpMd?WTs> zg`{%{j`;q%l z2&>edms2eP0`BH2?O8QHNuz1Rp-ShAz-onQRz&?Q$&i>Flh@`K{^E1GR5-K(ONcKeoLRr1exKrl{ZHDLWGmJ-{$vN`^t z$((Nur3zN*M|oUV1=V9k>sNjUb9QVCtN`Du(_Z_r0$lZ#ftlw0T&x|zoQD4677^(cO*$nsv$Ei~N76~@nvx|f;1#`|2U^u$xy z2sx%+R;?lO{5ouw@#!lElLjCC-cTY(dX}&|`4wz1W0cnfK`l6vK{Is#B-`<00JPmU zfFxU$K3f;Lb3pdM)~k{R?+K-2J&IPTNdNfD01fd*(z6i0yn~Ktn%IlLav$Xaelbw7 zGLx7*C*xZN#E0{j3YuM_?6^^}lYhv(SWGFflOeNabZjbGFgZK?%R#1SIv7;QlpeNp zFiO8aN_Tpduq#IFI&Gu@gUM>cx?_t2^@xQEz+hKqRzAgobb|NOQ&F|FiWS6ILtd9e zJDT*gZ%fqPy43f75gV*|F_3+!8H!*RD!8`XJ?%w^@4qrFDD+gUsmalI99<%0DN!QI zY1J!f8>#u^23JXiDrp&&WmG~n3qBwIp8jU>M|WfhMc0sySjyCpjvad>?%O1_y}4CO zNN%(rJ^`@#`#tTw#XMfZh2zG3fyLwUBgxifoT8cwT1+mUcAwK7@bbS+ z5VvxCWXE{dpL^b5ToLFhFL?$#9kxD|zBYbP%@5Fi8#R8rQ2p-TJrVx(m=Lr;kyq4c zXljajQL0vlORdy4t=W>w!%@1#f`yvi4K zQ{;!X8m#J=hZo;4$&&p%e`vkMZ349M#vKx6+*6EDbD3OFr+%?7EApuu-s74W!*z7X z5V^y_XRS^QUUn~G+uvyX1hW4;d#VMQZ9!u_Dt~W+QyKHVgzO|#PKSqT-})~)J@i+Yrn18! z7(UD@Y|mptLzsx%f;+#ylV`}R%GNlX{N_~tGxPRbStX}gdN&mUJ@B>NO0O1+0OdqX zD1&;_2@d#2LI?&ymT%rV#&c5;k>G%F!amFf{5&CYsCZJl&)ngcdc)h;?eYgTXrjyCWu}opONKK@dMc)oAZU769n?+Rk`(|D$G|zywqN0 z`q=NKN<*DPaMu_27#R((8;r1<)3OYwS`Ya{Meg8;-HQ}Jdx(@f2c zlu3sA2o?0@4uTI?*s5E?wPixQ)uUlo+P9@H@Wcn%V7O`%PclK&7PkO!dI?LadZySD zYM*ez+^W2XYIk4WeBAmFT6LzegSdo5gI~(PP&#RtFWr;%KJ|(mETScykRf!J%Qs~- zU_Uw1pzrZ&5}`@~o0C|Uz>$0TDnpx&7OH54P+`m@y!fOcWU7va__{)Uns1CVNsgNk zkGU5czLzH){`-=k`i1fUF{h0fq15^kN|HIY!p;u6Rcm!Ng%+U=-nXIe6Z?JVmF|uExBvM6 zJS?bG*I*#&`!;6>K z&1aR-(8=e(cK5=0so_Q0du&H0t6_s8_A|CDOXk2xVXrrYQp%K#e!2;aMXqZ~&v?10 zU1FNI9Tyv6WI|FLI##-Vf~l@7D92H70Lt}0978n(%vLsvBgk)+mpep=PPZsW?!q72TM5EJPA z;&SCGL9ATS7WLutYiUuyOFJ%4G}4?|{Y}b-BNW;u8t}%e1XCWkOGC_u(-hYmOm}7HB%GXM^R@_x~_-ol%)GQvT-+9Yyhw4$8)c!&$`r?lBYNgl4UN?FG#K<%= zhlXHf8L8!{mLi0_qNCD2%mr-*=WAH_)J0;4;At=f5&E!9sH>{j6m~(qIf7fEwz;43 zRn3yDCDY*O2r|abtQ7qB4U>$&#t3adu0I1*^YmT1wE7E)j$S?A=TVj=XUEbj6G`fF zVkd}5&Gh9G)3Ln4;4_Y&PlO=pfXd?1>;+AOq%f# zO@HDT6=roy>>H&*it!R1m|hw}k??~y(RS0e?szVykNrDV>*@5MaM4}dZS<&|f9eiJ z@q-Tf+Tjm3?N9fPMJLb0Jv5NlR#8bP_2MCv%k8-))|*%n*M*7WRGd|}2Q}tl=1422 z;xlJB;pYQi!2Hgpg0JGJbT7a*kQ~PDkj7`U>Qik;NRNhwF!Gc%_AK zLd6W&*N-B$5yX%;e74!Yo26lfUnt@KUb}L6H#CsT#YZI_(nlm=_w3eDkFL{p zb6H-ZT|-a4)IwUwCs?%Q;Z zjYCQkPh#QpwgM;frL($WQb8mPdUzNG$(V66?|#*D|@_i{Cm1Vlu{ z&c82hV}F*ahlc?jspg-AGtpeYz!;pz=y$D(a<7ojPJF}^V=wRiTJJe6Gc?oWwNn}c ziG8^#gG*N|e=0OifZ9YJ4S{=N_IvGX-}-~4g?j;ka3VwxU#ei?dMUW0FdgD^p3|d+ zj!2FAq0RLA)c^z^%EZK?t!WyS3xWD*7BCP*X5i#x;5B{@?+qEQ)P6$+0{i81{>p8q z;s{AZkIwk}OMR2^`A1-kUad^|vhpt#>_*O}l z*dL=`OoavMY=56l5=$m%+G9Xuil&u3H)=d#mnvxI+%@fjE4RhgZ|_(k(ho_M!7qc$ z6idWPcDH*%T~saAB8w|2GV?3h3`pZupX;RV&7fcC6^An3U6Jq_Y3LB|4PH+T;(R8^ zOhcL9V6?C{mh!mfafz*<5vjtu+)Wr6q1_*+%@M;W*0oFg;YW%!zRi*(MOvQ|4PlQu zdm*Hsh^QT)o|#h@S{HBYs%H83AABziv?%1E_Mb01#@hY%;>Sfp66>0mP|iMIOQ()+ zJYOnzKGoZmm&)yxq$(QceXTrF+kSsXA}_K1HTVD($tt%xCq&f1-)na(AZ#l{Vo$uq zIU%RY{@=gXzfRZ#&EEt1H-XPX#eeWal~2#HwF3s>z+5F zL17~2(=3Qu_ypdx%hM7vRR;1AXO4qhjzYsM@d(s_hc2uj4jrP#H`TSKe@54>qg8e< zLLE{|D-f_6`D{dy?%vG;;b0sP^YM90?u0V-BJo#ems&9{dtw7NvXo7c3kgnaoaT>C z=4KK<58U}3$0B(w9~)xx!w-+Fzx3L_e>?7=x@4%f*MD#m;44%>xqlza7^)_`NA0R5 zNuEax8FG5LHYHQv9tmDa-p28P*N3o7*0|bnoeVT z2xeB1#;kyR!!MJ-cUko14zYYIi)6G2Z|$A}I{JjNQUX;W;{Z190*OCJXowX<3-z4v z7}k-GI~FY>a^Jj+M?c)OU|Mq+cKuyh?QFHz-wMT#>aAIC;Iof+q@^z)l%MZN>^BGzH;Z1_J zEIqR}zEPO=LNX#SZD?f2sBo9Tmu~Y}l-6(qOhc)aEpes}41Pz)q9UV}kz;~&7bOi0`&#A7ydgf@dWaym^hE%S)0(-1GsPfzPRGr~ZI&0Y* zt@giX5*ouE<@;5Uhh5;trHhgomLfQ0t@*+Gb4n`C4>S*g4-qYzQ3jZitw!S~{Yh|q zQDIu63|>45^Y3YnHG}L$$=NP#RS8@`l|slUoS7TnW=QyAC}Wr>J0$YBT*lm6e%oBs7fFDfhwMyd7d>*rS1*7|dQZmAzPZvj2r zM?WdW|Ej&jLw42~C@m!)q~P-DM?>`H9C8>*i&4GLt|YOQ;pUObzGd*$*3G_^V$)kD zW@f?JfLAsCUyQwVSkn#n2P}%n00lNu5Tr+gAX3sba+H8HNJ)1$Q@Yy`BLqb0PMvg@ zbVws9-SB?BpZ9lN?;nr%`~1mv?V@&e&iT|)vODbbAVh&4QP3DSHOCS2J(FzaZ5edP zKo&ZLhuEg4^y@jOx6lp6-KKT4?U3$>(qJC>G#++zU)VU;W_-MTUrUNhBork6WZrHj zr~LiRjMH}q8+sX=n&&$gwvL7d!W)?ERMlROb_r&?bmeO zEWyjYOl%t!8fRW8pIr4L<}ias*M1ooW_=W){upT~PyLNz`91;`bf{IjbTpz?jPyU& zL^e2x*TRIWtB7P|#TN}YiB9PP=Rk0H*Od5OPK>~kydkH#4SY8(#SN-c6Nyo;d%4G& zcoSNh%KUn*?-zqL@%9#E%FDy|J6OV_yvb~5)jf67^Vwl25DqK7vYMsaVUN@2h8pc3 zEc8e55{42F?gG_pQD%WuiT39xDm65HF!V{%u0r3%9HL#NX(?kUT9O+HG<(1Hk)P!3OhlIQ&BzVPe0Ua)uPk_ibbHo)F zmW@g2t1Mg_Le8AE;@F$ts!AiYVkt!t^m}x_j(KUf(g^1k1Yu510Y`(wzlD832E`uX ze_OgnK^n!affwty*s%-#euUTN_h+N#F9qA;N?PvW)kMF`b2#w`YuCajRG$68`rN^4 zkeZYKN<3LB)^Ed}PN2r)%(qhZU)ov$?Vq+5{O!)QU#n}&pW{tYS!ZEc9xPZlMmRX9 z_vt8T{$8;_WAq5bZVQqiCLVt-Ga(XXa{i8Wg1g1}-mxVGSqwbp0V6AbhV?9}MJ*K) z1cuDJ2Ys!v89J9%lnSJGyj0MzGN$9>r7;eAJ@@WFbkEq)l}QB8F8Qf=BJT1@d2kNv zYHjU{db;$eeHwA4k)%5pa~WqGUH5Z$Uc25r)QTxkazF`7DNbTvn8=3PS5~qgaVxn@ z+TdQxteb*A(4a>oag936$ruGP&=DeXv-U@VbUYYgr;T(TN zXvQZ9k$GG;my&Tqf5&Oz;kP&JJ0o$B9__ZQgfV63+|xjJtr|yr-+-hClC$1Fm&UQT zg70nxmq#EH!0D#aS1h!wcv9cbW;iL|U+e?|^NeqFyJY1wsr zhrs0rEMRM-q|Z6nI83@h0EPlxJwo7iUHZOR8t-;q0hHN#pQYWb&yx+ZCv-A#K$Jwl ze2O2=Hp39O9{N?o+KpEv>+2S^T1wxg=)t$`hel^&c_F7rQTEr6J=7%jxk)(_p2wH%0Qi#Hl@Heh3%xw7vVGJrUDWxf&Kn++Ip5cDx zPH2PVU%tdd;l8i`;Ib~R&&ST&gTisxi`>&B>7YmTt-8XP+^Eofjd%;fXKoh#;|*{8 zMZEELlok9NtkmujgRumR^H1ByEqA={33K(CbN8)r^{_uW6dL$vGcAEwW<-MAB415{kSIMBUeY+GX~LZEBIBeq@SBrqkUMMBc>lJz7UQLk}gY|VDO67E<$p-A1l%$3XykINl;NcdTk+|1Vjd!s>WVd z^s%e2N17~-OUCwTY8-k%S-GTYj}ZS2ZVkZ96ac7JPCubfjsAo4P~Ukw;sBMqlOk7v z4_{c%HjZBf?=Qv}?Y+#bS2HqSgx{tuV7Y_8dY_66NX}K>iPyRz8(Fws$FJ6PlleR9 zQs(~;x5@kXmxa~x%!E(>#Lqw3G^h8m{}*PnNe+{?Kw`(mEO#qQ+))b?v6oY4olj+h zqI#&IVdkeTdDv`3(PbM}sgmiT=$vAv_rH7vnDWzavn0_G9+*smM`BgCU-g$s` zbZ`qRm#=A7#r@z0zqocaB*T=cW0*?UVYzB0q$H|~C#Tu6_J9HF@CWeqg$EmBG)Mn|D8eD=dzBdM%}tg zqku;1d$Yb=V;9o{WV+wMAHLuHkPFt8gb;l)l>W%gro7L#Ih6VB1xb$QcwZ)n+-Rb9 zBrR=UvEMW$QVwU7hzVE^x7)&A5sELum>4z07e~P6>+8c=AHMg^B4wCI?GlMwe`Fe>V925G^SH4>2Q8yseVEB=a)+1qy<-Q8 zVBE}Zu>jEqD@+1N`f*Vkiu#7m(H8gd)@G!-aznz8F2bW80a120F2A6@u1SukMZ zE!WIAN!V&B=dF7GzMO2grg~eW;uk-wBN+7AG+eQa!Q*H*el9nY?$xcUoqC&;y@jGpssD8aIE2zgNfAw5ioul@KK%4;FZg+p?3D#)0Q${%<-Ap_{n&Q zqe97f_B-i8YisM=&f8?>F;7OJOW*PV2Bhe4T{j+$XqWC|XRDHWr@tOAN-C&(^2{0t zM^gmqG39*oa2EEI@#oFZ109qC(o}Wv=gqTs*6!SOoMz;~)n<{@v(nX-C=-dWd~BSA z$DWx*say&?Um~E&42~?JJi{NtKokNfJn=RcX;r=;^d||OP_cn{)}(Pw;P7!!T3=fT zPsy8RN~_HDJh+MZFeU=ba9(*og#8)qaHh{d7w6;IyKV8R&!L|vRT6{=XYN~}5=c8F z(8<|c%3&rHo|XD}A({k4;e|ufHrAi46Rq$OtgiVkuOGGpBkbi)o1y|r0*cPB7daV1ahl6#!4m_Yx^^2!dCrYLk-SYJ43`@D zVTMZiq+<}j2NOrhF`J5`!f1A0hsvl%{Ztl#hUGCPh8dVZh$Ju(w8T|V=RtX6)w~ol zl=NDj4XLW}ZjAaqs>&>0@|Vh`YYg2MP4|V^{QJ^%QUgi1ft8T+bFH|n*6=qcbsT^z z*8lRJt;!)S5mT$8N7g&~7>55^DuHxzMsah0dYNLxLT6I4D>Q2Bf(KGkZd%s*5_V!P1CEpm{EQ@hqNzeZaq!JBiis_+a z)cw1&ExTJSk3h0ixeEdhUVd(^y_kqJ6O zNka`wcdY}i6574krn{IylMp09NZz4_E-4$Le^*`w=N8)kP&~Qb9PBSejW=WCe<|j% zJnIl86_eIHRK?h`%WAAULq7>VdY-Zns^}E19SpL zRqQ07Y4iP9mzg8G_^w7U2xQu8NXK-venI9W!O4L~`?V*^tRayL`=O9vszdemQlqs^ zkOai^HC_DlDliAJ)lI#UhB z!d+6w9(rIdU1>H5)hT^{2oN2kq#T8LzsE-6lSfH8CFl{a2av;)zJ7&0*Ma zs8kH;5R3i>OhK3`Lt66dH$kwEPUyQuI5;0t3z0)0Aa7P9B*bKOfbG2B%Xoh^!6%z8+tnt#37FjTz;3Y`S`O{yuNc_-6)nsQ>x!jzuO9<1%LV`n678>#>Tk#l2;R ze<`#~z_UY2^e0sQrQg|m|1+3Mt1IRIGI~bZHtl#&bVsuM=ax;@i_FW7jOJ(>4*(2wR{A*c2YBObkg8#c+KwQtG7iX zSgODV-4+l>`RL0;2;qxr^=oM?&;BSDS1fcw=QFT1pQOv|pHTc{ynyPcbjr7574+vT$DhXRPfOBKa@eU)P@AC%$3KBtuYT47}4 z^Z2}-?W=7WwZd-u_y$@hiQ*L4JAf2nmTK4P;Y)%rM!kWjgSm}qgCrE(XyPT5LMRlP zwC6S98%`pc7~x!M5Y0HOp5wAM*M@8dCv-trH}*_>ktjrZ}z%2 zl{1f~iR1UCgx&I2F@@#u`U#FW>RtJIgEVgT1^u#ysTd`UQ1L zk7qKej4nlNME5kpPOa(fzL-ES$Rbn!*t^@7$?V zrLQ+94bT7|YTM=YGv2VzwAJ3pW)pnKj$~$sBtUc-~;<+m~t#yM+aA_ecoj(_Z__D0I~bGb8)%;&{9< zpSm-??6h!t%`(;MyHR22W_4Hu@~ih&FGXcqs_gMel3 z_r;cWlM4tSC)5N`W0k_P>1JQRF);(l%>&rGrxk?R*}u&imxK+$leidJ*e+~cLaenK z%5{}aJZ(-}BAdgHHiv7%aklm!9biTQ@Mm#0mn)b*4*UsV&FHqOQh>WNxCx_a$RTff5(Q$mPkCH5p2d-63BNxGPB*sh;CKw{>qxYRynY+SVs{i)sp6yg6l#K|@4}Im zhti6(hZPqihgO1;dwV?7L*WsXPBXq1+itD}QZ6}moC3Vu#;f%4YA?i%&kU^z$y7KA ziF$&R5dHuXq)O_ox*sG#&A?NF{KIZ4zlP#s=+}nH4|AtjbEYVBIlk&}H1_4ny3aY^)>-EUY%+Ht!E^(1OM2&d8_ z{z{0cF zVRZ*{Ib&V1Yh77%PmBLl=86BuHKT8AcRWhHmye9Rr**d&Vv*;Zaem#WqN2_&_H^q8 ze*<2D$Gx9AXka1^mbY?;Eteu&etl>GQJ;6oo;ZArnMVYnWqFpU{^ixcQ=I&P+6HSY zrd}Q8*$%6WJr7RdB@2>8PH4@RooD@->UAAwQnqB4?9IE_Xh*wH*QU9^> zn~hmQzeZ8zX@Ug6ky&)0)b27|bJimIfa(2VG`KMx04uS{bGO(`Cyy)Ki5(K+(J<;+ zJ6g$^8@V`R{wAw}xEAS8{93jl!B4ugkIgMex*yCzXUQ+Q+jlxT<+fB*&e^;S~<4{&$6w_f<%(#l*wwWL`?`H5g`V#mNTx?#fO;ihf&3QHZj~}L}ZU} zlZfSgEX;7-sqh>wzuj>k$9jGGiQKufu4YdA!>?nHAoSe&!gs@%Obxi@>vXr$&2NtF zNdD^im<;B%D%eo)d59AebL4%*{#F<^D2AQQXtxbSDdc$985g(itb>AuiHLh~2{<3^ zeE#gh*%_EYkIc;_!UmD^$)s0%V3oZvfVeno+wgb_YVyI%PsM&j+c-g+j!bSCz z`F$baMP4T#>U9Rvl?^g!AgbAN%Nk%UT0)Gh@N4>pwqDS0DKSe4;W#20Kx{3g`U)Bj zHe*&3ARH24)@ScnetjP?l9lL}{8mF8l>lM%#CfBvv4OX4Ud6NJsSDp=@Y#1Q(UdDx zlZeXyVfvy{0Z;!O**GC2NTQS#c*Un;B>;kH3=w`4nfx{!$(5H4)DIv%Cutbd$Yo4T zmTPeNk&(qyuW;9fm6nOaX4-bdQ)vF3N%tqId&)tQKwY2njBc3$O(G=?yP8%q)= zS$V0@xHLVgLp2Fli*Guci9AK~p;8Au+D}<*qwcMcok)kPJ@o{)HU5FwqEu@-93@6e4()p@4XpubOFE9!vSK#LDrEcME z|1I{>if`}w&zgHbA-je#_{RLf$B&C&tYl?nwa)xfU|3UrGE#^6ZT;Q_=fU82+eyg- zA(6Z5!Be_h zMA#bAT8iSpM1qNsms}>6SWw`d^Oxs#V4<;$gsI8L)VgfuxCJ{iSx$~jcp*{Xp_6E- zpaJ0#JF|34E|CG;J4`d-?Lnx1s|xWwb*rcX_QyaJ#pDdQ-9cvBjh@~IEP$HA{=&J( z0@`V?^`mz0fqW-rHjb2BPw~`NRNKg%S$o31$+2HT$;7N78!qJT?zP0TFG5s}9{aks zMlch67f_>ZZ$~~iXswM}Jw~zKixR8|;I!I|n>HIni<6(`EjkUBa>dX=x1*W)&2mPW z=d={%nV5iz>Q`?E0Y^{?VL^S}IM><77j^5q+xyF@0&aNMQ#wZs1N~{)zYoli%|1GS zl1thEdI{U_R16kVUCb=Na`%B@1BDf@XPLQ=ol$4g&Htw_+s@XbxJtZ5_hS3DqFagb+u|O2oPxO5~uw{rHp{r&1qRPZ3fEh7QYg<^@lg5Bhl z^}SYks7H0Y8BmQx9Jz_~JaYKfNkrjw!|9QbrAfRUL{LAUg2Hunb849Ys5jzz=@ZO& zhs)j2SXZjH#YOj1{VYL8<}xW=_W! z71699H0~aIY!7D%<&jc@2WJ4}!Q3#_^u(#ug47AB^?siS{=Mn%sr9)3y&?W#?Y}|@ z`B{R{Q&T!N_Vy~s?Kv_{qWlOB)X zOQf(a)T87yl=Qsi=y}fE`&e4)RfOJ9FQ}3?^q!K&#w;;QqIFwvSl4P(2p0)K&B?yg zHyPlQ{?Yo{@4FJ~H2Wvwdn09+w#>$GkFNVZsd)|)xReo~nYVQ{6=j~E&l4LGR-~VO zm%)TS!HRhI<8^;$j<_iQuW~}r^!Udp2OI;uLVWMmo)y3G)tH zWW9CI#xAD3{yBQBCXw6j^DdCK652?iCZjzC03Rlun@2Sl8md1H44{u-aDEylm%%^} zMmc=|j9?nukY^d}OF7-^jbU`*f%&_piqOfc;6-VpTMN4u@Rv(1*=FT+BGwA%t}Gr0TeC0No$_*UquKe4O*hV2u+mHIi5Fk zI9+0lyp7Cb(WA^wDd;)?Pz_p8-!r+TmDLcD^hi!{7woQT@nwmI#37)aA*f0&VG#?%aF*zn4j$<;0M>&+%$G+{#TvBxza8Y`8ytW!XOqt42YnN~R z#gszb)Pj&`8hOw@-7J6R115{&jC?uI$Dn&6*zR$h3j>H3%xU9q1ngg$`h7?_Dj0`8 z=;f-d>5%7OV~-}RE6Hq_)Oe^O5L0L?QqsVt^>OV{EPrf6nfdqYFF*Wu^AQG^KOJ-P z_D~2uciwoi%r!+|Jk>6{3~Mp zXLBcaz>5~bslBV3&c`C|jQa#%KK$ZVR zY$BWXl?oHFdcpE(=b?t~7D??3u9j=Q@FZR6EBjo%=BTB4Ti0NES_Yf2WyPn3L1;PX z2EvS%^zis8yNihgC-s=Gph(;q_oypxOI(?~yY3|W$~H)XlO^$D?o!C3OrR||3f10EXeUDUbAAo`wB|D)?uugo<+(?dV5am)zCozB z!hVwlpf{|~D8k2sx^6+^)b$yQoY?z;XYG!NbuGSfRzWw2-Sv6%A|3<3&9MJO#lCp1y}2N~)8o(e#+)lm@y!r5sF$LYms=2e9m8_K7@)xR86 z&jX4nB#b~D)dkXor&2LrCSY;A;}JN%&nPPxMS~e3_Jd^K z`q)h*tY&n}eN7&7-eD`>-Nb|tKXp3}F;FApy!SZQee^BwWKH}W*1|MNLCDSG$E=e> zv-G;M#`N&KSehR$mvXx#FUX9`G&E9RcACWOd^zpv1cfiQ2v>`7`TEbV_v7M(MOvz? zb4Z+-+WM3^X@p!ciaHoVkz7KqRKNBUz6AAwaH{u|Sq9!5nfY3+dj9vR?kkG0P~LLyg-_Xezh@fd~0qX)`mBF%ULucpu@Z6w|2ojGz`FmQy z&@ly7t*y9nq3hJEG@dqGobM-(IBhvDkiNDx@#Y_1G6GQ9d{Oq$kLK8Cd`*QWAAyff zE(&p!r&mDLr?|0_P`Zy;$y&9J{-%~@zS)kRrXkfC+~YN!fVykEffOKz1}A2;Ob_mx zh_=AEG~s6i zX3JN_eVB}24SResYf(ZGmhVqwlLA(U!0#XLF#GcyEeN-7dj zov~jP#J+wAYz|SmA=?X0{5|m8W}(?`f8e=M6{3nG4h3jclRW@#4ufZ<9)c-QZ)_F zOL-9_!NhVD5jT#`W?+wu_pE-UkTac39R8I<4#$`F%lr1^X8w$~Okbl4%M&ma8snq} znSR;cqCmL7FXy8|g-j%TSJg#@WMSO?m|Y%PcAct4$2aw=5}uwut^B6eZlAPN@+xqS zO(Sl+rY<6CN5s)R;!*3@v{<4Vj$nqwqkLDv8vPEG=^MWn>a3p;~; zbvw(guf+|pKTXpe5_|@8&;3Bnx*Edytr)5j_ut35Ovv3_r9|pm`RuEs$|pl)UrX!! zl|;VAjY&u8X6-g{x(8hEojf9O0|(>?O0j`0skYBcX6eE!GxEY_)~$q-GGh8rWcgU-F6_c zcW<9o!s>gYbdIg~t4ZJ8sy@GtDT>##m^@k$eXN{}E=vs?w0siZK>{>JE#wrfF-v2x zHhtP0i7?kF-P3=RSwD_3cE8|%X?c#m$o|@qjjfs&!m^nF1tgb`MlymkHvIh5jbDf_ z+W9JeNZa2o%z$(#;4lEcW2r*o;h_n-BpcrHgjR$m?BI&HC>utf5inLCrlGbW6$;Sw z+InqQzeXSDvo+rc{^?e~A^-uGK|=`JV4V39T?Ldu*!mYjVACJLf8$FF2$n?x-|*1? z&LNByZDPS?lP1%);$>bG0>-{~8LL|?Y@grjcEvx|d95q;<=I!gwtxd!{z|V+V3A@P zYwLc4NY}2v1hd*RjQ1x86h*>2=m5_WJ))u?fVT}dlNc!vo~Xd8e1eAe0A=NRI5y6x zHayjWg|$lhz3x*FXRoqUSsCYEtPJFkY#c|rs?LByuFCprl5gE(EMyJ7jU4YnM!Cz_ zc;(lG!h93@+S9+v=#cd`&HOS$V#ypsRdLumBC;6s!^edn;b_JrTeA-tW0edt+rkMS zLqY7~d{pL0JIr7XT|8WHYoR4Oy`IG0T%19ym721yj2vEf>kQ+Gv+gYYKcMMpQh8zOzicFd1vK#MLu#?>U(}ctMYnIRc$N09CtdHfj-U6T z=VRtaGY?4S4@#M!5o;-1CoVrRb`t-Lfs%eUs{w+s=Bu_{r}t8YzKT%&ID$$aAZ}ld za9;Ju?sRwlizm)N><{Sfu{p;4xuir{FL3W_V6V;arq|!oumtaaq`BBp8A53rl0SYJ z;T}5#c=3uoui$UF*&gWaXQCb#}0Vb(sgWrm=+F)4Ck?`GO9(ry^)YuQa zVW;=0DpJ(=IpVeKQMGB)-%p0AW^yEI*@dZAB0kkcM2B%?ACVgl?ppNf4n%Dn-k=1f zrO2jdlne?#^3`h1t|eY;E^wO}*0RfC3SDX+mLtn%-ZfI6d|+g9QEUCFx4(QcfN%ZX zTfC2R>56p;d!K`3FEH(-N!264%KG)TWkeOO*n^Srx^KV*Kd zJMx;0{GAULN1ahntFEvT8mMiU8qSKxiOSta&=R=hsQQ0tT9&shrN)(*@ffYi|WKD-J8{Q5*c!`#;Ahx3iLj}Ux9G=b~UK-(IeV^|E3 z`+5Epp2YB}LQFrYFdR@8G0oOHKNNB=wI)(Eem4KA)G+g|XR>9V6Cvbd*P-4PE%sPx zP%=aOYkEHucfO)5#wt}_aTJ4Ya&THUye5T~i%lA-ZM+R^V2o@UaH#FXbc|2yI7OVr z0iG{&^H@%#G?1+^)Yq<|G#>wS^KBdFGhP`dbS(3^Mi*GO8oG6!s5Hkk3>>K@!A=PC zf3f&zL?Y$Qi?9lXO8H3{bu4g!+L4%E$q0lky<`tCb1bT$c_S8Ldig6j zTBFoMFhfP)o|nKV*!kY>C|-QsF>Og_*tbeODJ0~^R27x+_L42gnSpQZd^FB;xiqVz zLntASkwxP{)L~-PY@{Eac;d!I^l6fBI8HS-jxQUlUSlI-wff1d&dj%i;J|SpH$h#4 zEK&ilgG8OFI5d^++aUP)9vkQWwo^LEGUqe2HoWcwl51XTB^anA zKzt=VRv1$couEGk1a|UX9K+5sYwg>!lm}_#M;7K1O$Rrp%U9N=#=oj39CkM~qps`! zC=(gDc7^ees{>wuJwSipbFhI+<}=VXB0wG#!QEr>Srup``FKEjhWGiC?ZRw_0E0~0 zUht8W48R`&(ikO0sA@QWl=M?*5L(K1C|)j!ktq`BSd2$*wkh_>vSc;o>{0zjVP;&)!-BNTXbDfD7D(IPo)wHqwj4W3Zvh$LJAt69AK`9{FU8tfMb5TyE^Fq zq-=jMbM8_r3!}^n&V;QY9W|4c?ElX1r1qb=@7~pWOUJt+tei#|^y?y_M+z;cQ^9N4 ze=ADh@l58xTv{kns66y`ZTZ$O=*R8x_XULi$*8}tA(`LS?UmMVV*djQho+RJZM}E- zrYFII1}(V+Y=XJPl2 zcX9x)A^PY1#x_NrU~30&xsF2fqNp7Q1=C8%$TZb`#AtL>6N9mydd>5+ttWL0tBYNH7yCuo}v16I?NR(B~|Mj7)op9Q#21D1xL)%1Ye^JbGg9$E=V zWflON%&`+-L@ykI;jG4ZCFiG~S>}`;eC_EQEzceb!%=Emcalw^PstAjDJer^yv2=a zV98w|g$PZn3Q5kC1pWku4GUUEMeYQ%joz~ha&R1Yja-e?6@#{2_j5Bh5GpZL@oq!H zGONYDC`GA)!m3RF)0D_~MR{R4e{K}mQt2Z_MEz9r+!xk$>xU(DGiMHfQ5r^rB>Ap)T%cT zt+&l&cJ{)q)I>xg&n5W&h4})W`b|kdzzyWkv=6E#KbGC|!D)X&NYoO%|AcvBR^)!X z{0Sk-IBX1r6UQj`gU}>iqTW;0n2lzvv#~;A9UNBs-c;IVF+XIwP~gQCaJ6yez4*-H z+~bCBdwVMUcTsi>H7)HE`DQU6KE_+)VgwILO{aR7WFLQ{Va1P6AY zVW8em?}z|q$oS)B!`Be34)eWTGZ|H54a%r8Oo;LqwdN(2Dc{EP<8us(?kM6j$d?D5i3VpYrc@NE!46 zd-1b)2tZ%Ts3rqiKu=U^c7EaW*HZ8DLH8I=MlqXtHMxyNm4O&wI| zYET~B3{gI(2Zp3%yFz^8rtXWQ(k86!jkP+=P3#%;Xa*Ay-HB4OBeSnQZjoZTO-X#5 zFH&*La*r=MsWlF^b9i=+{HTY&mBp{R!}w+XYJ1T71w4 zv`cbj3jNA1A<+=r0Ly8;XfjaVXb0|2QTO5;lG8Vne{IiuQEY;~n$`T{&fdEs1|ry= zX6QNuDG(BcGrY9MeT=^X>y!EG()6<%q(M;&zul(3JF?1TzXFSy#rO@o^I%1Gwgvz5 zTs+VK-D(pQh@-R8Zt#y(UVZ`+{hV)cZpWft%G^8fv%bK1Lw&)V?Z3_aXr<&|Iu+-$ zz-us(-<1C;VbiU?7D4{<$Y|FGd$?^A!v(|)J;aUrZX9OKs;lkC1s7O6xDeZ-W%&Ho@mJ_$>CL#)3ZAHG zp4DzZdlC1AoJShYFTiSpZ0rU#n|0#iT>F{Nm+QXRWr0RA0RB;pCNX7spbc^|Mup@6 zI;B08Cpn5M|1oVFcBCn!I9vdxZB76OfkZ@T^E)#V`EDw6OQ)!_vxkAwBJ}i&V`GS2yt)|4x4=G&aG5q$ZS9S=yEVN1Mx_FO+CL07)RZlwD$ExjTjHOzQf z!u^X{(ruUJz!``^a3((o6d75~k$|MWGWP?+Tu%bikq_Ztt-Jp0> zZ|WxdcYoRMCdk=K!vpcFV8bg!0o7W{_4zIF-fc!}>yH6H9Gvw*wng3B@mnG80skx0 zg$To&?$(2`J&b^@nBlBM5OqSearDHdb?CU%hx+ zVZ3ECA^xWjE*ocBK)!o)nJ(Pl`zW}O%VtZCp!w7HMuw}-PJnRYP?!+nmewoO;vDzx zx&{dt`9#f_$6KyH#jI+kAlA!0*$|W$Y^Zw*v7uf_CTJ+7pXA0%~75}Ttl zUvc*J)P>1M9Jr9s6ARiuNVuz}PF^TA)d*fQ5! zVw%ZbU)r?jcggDgcYBDD{Qgu-gBiGHe^$P?R-gh-J!}TQmJTXLpaYICkVU)Q0>X|u zf3_(wh?4m(5Cn<{czY+Bt)<<8qCK0LmUULAC3>;>1KDh27%CKJZ%*n}%<$GK!IWX^ z0*{U{r))YS3v*(dK~BRpZ+U!P59k_)Cw&WzItoI=A}<~+gn^p#xI#g!2}I#l4#FJp zP-GcYHIX4aN8;V<{1Aa`ZiN7HLdY7U`u1v?3^5S^y2cE|m*76?r=$2@{~|+IWcSNV zyqudEf{|qmtTA1V$JK);9r(q&eQK$yVTMz=N0M<|q(Qnef!&Q55hdB!_|>Wxcc$M( zeJHtQs39x%$Tj*;P6}so)h;F?&@-{=Qd3-RLx|Dkxjx@ScS!s|#qNZ%(*i?E7=&ot zEX>6XqkC3(q#h!-MiSdMkPB!LE7}zRz;Y}#t3JfVd?2Iv1CznD9BeVp%XY=+zqi4k zW(;usOMjp+?eBO6f0uS>#Yfo-&|<<67DW| ztrtDGAYM3zcpTPVuYYknQHR$#oY3C%$=)8f@!xi<_)y<;$M`R(#~41IRDz!^mYzx2 z%r_p6`TG1gy=5!$yRo;g=9y3_=So?;@`;{M?*DmhVZp`OdN4rocXa0;v6yfvX4_8o zpMz6HGvf#y6So*6g)ZOS;yBgLiIXYveEgnUTiR7@Zh0fAKXusDKypd2O`|Ru3 zI?&3!C}c%`^VnW~3=#FlNp!C=jJndc|G9rEl8bWBETAMthMz2|RT;3RrMs^n3*MOc zPrZl$M51 zcR=)P7%7y4_Nk^yEO8OApbhoR#xGF6@k(R0^k+>Rwpez?C8{YuhV%!3iq2@`K9W*8A9l)!82}XRu zz*)z59)%%#AS0L&Gf5{xcKPmdj!jbDr`R82;jeS)>1mO1^0X||3P;bf%c zOP(+V5n5D0fsV}h^}$DX8k5ph&@RLpD0_AJ>CkCstF

80euSSlC;bW%?jesA{)YUJ7%F6#&u^7~`JqC`y`P^GpfFxi*_`Lj^q=O#^ zGyF-S=wWBTVyWW!oi>b&fToO-;^$@cr~Z@+TXHqK3?2^avC@8a4ooR5l%j`cd!r%; zvjdu6x88dwYev1fai_VyM~$(fMeF(3Mhp<#R@k3o-d=S~W53{&sjC<1Xzsr&M0fsH z^|GeYk9y&Bm3oqt!|-r1tCN-bjQvXuP?jD`S7tuFD%ZVX5DU(-_5}C6!DyoI;TdYPk)U038uQ57;W!G7(kc?4$+g{reCn>J=NZqLv9hA)=U=sHO8E&y9kggP z2&XaTzD4ebY8J4IWJN)~UdjOZkLMS_Zpjqs>7j}uC2%vm*Hkvv=_D+}9FNW^(@sv+ z)&9C&Zkig_vt{`E{vQeQYmT~&OYA{!(OpST$2Uy$zpwsC{?8j3DG5Q8{DCh)IJM*4 z^YcS$HugqU)&KO)`PQi6>1Q3MDbGK;2Ku#<(j%_ZGJ8Pnf;RDJsr!g@uDUlp7A~%; zsflni2W7QMC3>H`5Fj7)%0S+77!zTjtj!NYNm=RQjTZTYjT|owswIKnb~j~n$&U2K zuBdjmCEInlu>NYho2zpqW$w0LRl5>G+mKMjl1%U}a+amM&|%5DuA**po71=I**c@n zDa@QF?j!k~0;Nj1y2*;Soio0V=U)0XM3z-+Rt}pp5PzBrFJYJ)Fo@@PuxT;<_?Hpe zQ#{=JbAt@iafM|ZtO{zmD+|=6xOW8%HQlvBPm{KiIgrwBOL&0vvHFb~37|spCK{-64fSY^Lv z3<^^e&5wLwcy^k+*pl&s`_A6`E84-u*>~je3nz1OqPGh81)?Ya!gT*u1byC4JR^PK z{yPoGpO|D;CGz%;IZJ3gaz0DjyHLM;c4B|FXo#-YT6kMs4d^Zt6tsR9_#YT5MjmJR z9hELpUw66h^$GwP=U2m`hvKtmE3ICeA|*Eu3`0sUT4F?1g_`mBJ)7Rw4h;OPHBA5h zE6w7N>H_jG^TFTT=>Mrrz_egOEh($U-*U_s{$mEZJ6BWZh5L_q%#}UA3#aylhH@e8 zGuQOc#32w)KF13tW<{{KB7@ZdJQlpm$hs|uE3LZz=KVz}qk&lAb-jpdBV^iBAV+k- zuzcLp?dnN+Vs7z?#|Ci>eF)?r`fR~Na7oOT$IEBB-0nk~&{c+;(2ltvTKv{17PrH8 zvPC?!kXB^fS~Wv%#m=$j8<$y=Km9>6?=lym!Ok3waa~x3cpa8ooRnOlbEou|OVcN( z`u7n}R8b8sV`gerV`>xHEv-@2{|{ep853t0u8ji4p}4zCp+JjUfx(>u#VJxK&?3d% znc{B6y+CpI!QI^lcXx;L^!u`tlXG_8gfKryCJ&QYYu)S8aOz_l^taX+wwn8kUy7|F zS&zf~fwc2mI=2tuzd0sG0%V`#*ipvq-$5EHOjO*M(!$2jP`A!3CORyv`WkNYbM=2p zsSt&txwmy9fX`EBcPd=Hfndwrh+~rd?|$yZtf=ugArI0B;7{PB27bT-FqV-dX5*xB zQ0Do(v(e!W&9Uo1D{C#iYF?2}*pa!=`vQ#}Ka+xEX9s{E1L*frPVZopL*R=7NPXy;KYrNkiE*_Pp{r_PCdY~C?hV}l<*eRIc|OgXIFa+1 zDfw(Hmcl$X@1a4snm!atW+tx5%DcS zi2Y@u-;;XvKDU9LKV}I96%c{~DH=f(y2Jj1>`IVt*lZ!c#_r3up4I7{=_n6b$r!*t zk0jfy{Xn`H3c4H;vCkhfh|#|6g&-~7P&%<+5)hZP7?fXK9z=BaA3IjPWPB~J{ldyn zT?1ytW<{A62B6ndTVs$g8^8u%qABEu|oH?wwkJZ-Ov}DR`|22|9!^wfscUk{kBzA@N!HE>-Ig6bKxyaM(X`W zgz{2rv!e6E?51aH=llD+ERFhGh?}=}9UamsEDWb|*hU3Lu{2?{=k6C7f)U{v+oh!c zT|)Dh2wC05iW=4PI)@^^0MTEiE0kZ{nGERN9v6FG^2>V5p3m8Zo_*CKZbvd6xbAzm zbtBbHeOpf-N{{6qpUKh@5bzXZJzswKJAP1yp z{Ra1U`Ny=wb{>np&*a+#O2Ur17xu)Tbq_bt5+l~^l`aN9DZh?;{ohBdP-+{k5cX%R`$a19m1C19ybXb-Tr`;LNY+Ju7eDI&oQ#fX-6>L|Lo zoStUtI#s)~AJxj6Q3(A>G6rPT_FD3bg9la|S4!tES~vYkX^&q=3^A>2$ILkGeZmvx zQ3_;2Mlat7;dE6ynPad{9)bdXGLTkR+?%hrNP*^-G5~(x3wu@q`74~D91edOGi=$x(wr4FZ^+K(TNK2bA&U(#9b+3$fV zIuKua0Lnpd>jz^RArH<8?inQyu8j|Srj7ZDd-H~KCR#Ky$i{f%REAUv3?w|b@YvbG z*+hPFG`6m`^kNBAw;e^9em*@xXx2fs`@o-QG88QqzKMH@*Q80nU{N% z8~D2Q=TGa^Q-y_AVYU&o80!%a4fQ1g_zi9K_X7a3s+@{`fWCL#9d4{o!NntBQx+@( zyuQd(H`AIXu1vIbpI>Kp7-s;sfhZLO@Ovj_v!oD2ddV0GA);Qs`vU2;w%5F?K7A;W zl(Qa&E?Qe)CF3~?GCfMm85-ZBI6!Eixz}N*Lsw4X>xhE7^LsogMM}9Vz+P>4NGZqp zj4Y->79WqnUQH*$pRA-d8ixP?rXIoPn`}M1FwyvU1ktHzM1YKxMj3||6HnI28$L{E zwAp16UP=3_Kg_$g^8BMEsbfJXYWR30v9{@QtA-}NF}+5Hbl_ymc~sOKzW0?Kd>|}o z-~oZGF*|!-V|FT`B3Fa9j0YeO8=BOX7l*e{S>{mM)mFp7zDtNfAazQ^RfK|GBSKiv z#Mv>UEw8ehAsWw;2pJ?2!7EO6dg4AJIGM`}D0h=w)h%;W#x8C;TXdD>Fei^Zu2u!6 zqs>H9M*8Z*t?CIw)x(X_Lw(!1FH-Ip)KGim@mp$%CQKTVRwy!Bpc4%lg(P3~tM)M^ z_==l$))%4QsZrih|Jq0>D&g%mDP0jN_ab2vJI|ayARX%-arcG$r>4Mck4Tf+)Po5G zZ}R6FoYBa+xIOuN#;uO43Y*}OF_wK#iEZl9kv6FRgEnPjggwLb@-imAQDEH|QoyW| zPr=2x|8mSWvO)MV{UypFu}&ynA1QJT$@>D=^NDptVVyAJW%un3JNeROSN_HbFQl%l z%>DT~@=Q=@sGV;3HH`Ee&~ z(aBpC!|xMuK#o4U3`LNt27|IC0|AkNr{m18d zv4ek=Gcd7wzW;h0ah#kFj_h^6ovr9A9V(T7$GtcsiyEre{GrD4iid^S^73qJ`SP8` z3kEO-ZyJARrUQ%l5M|$DpA}%^{weqYFeTPEx!}r~{g|_uRHAjXH~Vtl9|LIXmVZ9c zFbO#+Ts-wg7@1h2zoMmm^M;I!cwD`;ur8P_iRDm@*}>?4v;ZhSI~&viF?qAP$viuX zUOW5@;G!B*%Hre<9y!e2UT$D^$OqDCGo;>&ggY4N4B8@h*#gPQmyl7c2EtM~WW$P82EH1EAj2BJV+b^b ze(N^Z=Fb|EJ|^@7DFbf8ebduD6EOEd10z!aOOg3FLdx%AUISIFk0K8rHD17NA%38v z&M9`k+i!9DIz22qd*5a{t^x|5O$H(!bV^qhE^~~-|F$~PeU8@C;mT^ze*1x7$y-D~=_9xhLCA_OVMQ5>FI~$Ouu5zr>2gR~XM1`W^6&Mq+D=DBS@_ri#`s|Ggq{DpY4=Lw zp?J4Px-o5{WikDpRp{i(=76*d{BYc^`|>o&`=S0PL8NOBxyyCrv+7FvL+d5xZMdfJ zcio7y0JB!2QIBcThkF6f+5EFoO1JA;vM&xR)Xn?C84>_vwO@N_EnraxG~zDzY+$_J z__*v;c8rb*xb7ZuRo;clZ2yiLQKaSfM(yvukYWEU`t73Q zVJ*OPoy+z!D0JtS8DS!MA_d%rI^;!2D9WXS)5TEB!;2Ts9|`D6B_Z2)Y*~| zvtk5uMOZDGaAqrD%aidd^E7jMK{s0j7JC{kLGGcNm{CE4wkDvndC>S=(4cdh>fKeX zNf}Ha#2y^?iCAp_?LwlNKj~`ML^Nh?staxe!1o`!O;a%3O|8yZuSfk&@p#I^f&+KCCvMkI)7jlL)It{)WVYR=JkLEUwSP&(Y zc2)7jrYG?^oh%e?^1uvHc+BbW^hqe{mh&syY?cbT>;ra$B-SIwQ=A{azZ!H~g@A-# z{$w%n)-3;)mWBQRPvYGX5XQXjEm4@|3~dU1Y|5qzKB}^S>EitJKF%-ChV@aDGQ=g?+GcI|Ft%w+CIrq8T^vCZWn|}ZLH>u zOAIC(0J$#Gw}Wt=@}vvS{LG5Yua|9=h4ZHOpS zfNi^(fmy+fG5YNYwf?VZI_p?yl6$m5`q{)Qu$B@TrWBW13*|%}5j2%(SPmtvS>Z;z zE)W-sVDXWMo8O3EiPMbnJ?o~)2-|LMkWT;eh7C*YY%Z9G9xiv&DW}1S`D{cAM?3~HOa?nYZbFw(pHqL8%gPi)P&-q& zZzue&Qise__s{F+vYvd9wF4$nJgJW+n*l&fFGB2neVtMch{J-i%Gy1)oMX=+>e5X{ zUk*pl`=Dgbc@z0sPQXL!VtH0SKc*wi{Ti=fL)RtQ@L3H?lnsU@k)i|8- zggVSw@3I>ncArjA9J|4)We(FgEYJA za3EAYOfng%Hffph=BX4_EDL)%3ri9(s0(7uGy!Sc7kqT&q^x2LUPV2BR+X z7^v)qqCY*Ade7#2yAec^k5X<>P$+&$uyMML0WsHk@*81^!h^H$@$P|yZ3V5k?+03m zg!|$g=s;y4muy((*!F`>y%;!v?TFt+MH2kw;pWS%@2j~Q@79Fj&W0B;S^hQdmmw!b z7y!vm*7~Xx1AmXgC5!sR2+1p~(n=Qc-|DZ5s@&{fq8rnffNI0Ih4u-cnM=YNvoPR^ z8R7K?(SE0LYFEz49E)I-?|xIjERREbsRj%JS-RRPOw{?dmyV#mCmIuj~vRzqlQx>PUjL!g3fG z96HB{hMGhR^knclYqHxzGRLf{W;KpGy5vyNa@?qyxWrs+VK@AqrqaLIwQ~DpatCAz z2bBvK1H0-Cg%p<;(HW#O=!Qa!qqW z28h)OY|CNnaJT2z4jB&isV{$;B|Z0S9&F^WFu6QQO#FKjoaZS~49)$IcvL-IdHqhF ztpYZ9Epzb?{`KYn1f?eiKf?O^c5xEzcHqvG)oCd*z~CM>z41WJ1ZqnJ4_7St#S4!>+~}>ADcsy8^a%rBuyD1dp#9$Y%&u z6-IA9PU*SV@`~pkWA8nfH!ha@m6o=Pt9}fdGV$A*|A>!~d<1bAn=YQ^gc6F+vMgG4 zL)IZxbOf~$i?;eIyPN*q!Ru*#PFGh^khtwDYkAr5la9&*S)v=mtUG3HM8CkMA*8;YO;bQ1_XGY^J~ju&R$RpJ;oRq zS>iIfLi}N(`%q?Snbc!*f*6K3T3qxNdkv_$9U^V9@tm4u+=Q=4`DNGA#REA6K17VS zxK~VW&ytH^%pXtOW{EGfK0K@~Da7G^78`v_Hb74g9Ln_FgCnx51N17`csw4Ob{6Zf ztct1a6PvHJntzBSFj9y%a=gAw@HHX!16di zf>6^k$0WaLP-xAS>obzb$NLrn-4}pwlhwhlgNr)`*lMDGOW`pQe2X1M-7u;5A(Cdn6YC6ssoXJWNJIjD7;3SL zUc#x`v;1kcqdX@g{-?6Rk{$S5_K;rQmOswR-)xZIyo##sPHDDu*|zqab_*3QnHK77 zU))T5H~Xh9;)xfQ$UwSfSY6f^$dIP>xMok8<@rz6SO$A{tF2d=eVvk0t82=`ZoU`7 z;R$QweOG7Xwf|U4{^0Jt=KQ1cOUA_9ilEnO65m7osMVd;Q5Lz&aG>V1X{v~K@93({ z%8f{^2ie~0%G0Pwk7J!sV?~+sQ=r+#C80>m+ump;0GWC+^Oz(rTLM<|WOOs@hYt%K z8%454w|ciiWkM8`cg9oFfAAYj3;6zOR|^hN-nB{ zQuqw%$UBF9VF60kN?O|iU?sAGbI_fPD>J9Pqvu&>ECxXbr{IXHwH7{ZvYXTIT0OZF zIp3_ko-*AWHSFeK^a{wzvVpit!L-MP%qJ73d~gQTJDyPkwh8c zg5CGz3@XaO_i|mtIh7r%DojeGw9E>&D_wpeD5Kh9YYj=J4r;WFQ*lYnQ&U8+zXwi* z#InZo)isApX5`*?Om7Bg`v<6uCjZ<>uCmzDt@w7%XOHQx^V#Mt@cn0Cg$Jjns?-7; zPxS#qJ8#jQkFbDqctQL7NF4kd_(#zZOoe5&)%Cl{Hw=2sKS<;WmBf$D zLOGEqFru8Wzv{!w658SsMQ6x7>b$K6`U~MiTr2COe)N;JMknO~B~!S+pZq}1)R{CO z!cv#^+ShJrZ*}t~X4sB)WWNOjFw9rR<271oCl^XK;D^X+(}7{vIV76!bMh`isLv&n z%vOOc)d0P13sW$Jd|ATd30sZL9)~)65I~*TT|zPitHg?rL@PUfeOAK*Aa3RDS;B=Y zd31T(Y(aXGeRVeeWVScotXO7Acy>$EZKn&W>?92jm#+nShuT(7^!3yaca>Bv06~t% zZYWxshl)KeHj6<^8Ek7hAIUA+h@lJmS|3}0pc5_0JlN0#eH=;~EP?MKXRGhyg$PntQOi-YEW^ZBqP^kQ0~_c_I?^`dX34(1 z^I`>W_wKXR?u#fQS(C(i+ZIu~8Z_Xv*37z7bROZKyF*ml79EuV$Nhm?=xtXp0;|)+ zpzH3s77e|}N#sf}+6}bDs<-tj1wzh#ySHL~$iC-vYQN&hX?ItAMqtA$e70AA5q_dy zk;v}7p5yXzV9?y1^J{zbu|1<5H~k`}2QuPuS9NPp_84KsAK^g5p7%1C;etkSce!J! zo1wdBZo<(K8yUWuK|ul86d|pSx2=5p??(mB<+gZfsuRa3#uggtBcnS=)3~5BT(Au4 zXqxEFA3LO6P?WeM&4@{R^4>x_PV1EtSe$fyXq)myxg&#GiXgA+${5I4Q{v&GE9E>> z7>NtfkhhTW1B39N3!g?`mwmWy%p8NxetS-pcL8UP-J}t9=8j`F+Tp=!Xa#bBsM3Ei z42SG+oFRZAof7#`TFzlXr~qj~y~iL^@=Jvdtoi|%aEXDw2fD4#$+V7kAZ*g#Qc@ON z+}BH|622p?G!4y~yP-&61=AFLq`Ki1O`C)Jvr#;3yz2+^`xhmyMot#L;h&R>2fs}L zqWK6TQ9_0ZN|`9%AgiKs?H;mg{;*U^VTDw|~#LTsnX~iFV2EFQyB$R!y_iT6^c)%UNj&7r;{(Jhi4=4erFQbawOY@KR*p9d9 zg2CPP`Q@q9t1nHMea2{bML@tocC_T7QV!nU^FPHVqHu{ZJ{~dG>`JWbCrTq<8V)>5uT?3kvrZmP zp3%J?9N9Z2fQCx)2H|Ghm6uwP&N<)Ca8Kw1rg!+w^Bno2U9;I!FYj8#itSE_XPzvk z$V;kjw3DFj2WV%;J^Sh7Y^!It*N0P1_Flr5^-kRnO5rDm^Uxm*1MwcNU>gFa5 z1-oJ+kJ@-C$xFRj?Lwa_`Tu*-eo~;4AC4p_OzCuy5x9YZkLTUT1??%_fO_Vep%yG# zqjUz*1iXfNMbwkPTam7(tO?Mar4utX7XzR2SM#AC8Zuhjc_w3JHgiDlk>;!=Yh44q z-9#;-P0;nn);*q4x?N`JKc8CMpb;RU-J6g0?&gEWDQ0`$=VsJLEsGju!`jOBk!`J5 zpxDYfa~!Ts%%^0o>HQT%f7qE=g=xE^hWy-V22@%&+`NKPRV2V4O^9xlaFXH$tc4j0@}#gZ|!e5Ph{$nL102I2Ke;$W{SM!J(>h#VU6IUJPK zLmB2v`y-_D0-K}TxIsWZ{CATqenp%D+yX?~r0AMpumCQs9wIFh5IV`snl6}C+0o1y zh(%1J$ec~3Mr=gZ8?+&F!4z>RYoNG(Za`EluA(Ie>`%KAp4uAlwUVoANN+~6-^Rvf z%5I2!nc%4~WM;y1HKJxxP*7ov0S!;$i6slMw~cR<9#l0i+QTwPiQ*dV#_l zrZ~|l{mfsX1Pv!_3UcF_L8s^jv

!&2rY_4PSko8^ZSIib&hTiJO|anVax6I$w2I zUc7LVej`Lu!q7U%;_!OtIe(5Fu`Ddnbv0z6WG$6!4#jCo|LrbPz-!8>pf-a>WB*>g zj?h!!;no0A|T*MRA4B(e>$MXnDI6F>yO#ZPm*4?t4NCe^7JPvpLLptk0tB z0c?8(A?;(rthHI$Vd%f1pj8~)dR1W08)ko;M><_IxTQ8ZH*K|Q?3Wg4z1dlb+^Bn* z#CLy;HF&Y`065vkD_TTqugzD^Mn8Jz{&;$>ntk?Vf9?*f^$@~lf8IrE4Wf!uroUNf zlt**v#q<#Tt>o=F*n2MMGQ@e@R`=4T{Ke43LHA)<&aCqlb-J4eBV_NMIs~;2F2?FV zP&JuV5~|hQfF5#cNHjV?MSyM&W$AX5v)!-Z-FB2|PS~hV9DQ;_i<`oP_Nd}!H`6@I zPrZp?0|!u9RK*y{xe!HZ$*SLr$CEA`^?=Mj&>rub2YyXyWcNVHnwdns_GW>pI9g`o zELf+ks^spK+0%-4R!>&9xx&rfCmeV0k;**tfiJGv2WazVpa9b zO(VdqUH6I}KoXt=xWyXoZ%Xml_KhOzpVZSs1tc^2G8EL%!@K@e>g53h7Q&_f>t6$|yx#KNzYcsAP#pm~X{ zM21BZ%PP%bPp^(#1QC5ZZmIy=7MmV*)+)yS6-ZVp*zZjyV#qC%+O(1fS~nmBT0^ui z{CQWxX4+uXzs`NLU<>BOsn-Ck3@#Nlai0fl_aNf)! z{3j_6&GAE5RnSHuXLbiGw=oFlan$P$C`37o;hNM7aKd3qf*+>$v5=%*NDj^AnBxCQ z^X5|3Vvyin7R>vO4q&%C)}1$?zR;CboiibI-WERT$PO#}-XWGGx>Xzf@IjMsPE&E~ z$xoaGF7C^Hj~xJ7v%|`vGzv=%`+Tl{%`$UghYkrSFaMKL(5S6au<=f;j%u7|Myqs@ z&SaWJ{JQ`qO#m12Qvh7= z=7qfj9&Nng&8=1v)vrVe?N*^z9_7Yc;3VN!L(06~#12J+{FQ>;bM0?0Y1G__e2$(+ zcF#HdPFx5(4qA=S{J*IERQiR*Mdhq_x@bC56Y*9^iLWrzV}Z_zkU06MAoj#07hix)92Y%^I^Ax!qX03 zPZ(*+i(LF7C6OPLUK`UZ;O?aUD~Gyc0otaUHC=;iH=%vq^rmbo+vPN?FWuzg)R3oy zcOIP(U#r7-{r`nCduP>U_di;I|ADanLT=pfsovuHSPcTA5=7(tSEiS2sZw@pUck$Q zkZ$THS)&%8oFB=Ndz;%t_Thc0iBMZ_s8|M7a5s2^ zVQ>wIXsC@CR8==8=DRbDbt#|Q2}WP8Z1C*%1oGEL6M8rYaq$w0KJLF;Ce|XvyG~0= z&m2R+cxpE74ya&%Qw_wN;R1~S@p1z1jHpvDB?6u|>X2j`0I2vocEjS4-@#KcCl(iB*hP7FJ6gmmLc8E-=5#w)6h|2ln!J# z&;Vyoy&N)^a5W2rPf*#_ZZL+zuI8~(sC|7NS4+sID?oln=^OE~WY@>cm<|~=p9Dbn zC`T09HoEx@Y35cd{q_|%?kv75!&oc~tD3!QMkWV$Wfw@LV0;kO;De)>B{f6!gn*1a zBV!yImKqP>@wG?U2Xa04&P>R*L6WNS+k`)ZaAxK{O8S4C=+Cj-j&y^*bMnjZwU}FvMDGE0@U8>^DGF`giDTZH6d> zFZ|Ho8Rjnd=;#rOUcdVJ`?AYyOCA~FaeQ4x-AnCB4}3}cTV%Y*?KVeUbAyZ+4;7%ix461K7F9Gwk|DIWaYtIk25A8_D>Fr&XCoz|;P^FWH-pGxY<~zqUdftm0vxh9BoR5)&!U%+;mQPnl zj6yr~F58&TLw|akU9Rb%Pn|2_4Z)n>Bdl`pfA;o#g1J*}-4WR}Yb=XfgpLz@KJC-5 zxBivU-J&`Zu<`$8um9hywl7k=PnqyUWqkX*-HmSoC^A z$IapWG^!ly?s(|}sa83?eXyDj*+Z4hO2yPrFsF;D{5&JR{-ClC#W~Q$hBX2*X)8NE ztM;K>NSJ_?fUEJRjBwj|4^KO6%poT#JCLlZhL}+@schEoVl177s!#bA4sYCk;`e89 zcJe&DS-c-5QtNGWd%U-vW+|e&QpeJPCJ>k+y>B6M-3mVn&-1V6jXnjR6obVb*XG z5Mwt4Qa9hJib+*jD99|Ik#Y@j?%RGp$R!(Bg^XsJ5ohBvmGfiu)>d&gIP>(@_Ak_B zXd)pAjzRnxh$iFMic1g1+~-8a^g{W2SfgZC%Hz0{qj0%Nry0$mkuAmQ%pB0jQA)=Q&RGk}`u1EW?rUy9UO`0^-XE9I<0?WWNxU87 z?R)1>2eah(MGdAC&UW{NbdKRh0G(jaroI>t5o09QQ&iav`1$#T+c&FcADlUk&|3@P z440=zSw(ZrS~LjFF6mS87rRp}eNEu+0wXr{bMqWQzdy%Q8v8^r%>2;}K>Y);Bf{Wl z-i6W2w$y6t{#W0X27T1i7HRa#gSBB(st>VGlbxZ3J&lN~#o5sE@zK$dUNbt9&4pLP zj~xAze%};c-uxc%w}HqMFKWm(tzU9zjXeM3=tc@|Q%&A*K86I9r5^~%&@y4V@1uD~ z-aO8c%QP*DB+RUGq(5xf^mL}54{`6@Nw;3(-VOz>)O>BJc|+zo6noae`vldQ4ta9+ zJQTlOB{irxzGwMliFwrK{g^gNO+lnrQ+8Kq@A9JTMM-fxA!2#=OObr(Ic>$?`>eC| zhwMGnGG8oe0~;yuY)QA5LsWU~>$^(we{Vj(iA@fVS{A@Q!)baK;0WMabdx(#3Tcw> z9WnYZwdnw@yKa4urB}};8s{7KK}i_`u$0MfJ5K+;VAL&0Y%;#-f#i7O8ybg(1>_Q; zk%Uh_c51aBol^K!UcBsuG-to-a(Bjm*S4$^0$?xKi&9lRGXed6=Jh42<1vaCRVriW z`(x~b+p$vNt+XOlvPqrmH)a-!9J@uQsPmbC?zgj09%YdFQ=5*j5mxXG{rdw-DJczY zZ5Y}(E)v4{cs|n1w1|_p{eXW`!EOUQZU@{Jed+uG;#8KI;cp}P*V|;EhV_@qq#ofi zNaYtkKp`avL}o@PLj=||MrBc7+r8MTc9bj_>qSEO_e=bX4$=~?FS!8-Sofp4d5h%n zI~{G~lja{QOHufzv8brR{8@21d~5-JKnhc&Q9OEMZl!FItwUu$h+;4&TZcHt z|1gqO2bQ>15BI1tXrb|xuukY#)pPTveD{1HabTprqyul=Wrq*H0A)mo9?q~T=u@JT zZa+(~NpwCM2?b>ehh+)}jBSrUlVY8S?B~%UBLaV0N}U`D84(fx54`F-ZXd-h>$!** z%9xH;)4AKXz8j+xxTxZMJMXl4&bG(PYp+FH6V}eTD@B{VvM*uhEmP8PbHAy#1|I+& znJ2OnrA}|V_CIQB|Buf?j_NdWC-Dr)AX%)gu78%e&K8GI$%FXhvHe8C#?_Y8om-#0 z`HJ+kdA9X+69$=f6;RE{{5X&nDZX$}s_k5Y%KjW5xq3=V(W+5n?454ra?tv+^Y^50 zTr5^UTm1a2cH6VlVma(}&f1;vQpUTE+j^mK;ks@G5#AcnT-#&!JmR@&ygFVeff;qX zC8B8Zb`0K_iR_~I4_!C#r&1X>{G9+DmEy(rfoGUYwxvjiZMc{ulw3~SH;f7llw)=R zg4&xjzd2O}SQycZRK=27V=?cuLGgs8RE+9rhB@Wsmo;$|QI(XYN5SZ5J0a|EF6nII zKfD2njdHHD8~TfP$=oDEzyE2FL=`l+3S(My^CSw*DAAHz9{N2YGk5sL_Gwm~6$+@UNB6Z)Vsy_z^7&{hQ^xSs-=u9ARt<^CMJ^A238M9wltkya_XQHO9me6wCUshJ-JhrN*t< zy8{oFB4PYehz9tf>LaKMwMYs37G5XC&k_@6!+SPF1D@=xP3?rN#j5ttG1P!PW|T zx(b?w8p!gOM33uGs$bkZPTh9Z|DQwGsBOte=h6OOx!*<8IfC6!?V~eB&pi>1==tM3wlV)d1SaZJt^OtK}9XR zx#pNQ+48P|PuMU&dB$s@bg+Icqhh!0Ue@kJHl0y(|HVt~!*Aw9o;0~58h9A)g@|1N zkeQLkan8x&%o%!Q_=YazgzXHZMYnAo^(|`Pp0rJjV6_O zV4U3gnrT1`Yu1!t&`%aZHCuLK&0iOvfLDXn47y*Q^JZ5!cV4VH19dADv7`V>`dIAy z4^H;4HU}ysg6^AH)JwLDb9o|Ik^dcf^}`e!h=!DB&bo;0GmPD{modwa8d3h9KJ-^e zjZ+weYMQZ0I11}~mRgXj@`we=R1r|^4m|V3n`l=tF>L@)+!px1PLNvOd z6_5lu-4$M%7FWBDKhQjZ51UW11EE&0V`sMIMkqRC3yU*#KOUuN57A&|69uK_sy?!3 zsihJTNE(bde<5e$&JVUvEC}ELF4h=Y04l%*qdM0Jf)L6L<4oWGR(}OMQLJzDP zQQR>ox&TSY9PQq!*rBiok>Jg7_Z(0YBz1FKqgE41Ah-j1+(nZqD{gHhdyQiG3otd2 zO7$Sp{V>Hf=g~0|8evt4yIR!JW+sy@F{j1)F^PxQG8a1((C z8xgndBZR)TyE5)ZDEj*R=X5Zvd<^(y_8{ zarA5tRJ`4IPvlHU`H4R(=}#RnB&88AH(^=44U81(Yq-kI`?f}rV-fL=-#UhjvSgRbXHhS`9NoHLC2d_sHJt59xvJ6n zM(eUrM%{dsQkTW)B=%2Eo9+ic^3aW4^9CiuJMIjP0xt0Xme8bw<2G94^9hLymx*t z+=r*z?G&9|CO+T(yyy2mS*}0$LfNtGeTA*C?0xccf#(x}*cxW*OBE5tV$~Le?GqAG zk8$(mwJo|NsrS)~YIyL|KWV-HgQ@8u!a@AA+jjs@6OHaK$wm%;2QS3EbyhdCV@Ux= zf|f!gU{s&3tjYrt?1K?%EQPSwd(>`%+1aL^X0o!3Ia$aYR69b##$xda-d9&ba0y9l zq-(6H`KQ{oenSq&j6V1CKgq3L`*h&$DEy*l zs?|N8t@S|9^jqJbth?yIgG$n%R8e2GKwsNAbUO=QMGCw-f^zbv6en<^&%C&^+r=O( zZmiy-I1|woxOz&en#WpKF-Kb!${|e-<a9}eT8>661;Dr5s$Kf)cpqt?6ytyD7)Utj5?csQE*|DLC<7kw&dr}+5{LZ3itKB z@!tGsc{x>!EJm_JmK3Bqi=6oM|jF%FW7; zS55}b`t%JJf{_uMczB$17KRPsgW|8L?Sx>{v)eB@ibJzp0RBYD( z3jJ3i+YCrveCT%SEmF5nbfrRidr+5dj>RPl4&icT5HZ@Zw8gnDD>8$$Gf(l}QiLFVAQ`=EesXEl6!cJxxgs zB5fT*U&H*KdP#@}Ih;I?u4b;#_uOD&YV9Ux#cjk~dTpqQmX-)D>+D==|Mv>f|AR=) zk@*&G;n_S~;t>e$Z41-~lpH_wwzj)_A1ZCmZ};$cZGK(44ai@^R(9u!5L!opMjDrS zj{&O9oz+YI3>|O(o@Ch1x4&09$9sh=CZBR!*r3;y-VuM{bO*`_jxgiq$24!SaG>>^ zy2wuJv!urvyNDmV$Kef7h!y<$hPuqqd#C6{km5z3ec{LA;Ig3uU&kOtD`Ae694b4n zJH>y>wJEsZxEVttIxqNhF9@UG0$1&1X-Kn=g9YsJL#v<3x|d=LTK;&7i|7+R1hJzJ zQQlXZ8buv5q}j*DZW`rJb+L|Sw;9BI43{W*!v&|K1CbFUT2*z1ouS&w^5R~P<@iHc z#2(b^Ys(q^eo%*MFmaV*JQ9qD$kNp4;~c^lM)47#LBb zaR(q0s$aW+@m%(T%phG)oj)rUFTE1zDO;?v16io0{^@4c)jq>1tJ5edG<{uKq%Pp0jU_)B|O+M%aEF`+M6+XGk|5h@C7?``<^bXK>{$;t&4$69=oq^nmo7U64QS&;^BEsh0 z2CjxUbBJ(wc-F5ZfRh3f(f2oF!IhBgmB8S3e??W;=Q{t~#rCC5c!ICL%YWFCpb;uY zbTdmVyGktcr;O&1ivz@(F(b2O;V)&A09!m_{tV9vLi>6lWm4`{+xKD{5BB4Sx;msWw%on!6*9 zP*aD`hIP-nQxl3iSkvrNkkqk^p;@MEXueMvBhE;8c~B@?frqJ~xOpw4B5RZhh-Bi1 zu$2(IA_49L}M-Fx8hQuv!SKjzoceOsF7;vIJ>AYXsT;D`_wU%U`_X8760@XzbZ{~6~KQ+(L_ zi|%+U^AJpy={J{6O~_-NmAp_|E*PUzMS`JsYC1d}sIgtWG=D^CsU1deS*XxU=*G6e zK!f%Y{9w*8 zp#cIoD|>x$+YlMH?Y{lM(eO zkffC6tUn4jRK*7>7Kc8T5C`Zf_U?YgMe^po65`Y*&rNzLLl8 z^r(cPS;@4Np;c}`rG8gHa;U9e5uam#==W#m-But$(8*`fNF-9=iDbV0HEK=2QCj*OUPl zJos0SSB|DODW#^iHS5lX!~0Hh3kEHo?OmVy>)&vryP+#eofi1ECXY(u5EuPWIX8cK z*M-zv{X*0c{jN&Hdfu%>@yYFk-es;z{vXpgz#Hx(n;1M0ows^$j~!ckSzY+V`s%${12%bKjJgvZ?OB{?(OG72(eP4 zCgICuf$*~9>E<_iU+)zmF!ttGsN+Pde8w)r{_yK$Z8@Vdr&s&k z$`ZNqICW4MB$z-scgr9Rh|cSB?^Nb0f%M!Q0u0wkEtQh;vD(H**j8F4s+Rn5y%_)Y zeml_p_Q#mmV)CleZ2LObF&0Q#se~!)Sumg%pW)@%5Kkrn2qT{PQ~%(s|Iz~7Hz)9Q z4ozH<-`GPd0k(=(tbSX+I6z9J8a4ToiveDGEt(39;cK*bQ{`i?#Bg#Y5r+T9(iK zA;u<*#IKDg-rQ~-hGRAy7s(~bdp^LpkNa8_Q2rcgp@ zn7IQEt#Sw^piRH04L0eesTrB{BRN!ymt z>XhD+J~ycg1HGKEC$_$l{u!3>&R@?1-_*fnRagGHsPi#Ad@85&w)#+g-mUZ8ziC+lHkJT3Oc!e^P)y1%Lt9#|I%F>nr=E^N|99nZc z+Rr$Mm53;ZG*W;;!HNgQ{_v3XOkzUEuG1 zr+MfQ@&n4KU~bGcOL9w5FXpdPOFuj31nRkakLb#~jm>i7DU9Pj^n>H(VBRZm6n^~7oPaucBYxMbZ z{@5lK0O&?D_t-~mEFwH`S3{ETf4?v^->qW1bYSBlmRm2+uE*;=Mf0ZL(?;lh{clCb zKmX*{1{vzZ(q~F2fwYhW&znw;JeQ+?gk@>>OPHo-l9Co0s6n(m3A%cW%%XK05~psO z#lhCoUx?_+QYL1&rq5ZE*PLuNGv<&v`ZYzrqB3ZfGiw4aGpQ`V6S00vL#nD~U zN_8D<@%Gfk5C(~p{))CtEd$1`bo6)!xK#1M#J7a|DstShv(DXX*WBl>XV(WP|}aU5E=P-ANEQJBqE|%RvMlqQ1ed3e7AIQ{}mLuTX;Zk z9wejpVB99Wv^h6~Ee$KtFQ|zj2#c263bd#W{<%iI;Y?oXhbUDE@Y4`s$Mey&s!K$H<$?J&X{hR`OCM7Zx(Rkk2Is(6 zUm|d$2^}MtDH{cWb~~QY$IF<%+ZmW+wHG(IUk18UD$s^xOJ4@!^BE~4V=i00rO+gPL5l;T^CelY{I#}GpgMSgo&s8L%wnB@ zAdM2m%o2fMWbzW8rtp=;FA0V0lLKG(8y|#hBJ7CD<$#=W-y7Hyjf7V$~2j-f7 z2js85InmM_T8Q7VdSbbRe?sPHbr8D zYQW4dKK3CDkp}JMsvpqG{-?+$TZ}fpLS#cn?#-(N9H;anLP}zSewXh?Gk^Ry*FM{R zf+5kzk)f_zVsYSk`G+_5fk_ z-IU^=8t1xiss_Dx`cJfPGaqjk5;aOsD_5W5U8l~wd=$KNSt9X{E!ICYMH{e8Zwvn= z`v=-pX&42ep!Jw=7pZn~Cc)y)h!wc1EFdPkx@V#%ERJO#r8{P&*=I-W_+Xm(fdx{J zi)WV;ve}!u)@MZ=_JNLr-oz|Bo*J!S?{{DxP46G!c+v*~UNdqtU zkBrXX0ml!Bx6WXx?udB3H#3AM?=>9+dt_66DWV)`Qq4;7#?jIOE>&h{x@TEL1Pfjp zU6TnPhD^IcxPdt!M@C~WyKN5!5-hIu(o|ffvK&rTrrhj|oSJ7u!>?mCh@!1qm@gh2 ziW>zlZ~Gt6N^_f3`n4t*=_)0p9O1)DaYqbR26ePmM2t# zYuM=>qZj4%?yl{l-#F2PW=y<}&BN$Wdh_s>RzlHTgze35tgwbGd7OL<{$=krc}zTQ zz$BXMl z!2R{+MA!7w5&2D4{N@KYCWZtnTFBdq1WrW-2_uHVq{dW5wX8OiSoNiL zBBNs&;@&T|;I!`N^%B_PbACFpLhvBL&Jv!)o3avVV&6s$?5MGG3h!(e1(J5Rp%!J` zlp(nfoqH@sF3k@7J+aFeE(uHv4wOBV9?v3=xb9q{=*)4c6$7DbBA9j}SkGUtfhj>6fWKRY()FS7P5*Y{fwM|?Na zV+e;WvorVcJJ!4~WUi|BVHHw;;lDrNUk}ahoRr=XS5-*pN#x&re{s+7xToRmIZa0< zWJ@~!aLT_ql>hjx=uXq7cjb)sagD?W1rVWJ%`O&HudKfj1%%++cvh_s4L?sp`6Jfa ztQXuW71PiE!p#8^vS&!Y)1F03q1kyg|DzP60hD4ZeGh2`8DsD02<6t#kfsV>vxW0R z#g6rcFp=NOmQ+h^`~B3*-Byv>OO70`WMFe7fR3l;8AH$CF;UikNTmM6Pqdh`yu;QI z(QRE}Ce1-96BZn~cvfLK8C@%i!JSoyZq;m9%1~39x z@u>ZKRJlh4Ue{scgXLS&pGz41mIJ|IG>i#f81F2FDL-{)CTirtq&@-GXXeXR_lTO! zUI4pgJxd?-WnKh^G;Y~V|8+{To_GQ&WMJheh|YdQ-%I_hRhMy0af`VhLUc@l2Z3V_@WUW0I*71#uoqdr9wT)0Z3XbeKwWefc^nLFSPw5Z{m=7o6;ueXV zFJ&SkWFH+jvKZT2!>n4*S z!cK-*!i6s=!UQF4j4u2aVXl){HF}(xjG;I`BMM;Kfn(L z9ifwA!w1Xo!7+^S!DMtzAP^u@LxrnH?D|tiem>N!ycwTccED!{^J{CbDI3E6U|{E9 zEJl*5@h-1udZhO34r0=?&@ZQ_rg@EFIe57hC{wQqq8mlciwt_8US&;X6t4FwgD!o; zJe2lDbF){*FQw;BcA9T^u|6lNI63F-;lt6BtBlesgdZ6}OG<{Cm-GOwjhE66xRrdY z1~LA7UltD^Nz4C2auxl%Pu?wJ#3gnY`r0`{+@%^?krJ9_)@mTnv#)EiVIqS+T_~`T zT>~Fxz6z$BH&8vJXh&Y>Uag5$uTc04eo^rSc$3#CeZ-WXEcRH;1MwbVk0;3n0Z(_q z$Mb#SNWzcv96e1P2bUq}X#A7j=Zc;8q0t*YPs7nh-e*e#LYUC@qv&^5_q->OFMgGo z(`4^?ZO303J=J(RMgF_D%72ELE&au%9nEyf$LeUr)K*12Uc{Bw$rg>z-?PSrlfEhLo|MVscH_Gv0`JfvJu=9M1l8n-bR}wM*gHT_S>SuGT?-? zNp6}cTV%-p{_f>er}-xQfQ3HLNh~xA3P&kqhScwB{H(K1?~?_^nhj;t!vX`DIl#LS zrz_?Jy9!CA37mj_B9YJx!*;ySUmi2EU;+c=Q3_<+O#EYHmI7ScyeNPgNrh0mb$Wu` z?=CtkYD7o3`=wbq*iz}Y`(I{=IS>=>j+>nI zpvGr+`ToKpsS)50?w_BhC85a$q4xhWJMYN1ia0hm@+|&#bzp8Z8^srdrcFu~!o_2L zral4!0k$XL-|_CG^4aV*`YG~jY>%&bWzq{?L5aXgQ_!YSUEvw*_4g##VITe96KZc} zmL`R6jSZjava3K7@#PV6(SBoh(8Faxyzdm*XORfD;258Au^WxC`{HPIqMkKr1KXSA zjLaQM3IJ0-MXdls5>iV}R>Zh;B{v#s2CGCcz}j!gtnAt2|9LHu+qM?xop!jVxU0dG zpu3zcV>Z{bc7SnuVyyK{^Z8MhCYPW6Y#v|nN5 zo$f0xSf1JLTBB4-pjgFEkcm?ty8g{>ege}p`-yTZ`%y0EWfx7e-y*B8M;S*z)l4gQ zw#nJnj(4H;y{x}qCW{w!uTY-jlPm%-zIW9L{Tl3j^yB(I`|+q1@f)M8&CP&Ke^ci{m*MfG&d+7*HWr4=51#i;s}b+K z*AD;j1S+|E@zm>q-^730a~gze_x`L~kRkqxE;UtLkYy3D#eAy?bZNk4b7L(!_p8ks+`8`t_JkpY zffMmy?fjf`2e4D7#l)YNbu3qI4s1o6Uc?(P3LLva|h60w%q3rq1{BTZE@B4-a7Bp@Bv?w7^=WXf6*V<_->Q=bRS8J zUxFP9P40W0ze3JJr&g?>4+3E|DVPBRO%NU?JftNDBSc1Ed+?+z=%te-;KRdTHUQAP zfLUd}ZiP(mw{;H_b*%;&Oym5ZFTh&T-^?t=%G}yFg8ga!P%YKd6IZ~-6_Yrc=1w!N zrL-M3yMTf9V86;YEumb!w`zqYNyk`UMU{LdNJ+oUKBbQrT3}7WFZOuK%-|@80!Qxc zWoG(}gPSKJP_liim?2W$l6NgEj)aE_AX5~q9%|CQg8_I4d3{l?PAB@Z-JphZ+F3V!&k8Vb;vPsD#TZH!32$PV7DFH#H`gKO65c+~$h%-2mDeBo^ zZ5U?%8f(i!;(ImHPtREGp&cc$_IfINdVyQ)+S7>PF%#n>UobP4z3~y$M^7GTyhSo> zV$kF{q#M%efzw;%i6nA6uFFx62VUt{1tg5>vAmiQQu<_GIX|YZ-;_1tg^%-z3}vB+ zBJu^aejg!kd6s789>ITId6}efL44`w7k$qYnZJZI>1RVbW7JP;SiUFs0|O3x=t@;= zcnPzxaZd{e|DFImg=bQhFOc!jIa~eKKZ-vSBU?ZBAp|5|IW&K*RxMCOJ#qt9@0KnS z`6^hfg$=Ij@Yb$;{-DoN%nluSxo%BohyODfG3T%s#Jg`$1?m_h5WSkb+C2C9gO~w$ z16e*U-DdUjZ=ic`+OW@_RHbd+FAhd-CM{Q%n~7#%{40U>AI^yx<+o^TT1X|o6Y=~K z&(w`Yfy1<{S@DFB55c#72U(7+H#Q4f_JGEZ5Fw~22ECBy?Y2=>lv93)MLys_{O7ln zYF)^$@$-Tde)Nc~ni#U*463tfLc@)Xt(C?SbAPrwyy5ck&&T#HFCB)%(3dqIh7+xn zV4BCSyvusM)HA3XICD&rzmE&RW6ywzitS7 z4~5$&PgZRM)u0N-S5SaulCA>;sh_R-rXI|LjldEvruL7ID=im((O zOi<*961&$d#o~u{lP>N8G{v*3)6A{Q`@~@FD6`P^^AD8%&`I1z+Rf$A-ia+IKvGH6 z+L;*xlZsO3X^ktWQPwmcERA~cP7|^l*BltJ$-uv#u?uu#avA-5tToOHrn^rEMTyaE zS}|!E{$ZE2sTNvPfkLq%IEdMDM_761WdHgIGaC#T|Ni6DL)6Mi@$-kGAzN?d6FuEK zL*~J{cc0>1l9G9y^nN>Xig5?PTV4bDZ@@y9TU9kBJF|D`;Bzd;__%~s$H+*G!O;O5 z$FF$PJ&|7{go}Hyh|FFtnP8IxidQ#PlvW3%pN&i*15vre&e;9tO~zh59Q5!;)_1>+ zNcZB~V!>&=m)YFmj4%#J%-UNdez^gGOd1ld+<3wT-TjMYzOz7GG?TI;77EuQR?C>s z7HtPQ#)LcCmv3LLDb&#>`%cUKsn2Ge6_-_E`O=cG*-YyYA*}-Jo>|XFjQ=LB2Jx$v z1u5Eoj#xGx;v=D7flG7QawEpl6Zdq{=2T)~ADUwQGI%aUUZ4*vv2A0ER&oVbL3iM}?@<=6?rlcg+e>^lL*Zo^mbu}j#61z1S8hh-#(fWG1(wT;= zYT0Eb?1r?*nqn}kb8KZx-=Af&U*ZKA*;a}KMWAyyqZ5D6=KB z?)u=(YH)n^oe(R>ccWXsyBZ#$_t>9~YjsYmy?x8g^-B4&q~^E zQM~#)po=iBztp8Iv81L-H42n7CDwC>ugw4Bg(+bJj64;MQKt%GDGwmZh?}(zz1P$P zVN~iW_Q93JONcT@dcyjJPYn&F1Bnd6_&8AEh3ym95*M!auJ2kk-j>?dx@HSi`=E4e zuvdg(-+8|-J>J}Zf2d1TDCEi|(55Dso~K*2svQTdjy#?nQEV%-A15Jj7b=Wvl?3et zRSIZj_()Uo#SYei7XWepE{w?(?u_!sJ4?Gbaz`DO0z^bW=!pU97gEIlovVB*j*~o{ z6nLG>$lSi$gQPUZ`ko%%!7536M8@%LZ@Zkpc>qJ>8Mum`x}sVi(7o+zUh45>7>}}4 z1&!KoBXw1vmr?arv$UBYoLS){S2IC?`AJ5?i)eh(;7K!JMX}7{&jlf$D4zrE5Pf+@G0-4sNcWDGEU-VuV9{%E+`vcY_ zV&dBN`oMlT>gapf6#7rUN8_^?FkSD{g=-H8$_ zA6qA0U}FTlM?F5V@EHTPp7Qe|T2%~?8QU?y&D5wF*u5^d7BqJmi%HVf||0 zfbjSQfp?zK`N=C{m zsEMxiIo(BaCZ|rXP35kEv~4O-9}lRK4vUzy=<<|o>z-+jtVijk`LA;Y(wXc$?&$*t zw-?^ji}X0yGhjd{~kap)sutU+mC9HT{Nb?;&@Out@%=*@SayQT#JHkl0#_{*v z6k#SCm+B`zZ~E@9W4!vYRLCbamsg>MdKg)EIv7`{3Z>*Z17-hqF1@WZp=+-}mSmh- z*C!`wylV+6-Re41xc9U<-tpJ&^cQ*$A1=_{Jkv$)&+b_n-uVy-4dNL)u6B<)JB&72 zHXz+6`#7(q?TRD(>nHrD0vB)O40m*+x;dl$GDZvNDIAZUK<#3dVi>iKNe^*HI}f|O2)^C-drY3IjJ&2vG8-}%tS@l>HrVV1MxBO>)2fNs%y~5 zm6Yd`;c2Xs0c3-6Bomv(yS~Hwnb5$n+>EREKDULScs9ehdKpfSJf>4I5@+kshB`j! zLS+>&n9yIkAqDpf+3v%lHQ|kWok&XdJ8_=$edsQ|-IWu?m{lAd$#Wwbn(qj9g9W8K z{mypZG-spbLDDi1C5nAft|Ow&PjXJ)e)vSc)6lP{;P9vH4v**t){*0Y$HnUN_NKDd za*tb)Y3}78A3SPAvT8RCbu`Zc>4%YNjOS^UP3gr!1Q?hS^pE2Ah=?c>)G@SF1c-ro z^cd)VY;;BetO`^Nq10=AS-a_n$*ywrN-fWxRe`DW1a*7Zw~l^Y+d1eQyay8Mmr&1c{7(m6vcJmJId4XR68 zN8p^A79Jh(+z~(_pq~R<&XmHJsC7wsHM9Tz&j*pNqm{N|3AkSMxo|M~Fkj`j*N%hc zZm(b|)wA^t1LEsCVrO(0EgYT_i;Ff2T|Sr0ksJm^lZ6VME#X{&c;q&}+L;MDhw1#n z6A^rS!kt7p(>@COy=n1Fh``il3G;6rjfyhoOPx5lPT7eMt9LGl&y6WDcDj|&qx zWrybU36xl1bK-FAIDs74U3{9eX2eb2_wGQWacP}$PPaLoT3UA+74wGd#0DZsA8cH* z>%k8HK#6gfQLb%)FTCBe)WiXvz3*WG?V!*7?h8FaW#UPhK!hs~Ph=s3Bx^YHw0A5g zSs%uN!7LRO5Ho4LsBw~J^}XTqXKY*B2fR&Dm#sLM!&hYU?6PyhPB}Sjn{8{;eidc2 z8Nq~yYD4e$2F7PgDUhNgjc$uz$-C{kaViO>z`ozIh@F$N6@qNhe6VQF|u75UB;X*tw-6ibo2dOI^_Y`S?i1qe8X#IGby+vGQM9 z0H&MbCx;tkR@eXg^#As`C!gt0fc0EYXX#hjkpbPx)P7iTU%Sq@Ii^P>JvkoyGjOqy z|DW&o-%mhR$T3T>Z7UL7l`U^1UjV#!hmXCb7TaDZdtaISD*a9bVa58jU-k@LdM%z% z)@T_az|#~d<$1XANK-BsdI9g8p(W+K(xxR(pS&-UyCi2IlBCOcj%0G9q|WEX1yr#` zDsA>JW9%w>QAWF4@WpzoOw-*lOv2V$QhCzf5mC(Z^DZQ6Lt+}o*w4`R`!tjjV19Dw zV6>yqd?jd3jEiZC;bzgEVB$3|Heu2fi@dqHQU5ce%JZ@~W^Zc&nsk8`d%MMtxYD-J zA0Do)QKXOzEZFtrsk|*z2J`|Aa&Q|7&vaw+oiL!~!_V4R#qT9Pbv155fJ7i=53X$9HaHzJe8EwWL!Mc)lwE$fg+rlCz8`U$lbH?nobiBMWzT)=z3-cE z^2PZH+eZitZwbn@T$0?>1={pyj)%f5(yfvG(Fi`7X@mC>Q3acH2C$a3Z_l{g`?v%c z+sutrsSmxMoiNt%F4{ti$!?)taAMH2=Lf5b3N|lLrl_Q~vhzNRC;W0en@R_FoiG<_ z7{rUZoxTrylWf&O6FsK89Wr>|SnS^xr}E-yUjTlq_4MAN-HpWnB-mAyZ?= zua1u%QbJb5JjAcM-qh=59+QxHY=%{NXZc)jC0LyqRNTD6#~H`(5iHz$@e~btJj)gw z?9oK|8+81Nnfz8CUFEtift{LUuLi%z z5zHw%NtqF;_QWOKM#q$zarnz46H!Nwt|5_QKQn%F=hW%x^sE07yI7%y>!B&^w$ixo z$xv%%`VdfT9sL->1jNUYq8R7PhO5iX<#SiCj<=`JsFQoK(mGulT1O{*WDhL05fDJSZ<9TRfAjO5?zpEXE! z{&B0o(IJ&wrT=o)Qr8_(eta}i=qo6Dv3gbDr1%vg0{q>!MQ={_016b$k%4P7@j)5( zK1t1<1!Q5_sb$6IKE3dHYoMQ##w2iw0Uf>5;CoSh##qQ*zoU$F=Q2~l)(#tlMwyIB zX^72;W&tKttjQ=R6-o_{*pW_n1%q&|v#SVD6eJXtmi4u>z8ew5T~aOJbdJ%ttvm8Z zdY7ToIWL&Oc|fU7LhLW0?6Ghh6tsBNU|Bwd87Y-i?&1gaIia3f|nODSL3*nGrD^XrJslR z`o4A3>9&MdbA0losc_9j3Rele+wUEpCL7{=-cAKp=w=L}+=5+wBr5-q|AI3^Z4WfF z6M)dgFi6bfO?CN3s)ME<`7r-6W`m^~W`FZizN-E0X<;&?^Zu1|0GBj2VG)yZoN|I$ zYh@1U$~PSG_37&m#3nNTQ_TC%W9=G6P;%7y?y~wxIxhmX2VGdSD%3jjQE&6IqNq3e z#^zk)+U~N_|Mu>qC;BZVZR@S?;mMWsc@xu3VVA_@iUQsWQ_}w!QU41xYo!WnJ`aX6 zKF7WNs{Gd2q?o!}DBh3DUbnX-10-%Cq~!l!(EUQ`D}gYT5yh*D<>y_#S;m8m2%pDCfEzmtpugk;Ng8$|u!H0JPoN+PN&W9JP`rj; zt!=VK0c5{Bx1MedFz`WUW{5#M@bt#ZrQf4H-F%nkj&!5`jlSe>Y9b8WgENI%I>o9h zx1A@te~!-!Wx$dwx{AmvB&8;k@MB=MP(JmFx~KZor11@C`e3=tZ1`SxEB>NsoUrD+=&0F? zQ&Z{mJc5jv*q)`B9&|+bx`ku$x}QL7~)1qt2tu$@Lkp z3pN$VMF#CU)Mn1P4bNIGuqI!$G_4kq=)1wI+HaZl+_s6_*MDgI6Cv|hvhOJLyl+0N zj=Us6f+Nb$p^A(C;z*toCAj7xK!9Ca6ogn&`#CV!lBw*efAr=27#OqYu6X0D5I!HF z9*$a>tgy;0-<+akA(}d|uhY0x%dn{kO_ny8P_TkCuMcxseafzduo#3T zBWKEgoKkhr;MbEN6Ao#;NZ$SYT2K3OnJSwNX^=`D$47 zHHSJtBrNC-j57$n90cQBL8u~_I`0YkH(9`uK<0Xd)bF7Ieg!^>4Ofu?X~~MALOfF} zcQcN?ScCpGTLrN7HC{HS=+@^ZxkT_$?9!m(oySR&aMydn(N-ggNAIIUn{2%Qq^w*% z`5pVG^3oUZIy9)1PTpRnPt=Cb*{UajpJDp{kO==5YyqQ;7?j6Bv6QLfWnKN4NkdZE zwRzdK;uWgtY_E?`^8%z4sQ+K!dLpglac0!;737tfJDejk!t?Zb=F$-1k->wYa6~vRrZ5hJBXz2qJC!XK4B9B(-ZaSM zx|#PpAaGiHaFpAOlk@4bhn46N3Jl`$bLkAO3N&T(j2_C z*_S9^c_7Lon(&Kiey9ARa_p{O@J2^Gp9)0WNUl#N!YE1j&<|SsS-wocMX@%(7?wNt z>i3@mBzRjgd$g`06u8J4)pBf=UcCExF{k|>dW zbrmWWB6VlvGe52+tUmqIdeZZiWkIe#X&Di;uN?!mb4L0H-U1`0S?D0uhX>r#&;t=# z8>SXwvbKAdA@VBMxkKZpb?b=R=x&`j}0+fOnGw`IcaeXiu1O2BDBG!e_zYGDG|=!{Xz zG01TX0q4fkM*#DN@q2t|OWUX(6hyZH5_G+lCv=d$Ecz8!+(O2BEA zghrPxkF?LeTr+jim%YHbzz2(atDmHygRGRl(jAXD z2&p-#6-T~A`U}VK^2g`Xoy)x2e%<0E8aVB0i$YTLs9uhcJk_Dg7VAOO@L=Wch+iT)?=%Hal-pw10C1M0j@YmkaL|3Hw5&+$Z0<9wmI3cs*Smzk4u3h)eR9 zlu|emQ*HH@x2)?lIrPlQKje-=i${TP{SN~<*Kw8RZjC(s z)+?S96kCT0y$b^$eeSVYe9q*YVw_d~ogk}UNl^=*i*HC&QA_!Kje#n!0N_RU7P2^@ zznoy!sT53Cdu}66v#VN{UNQyh@UfA2p-A=*n0S%l9~2k4eS4bENr*2<$0G@YX0-;B z1ID<=?s)I|4K&t?X5b{wk-S4g$UueVo)eQv84Nz-L55qjB7@yObABaWM4%CKXPMDx~4tU>tKF({84_fAapztUfm(X(fKH- z=k?%}>Kwhi-#51WeE0JCLs(?5yUah4F(N~jVqiMimqP|AHkAg7h`~tsi=^&3<#Gqh z`0n5!&xeW${l&B|BO?50m?K{b%2-RjW2NGrifB+^1b&17*UMRk&&Rl8N)bp3ib;OaR;y|4h!Ul@c?F z1ui4D2h)880=U$@DaWP%GPFD&`Q_G!g*9h70{&{yTS!(GQ9LjHW&k!mAGMJ*DoKGq z__rq-*8Xsf=nDS+g0481{LpT140Hgb<>3qikSaXd9}%A{qBdk(Fcin&jRpCJk?jX( z5I%RiAZt$U4ZtJo{MmTwCJq~f%tj5JqG6rck(v(e<+0SD(q72Ypl^a~2A-2EF0tje zHuqxE>CEQB|Im!Wq*hFX4MS|-o*KS8?e3=Pztdn^X?uIRLKHTrfx-x`s1eJ7?5oa9 zXT_6oLAF?2PlR`buUm5{+_XvQ+2%jhK!q3^D^=!C=G6muJ#5;~gSLA@v($&~eGyEWI$V zG6|QZHfJtk(5LSL1u=5+=-@Afd1eu;gl0y&i@AXrEw~(cHmm8=gYmpvZ?9{`;g*P? z=Zs5evNQ&M8%Ajlgf6cF@j?j~Zp8M#H;$+2mUrJ(I2Af>!mS*uw{I|DnzQzvpULeA z-@J*))bwx;h30T7qOv&7*6Sm?C*E4{kHflcy=UFS!WwgW5EvbYXck4OAWNT77)_^t zosDozUk?+J>2*i+r8ROE(-mpMnhmp(!>*UXAQInL3^}wIp zusuU4e-(SGTk%jsEtctS3^bUa@hdb4)b>;4Sh01#J(KU<9FYm_Yb*Pm4r_bFG5AZ}(7Cb0 zzvZl*yXMTSs3Une)*zy8Z8g!C(wrx+8=v@{hqLk!i~ET`3$wVWEK(e$5w8?taR(eYerC_URi$v82Q8RW5$L$HOnuj(O4yenb!|qguhqfT?16 zJ2=CZP#lg60rSZKMdSA8kFT4}l??rJ%jfMnTiaiJTm0f#aOmX99zl3k?Rqh0BNTadr80Tyw&9|4;bP;qmq#PQ$ypLWK8imY6>VYfC|j3rBG~GYY!;6>8XavR z`Z~$Y6M6GcEdr2-fa5Kh^*Nr<`l(mz>q#f=gMFgo?pUKBkvA=WEGU$<2#Nf`1Vjx; z@Z^E#dOLjb4KIr8#ok&>D456eFI*A){!oF>T{bHYcUO(t8aT-5nYJ9uNmxf3@P*>7 zV5(@E$1)N$5c{g1@xS~f+2hc;W=SNnevdY~v!UYh9<%wQK&~Q|yykN$bCL0K|C{%v zyKlp#Q0ZF63w*;GTt<=M?2>TMLogMKYr$Njh4NP%_5?h#qZXp|jg)=(2DbxhGl#vW zUDZ?*Mg7d7wxNQ==xi3-ZkRj4!=j;FHwJPajKz~9VFXkPexM^$q|JE6dz zKqg-S9L=uGv!qdQGW9-8CYfo)qy|R*K_u+6kmr57oekt#L zYNLG7lfFyJODyrP#1TOHtZ6B%iQRM^O-}YaB3#uu+X_(8pFC%@brLJ|xr}bVcz#UO zCi%Am5HNw}NBmdhijYs=IM@BlM&rtU=-wVO`pDiqyenyb!USlPl`c88BL zoCK4`U8gPIT5T)bCf$*J*hLNEWJYfDrn_r$HRA2eeM}+P7t_aZ+0U-hEtf6dC>ewK|?-$8F|Du;r$PbCrk0yPYFd%D`getzx&Qp@}adW8VjX=Sp>)VIPkj|5Bj_KVM?{t041^A77 zs2{c8J1zi{*~TezGv|rE0ZU_aKZqmn0cKSK)y=&@bHcqLZ}d~)gS}jQuVgm{Nqp#W z@tZgGY2y|RDbx0R$reF4z%4)mS@^7Z&yzk6-EG$FO#vDLRo^5W$&Zx*gP8 ze+HNFb4*W%vV5}4^TTNFRvvi^pMr-PlIaqX>6+vrM`SRWnz^PC1~^Ro0INF6l94s0 z@T4wp)SbBkq2g_ElCYx?V;G6pX2q8x{i(Rs`>%Wm3-&LYF%PMAWqxMmLYnKKYBl#Y0 zyhQYS=?;&NQ7os~WY=!*^!b~k0j9xX)W&w*_pi+Ghy_bvIWiqGBCOB7*Rb}kA>Ts8 zcxLYXXugHSCFE6bM3OSU^#B)&gbgM`$PSc+mx|3fi_Dwf02yj(RIrCPl})~LzbR~P z-&g136%GE#?tVE%6!G6G$9_yO3$xE92Z7aN*G~ht`mXQicf6CIt~>_HP4e>7@2_r@ zMD<-e3f2>U-ji77xd?S0>pqj_xVH#dpBhS<+15_^p{JQkPmw_C3+-^ z=;8n7U92<;F@&b-a}=lX2t)#>h4vI-Z3alwu)iCH zCZ7p1j>Q?YV=*5Hm>eBswdj-R?;RweLqs#hjmQT3*o;yp zZtGXCICBF)E;ZfHUSiuq^=0n!ruD@RA57mIh)WG%QmPL<61FM%gSE#YMmC%|pE)PI z1qzycF5dk5!QmaN|1g!jM+6j@(xZe?qi&sPCaJ}cf8yulw;mXW64IgZg9Lx@p_$~8 z=3rv_xS1rt-e`X}TPRZfRU8~#pWvq2jnQH-x>K)LUC3oNBtjQl$i2xr(HjkUz01YANW+xKdBnWhe3*pJ& zwDZdQnw9G=yi9c-%6(wns#N3LA^E&z@4Wv7i7%r?cl_c3vvpfF8{PFq|1-w=jTy;h zD#jV(IP%>=0VAS|2!dBCL+4<~%!dX;t9olCnP<>hZVC%&d=kw#x_r1q5)v^;dx%*e z+kowB;o2ue_-!{sA7(lpp$e!eobrigv~*S1KquC!`evU~K*RICY@nQVY<#+jeBzrCs_$_ zK?b#ipTog)e+y^X5=cK*@2X25-#l?CFWf$!28BH48o~LZT1$TYytCLX(f6v(5y0u3 z1*U{J&J8jw*gA(Hw~UXfVbxEy<7<00SQ~>kH~*yt_$#>B?UB;s_Qb9;h18OEuWx>a zdr|{!kZVN7m_q!A?Q9SD?Xr)_9=fpL0o7k_5NbsFlWWWjRNSlvr+0w@v& zUtFTjK@o^wDQS}E^rN4%{rI4S4cns0uyfeZQD!wim;F zY=z3kfr4E;wiDk;>{OV0(Qhig$y`2d6p_9)&kD;4L?b)` znht}c(ifQ?4*i&nmbZPrJ#a)gizKLJ*XMC7eW$U(MOrerj9V*iuW^~xNN0RuF85}qfz@s< zrEqsaJlSeCO19&8os6OcPL-4o^?H>v!3&?k%s_$3@cnbdtDpMOo!6UU>;mhS$L*Wx z<3x?4sLc|F7}@_6lwy_iwVAsNh%WK#-n(XA1EWSAx**nO{mPI+-J|H^)#7jKG>Xwt z1s`i-{Ca*EOYHaitB1d4+jSV8B`*uh2oo-T#R1f@dy4xSlmQX_)>+otVX2LelnYac zw#y1&h6J~uva(ms>Xk~)3?M4eRnhdOGuGXTB$64w4#flC@NdTDG9t07MHNC6^Str`yz)0OnIKNL( zo?!}1j49~sW4g&Et0(WB4++p11a*5=Vy@gFXLFUNx*P zqVpoLdSZXJYI6U$Q=z)!uIggfsU+*LjV$U&O9AMK)H%!83BTjO z`#{N`tQqmWfeM>Yu%ITn@0A|cTTLz%O%@f+A2a*KJfvnk6sA0+e^6LGjWVXZ#isZs zI#;L3nN8eqR8lbBlJfaX+kE@JD;Rp8n*#@*1*5VZRM_K$&t``0Ad=?cP~XFL24^Jr z6xMSS3#(7Tj7wJAxH2W2M#@QQ35jd)s_J3!`Nl)p$^PFCFvAaWZ%ZZMz{?_T=(2k@ zXkV{;8^ru*NWbYsRMi$#xi=NYe@{KNH*TL&`f4O)iLaLf@S)lyMD~A5McP*eMH#;R(y^p0 zv2-Y~fTT$05+dbNB1j`8-LW(*T`B^SyR?XebeDu6jdThKNO#M5JmPt%g%G%*LD5sW=%U}x`)JD;mF;Ly_4#0J8+glRIWt*|CD+EtBy)>E{eSFe(x_9 zT>f4g-j|t-s1%~`(WIys(%dw?&f}Nmd=lHG_^(}a*;lQdo}=kY#@CSu{JEL%Z3#^$ z{9ZpM6wF!Gr@OpTD9RRbt-zhQ2j5HT?2^=FS}Jf0d;mwEJ;9>jPUn~MQG>@` z{;5BgARG{y~a4#5^QdYCB#EpzIp32MR0&2)#HUg*#+@4{Usi#=I-^!@*j%MxOd-Mr}H+4Z_X*( z4%cmj_!%hwN%<${v=1uD-~ABXA4shI83GU+m*8b){Xc55w?Zuvv$tKajU}v~UV8@y8r2n{b{4)9nR^H;$4^>GBShLL^fdAtN1b zf5fndyW$1Eaw%ALu}BBCON{nc__kiF(&*W2@88g;xvN7QbPq;Pu_*kAg+x#S3}KA1 zK7u{?5}6}2tY7#M3$%}{s}5v)^pxZu02%_%C@`Hmrx@*y<}jx?N=;E*-lG_5-;bY*qk<6fENvM3Re4gb z0p6J5PUvwH$y?(6>{}hzE>easEzdj?G-YPx(Dh;~l}DA{m`DSTsmOX&SM@ls-_5Xj z_@9=^<#19i%I_n_3tkIVxa&!;|qUuad+=rP=j9c!aK_Yp(ui1;u^$s*jlI*w~)~pvORkWpq6sNg4Zl~9V zKG)STeteN{%agoQQUA~)Ss>mrgwWG)ib7?$rlhbsM{9DpdV}6x_CAp5lFA4ddsQq@ zXrAcW`J$rv!H-3Gs`;oS3v0i?d2#^E zQ*x?+#SgZT&J8E(P)8`^A@0Zc1;l*8RBFLgOADp$3A?A|Eaz%^9d({jsC)e4ZF1C` zF3kdIu^MwUQRYFWGv7b8J=wcBzt`{S1#P58{Z2fQo8i8Ryx|l&o$L2*18W&<%BuAK zoocJv0)0P3Emj2;@I~7mR3+au+=W7SrRPFcHvduo7!*D`IIXVkPumXh$9oq^MF3`` z2q*Bc*966kXtbS!jA`P75o_cWIPcJ)ro+$qQ zB9=7L!3%4FXm6U()P>P_vZPCy4}@8f|Ju$ptlLIxs=m$;c8Zv@Lv?$wBKazGk~$+M zZFk6@jQU!BWkxMce;a4%`DQ0zj*xz=E-bt^!KqcDk)i%F)+gKQSC?0YFy)A8EGvcW zS%O^1PGxea3^s$OAr?3Ao0FnMibX6EQAHg3g3jo>M~2g7uuv1&1W^`gqnQ<=aR1_sZ38(K}91KI(rzXZs_&97974XIKTJPWc`f0mO9`@C@jqF z&U=}4shNcRB!Gf2xOd>7)+iQ1%PzmVp|8wk%+xar;bstm1Ja+zm>|o3?H&*nherz~ zSta$T3AfDfdOHsR93mo3Ch?3&B?Kg`u#@aK*P(DnHEWF=(hK{bQJa}XIGx#oiFA`G zu(dMPa)q@7R&V(Fm#sJO#4O|t)*N^A{s=}sSI|-5& zvZD1Yxw6a7YZSbRQ3=k6>bV2uy27o7;7a+6#F@5;a*CM1-%AA-Ja@zv|DpM1N`@?5 z>}9qdwp=!(_J*n~`)V%Rv{gR|`WcHDcE=I(`sYW=9>Zx2ZaeM_+~~j@#-_{m4l@6` zG2?WdEY%;FePKr;08A>MPD4w6g#I4XzS_+q`zY3|&^^k?o#-^+VeIgck0@7Ysja+AK# z3vj^^DN)!s-pd`N;c#JP=}B<;tpD!BV>=g^!kPtg7Kc-~LL&)QSE?}}G8+}^?mFKf zT&L6_*WohJVeStnhduV|>k2RU{%*titXMWLFd z@BF_5lCXz;sloW5=uHGZQKR$%f%fL?Xd{YtHGEF{%D{ws+C~n&-K8?`K|?(483^Qx zFaf3#Kj%o_3g7z}c{MH)yXRcHSRfLvlNac=Nn*ftU~EY}Q{6?JW%V_nb%A0v%t2B- zyaLd>;!*W)TXALUT8W{72PfUVbpnA2znDDTKKXUJ?e_Wj#d^AE=|ObRU>9jpJ6ID~ zGr^>gjG$UDfiw5sFCjolur>OocGWVSk#Tgi1tBaelL9!v{DsiFZd{@d8)y#)KbmR1 zJauoCuR0izrjajL31?C~QOSz_e$#yabwhb$=n%-DgDfDR5DB*c{wZ2+hZr25k$S0q z$h&dnNmE)LxKB4LvMXHqRz<5b9N}n?PN$xx{rZJDHl;*yMV_r^FV$~fsbLRuvU@_y zHZRsljiw2Z)Dd&N1~7B6gz?nl^32SUpW{@iOo(bW{h=I99@S!i0$#*GlJW|-k34Yd zAYyFY%y;H#EMRf(Y^1U|G6EUkQyVUNX{a>VZ@<`GFXvFkw8X=v1t)LCeOUqUi)z{Y zd|6brEV)XBwU~fLv_%&I33Vx>OkaSrT(W=|FerD1g;MlK67>)_j95@s+BF;dGI8d9 zvv;*+Ry{Eu^Ut?4MI|tM?aUkSyPX=! zyUMa%nX}RT&~-M+1EO>}l=Mvy-=!@*|6M`7IDd+qpKaZ>RQfZKG4{sc3}v-HYioHD zbj7$FzClV%#P+XK!Nr`y_I}XKJZs=H&@s{Zp_qJqWe)z+o!Q}c60MOkg&5FM5jD0R z{5txQBiBL~4Q1UeW^Y&;}C`}+3MD_C%?vguvB{EVigpii2 zS$h1B%X?ti9P`jo8CEf;fp5Bdy}^O6*W0qs&q$!ccM)~H zy*y&(#)t}m?Q<@|kj{sB4>WMES5sc>FGl{^jt>d{Inw88Uq-qbOv+b%e&p3s*qH>| zYdC#!Ulk$!^VX(?NiZ2Y^zKqAj`GNpW%~n3_Y`eg;I6%Cp6C*A`-SGkBw}CdJJY)^ zLSJHs&E*iB?4!R%u60iGt{@@8O#KHN8BgA9=uT^d7ws>#Y5=&;UiEdI6QM3dM49lN z*rHua@?mT8*n?3Sjnkg)Iqw#`#$54hi=OsIYGOyL5A&v%&*{eezqIo5D`_&=#ipAK zTDPL@O*y&r>^R~_#rJ5T%|fc1{m{w4w2`FS&hVG7q-o*By21Bei7g02AL)=VZ~wwMg?}0eqHr6y5*Zvgwfg&UlgX$z0*kF%)lk@6Qr`YA$p1M7 zz9Xcx=f2x8Wi`B&Rb-yjU5eit@;;ILT5j}->q=Y6gS~Lm9nhJ}p6Z9nBBBNUiID=i zGdcf-u?AgGRpL?jkWyTH;NKg~xuJ0B+*sCKCI818v_DFf+f4S^c~+XxGb&bBbVyqS z{u$m=p9PVhnx^m0H@LwMjEm>^$B6nhMUqnG`_{5Pi!rm}%`=Ir)4mnH z^kPftkA*u<3Z@&roDZo?rUt7`UL{US%>h#IRl$0#Jr#7si3`2CA-hcihDd1Kn64RY zOXnBlP!8*vH$Z{p>>Wpr21O87m<)X36~)Ip8nmf08TYC}558uXUJW1bQjm}lLp>cy zsiOT1tdW{UU&oEU3(J|ajekG~2<12@xt3n@sBt?i#j#$|&yd1xyERZE+a!kOjRy*9 zQQ)KM`F2{+tM(yQMR~60CQBk)NSvgj+tVDESiBNIUV=H+gDy~vhC8A65@^Uj#8Zo1ut6@gUiJrm7W;v`< z@Xgc>9>v7cHv{&VIJ7kR`CIQsWeU0kyTd9^zL~9Ic0t!Iq7OTg0hW?2gpi7paxDpw z-7CO)Zjku)!D%-mjpw{(@ehr(km{jT&zvtix}N@WSHx}VX8QjCTrmixx(O%l&P|Js zm~SE}gNpJmpIl)-SY_spHlfu%AqtBB=^eDkB_l!1_|JNdO>TD%tj=G$?6SM|4&fUk z7o>i0@PUI|>GAF1w*IEg5+tzjx_juKi=`Y^jy2#oFyFMQ-zq6np=-J)Vm!(I{{7<* zlT#D;f~l~Z#A(q}#p&O+gWEo3S5X$xLu~ib_}Y6rx5nMX0o*&JE8MAFviCkDvf=*h zMqS&p%N57Jc&w|7TH&Y5dwK)3dY@?DPi6?#TP7&Rl^@)1AHA3tOLoD3AiUB2213d^ zA3~teH{v{n(M8TxJ(VsiCzubZ9?-$gv`^ zBzmx_wbn)ef%B?yYqurR&vC#KADj4>FAB~}?P>M8)j4bm_(lRW`w3ySdIvwRj$aP)y9!FpWSCkSH3-@X)cn${5okhZo+{Bx_qq6fXhXE zRXPREgtNdRDe?ZYBqqI%`2s#e)<9P?ZZqcVMsgE#QleZ0P}Ng&cBDHVrsFu!>Qe@I z-<zr_?9g4slc|8zSW#pU;B~JNL?&oSc0e>Zpq*r;DeNb z2_X47NamA)Ud{&&q2V{Fjn^ef52*Y@RguHETBcsDpO&^tOm%=Zd>DtiE3zy4F0 zRi-wTd%0uKe4g5v&@8i!huuV;>vJvHvf`YHvGaPX=w3qlVgbRlBrS>Ckvxdci@tR7 zMVWE)5IdwbHkeMk*e&PNQDdn*&mYHCUf+sOx?WvLN|MwERhhwzP7)8r7W~O5MY>;i zi=o@Y3{~9s=c|a;at-{l{8yd zL^I<;uj0V}JOwtOm^R*-=Sjn1H&lA^WBs8Jj#Fcd$z3WohxCN^9g=58 z$-<+lB(%i0M3DqB`y0U>E>{l%i+k5^s1_n4H9H-BPF=ix#s9c4&KT3HimN@hJKKF^ z?J)wE$x(8^0MPao;Y9IT|4@703gb0H+XjI_sQ?WR24KpqeZ|dI`(k?f8xdPJXZhB4 zD8-c{T_9wJH0!hNhU&1f+-TGt8%<1@?^@>XI}e!1XNrOoFDov;n$WNi9`VDdbI>GIh7IWO?vbopPV<+UaXJAuFbZ^ISzj>gc zLyCZNr}6E)fj*@k{}Y+T`pHZN-M+mz1p;a9J{OkZx}I@2B>q$d;@NL2r!oo~z_2Z* zJUNYU8$n%YWoTf7@vhB-qC+$eQz4UaY=^n?62uG=sDt?P#k{&QQ%*ck13NM0Y^~9q zis_3=3W|C;LJVlL94EHIr32WOrj(3ZTl5pvXIh9+IWFzm*4F4KsiIhprd^#^N0P$YTAIYeYZ?=)5NY6NK;DFz#mu zvrD-OWzlF5TPBmb*ZmamHoT~jaZ2jc8P*`;nt*+o2pt9W8V?%D3S8tC>Z#=LLA*>e zKKqpo@XT|Gc=}$q^)^z)B?HzUpg1bWBTzZ@{?E|8^~}zuThlacVh7#m-Y)Ag${fJC(VmykaimUHH0-Re10r2 z=gW!;k#GbdBB0;Lq>Ufocp#5VmSTjba_aGJ@X@F=SzJ#4X|kJ~U46D4l7CiHY?|CH zJy6d(+}%Mt{Kj8b%+>$h$rT81>e{>&ms*;9BN7NALve<3+SgrSZAYv8V`>V29t%tT zhyF`*KZH+iU!LPBV$FutKf~fa#N6apvc9-uY$o*_?&qV&pO2_M6YWhMN#uoxLg=ig zpIs++e~?4Y`^}G;2=G(2!&AWD1r7%!CX&oyXPP)rO4I#^`Fm^PzSHXq)I(vSwdZFl z*Pw?atT=;uD~>epu620}b@@2P^ar@s&t=eVemVW$0s!C2fbe_VAA0DBX!TUAEb+AN z4g>>B;+u7H(dVbz#FUf`@Oui0Z^O$EQBoq)EJz6|jF2Jo%Jq!Y1&Ng-kLWI`V?BBwMaLgalc>=dZNG133mpiGN`k583lg>c&P|msc>ZmQsrD zV!-wWlRpw|40tSu|Jt*~!F!A+$I2WdIWeoG^%zyqyjgZX2y;6;jL_3=kOQ4QeUCz| zuh3az%A;ahwW)1dCCI+EAWTmaf57NkZxIW0Uv({4+PM8v-4!Oj+`eU3R?KKwwEf!6 zb(?(-0~tpF(uBZ}aaWaX$%T|J`Kd{UZSs05I>CUsnut-;cGy>vl*2;>jSs4OIa@Xb zqKrv=7vq~}opAb;ZPi6%(zyKAulY|+d7vH~$USLj@!h2>2nz{~5wXth!6ua21xnX{ zc9^B-PKB^Ow}vD&OD~NNfO2`Mu}B-ee9rkaNXhK{e4w{$%fTt*T!PlnBVNmhPgFl} zX|kx4jQj;M^{0}1j4FEl!m8~Wt|QWge(0_>uppHTK)~|Zx=9L>?D$>$ykf|2)JKWe zIi3IQtA;`ryB}YYd){Cmxh#?Mz4zX0DYAF#!WEIHc`dAh+G5tlBd2yxyXsNq$uCXe z{Az0vnXmjUt-tb7WxjER$@~$E&QHzFEeMeXCYw)kA)SSn8=7^spg6VbLN_uF@2?$M zB@NdSL=Sd`#^zYV19y|4)t%!pW522&DQ+fp;z3@eB@H)W1AMn|!^>Z^@Xa?0=SBz4 z)UAw)#d9MisZ&Jc3W>CS>q#P>u$TR|^j5Pvs#ea4B@XrDZx`FKlR;Y66WcuMeM+Wp zPxJT5o{SyakCEX`cn>3_EiwhRPxEcx*|Ua&m{%5<0_6ho$+8nGo|T6F%VqulN*n;m z`u~9dg+xAG&ZwI@p$~F-D2o@1PvOHvp<lu*WB;p!>$$_jf$Oz)8$Q#R2aG6<@bo(q0CwBO}ezx50iMoR4=kn1%12Z5pN ziv`F@N8^bg5jbOTvLX&+n6W4w+WgDKbm^~V0369A9fj3Ok|)D=a@s$r{S(g*LExse~9t+ zIqMc87gDi7z8N1ekul;FgfDCbfUyA&`^%I20<5AvAcQo+bmn@SG85jevY2h0=ZF$m za_SDVpo!6f1sb!!=&|#VSodSz6ha{4u*w&Iv zlbPd9yUqt7&#UOD+I%GOot%EhEOerXMjO!b9ytoV*=iDX8tKTA^zhk8IGGoT#RX_( zDjMNQn};z~X&DbDs_n&z+mg(ZAj2m)o%zrF?y#?lMp%)sHGZ_j7gS>FvWe z^yO?wKWt4MK4ON6z899*lwR^kGKu}SYD8Sg8)XC@E*oG2?O>lO^MWgNX+RzhY@`j}n{ZQm1KIyJ*zJdJH)sBeC--cN)YlR8_p0t7>|qpQBwAXcz#j{u$ne__fj*hz7y--C2l+$jRw;-osBf? zFZ*{a_J@|Rah9msc^ZtI^>{5CP|~xP5f)WcFF}g7$3})i8>w}Y^S`o~ z192sQ5<((vWSzn+C^R2uKP=0gxjmdu8JFPlMl8P~mwWglA2H`c2#0Qd5`>=;5a`R# z=%h7t5nkpzm~V*ATb7=QQ?6a@b+kO=pHt3@y4a8ZI0wc;*3?Ima=l_*UvyCD{lv>y z?q>H+Pm@_=(!-{;peeTSZwiPpiu6_0ZT1!ni;7{v(_JEU+Xvgrm{w@G)|*;$`gYOL zi=vE&1>HsXndX6j!_K2h>2aH?o>?=LY1k=IWwxfbV{HXYB!0T|a88}VkUtef>?9Hj z2EqHL%Bmc{*R9-IM-cV5BBTM5wAz3#V6N=>$&h}VYI)mr89s=XdnZwO(1%3N;R$1I z-Ls$eytUDPjT9J^fwR#T2pXA?GkmNfBLrna6etqQ&3PO^xY9K^s?9P-fJd3ox7Ge# z7( zXn~m`dpQjYvY@a34fGo^Sk<||`dtR2E+j>%Y)A!2#gJA2M8MuPY{>cg=iB@7I9$Z^ z*vM6}B3Gjkm8(oVg9oaWx#6=26dcDA4^B2I!e zlu4PqczVmZ4KdqnS7`9ElHu1G{}P46mE0O-)a*`;l`LY$e`PwbZ@3cr2vPa!4h4aM06oaT=34`7)EF$wk<>@y6=Qxw*Hs1CmOTFK?R7I2Ert+hiQqma-EqktpYxbL+J@crU3+o>Z5%4&O2xdwPus=_uxwGFUOgwHrFA7621$5fqZi5o#yC$axCCvzI3GdiO!0m z9{GiHZ&A}2(D`!(>+^)xwcN4-eVa?RUSoZfv7gLYrzK6hCR?Y)K)c2SUSoK%Dv0-t z^nT2m*>2Kra4+u*G|TJ8sEl8NTdyPTwqlt~tBTNa)1~{kigTY$hif;moE*#FfV&=z zv~wxD^v8m3xxQ}7Dbwb3gp=A+R=i1Fv0|LJoeGXT0u0)6&tHkq3y^XNGr&VSRWYBQ zSxnDyff6Z1g3(t{yyDGjY(j6pfhA1j;z89TJDMmUqN&+Mr%Ty`nfZ;Te$9~W_v|PN z!9ZeYX#=Ok3kTcAA-hIC+s2&_S_yDQ{Uv&lGSZOwcdBL^*qD~Vll21T)$z_a_N}a?&)JrbgztyPZY5jy z_Kpp6_6j+RXNR^_LfyF$) z1jUBFlA%!-DIbl=I4Yye*X%7HubEdbxQL>KPGo#4Cp4;D1vOywt+D}u7OoG*?HFci z8@$E?1fEaRC+2@6@p@Loe;VEMR37nk;1QHDWM=)K&T@S2DGn|E1LtzNy30kQx-6}qcEl)56hf&Mt;S({D47oM?BHRAB? zf{A0|(uo#dkK3%z^`IuNoJZRhKvBc7=#I9Jj67Yow$p#jrBlDm^<^6MR}*R}eKZSK z&QL+{dEcKr1RR9hbC}6)f%IlTQwss%@_&a83jG#*4%m;{_?4(>?8b<>)Dba|qc60> ztEcnLCeN~o%phhFyI3PFOUzda8}F{L!gv44!2V0I@xQj`WlX}Gg*p4H!F_eRmfefh zc@;83DJ8h6Vxk#fvazU;uM zn@<&Y9N;~a+Vf=!3zHhWST1=%q+UNSq+S0Yk(!#An%giefFv$5>Z zFjo$8bQnG(tk0J9+4-2dA3o%a7<%%;JTn^k_DdvN0Qa2R_|vZm;X@mCva&CpJfuPl zqLG>J)iX%k3=7E`hnRjff2%bVGe=x|8bIWFYw_kDPyt4t;!Fl@acPvBn;h=>ICcp& zxP)4#Bvpr-MhEv8^7z$K-dMIHxe`9DnohN5PCCA2`G?ufcsYCw5f#=%-MUe^&?Yl; zdI8}8`TIQ~IOkA(R1*ms405e|MMFwNVzT?DT!!#y{ z>JChoHMbn%PwQYO(JjCPwCQ6VeT~j=!YtZ_Ot#;A-^0-WhL&&wGE-; zf!|m6lIe*7wGbXHcwiHkrkFHd$H-{|J5KsuGF;J9X|ul(bjE0ZkL{|S$BpjYOQ#gf z7XXtHNk~Phi-Myi@s|%hB$%{B=yW8QbVQh*0(N8*!`B1cTli0v?7q&itL7OZ@OB}o zmH@XHOyx!tjj2t#d{87r)i=mWG;ZpK|_a{m0>AZoHX+!4J*%uD0 zE}bZ!aRUwWZIGksTHgR3WVSjq+<*F}qh}(ZLHXo{@HwPC+)c9qN*2;tk~>paIMbNB zm0omu*{ot~%vsHCIXgl4`IBOdpfVP~INN8VVblc;#Z{eU@;Il>$NqcQ5%=8oDYrUo z^N+6swi*uT;(CR6)ATmIz8g>NGDT3KPm|k=&0j>BRmmkDPYb%iI0t(f5g<6 z#u64{_tpL z?;M&qF%TF$L;j` z{>WW$2>kn#H#Y;?j1VB7mzga*^d2{G4aWDBPXiGI4w{b#f>S=&hV1#{?0a}K;!H(z z+J3jt3hwP`cGvVoxDnJwSz1{r!%3iV#L&#L+UjY_>iLveXVBH&%3G%)V1QrDEVGgr zt=foZi@fs;2>8wBG!#adUC%IoIUImqRNNQHtom6c5Q>4Y^{gF_8$2#5*qzY4K_J> z6n-duhy&RAzCdwslDEF(uU?-=%Xfb32&rBLi11eLXNoWJDFINge~PDssGFpr{bLNw z>7q18`X84OPkRSD?GkGkI2y*~x4Hu+%^TOWMbUiyjBb=E+chlYsNI+@Ks}=54nfozq z*M}Pad}}*|zW4guy!0QlvptHC`UNCq`Who!gx^QV3tKaGn%{nV3@>a)9?+-)c0_0L zLY}_u7>L>mC{92pg3zS!7#bxulWgyi~j7g1tclXlHKsJ~dlTzl8KVus6zl8w+X%z=A zmrTb*WwCTGXnPYDq+wWLChD@cm(oBysd8e4$n$?$hJh18DiF(&ATg(|qv;9WfrAh6 zJ*R=sHAZqBTYky|{!e2EVP-HqqN0os>cgV$vd*>H18xJm{a5UcxZ)41-y>0x7KkK2 z^0BF!8P+BO7D>{17z79wRluH+GM92mBOzf2wD4dLmn72sjSXT_Aw#j>BvvM}TlP6; zUMcU^txV{pzT;>BAT#~cYR;&;6B?USxKcrc<)K-9oB_wWn<~|{U+0gRB7c1N zxv}xdGgk~e9iWZ)&H^cD`m!Z?IECFC ze|fHiOD>}`8&y!U9fa8V0)s+_(E!nzoq-6y_LMV^qI3dCCD+c(5ZH0ybi4&327w2< z-dTuK{<#qTH}#Q}>t;;973OTzR2xPK#0m#$22*Aqf1;sQz@iZW>=QI+6J}8cBag^NEeJbW(ap^?)_Kaj1z(DwDeErh9Ew#MxGsNTFbNj}L z`yZHk#H~E#?Tq2dlQx9k24x3uIFqwopLFa(pc5uU@&85p+2Lf>C5R6q%MJYmA; zdgTuU5_(pmJu3_KS_{Lv0rCF$pzhWC=4hP%(gJ+*Q^k)}NH695BR-trXk9(eXZN8z z-=;l@dBx`$aLyw%$1!+4d{&_JV=VMI2WB}vEpL{?!qh8afdOr8oN25I$a(jB7{6?L>j-?!*|8_U_y~_l=aS0EBH1AKD_Xm=*&oV&(D3D?B8R{`k+*KP z;_Tr9iJ3~g@GH?vmUrqwEpdLM8Q*Ow9aWyL5f_fi=~*lH{9I`oXst48TcQ2%9s?B5 zz5Hr8%e|{>YFN6nJnu^&RY&-fsZ|2L_Zu>y%fZJ$ZdxurPmOj}pu$49 zYhZ0+r@`T{kKptN@A8KArfEV9u+$-C;B1DK7(sY(K;_FT#voi@x0flc;%Iu7GHP=$h%udFOogpRD)`iZ z&p}tprT)qO^|s;b@exqM;LMEH+|q)ex{m{vzb)Wv7a}Y zzXsT`k;Uzx+g|X+2-pR6pdcI8dbh-bi4i>VT47s4Vom&gWY%z*UEcS;nm<@K4A;apu1#2A#NQhaoGeI*$bUZ};^ zgkE#y-J_NHs$%YctaJxBIZMe!-FCocurgxym{ML#tcC_`;cC0wLLxYU?GOs4JDKjA zJTV#`OnIhw%sy)I^wy%_mMSa9%A-&kSrDkhJ<$cr%U79$}TLEOR4Pqua> z2C*QC*b2pQhKzJhtOsNCl!#f}cH(6s?z1+m0L^FZ-~${f%>UNQVgS~imGOAcMG@oe zxMz18(T;3(`|ul#z}_2Ylkb~B*K_VyzYWgZcS4=V|I=R!K@eubK!W2C&hQ$R$%u6N z37v5foa4N_1fIIUP4C$yJ%q$WWH30lO=kYya;I^0?fvgnGNqTNtwUCHSM4O2^pn9P zl?iVXSJ1bASc}pY5~4+Rv1u~dAK87>RnOS=D6>7!p37~R|H!9Ov%O53h&UQd;OG-xaIy3IQA_MV_Y{2n1~2f5>s%m}R%mPLn# zV}a;iK$)WCkq$K6W@0CoQIvwZsrP@1?_7~gxt22a9I%)Q+_Q#|D}HdYWm5}`*~4VV z*~CjCS7Ch?nHuKnBe4vtaI2)rg_~pC62qboWN-Zs`Dz$Xf44$(r$ysY;lv7FASG^1 zTa@{yG_*X=?^J>DT&DO=8B9(}F>MsAiSPRiVNOkCFf3cXob@4@BWEaxM1a_dO!ISS zB)E0wRZs}Uq{b6Z%bL8qBRn~C`MX?|m9}}R@GdZsvMs}jxSay`GarT3{qRwPQ69Np ze)P&>*&7p$4v4dCMILI3^ZUU9i=x<|)z<=O-rhcQ9Ll50E_W<-f-q$**a_wxy0dsC z#Y+A*u5HPD2m-gQ;Rb~egivy;Kt^C0CVJJ4&97`nk~%MEXcax1B( zLh80EtSOkPVmg^4A)U-_=SNnHbvO)A|D~a*{o!*>7Gk_-p{~83KIv9{F5!mOHZ@81 zdrS7S{>a_)st&wO7YINg)S6DyMe6GO-EG4nUTPaBvmJ4?-{JhQ#36^9zdZ=189zv` ztPSps_W2GmpCVK&RxzK$g6|Ogu2S!k^B}LVN|Ua)v* z3@PB9q1KM_2A>{dAlnIbRL207ah2LyN2r$4a+JhKir?!C_p7l=`OK{u$i(@L+6(#p z@s72*KtZ+I%Sm2Yp|JF%rE9u6cPi>QuOCAN*<+R{cyCj#Q!f^9$xQ(((&##&T-wMR zTYGwRur~L!HFwUqTaNX&gfq1KscUD#*?S{d{}y!)4-l02=ZWou=vz_sB>aer=6rxs zbti88<=+3xohkS;ixM`gZUy;qrm+cLCh!ziz~qopX5;HVj8(KB3<+@$uFVr}ZGT~wNchTVR=EcJNlF!Ajw-FoLmA66t->jt61!a3~ zIly>?RSzuh<5I;JX^i4?L&vGNLeZU&M>wicml*W@H?+J|{F&?@SR#Xo-d$GkWy|Kh z!ir>H2c6@P3~>HNVF)u6Zb{{xI~XX?SQZ~_Ho}_|9(`$*V0rs-ty8*6EqJxE#=IsE z54!RzqH!U8cK7qdAW6+-N~6ERg1OpmphAE#g(r!Yj!xp_B=*B1F;?d%S)G^@VEG<@ zn#H9zMHFGcG}88Y7$+HHX3NW?(LprbdfO%#UPjnOfJuoL?jmHB1hji4Vq9*24Bcq7 zY>!Md26_568_JYl4vh{gJ@gEP3Bd_6$@j@NFp{Fb^9L7a>NH)2WWFdf%$te>&sKiQ z1Dk>)h$HM3HK-dLavkj=&O=~=MhACk;4hYOSM7vzwRwe%zk^y9!SK#{JznCp?DWb9G#4v>pS4rA?kPjzZvVSqc)D-s#sJng4ypHDxo52WRsu>T;~*+`u~65N?f z9;C`x(pa*&^IO#+{I@CENPLEZgqE7Gm}CE&UGnbBF|iw`2$Y0_MMz;n@q-?s?6g6C%zfd z)xQ7jRV}*J?xVe8YmY|MJs3t{sVk9+EfkH3kRts$_!b|2^gs8l+V$6P)?fG3oq|s+ z(gc`<34A!ap!cQ(m*uEXi9hM|< z6@4}n*XW^atUJs%gu^9eIyTe&_L_&=ZgNf7o>Y4-^UJGF0W`|>>886=^m4ck667dg z=JIo{j0C~lSX~^eV5x-=*1)SxEIpOsllci+YCX8e0deMj_`0jq)_6sJ10674^PBC6 zb|j>f&qCXam zv;8jK2Pp-gND--v>&=hnzh)xmh7z#Sg()Zy9cE)9F*&6q^Nv4#vaBX|S(%|F6WEBM zvLHXFL>*lJc@k4(k}8w)-#S44_xZCg5n?<(es?&GxO%ok?a}1Cc=|gapzR1JJE!1; zERKTD?tSZNPQcy!qV>rA|8Q*WvxP(^wbsqB9oDXT#-(D*O?!|$cI=WfAFrt{KhvGS zrPBCVgJQri_eAB=7CH|wu6t_w7C_K!;Sr=*5{k?|tQeg>jgF!YZT8IPe2di9Cpiwg zJ_i0#Nw5=IEMdTjD|e`ZLr@J$ODf{zdZzGbI?0qrq`r?DCv#*3Hi3(RA;}M!epRkl z*&1VzSW@2GWF`MkJcd3Qv%hrluoJ|b(NtWTa0X-fYB%;^wp zw$tcR7(@QLO!^@h8}#{iH3m%rhJuJ^<24?Uvk9f_%rL~h3*G6w(-|1Jwr=Q&&;>er;5PrpU{o0(TGHkcmO zXB)0(+(k&m^C}aL|ByrOSVJE_LAiBiglkC7tP=v=c|ip1U&Lc5cyun&r=y4egSYbx zYVzIIenKaPCdB~K1f_`r(rW+#>7gS%A{v@>0cin35fBj&5D*eNDn;o%gsO;CsnUA~ z>HU5FXWsL5?=y4uoSA&!OD4l4&$`!rt>1Mmv{f*M;Rj5EyulpIAZk#^cyUjoNXK9; zAy#mF?QiI>d%d;AHJOya>S)x|M$NA^`JM!8cLJFIr!U&JZywF?7p+X*sB|xgaL{`~ z`q7-sOKGGETukNBdYT>b6egmvT->a=*99%;7<5Pqcaog*_9*0ERhMB%CIv?yxH zQ=UM%%QC`U(xOXVo4QTG_s7Jm{4?s~NJZ%>h=E98gV&>Y{(7r8IaXM1qfNQyaNa3Z z@&38xgTf&>M4J;jYh1EF&4##A+tA#~Qdpq|BliY8HO6e!=-cv$iv`r`DMsK)Y zW@B0Pv>tqHdK%xCKQEtdn*9ERY4sKn?E|8xQGwFq992f_m#_QPh==2rsF$Li4igLB z_3R)6)4a?BVS0M9iYEUc>KorjS2gD}jT)M6)K*1Wq5C&j7CrG&xX@Qp8p6_}IU>aO ziO7KLgu12K;xeWjR3;moWSKdFPxlQWvWqN=+Y}ydn9cJZGH54Y#&yJ;_U;6_Ae&$U z6BB8@&(o(0HJuFK*9F&~aII5pVJW(OG>^c=yNqZmy;S98}Q3>l#~ z2%Bj^PA>}Yd)`13WEm#+iqgT5?%%&K|n*k8W;9tudy{USw({>;#?&c1K zYgA}LJ~EXS&UI>^`9KqRJ8}4q!_e0dWLVJG=?71vPGACefkjM;SAVrm_har8A-c{Y zF9w$2vu9$Tb^=dulv0RemBhWppkb0HsjjdGt7RQzdc22jp8i_HRqNtM&1WgWfK#d2 zzL54{seZfg&vsay^QlKN4~g9UZmdtiAIYS6R}Zq4F;1NVB#5j(^<7QjU#jjGmS{+N z#IoPYvmU-I_C%;C>Bo5`7{0ZHTL}^w9Y~}Z)zWOt>J|ZYfAAJ8%NqX|Jt)B^{!#fM z;4@}^&I4?tcdV!^6w7PhdtRaJ&-D8ezUN3^DVwGTX1Ej0kbNh~p1;*y0}jD4U-Ru; zVN)Dkf%|&J=_#N-!f3~4j2S%Xs<&xEbKa|Sm%=9f_f_21j$C!op}ez7+?CU_1wU<- z!}!C#QN_o%DC053CdM@raW8z{SGasPMibQBfwe{Ny@sz#24tc~4H?jhhd##&tKd0PZ9s6u*VAGWQ`$YPql_dtUvV+Sq zyh(y(^%*dp0r%mm#W6?-7n^wZTov;%ytoQ%GzJaNS39qzs3+E zxqWN0^1{@v=z}jd!AIiaKBYxg&A68rX)G?2K`NUsbS5w3(lgXD^uV{qqTbygVsung znBMiiiEcT@-iq2;F`HlA7~u0l4Hmq9f-&ILxCQ4Dn9|A}Q(!X=KZE|YD_x@SWH{l5 zV?+!_B_MQa2;dRX8gi_PxRFUj-AQ{Z#z#t`xQhp>FNjE5@U*2U+$v|Y zkm|@$B@l?{=Ne}l-YBVc!x74(%F$tm@;de!X|$&gueQ?xs$^Q&z^qR)Jz?ud#5-jt zzsawwttD?w_VpfXwSv3vWu4OF4b8apSpDR9mt~Psv*sT&iAcFC`pT5f=^c-mpa|T! zhFw=YS4ZKj_wnnd8(=cg%WBIAh%B(fSK0sOY^}S?EJk8-e5QCFf+X}dodfo+p%ahqa3q{zdC%WQr=V=o=kj7vaGzuf zNkq-i)G8ck99&DHE3ZwmYU+yiHvVcp0WU6g+HwUh6aGHx7Vz+O@y%f;HAHvol0m-&sNSdB2($#9ZH5PQo9%rlazkdT(yXQZ^$l6S@S-=dZ4 zmDXf7mVOZD!x&=GgsN>4fwt4LxO_3(-46y5I(#2Bso=2(=_AoHAY+ z`UJ|COIevnNkhSs);BliX|CX}7W2HfCc8MFu_s-=C!I~GX3IgBDvxs5$tBwsNsv6} zdEekii-mJJwTlGZXnCX8L}Zt=!-d^>6fuHKdXumA$rkoh{=lblE@Z>P=an{0;U34h zN@u@;+{<>jNx%EPEEWTy7eI`zaW*+I@@<&cjU`n~jdzX@+p=j;(eQ z3(t4o+g|%QbXk`ErzdhJ%)`Bwa>H-wbS;ojrYCL@Rc6J?jRCu%_cG;yn}uLeZ)X4R zm>XbTOMZi>MFTd={Kp-ELD*>aCAY@0-nS<-^se$f3Ss~9G5sGZ8NneWJKF|Vosy&% z%aWvlo~DCK=xNYlmW>k;i1L04|HaZ+?B&*C#${*9u~ts=u-JKx{J)l2X96{6xDsb5 zJi?ayy@{e)rVudNCJHxrm0X2HpbFdT_Wnbm>IMab{tY8BQbut!Az?UDcKki?@-I1) zDL6o~S#Jw`v|c2c*+JZ}jLBaJq?^Dgju#l##$AMpm>&lQgynp>^`OVCWI0?JeAtkE%O&j+#}W`fzHf(+q8<^^H@q2hS%$w`m(7HRz@VJ4XPXSp80oIPL>o+S{kOf zQas|S4p2#~;(8KQii~#+RVa1B@9s=5BT+lKuxZ0l;dtKDOng{fbdE#xAyWDn_H1fp zS0^7$QK0^a3w*n+0enAc(U&+{P!z>K6RC7Nc7qfvT%{8K{*{d7E<2P>d;1o)tbHA5 z2Lj$?qOFLwD8An#P(E&@FWl++GiXeLjW?AggKWY$K#<=Ybk7iOZ8=Z|skW~KZeVP1 zmy3_9UNv_6U|+AA{Pd;8fDfuZp=r{@%VMaa$b3Axc<9sZbNx5C!1z$N8g=p;Y=!;z z?A|PW9kIjiFzE6{`ZqB7+jkgP+Svk{1BhP0Xtd~q*c1>XAJx0rudo^<43f&?sPvM^ z;puMU|MqD_s>IWJ#7mQmA?<|ZXtiwe`i_zl2x1Dvj{ZV5nfL!a&k)RS{TizNJL&dO z@R944P~hQjQ!pIa( zGT0Gyqb_Z3b+f;cjU6fCgNTS+a^FZu3LVr+U!I0SO(WyDtelwU_H=$=BzPhc=hgZ zyYaTozn$BJaHQZz??jC}%U7SERPK6nfrB5D;s|?f7A*SVZ?xcMs+l==- zr;C#~Ui~h+eyJPxuf$bRFtWn(*q7l^<^0GW^!2|lhy*uYUsmw1ys~lk&pGh69;|bA zu&SuI$66<7A@a7u-DWcE<)RCgd{1xryzee*;RsAmm*!D z*TLJ58Lb=!SV%a~Adi7&SE zck(Haq9OqLJW&t8wsRTMGzn03NzBzVsyolR{!_UY#*}1|3 z^$xhux{xXGaH*6s`iyw{Z&yGg3xl zVy`=@1~<9_qbsbN&5s;`5=vqofK3ls0?{ha{-RSV@&7_Ri>6Cce&OmAZtXGx5p#la zGP4@_(yq)n*X9Bp)XK(OXumQjybyk^H-ypJ(yjF@HOqK|2boJ7Xz71B|DPxwrME+Z z8;Vys0A{ej;+(W7Vr^-&dH2-m`;v;R?s@C}^BDd{v%LK=KQ$Ya+*!yfZ%`E ziQGs4O%N-ROvh-4?*7%8KulDV6vkUj4=79<>N7$anQogaoxRjkH66eS6|P*{a*Y9W z&tJ!!Gc64=J5J~@l&Y1%ST+-wiy^k`NqpH0C&xOq&#+tbS;9KC;RV~0g;a|w0!e9uWlr0<_LPBs)<$+%R>kp<$asXe?fbi8Iu(W(!Xl1bu zDtaXoj9r3XSFhn(V$`NXaXB-EP`f`o{@TshIRfVVLOsMN z?QPuh{*YCTvcA>YjnJA|cfod`T4FYvd1hq#(8Y5|2JM?ji6e5iBhlk(7iGYl*BvQ-JPDPk_)mWxv%f`W>7!B2+l)HkRVqR(ts z;ShS`uLrUY8~Haln*2#nD;X81SSsQjf$~#Gc)-mx3x@H2_5Owg7(aCIRz*B>%j``z z>e;g&Q?1_#?rhH)&_Gn{u0jq5at*RFGU#+H6qz=RLQ~R+U-;P!diVGB;PTS5aqB8t z^c{DBvFTQ!8FEx!9(kRvM7P%KIHO}aC5LAJ-RTpG=VHC`U5K|=3{RxgAXLn;PO95mK$c4DqCgW^BjVgq$TbLDAv(~6 zi_CY*GnmC7z3!N6U`UEqiLeuzp6E`6_sqdIfOe=+DjH|dUW`3ynW&cV#O`!X{R%ia z;sldJro$3v>UZYpg+C;}AFsOohXhSmQd+vYwG?qyxIR)!DhOZ<2`+(BJ2QFYuu(C~ z$90*Yxv(Lj6<{}q=!*|-t#3ZKzMwPe3gcpS30Jyt$jccnu=-cRyGd9$hQW!I0Rx7M z@d;ky!T7-vKKE|f5Z^0g!{c4yeuowDbEW` z87vtaZ0f0XiKbeF(l1#a{m$e9QW(ABEqED^xrV8_TTj^KVJR9$MZPoiKZUkaEHXfoIytBr*5=|5W7IbG#*Nw{MU2gsrLejp`-_42@k$#Rg3a* z1hB=}+0i1QjAWMa#px8B4Usf2={)$PX65%0JG5to(6Y?gFfyPL!EAw}o4ZE0i1DnC zDogT&HZy{DQAHZ2gx_;kJ@uOziSUe|?o(}NVsw9c7Wvj4eT}z~DBLZYfw-YZaGZ(C zrQ6&|#m3d?)kn$w)4o2|5}||QV|AzkL1nEDbco~@d4$SR*?YS`vmMy_M}CUiL&rZ*KC#$%CEcEk7G-()(IYhdr*+JE$0M$=urh4l&P4(bAffUR zYe$iBBF?~~7>gu(BVy*Z=cbdq`$s-Lq4ZlvxR%`4?#lZ>VAHfFP6Y(4EH?jmpQ%9z z4@Am6yL=mQ@v~ZH`ds!AK7`;yn_W7$tOv4L__H5#^I~K=pmp=KH<z&!Z;`aJYCI1;DEnIZod7KD;~X%s=36EV_N z+S+=Z292F;!3AddOaF(U2^qb@V^<3vXQdE=dSGiN zxigr5!E^M%qpq%8&4t1dpGYu(e7QBcqHL-v1ji8~*-TxAzi8Hq$Pqyx){7Y~k8@AQ zvE%WI6F(c5oucIjay9DfR#w&!M4`7|kZ#ubZ=3#ZJq6r9;%TYEMba4>>K8AW)s1#l zSXL^!`d@P!|22Gp0H$b1MZYs!T57-?atC&ublbA|ujxECgxZ8><;&bKu*)*c z_WgsQ3_Z3^l{4C3+^jUM92Bv$1YWk**NS>lsysw|Bru9pTJewhUJ^DU$~9emB!Y5T zS^c-H`aG%@qJjO^p62;lGTq6RrYpU@fRfN4IxX;^i?#*_iX3!M27zXE+~kq=JMK%e z*`H|9@e?6wBUj3sOKIZ=PHS5w%y%;wq;+CIqJ1_50PL$Wg$s#4|?R+czbEZn(Upe~x~uUHFtJFRl%@u#$rCExqg(45ge+j1VQT6y{7dm!b zR>iCjK|s7lnGSmun#&9gMAzkAZI@&#Zl~vvk(AnL)4=kvuk60il+IRSet=LJ-L1i> z&aBFQj5f(+Fr>2mz2*iP40~xOd9FVsOg-GF>B!2%St5=e+65 zSsExqhYR&hk?y$h#3q`+J9;znx+%$!As2`Vbuwmv z(oZ${sZ^KR44SVmEk%4fhpRRfT$hZL6Iu_ zvadFXH@o-{1u{C9iJhjo{I}81Zi$pSk*kM7adr@;qfD~KtGZ8 zweMp*SGXMZ6^MUmmfO|GtQm@j@sYsE1MbnqQk!v+#RmLrjOs=W?p;gEwCUeJPe|C) z+PdGHQepnI!tz38Y(*PHs82P{t&`%rk

4C#L)fEGD?}p?k zIbhr}HM=;K{d+l;n=;)uS6Suc*%x&0Q~<~Z>A0h6%${cnnlh{Lm8$7|>%QOJDSs4$ z4`Z2R;FcvOz-Sjf$lzibQ*#;gGkC^#ZS3gee~Fa-*V~W#t{)FJ;jV&A`z7lmrZ!l| zZ<>#r9?So(zPPgy`7&4W;&RTXs`r^;ou%&T{2p`Q%Xj_Lmbv?5%$LzA|Guv6%<|Co zmG$47n4X|1OZf!$ojeo*lVc{4m8&d{NbZN*Y-_~tbyU%i;>pb|RLZtP$~MYp)ZJ>t zr^4x|!s*bU|A+jdv2J7?=NIzdZpxqlXHgJJcGvUA%_yYudQ2kc)tf(9Lf^G>1(ZwyZ|5G(J`;IoE@7gMJnj?26cjt=jq=f_?**SbU58d~n9R{m6N zPkSxlU5j+}<1}}xJVB*vBJv-!IIvtf5oSz#y{HdAPGRy3-aq+15X3c@`5uNBfOBc7 zK8RRSJkR-r8XpoXb}FVi@Nq4ip44w{o*#D^d1(I&jikvygeW(O%J{MoQ*`lIZ)9Pd zB1>6n<2VC^6;N<4_)R5<0}&R{r<@t#cWWX3jO#`Hy{{(3kk@RPV7{RXk(&5^<(6YI zEMgg%yA2a#Aa+NeFR`No@ z=2>3^;^%jNTDFGWM@ zK80#_U*zL1o~fK|CR5k5E!MLgjR4gLNOIoX6mogt>QHUHcc!C{uu2|s`;5{Igi{Ri z156L4h}41vm-h49smv0!d9!LAcI;rl`KXRi{u7H>B(p2bo^*E0d4d&Iqu4~LKT2O& zsSDaMDV{ zxv>6yE%r{gXWEx9AN&9Q4K-QTGSAeo_$Y)j+2-fp;u8WfXr}9HIf&w7d&7qB;&@1P zDGCv1uU?Swx6Qy1vm(sC+bs(ee6c*+SljiAuXvZ`CAy1~j{%k(BM1o(0N0#ev~5np@T-E2i3 zNkvGt&WJJ9s<*InVcuEa+oj0mr(u`r8}W=&;y@^~pRdAq{C^$A=S$1C6Oo-`LcH!^dHet(7ZbslE3){SFbGkb@~VDP^%>ahrD8LB~(tS8pK|Xc+KeS~yaHR2Q6V{#h-f>b!4>x6U)G52!up7b9 zY~JQNU&XvHph&IxzQwlpLkr^8dFEimesys$zMHN$rmi8B(II83edKpjZ-+8H)99co z?!*7HD++xHyYn<2s7Y#ysXye@ASax;7?TQ!_*5rfbQcI|{KsV;?C@(1R3s$eo z5R-~_9HcH_L?LUxlemPXZzpk|6imG9HvDrPTL&@oottRFw-<$u=AS0w9Eb9AT)QbJ zhfxo!-|xq~P&U>xaw@K){2fUwZmi+**j;r!gpsKBq0>Q{$j|%V;_tf3ULJcMa_V+n zn>>0#sLMXEyGIOh*DU6!qA!jF7JMl5Nvc0<;FvY)?6f0GJW)!dWEIs&*8fCxG3LRK z!b$8S6|K5-j7@s^4cR|w$Jc_ETt;PKT0K&~=eL{&t zC`D|Lj5vZS3=cM?Z)Bn6n33i56-> zvWasv%l95^=w-Z%_0+vG_!aNEPZ>>?fP~wJ5rkLRboYB9LLcpCti zjB}_5<8~fN`?R)pxZhGwXtM4m?u(;xoHE~KCyV*Rz|zL~cjIpY)AQ$Iin4YsEP;T} z(bSZP$$ao6`7@(XvQ}mN3Y5j#qzTgSkSh zh7izn<4yFWMhLmbU0@0w;`h-B!e%=U7errpq!$Q8cp*xd<7CaH8e z`(}kGw?e$-y$5OU-m1tC*Bk2BNgv49a+tV-TS_wM*DK1!L@VGD@{OFUp)1CyNcoYf zk|L)*7MIGpM*+7ng@k2yOut+-eZTgXhuhMU`kUPeocFjeA zTrhidj9={(WFL8X?AAVz*l81C4&4p?U8*g{M^c?appD*u_Yb@TEmxG2Jkw zr}l6xBl*6~z}m?_@Ja`x%kV(r+Q6u?99d*^gfu=hG7U!ysKFv1A zjKA$=@>FGT#xjeSiyP{fmpk>-IyO1~w$>>=CMs~N^9f;;*pWU>1`<)7YF_*o3V$ZL zoMuX1C%A>OS%flf{g6MBY*(8qr2)9uKljrTKILcEeXcceQN@#grEezQiPoGdy*arY?zZiFpeS-}M(+ka|iHNwJ(@b>rO6WTgL@XhFuaB)w;r zG>ObEwa>h;u^vMjYG>)JGR~4v#%%VN7LL)O<8|3ppK^y8{qM!n6SSiPZU9r}jAlmO zA#L42dD8m^IaMw!23-XMhuj{C!W>QZVgw;#vz(_#%0lNro`HK}sAB3%4U7Jqs zQ~+|XO8X0Sc{hBO{o)OA;(-)<6)l|cHLu8YYMOg?a2+nCrsr#|@ES_!!xIqWp}e?` zj?SPPv2N6l)BTlv`Q%rv@Y9Fi0FdZK6_m_;y>Qe2(}~jOc@xj~^Q6GQxDTs5{KcCV zn9d*{!K!|3GwZ_Mh!71F5-Zro!M5GgH8&HI#(DByq`A2yVv;!ml|P$u46fz8t-Yss znkquzF~tfu+vf^@ewpNb+ulmG$b<~y-rBpcl!fI$6DVU^Vl_VnuuT_o1X9bs+H1?W zju*y9pY_Af_9cSa=x4rLQSKjJ2rd+-*C~cktjdE0Dj<(p2dMzJ^#m1Q5DWoEZ-tT* zX)jf^BIviMg$mx?`3ve0{~)?{(&r8I)~A;gLID#g-9^hYFrlP3YvVaADp|+pyDDI^ z5Pko{-Ol~kEsjGa?4eeo<}B} z-r^Ow76Cc?#Q90n(V|8iB_s(CM<~2HzDKg6b2`tFxt|o=_xfw|I|stj&x`QZ+~eZJ zE5{*uQea^f*o(wJoy8>Q5mFRKIg>VeO{7qG!^TS|V|?pa3fes1uGW`*D^=x1zMNyY z*7ZLhxVY2rqByyiN*(^;~3awo8$xIk34K)}M_qhq&8MP>;~^5bAo z9d^>I#rtA2%fZJ}rQX8fY=Tu_PhPw|WbK$*^)Gn=#Zm-@+}~4 z6$=9rhv9W_P zu&=zdpnf!)pPOz4*oPyN&mMt=k&wIB8G%(kTl;mttr92St9R8Xve#rn1q=#XkHV=} zz6xdL*VP}iz6zmTv;A%42xaoyfq}zs^kxm70PV)^2D4RzZ_7b@L6%43tILdgHVxSB z&)nsE)man%^S zD`k#$ln;Ow$9}FnuM2m;US!`Z+;aSsmiDPC`%@7ruqH>oPbrMNTV8L%7(ioFn{r&f z<^a1rR16W;iv#FpeJ!hfMQ1(EHx&G_535Deo>x)m+Ll4pp6~I|$WQq)iO>Y;r{EjI zq)8dfpY8rEh$jplvn_NfDN2WOawHt;f&z9=Zn}0(#T+>#KTUGbDiC%MC8Jnoc5Bf# z|7V~KSnJ$y#&}G(m&WI@=;SejB@LzqXsM?_v8(1&A>a>1l-}DD#nrE3G9CthYcug@FabZ&2wQQ!9D_=kr=SnXAtq>@3P~hIiH? zFL+B9$-i`^D$VARf!|_>b}yMtC@RR*pjZyN4I*2XB1AP+x5V$c;L49YDOA~ON)1Urhew#mH4~L zjrCTBO%we=^#vC%Ixhmsk-24~+qFc0=AB3;kYaR|tWD9`dvZ+@TY)rjx+k^=6uz+g zZxsg09WUDQSrMrGcZ7F`mCjB2zAhl#xKK;sYg^^|iY+#Bx;!*x`CVV(6>_ILp%7;8 zFHWC6N2h&TP(Y|)F=bC-QbTDEo1jx?7aW)Htl$I2z{|xHgXYsUzOMyODw;RyF&vZk zxd0(HnDY7V4G*X~4eKjzej4&Q69#h{FP}h_`g(Df7bCz8#lgQizkc0meA)#S?4$0l zdab_hI!W<*s}58cDN34|ENqgx%g zp-W-w_kmZ|aIQ$Zu$3q`sNK-K(qQ8LP7a-ySZdHh`OV`l!?42~ViuZA#=4uu^@d5b zzsr=>#NFlh4r0a!HuJF)z!%;ql-FGBU_qgcqACJx){k)Ltkay{U#+d<4p_HiXj|`i z>$3g1FD*j(!j#CljM*ib{2WdZ_Jqx0Si~Ue^6y+|^73+pl;U#qNUy?al)f@&_;PP% z_+>zC?xLgW-tTCp?%POV{$9zt<~W8+7OeUc9_J zRK-CI`Re-Q2Q=&X@dJKnnuao<;8mGFgF^EScOCkw9m*e0rQhZkdM=p83%^EQ6F;5x zWDJO;85zLHq*TuRISIBpN9Z@YQ zndAz*s&OqAYiSV{06lG;U`20d=@#JQzaN+}zq04B$D#?d3kR5Z#T=5{fb)0_5@7kN zgicA$ioc4_Uv?AJ-ipF(AShJWHs6{4^?h#=hEKACMvPx84M&C~sKj+t%1<&HxRZlZAV`uPw6SlWvOk~vOkIM8Lg|rXw%MVRf) z&1;m}z=69m5_`Q0%=_gm`I+1bn;T&A%Ht$IiXK!=XQxnL{v#aqlbGiNKip4d>RBwc zFp>yM8^x);L5W-uXtguSKIt|U3*L=hS6&ZDHURdY<|PkkXz_a|G5UxJRV5{{YC;?y zG-P#2h!ztu+!+w=TNa?0H0sv?U|)~7hq2#&a(4KW$*#%k#65JOyHcf_!WwVskVH$vQ5P1zuc?Lv~94z@R|AZIy( z?x){e9fx9DTUM+gO^C!wg;Ji?#BLb%l8DkrMJGH&gsSZJseZapN|F$hs4$>DSv3WG zmjYaq5Jqd{_;1FKR@NRW9NleFI2wjv{J}QR7yciWh4Wm>KVgSnPKrz0UBtvs?TGm} zDXCLUrNhGPKcj68`OeUjQ1KWiyW;JFshWo>7bnj$zX{sH>Nc1C-p zA-ymAwt4nTgXb>l4ioyr2L@-E&y0KAkmF)W;zIU+N=ff8Kz^3ZYOyHB=4fn>eq&DO z(I>;ns$CGiSJHEOvVV*N_x5kHDoYtXQ1p#txV7Lw#vowHr~Tc`1km(P>8cu|^a*92 zt!eE6>2&=*cpbkXYf*>aqdcG6L&k^s|1fX{sd;;HWMa+5(u0ER> zbq;v_fj5V-lw59*w&%5!evK>G2QuD+HF)wDPZw-R!^AG}%(+GhBf{=@cuE8bNAl_uUWjC+m6 z$n=T&jl8&5@FFg?+67c6u3ouq4hAs>mfOX3!o!jkp!&{=x>l0AZ`l3E4%sTLdMjrY z(8?{8c7<-qJQa@&f#MIrxfAmxrHemz;e6^9pZewh*E}`40=Qqyzu>A@^6)37^?l--Y(ziSJ#mK!>PZv%p04L>}gL5g@dG) z<{O$lR45n0Hh>JvE9P9Dlim}ePdKO=GVklD%C{P0=K`wrcqJ!|b?dyQ9KO7FEN7%4 z$Tnh`R{HJycDz3)lIQ6Jb`Y%`qON-PMwiErFilW+UkS5d8}|C(j*QOhd_y(L-Vpk1 zD=YWFgR$p;HbE-ye-MpkR0uwZX529Qc`0(W+rG{5e^d{E!h^5ZopHfW(Omfdbr})W zL{;X*Rkg>xBV_cq5HJ1?*^2%zubrv%<~m?LO@Gq5x6$2b)Imwg`DHws6{`Zmm<11t z51ECZ^jFJ$w4hmivi~4r4D(Cslv%2%nl}!rx9D|$qFU;@>-2!XLMJzBMrEX5W$<>r zy2&x#qQI8$)!vRjZugOYj|8QK*x*be%!E&&C#fevj0j39_E5_~b2!ohS%}OYB$c;( z_8?8iFzvRmt!OBDd3J1aB4#P6PkG{77GjvbM_)EuS_k!bIF{j8dh#=4m1~^g_TL{N zO^EM*;dff&k{4HNo4I+9(Dh#S*`tn`3@(4BKPxiS1%$ha+z9n*=9Vxw8{aSyZ5USh zajlL8Rlbg7R zzw&m2eWalU>!Mw>w6}5+qHhf(t+h*6jwOtbMW=ljl6!0*{ZU`~qn6gv{%JaJwP(2; zs2pY$00tE?DyZMHSSf6cYz=_C&}2n+^}g1{AFm5G46iQ^osrR-%abxh=)^W*wwb@l z%pd1)oQZpE-c-qGaPc)btyTE;j36%5UG_NVtYwOkt`)QM3`mia59?j~%_#KiS51$8 zZ||z><>mHj;`C**!sVvxs$uatIgez>Y~{QdgVlW zvEucWrFz%Z(~0@R({+GfXOZl1s`KBAaH5H)C3p=jJyt6hv+IfzY&r(VtEzx!Dd%w^ zP3)6T=j`Wpoh?R&#DM-p$3=+o>^^bSJZen!0gs-m+isOhpNIn2H<#M)*UEWUvRW`E zf~1f|u21uk{7`K$!#!cR&V!PO2KOmCWvQt}FmF;Pp9*>BAbAEYp7U2r(koSZcj^${ zZ2MlDzKxS>KQfyaq9vLevYo%qkg>tUzq>lr)#rcTO3Sa8v@BnOkLBjY$`lLPmnS9M z%@OOa@yL27jrWX35b=s(-Q?Vkai*7c@LBF&85-BEHu}Y)$e+7|o5X?vYxe+XQUpQa zWr$Wbn!yYYTWoGSwo}%F7I~C&&**1WEL_JWUiU>R|B_k zy!vqB@qhWqYug^5ofOy;oz-6`k^uh)3XbIr6`EYCt`PF65UMihW@{X4#??P*MR2X1 zx~Z?XrcD!DqV~Yt&gO8;ur5A~2E#qvveTS1Mx-sF9e6jzke#3cpY`>bItb8fWXi0Y zG+g&z`$nUbqPQ^bw^0)+NoVz~;LCmY$I$u*x7C;+%chgmLGt<;8zj+P%K^?E4`ro6 z4)8@U*4;BYN7Tw}`+2NM`E8-{@S(BL!P|{hu81`WyrFc^5wg)RIt_BGdpc^^gs&)SjK(8!3ky1zm9=vDgFGv_(ese~> zlz%fkq9~Ci)T=OyX8aLEr&E_aqPQySB7e|*ZEaaF`$S-9ZiCUdTkungDK2PF?@AjO z9jcE^Yt)}?iEnNX8*RNb+Q@reaGM-w=uGEdXwD8FXja`$D4QyTHO&c`#i zIj$$SU1Uggt+OGA{2hUOxParFemOu&yVjDNy93c`+h3?2HnM!*x=gyfp@tJC_PJZ@ z^mFh<>1s~%rPC?w@~6#}c;LQdFujR_Wvf)n?$Ll@b9o)Mp(@xt3mN)5WUa&}idpLAt~Rp2RcMUvy=FM&R0$$?m$O?}qnlbrzjT~*0p zUj(ZS)DBB~b{B+Hce&39(DIJHj|LsQM+W3T;mj)GzHa~#_lx9{eQ(o9Rx6Sl;~+s$ zxDx1D&WD7jKZHI)bR!j}=$?LiKFf}>dv$QPVq;DE2%rDkGHpqinQSz^L(-|7#nC31-wvt`QhCP3|p^1yHdpiqFZDO z=4#4W^`Yt8QbbzjK4JS6?o?)ppATIUMUL{Uix;O?K633tK{bNv59tEL38#!xHa1ST zPe!)7pJb25CCQVVq1|&iL$fZ*vq74(E#ryN=v7)Wi&hXc3c$dZ{X)`(BN$}Ra@d@JcU8% zY&^+-hDU(<)U_d-8cvR|Lq>;?TzXM3r223OQWE8PTcwW5y}S(;!7X7l z$97t3A67ArwBm`fo+_*a(Au>|KL(~#V#4cJ!ya(J0u(l=dSddA!8(ur;`m?Yg`wIb zO}VHk6ydUGH5}R!g>o6u#$P(DGRew+T`%}ed$+Xb&7DO}HRv?Bx90@~4?rzL{9axK zl!J4E1dkn8ydK|3DMlUn$jPZ(6lGnGjh>L3s8w0@xW>~g;)k7{W@7-qZ_L#PqJXPx zgwu$0wY*@$IIVxV0q5{L+HOENb*}8gAIhhJ_nE_a=U3?T;en;wsJYUPYu<{LK<34E zwCQ!ByvJ|zhGQALaxpNlaZe7QC!P=6!BnW3xOM8vE3qcnQXhEk#pw~-3 zNy+)8%4xk_FEo`h%`LmMg)Ry=guXhw?-6hQ6+aN|viPQxfML@;%tV+GO9 zF&!>+*pMOPoMjO0gXpI#e;3YJ5AT{D&m)YFo{C1){^&Y1Fs9<9CBb)C>Z>;|1q70e z13X`x*pE1dglL|e5LVQjc&Tk;YsB(vkeH-{9#=TleXZr@awSyDz@ByiT99l^T0ECt z3Ikxv6soc$&LUA^T6Ai(%JRJ%8(lB&Ca@C{oR)IkA7YY5?vz~R6G8iwCNaZ;9i`J= z80=KZIGp{X1tFZ}i!SKcqv;m4?fU!-S1JqCLe9!P258lbYvXx^$qf-va3o-_hI2a2 z^wpQwAA*KKPi*MTvIJH)=pJh`%D#%Pv=1DLRBt=K5=Cc<;6((rSp>d-1YZXAoh4-B z%q&|eYy}My-`O(-KOcGBmOJn-{AVD=`)r#E9UP`mdgA2>tsEKo8yL;u*R5UGKJMK0 zYW@EQZ|(m__CkgwycdBIo{5^)HxZ^L_*k`%K`IeXZWSDqTWX6$$-)`R~R$?oXuAN9riH}8o zvXr0t@b@~*dNmjc>m;yu?D44(|6=!1Ls#wB`;T^FIW8h!<9A>?ap{}63Oid7H?nO- z#?1~-o6DXxgPpsj-ll7HOdG@FdE@*PDa-r7agP#ERU zAgd5A@G;Fy!K`EB#W&e&moGR#*CiiKT%hd~fgI6|^AdLMv#1(Jv>z+)tqc(e>xg_1 zn!)nc<#Z<2lA8N-(asMOv>FT_BRL!;#-=u^v;EAk# zR_MjEfcshd)75dUCb@^x@s$7{O31(HaKVgQ?n%IcU?8uCD&XB$c?WlB>S9NE%KiLKyAu{nh)ZT_1bA zsxXL>aD*`6L=5U``i_R(1}a=K6B@o4bwR{MPs$VRx`4E9}m;9cDq2f8#XrBB~oSB&%w~ULQ&b@fMNbux2(;*WQTv3J*`92O`V=O!C z%dgX2(>dJf?vqEgjjj1tfAx!AnEcBB`R->X=ijWNpWOTsAN8K8?Z|RWW;rYKe+AUP z^ODa2iNB;xdx@co^+gv+f&EJE!hr3qNhiv3`S#rY`wejAkAsfPYS6C9%8$>CbC&=b z&sziv|BY3D6$V;0=M_R$IX;A*??+0z*$hzLF;&nT8J#MG|8FgT(zng$O%gSM zew-3hKSCZzk59ZDj|K&d5U($h&tu2ymlk&%9_RICAzNia1QV}DK>Uy1%IXrd=jxlW%v>RP~cXP-b)Xg*VUAk^JNh|4uGU?Ao=$;7`2_Om$L{#M6~ZX)hsl(@=cOCYry~l0I;a{eXnL_nI_PtVZoV|BDXsB zYJ3-`c*aF4%&oZl3A5n^`KlN`iP|y}<2;TIUnz{INZSaBC@4?sa!63Xa-Bw}tM-^V z(kG?YW+WO+BVp8%-iOF94RCVfk5$6KnIY3%91AO3&D>es{s)5&W*{47&--R`3SO0a zdbX_`#i19A;-m~u9UcwCG$R4c?Wfvc%RpK=CkH4~)X^pZffVQse~$`RH08%U*&e{a zFPv$ku(z0lZyE!RE;?5C_bMBMFYp=)j?YF}6Y9++a|S;8H4Y8z)BDy3Mn_Q2V}Oh^ zM=SaHex3iq7YICes5=}XK3Qy0m$y3guB4>q{uAtb_v+imi41|q@q*usysRy3bSm$W zpnt%_B~9RyCo}TWo^ihDzP)J~Z~5z6-gW^6%1c*DDDtpj=z=L=>#?oF2h}Xwcp_@0 zF7XjB)AqpVof9w9j?j^_?OS@kloZ3^4Z~qOLqTfOQkf_GWPBUxbdNtG!ekiZ$#v|N zeur5IdHuSufDk_PE5gZ~s7q?F721nwK?%PkumH5~Y^KQ=4HR`<3r^Tn^&HE}#OLmI zA_C5FD565wPOQCaM>j$~YHBVqGM2VsH3fiUvyh>se5`Q1HPPyGr;msChGfH59=;fV z6@4=hkd|N)9(I4kH(P&xi%dib5uQVl?uqB>^mi5^dX~fA76GuXdpW!td>WmFXJC*X zI4iwg8BrKxAQ?0E>^TmV&dUSDg!F2aG6=&{wOpCLH^$}Vpjh}jK1$sXyBj{=>2TnX z<<;iNl#GNw=v+n6>PFB7i$GHLGT@{x3?f?j9niEiRrE7CrguPgbv9DMu(E_3&Icj&(N<9uH*BkHww%R8cZGLmf_@FwE>xNgPx zxGs?jo3y-xtgjgm`N!8O7ELA*wi_r!=GLl84K&)sP5$ z_i4X)QVKm)pJaZ|-UI%>K0Ke+IVOa7MZ1Uxh~B2<6#+rEET4kov269#O1~b5kk{Mo z!dzR6@pxUh2_M%`N^ZBy`3q{{0z*4$4yq&PW%GBVT&1KQCzkHLWU6Dke-l(R%J(By zSPs$jRu(ImLX8HGJQvIiDRDuj_VnP*f{GF*wb@uxNW>H42=Mje?|4>~$46qxdTn9f zMV15fqPU3F4B-|&TNhni!q28X|E z8-c$Cmc^^QIY_<51G5|qwB4^ss7r)T&zZXK-gW?>sym`&e3iWoQMqenKx7 zn)tm?OE~ykeS5qxm7dWm$Umn47rEA)JT6dv+o@DE^e=!5dijY7DZjHGyot%FrE4=W z<@_r2?#jM3^bC0Ly2IDUH#oti?tT4hHnVavT+9H^h}RS^6Rc0)|Hy&i5R*>UUS?N`9 z!YDXjlnQ_BjmgDGqSiab2i$|Nb$1(={=Pj<#xEw7rCYj@A%K29CdDS<;p4@VYNCz* zz=H{Ih@+Q`%jB)wF^al+Z-JWE-~QqWdPB)R(aZA~iC}!c+hkb{iMuD)F*LxzFnCjj zwfz$7rA}SsINsjJ`zNXwccDCo7bZ{*N8fbDVH%eF9eA4NrZJ?CV=uDUNSk@U3yq$r zOzg1Gk|Y!1ZE7=+9PLIk9sc?I(#>AaytQ-KpU?m|JH+cLmysh|R0agZT@RkB4OCJx`NZai+O?W59b#u7AY9ONe?c3Jcvk(wv zq~ zik<>F&7H=EA+w479O7!OCF;l9!)!^WUYhFooJYbMo&rDWm$~bOaQaSCUW`A!u?3e| zBs?v`a1+nc3#QMFcKl>v{6wlO@UnV)txV0s;E7HtjuJHQdBS@SNV-H!-a#p%#u8H^ zuKLP@#ohYR4`H&L!4Z{COW1uNiQqg=gA3WJgfTxJaF;PRA=onVJ1bc>J$5va+!)g( zTcqUg1Bn44iyX5gKXuyqqs*LUtA(m?jG^j-fah``E@(0h>@%*njKyaxB|MOH19DJ1 zfmAz*vq&Y-w7Mp`dmk|N3v=o_c9{yks)}ywb;mua>FwT<$9+TSTEJ05=p+BnbgtI6 zH-#2KZw7~ard-CYp)5=%n&%mb9Dy&~-d|CRMj|Br(jLpU+F6zvq4x^^Qv_P9%8cl|Quolg67! zjyU9}uk%&gbOTrPyiRd&=MDG8<>Nh*f0LVc{BsR$EZ>LEIvV8B=)hx7dST7fAAt8W zJil=Al}K`Hutf-OtLu0AhrFH1*vm07{;oS7EJsPPy-4+hV9u*r74k@$yIWYf$1|u8 zbzFJ7my}O4VS<2as?eN?Rz3!GRWOU}rYr#n#p;ShoG$C&)3(0ya`CfgGJ0)#@=@U% zy8Cq;SBsDbKOCr0{(*6u9dnZB^VZ5Q0A4&Lg^oiQiai-q+3a1Jy5Yk1`Rlkij#VBN zy^RV^?Y_<&SL)O}%r_uf{!H*wH{Xm5M^QHyyzl0hHn)6z9*>cu=h^#;uk7VF4Mo(^ zg=<6OIMhI>VeX%M{!f-D+weIhZXcuNYk}^dG|T!yA#*aF)FZmh`8?}Ran541VUCxC zI$zr@@f~CgiLmZ_0TBRPo-!yM)m&DFX_FwW&HF!oqI+vFQU!Res~ptfMkEi`YOzIm zntr2>&z0}REeLe9?H7-+{&6PE#KVx^11)+}N%MXlrNNeipW>p!c8AN2_F z2p-L%YB|=o=p}bdDo$KhmLXVxx9#5jK53QnA`a4jyhqy)bh2 z+)aa#Rc|u(E9aJC&wm?vSN|lOt|>`kBT)CZ2}2!}GGBauh@BC||p@+eP=Mgael_BI#cm!E0V&kc}X* zxrMNBVmSu}O8jG5KNXDUX_X52bI6?FY)Rew-od$4WrmmJKCU{|QkF&-w&BP=?R|#4 z#aWfQ8bP1hq{&N~Sr4XJ4^r;w;MV)ld#%iey-KNvttHQ+adS^iu%$MVr}&dyv-kab zDRt_Iy3~SG>l?oj)hi6>CbEkJsyg5$lyl^epJbe-JatLt@Bg5F7BGX?(mm|nqJI(4 z@GGR+kj*vP)A9BF#zXx0K}T=@#gf<8`e$FPq!_Km(o`9e7J9sIb|j`}1>Yo$!yT|X z6tIAxd%T=&Ru0U-YMW8P5!#D9HOW%6a&XYit*Wu5`}RDs5Wvye*7{>%l1egt*nn40 ziCeNM!Y!RDSLitk!+21YMT0U%`5>AgUuW$x{sIr5+{`>IC?C;oZ9eyV{?ChhD!t^R z`fo1!v%Sh1=k9MrhMm0UquoNv?~eihvc+HpEqZMU6}>WYD(=rV=z0mR+F~rFD$u7M z5<;hbqjrJ)MfQ=n$@!vZkq%-{ka=OeUH`tTMiOgP8%PK&r* z9H{1Pk59Yml^8RZSmK}C_%)~yMD(-<%3|+6OiW0l)A3D;Wm=7~Dm_#PA^P$fBre@i zto9%#(7IJ)Ry2BUxz(_)9Y1VF2ude%v{02|G1KDHQ~gvQJD~nu2SskGlDaDtn2$WKe$m8h} z4)8a+@G$O`QbL{OC*pG9K%W*N3={A;Yuj-TB9AN$ajVA}vghrj3Rx@ z$Y|n#aW|7)k%Z@5S;^Avi_OhYmRNe`IanB~1PuMmb*`zs$CxUi)Z`WBazS=wL3-s8 z5!4tRB-B;@ zzhuk0J;csReVVB*G&Ad2E>Bk{;IN{(e>Ff~Dt6pD2+5veXP>{btc8IbpCp<%VadU4kb> z^kGwASOVML5;BNjL<8}FxIS0GuNe}p8qEhzc zG63P2{5mug)HKLMRy|7?5AbUg`E@jmg3;0fy|QWIVPg~gOh{K~34$}5u=J(q)NtgU zG2{ll6Eb1WoxZ{n20mvY6OHH6KuSjdtYHNdR;tYndx9da_>&?SAr8z(Dwyyj?qO1M z)AVSHo8Y($qs%J4ui2D_sxb~mst?rhH9z)Bek?TixM-+ac8|Ym;2m7wF{lAsQo!*@ zsF0&13VTh;(sK$tq!fUc>_RmZ`BkhoDk!AjN}%$@#EyDu$b0h3#pT1?qr zEFU%r0>`<}NlD)}s}MXn6UJuOVVaizuU>%g&|RE%9ETqr#Rp<2EL!@qH&FzR0m=Nh zlzdY}z%lQWtk&1I&V0vkbuW_^M--u>G_?r({Ae!;K%QN`)1CC%Ybrd@BC6tK6qi== zEPYA_2$J)+aTH7$M94sW#QeHRQSXulftf~5Z%Hk9! zZhT8@IR|~}XlC3w%q&QC*t)-#zrTVbn>hi{9PRaj02B-@+3OOaICxLk*}kjaaOL>u zKhG1bh_zr&s78&i`p1}Uc7-!qIA957?D>`)`5LP+6#7&KiXHf8%i@9FfbXs7bi3Pm z&H7MqovU&UXf7#LEFX98xJgeQILAJ(w%z%h2+!A{HtbOmWVo9<$2a}*hC2eg11N8KwpPt%z8Kup#vo=6U&l>~8PIlYbb@QD*@Q>@KmFDOP<=b3+>vrU4w8UpvjzRC6YF=BW1VUJlyny)wn+`gkJxj*U!Db)#5m zn19w$Tj7bLZni}#)B|PL+$Fjtdo2$EY#Ae<*+9h}wIPo=j1@d4u}Hm#SrjTh9`xI5 zOb$7Ffe{4&$k$1+=-+t!6&hj2z(m%Q7pX3&5k{QxNd*CnKVoPCjdJVaO(ze!Mc!}lwc;!BLofCNGrl{h$>zdH|&_; z0XDWWcUVo9-DKC)DpP2~;e>nu;rs((n?_}0yS@Q>C4D3pVTFy^XyERn362h}NjH4l zekY6%Oc{T1GZfg&f~4-Fmsql%^k>TNPZtPiRt?OPe;3aF2FBH&exd8DART}CH| zXWiIY!?N!-6u~*B>Vw&Sh@jF@mPo8Wll(Dfz~dp!2kx1iF{rr)K)Qar=Ws(o!3 zkCdy{tRD-$Uw4$d-*zB4V5prm`z)iv);DCXoNuOoryW23r{{|RSu!2fAPI`!FI#k2XkNcOmvtI>=v^=ncQ?5=D@i3N_GJ#$J@S;co-ENieal3&@3IStR0 ze@HGezH(wA&X3<7tm7l+6Cf9;Bp0Y4_h^HdmXS^3T7gX2Kv29Y)ueK@pFg-sLT(9LIPgXDDv^=+Uq2kD7=tbS za$D>Oc#IHxKF`^za02j)ljDdrxU zS>uA09{1Z+V=d00INIZ{wN}z9u=He9fkbkXKTYYWCq&fsyk+Z*(@WkiG;~*Z30llV z_OY=)@i^sy8QfSbn10q6Shm@?9#j>a<*PYQ`_OnErO19Kw;GboTNirG^ng9`fX+3# z8!$iImA_Y!xfwA3k-&2DQ%cOHZ}a~(G1e35d&cYlt4PSba$4s7?{GmT0Q-F{7guh1e-}vBNmrMYT^VTit=!E1hD_;UGt)er$0XFVas%|!YM_=ZECLD79C zM2q=M(_D>OuS@}4?1y72z_0QzDeU?-?v1)0dTH?8euwAKo|@#3qhhFu@e194Yi$L2oFWkNz2cBwxIh^wy9h1u8CY1IroPv~@N;upg72j`;; z?jNP$gPT2p49jGG8gA3|mlbFPUzuQI;v4QO2)aB+XI-OMfR=M`fd1{Lm;7<%Y_-S< z>*ZW=A%~ny+zf5k;P34nXR)Dcv<+t%^|JLHN9*@FaZ8^Ug`N*GHJ6uva>uf07L~Es zs$&r+v~uxyu}x5;-Rg;B&op>Zluf_|sQU+0TiMaojW$Jd*m zctzjdmMW-}X>4M{Z?oc-cJo4mzBtbG5Suib5mwhsb6Fo4f|56mmTDxlu!)~Cbq7UD zen+E3H{#pO5C&y1SuP2|$9M#ToQkmYX9+pGe1rw$6b~!{UfA)vyIQ)B^{Wi&ZkZli z?6{`d+QqwilNi*5XijGiGsL7^9e#hvy zyXuG|$}KV~i?#`qYhLPrEuBjtd_mqfc9)TiebYKhtPPWkes9mRu_X?@?c?dGu-zV- zKk#aXUSev6+>+bN-}lwwQc`>?0@-4H$H(ID(v&Q}#Ew_`Xy(ct= z>5gXDh0u;S+wjc40gweEokjqcx|Lr>19Qgi@p`hSy$pv~4nF0vRIkh*aSVDgB`npR zf?u^|${vvO?H#(KMa=hi!R$Bqs^=tR2M2lbvx<=PLl0Q$4m8nSNr)X2J{IAfh(7IA z9}#@x&{8>lUA;<8+VDn5LUU+^>PW0^ zV%*sB<-NNv$G|zdb$ivhAv$DWRaMJ=p&WHWHAC_r{pBdWBq2doKJ~9#1EtdYZu=gA z!OKbk36VEC504?%_06X4(}kVlQVwbIz+IY{BK^HkOk99m=Xsw zi@uX%G7GOr4|daMT!Y!z!WvV#fmnLKEr!qCv7QhU0EemF%d*(IiJ)#~mPwyvt1p$+ zKhdVo&vj+GQyQnUy?34@3{$9)9()3(ft9tX>$#zF@XGmY$O)K5<=2!E7S`W+6VCA1 z#TuHNVk%6SFc``b%4kVOf`G~XP#q-BEy-w20|-4hlVL9m0lRCI<9&R&cyH}4KJ3zyBYDnS(jbBzka=) zaiMDO*Y}Fh1_xA8#GkAuZKVt({9)5Pfg2HP{0>q0632&|CTBJpkGS;!)NhX$R=bRB zIj8j9Ji`>-VuQ6ap3I0{OcRG@gh7~?|9EvV!})Y@!z~)WtTp-t#ecaAjz7Psu4(8+ z(|T9gp0~#wiRP_{>*KE`pJk&#T=VzsNU!@Q3bg!Y#HY1Ay*${Vs`a%%a>cajE8bTC zwpKa$-k~e$3PAx6FVFC~IKr}Zud;Q~;u_i|p*-g2AROkIc!ehPV@C*5W`-upkrG2y z8;H{FCPrCd1>b(`^zcygfJUJ`Dk6y03?(J(@Sh7(G#sbls9d4!evKta)Ej3PkLRH? zmpKZ@g;;QO3#=L!e>t!Mr^zT2k%KBhF>4B;wKv6_A{s_UdZQ>8QcUo&}fE5yvXo=7D=W#i(ch z;`THi$XHLeM;UGR@IXZ%WU(p7EIu*x(VejNH@s?SY-;Y}uiG(^3k`fR zn979-7t`=Z-e%t(VT`WA7QHV}$|rw);H`YL-1+w!sw`*4uW3Z|eiO2>TmCqhJsD-q zD)4JQnSj82Wqnfz?v{vV_G)o~O(F)gtn2qI!SKbrd&tJ4Y_C&NE}Un_q53F?W4!(R zY5XUJGxASS_x-{oiCR^mLGftzZrJ9vv;LGdy?RE$-2?nqe}JFG8s zkRCTx4YDpoQLY^`OCiI73z_v5#N7w*Xqs6T3RGj4P?aDoPzX!ZQvxEW zLomZ4*wa70;d^2%CUEa&%AgvYBkn`jSt$HmW};9!VCr@@{}-+OpARHiN#yCcVkx;Q zVGuv>R$?nLE$U6{@N;5&Aiw|>Jx%va&VM=rXwqjE`PkT6rTJYLEbA>-pw4GJEPc?0wN>xS%*?#hnWt3z`yZ=H;v zX0w>^Cs!I22qb^xUM&IZY{UR~%ih3jW2*+qk83BwZaF6^ni&XwZz7*X@_TP($cP8? zq`6~L{l01r%h`LDvCsYQ!)muiK#Z03^;>1nvtHi#UhdNNsHiX(c^quU6po-*iE*^x zNA}r=>(gSdQu^a7>ARZ`n!vmFoQF5;Xk78&!--_w=Uzsf&nMDF<=VoSl9n-^mWlEs!V(f0A#AETPV|UE)qpTWc_ytJ@0lvg zLdyP&CIi2EkM!i#ttjolpw$sU>n^`U?J zLCFaaP)B*4*Nzf@Is13 zG77kdQB$s{(qau;1EfDy2z|h4I}!+Ju|D>g@ ziTG#kk~EURV`nBO-lJH+J#aEqL0Kcg-_-*W!0_Z91{_8`_H=C~(;h4QSXw2`Ac6;I z$`!VB5ZmdLkQ-T2(jRXEX!nps=m+hR03lhZYd3h1-x%V+dAKe zqok+eDJ!aZUKyrvAB(7HC+jP8Bnv{PIF7$rAQ0xSI68V6qZg=89^dzNDh%gDa?0S4 z$$jo^I|zzesdC+)8!iN|)W2{|B5`xBbLm(g$*h3~%?vl7&pliCb!!)(nBsY#RL-lo z9~Q887H}8^HFlanAi+-@pEQe5sV+@-{JceiXLsbj0c@>&kEDvHqy%0`6&pyEPt6p+ zA=-|ZLpZ;CN<_Ob43jGWMd zliMEE*d`Pce1vzx(7bd6=<~CiqHAltNHaa`;_iD&5IXdx1qRa8PAs&__wRlG`r&42 z`~MQ${xZyug-(H?8y=NlW$+mr*T5!aL_0>zs_A$N|WR0fjNym z8oyXrj3{;dhf{7;ntKJ>^DLCb(B>TCL7vqGJOK}dZ!bC`ft(hbft0gra zFo$v+&QlG;1|xxv*#s>4(X17C>@JhvY&u8fFhX_}mwPrcHAoS@7-LPzKihr6Q7B9| zoTk5J!zSo_4Of#j;b10X^e~Ch47@ zu#F=vYa=acd3~a{1@OkXuRqA1UU1TQEGVj4)fgqo|8AZp{X9+ZS+c+kd*JbNZWg%n z^S^Z3$!`(>n(7o$8%4)rXX46KxIBNfkB|KW47GPJ$h~ z-&t!ROoeh9hwIJAkk=Mw)bXjtsa5u2kN}OP!jVfl%VAzZyPxtcVx)NYqS5fDTe8tU z&CyRpH#v}K>Jba*nxINT`o-E^_C{;~@mE^M$?<1hM@{64e>|F~AfFb_x-+yvymYmEFu~*4=)uMd;k0OB22Wt#R&-9^$j`_r3K=*Gm#7a${QZwKrpu za$+fawZ3xZLsiWHUqPjnuB!2y6+Gx>tF-&QHp=Bo^Y4)j)t}-N+b{pp$)*pl#~f3w zTESCy=vXTA{@9KO6g8`x6vb<2CRs1gQ18{l}5t(#oHf0~+>uS*gz9#jJ_D;0Dt2kjr;m zN72KWcczq=Z2xQnN$L+;jcyN7dqVQ07cEWyqeXJlXlZHhxp`ITad$78$)uRS24Pe3aW5KpL4HesSqhDmse~V03P=5rjkt_~877Y7_hA1#Y zVU%O{pl;b(1b(_5;#3&C5@|g?f&m1$52%IDjX{_jBEpoEu^#7p&~(#q0?;35IWB0d zHR=5OxrW*PkqGm*Y$0EV{Re6|A$o(%fm8&w0*pY2_ZB}bq_FVVaQ??CKodWj&6wNK zk<_&)cYT8c+81T+vuHF5_L8w(<2Rr!v+oSRtKcp1BN(TJ&>bSi)S;H`5YR+SZrIH$ z^~o?85%-wbS}YdTnL0r=mNsLpMCuJRW(Kn@_Gy^duX;eLsS~gM%>A^f{YVk*#jnR_A_q3%1t>55MkKteL;d z2bgsHK64KrxO+Vp!c>_bGApjzmoRI<7346`f@JK9UF?5uulNZ=ABIc}e~ML-dZeHX zAl8f#Q4pL_pdd=d!Dgp9JYCtx`LIHA9>rEMkySS0iCD5qU$97DSY_@|s8l0=CB6Do zQr!9pEDUlkWa@K{%cMW*48E=|7?JI>o(DcKL zGJ*r;E~@uJsY!yC5#kHAvtfaaEQ&sMf90f{Jt59}Qr@GN9C+L={7Ej9q#XIUk^}Ws zIbT$!W(Nh4TGf8BZ_t1CPTQD2k621pXeK=(#`Fm3aw~}3&@$Y(CT93NwdyNp8>1+` zAw;3{D~Q0Fh!P~YIRE}5Yyan%zV#!MPog@Tk|;9AFPH@UVhIUpoYrak#|TEliTQ}z zx#Wy}Ecm^V-Cg07tRwd6IEwV{-m#$n1IrqG=&^OX%oF6{->v1;O`JJknX{tRPaV%=mo7yZA)~JyCIz!UF<4zc2rBa&u>h3V;T)bYcFyJaevxPtKyP!-(lve* ztt*YN!&hC`?#&%S8>jS*Xg(r5AmIQ2ciEO@MTsd#*w9w1@8~HeeE*l_78^K6{`GOS zQp*Dl2&n0RKz#!t5!GN?|Iu0`%r$>>v7O)eLJB%V+thRu6bbrisK$uBC#Hy?{+y4A z!(@#DgvYr3w0pWq8g`E%KKVMk@FrS?W|s*W>JfH-kBNtm13GVH>XWLMUKfEn;C)x9 z#~R~6@RQpVRM!==n2}7q?Q&8I{s|O@qv+a={MC#4$ z*3UdSJYR8TY?d?=*iXu72t>Xm<2q$-7l8Klwv}9E+U6s|AnBY)ga@i9npq8F{PS6u zHbeo4%Ow*UIJ|Lo)^gFfdY-7X7gE_P#4;H&<{Sozrfd$)M$8Z1)SEwx`B){dEcMdn zlSQVOMzA`wqHZKc8<#Rglq!ng1?Wg@XCsJFbtymC?d*?1ws`O~HsM|*bdQ*;Sa7s+ z%%IfLWE7F8^!jsOT!e`oMqe1R=QWeIpu+qP+wR#R3@-=$$n?iOl6rd{pMyGDWPBgO z1hAbs@XGU2#m`#0BsTEV`cHP3_MbTQn7a~Pu!S#2p`x0H%;7L<#{8|?J_Hr*F^^Q_-b;H#_OVE8}f+MnzpUo%W z#kS6(dlJoeo_`du)$5jv17AmBl1PSm_$syT?s37FzRJ6J1vx4*dNYKil;8e*b*zC6 zZ+~xp?Y6MjiKz%HQpCX_pUP2B2}Y_B>CwF8KvuCLg)EB@a!Y%AR;fh7YEh=q{RGj^ zU=$?6v?M~bs$T>at-dv*Y=Zwf>Db0>LyVQg@&5&YBA|~VK~Fz)XLiY{I#@!i3!(1L z*l@YcF$?)O*CtI9Q|oP?KdglA25SVI_o4xac?c<2>qVO1c5O>>lY!5&f&vzC=34ee zQaFs!VKWlu){M*W6EE48ohDZ7*xbph%n8B~i74!J-C~&?nf4JW6`3~5){2L@7WNE-WqA>uB0?aNIizo{?KIKd)R41n@E+uah@PbV^ zw2Q3$w6HW|E>cVLt+!1;`ZQf6CQARwaKlCa1A)B-7x_D4e(cjrtvBxjD=Q9K_!ooj zR>(Xnd#4DeLzqU;5GySgd+H(jwuu6&tO;M|kvDV=lT1&w4Yfkq)_FVZx7LGo(6Z_f z9hV0w^8g2=`lBCbZ{B&=p#th4^{>5TLr?Ig50*q<`@RU9y}4*?Ykl5qXrbXM*XvXb zHk^*9?16=(seyAIerr-nDudAeGFYIH!zKr3VQYuEWbFAFbthZUaL6n@LM+0?>uEjCp=0;^(PqN*|qY!D|F=>D4VmHX1_@!m7tCDtM*q5!ne4_T#x zHina(57mvh&k|(Z zI(GOYvq@z#NBNhA74IgwrumVhav#&yG?#cCGYGE*p&u*sC)}+AVnj*bc*d{UkI-<* zpxtl<*|Ogkf00Ltk%=c1I0j$;cW#Rtj!~({tlX~HB(hO6SDQ>ZkuVU&Q&sUwAm147 zTEvdk5OTR$btn*rs34wX?54&$QNJ1`Vq&FV_H~`t#x_w*>unm0VXT$tG4(gbbWXBl zp&teM<#*pEbWh?!6tG~Q5aug4V9OncOT)B_@a+EJ%fpLjS)}DJ!cl@AMD0q*(OgQH zEp%z)-qjZPl)EfT!JQI1qX_NBW6!5!~>X^;|lDHinL)1;oxCR-i&b6&B8WI-)N)cqK}hqWqX4Ri&16< z8~B~)i@|U72L1V6WjFPk* z1nsA+=B?xWD70TgsGFf|N0Fgw>sXdg@o-Iu2^Uoc0-gk&g(UI`YJ(>Fl!_ZEoL)3? zoG~~NnTe>U)}yPf z()wpcdA$eUsprJ-v)kEJ-LUtqpqT$#3!pbP;UPlq?;+)VxLosan(cAAin|7*=Cr}rT<1}*@Ov%@L_Co!nwpo0JZ)>#^bnWEWv5QYMwHbOn}RO> z@c-fME#IPQ+dfb_hE8c18tLvDkdPWs0qO3Pl#UrdsSyznkVd4tdnf@3MM}Cs8tEbS zy5Hm2`#;#vr}@6tn(I8TUoJZ;JZ`UST6+QeJT>>>*sMz*_4+?GhB0GDQI%`uYy_?m z{r2Akm)%R}E7L%Q8xxaM3<|nzL>@8fHUFazb&-NchnL(?dxQDg;-+SPcUNmrIBzkX* zb@Z#Cq1-<3bSqy`%9&Xj-i#=Vd4_~~jRnh(ZM=WtNSgs;aHG^WERF^#@U+w=0*MEX zgkw?Br6QW(XY6JZu|k!XOAPCWc}S9r@}pO_Q;G(2K&1(YwvtkOf$?A2woeM33jAd~ zAxlP$Bt)Z9&o7QD#&`zb@Rdy-66<)SqmSduN>k?zmGUd$=Tf^Ax^qK4Xz&o?0F#}0 z3>e})Z0UCaYif;62I3ZIdL!XDeldU&jiWd(q=}XqjJPGa@czf3L@PA6*B7%K?%f-U zzr>dRdG^AU)Qr~K5>NgcB1t~}kFSC^k@A8W@C=(00Xl5`aLb)Iq7El1M`1v?(Q#t& z{QR7<{Ug3wd%IZxCq=*J*~JRCFM;^~=gIj0)sKB0LylNEC2(Nx8uZu5XYQ5HPOk0F zRPJriR)&IstJ3i=+GwCj;@vaO3y;v)XHTo~S&Oc==NI!}u9#F+G}3k>Nj$dAqRl|>NgBTm(DK%1NOiFQ6^SD`i z0O!6sXaH_KJ!lPo!w6(CV0$jMdNNX3GN)3704r~rHTv(%i~0pgW*iWGR!uNkmVHB8 z>E|)?^aG3p9mtA{!iFUMw^xXi@tr#&Ov>ATf1D%vmi+7DRXCF(LFMG1B5`?fNr(~5 zaw^i`j1#)bKrhlGXzg5kwg6wnJrqT!xG}W=>38{b9s@zpuzFF{9nY)~KT*w+$>0_iVpvxoml3Hsc zEt*7!tJyWxyoshTDVjuspHDjBJiEGj=^rxHC6BfK`iD-8&e+MsO0EgjUMB)hi7zKnSQBvwKZ%&vO z=c3Ujvl`Y|!}O(Idzj3GJM%?3Hj%U-DLJ!r5uz6I7gNmYs!CXle?QeQ#lXVI*pc>E z_Cq{{w1H@-SI~&i+RypVduVwm{GXF3d-5!-0p9bK|m{IKq z=U?}*ggZfnT@5EmcKMI(4vE7iB&B~;pV-ImP25$-$}E|l{-;SLL(x!r(Fd&Ard^@V z^TKNyL!Lr4?(MUVJ!Dq~=a?afZK6|6ZpKPVbXwRkAZHnt0e15LF0^VO6|8yUD4Sin zNVv6vga)`%&v@-yFaCapH!@H6Wa*=PHq+6%Z`4tJo;@7_B$C%Z> z;>dDIJe_A_E(yaw@p!?lj4;ag^%OMCv4Yr4tQ4eY*0oYQ4jHb|m>?Za1wO|o>z%U- zddz4b+5G@#MX#l~Me!r%A_RsMe>U0U)|;$( z{rGXN&re!`a>}QKcpn*cX?QAbWf%YU5})<$aC35Ta}60>>5`IWF6jHcIZMIg_N_!d z4k@h>c4u7v4M{2>)(@(*nJ@jqvvNGb@C~$F)$<#son!M($`b65;RUw{ieBrc*t6NoNFH;Y(*B={Dwzj8Y0u$#4gfPma zk{6}1u|QdiLU4?2G@z7sdNc{Ncf5JDG74W>3eWrRFu|3XW3DeZPweD$ix(0^B1ck~ z!#o58yaN2-|7q1MEZ%TroZQ|Ao8{(i{9TeY>kLnRIzh|DE|y@z5Q9Mx1lU{Ye8`#XY>a z8i7R9AW+74=lF&om85-)E6|qQ!i|K`;n4ScP(ZII!-lsTJ+DM!T$p$zBR$Xg<0)>T z;CBmd-nhS9V7rV=1(NcR#}m@~J+f}y7`EvCfvCO#4F21pwB~u6NdHOgf1A84=IHa} zew^aQORRuG?N_TafvWvrrjKfYk5Z!q)H+TGM9asXikM{{5xaV2lD^k2qSPGvn{Y1C zn44tBVRIABOE$w>g+cP`VqljCCEp>MVb@P4(qY%X6NEctFTd}+Nt6SuXPi*$G*-GJ z@bZ#+M}4y0RYZxAAM+?{4=V|9s9*gB0=?5cvAEcaP86VX8~-|sU(Po6q>Odjo+w&O z-Uz%J_buaiw;;X-i@N{mYm)YTBq|?SQLsmT&ux7qTJj?}u?@yNTL`W4gGXh9 z9zsLjrSz1Rsp@~g)GuI>dcRz)^fYUsF8U(xgdn?hK`GMGO1%4qRU(KXx6RNbqq=lhlWImj5AY=Wi~k59O~u3-h~Pa_ zhyqT2bfxN11wAfn63q-2;_tKhnX6mC!J{3J<=+zDS=7&6RYPG^rFQ)A{^ZukS<)cO zXF_(1_L$|W->_Da&(EfN0~2oJfER_F#lfU(lajQC@yiaNKlRtCBU;*(RFWXMplLuu#e|vHOn#QT>vUlB$XqE$6G==6R&8AT zQb3oWPvoAJH>dclRdj1&27E1k9^5=y$&6o@c4*qsdw|E8seUucuqV(gRno>EiIX$^#*56A9>^Y*_=`u3F6 z5WC>%4&Cnx(CLFg@vKg=pM0JBl+?#TBk_gMkzM6jvJbCR6-e8a4M=ho z9Z~jgLI~@uzWv8lMsw-a=v@*_PrYc~^jLX`x&5NL_O?^;#ea_X&q*`KEtN|IHXnAl z|1n^%Ad1M9G)TeCSI4w$MOO~9vn}mi+s_mGEn@HsHB4w^vM0MPBO&M`SYZ^QogXsz z&$e;IaYB6pbLH+cvdZ3))?q%u=$CWS?|BBn*Tmq=sBz0g2Ql~IFR{4AZ`2(U*-u3q z*H4IK6rz|S0lxY9SS$JNjNGC;8YQQ&U?0S#>9+lZrfp8nNQ~_twT2VB)1$m>UcAj; z%tN(2mSmv3r05V7vD^zziRKCV$}z>WzD5kl_%jf$sV5OFZzn$~uwtV9mDM2{Ayoc= zjy?VIZxBuqK`*HEs}|M($XXNg3j;cd@0)0`155?-3X>L{&J^AzJLMW7ib*-)1b*=L@|c%8!^ zes{9*m1D&>tV>81KF`q zIa26PS0EKjQ4fE+p^|$to>dK>Uso#5hF#wdC-+U)oWjIT>@zu?ma6JZ!uhzX6WvVe z3`9~T8l0MkGEc}C3g#b$un*zTu2ZpHBnXvxI?uLLeiT~qD;gW4EV|%PoYx&r_IftvsM+MXRthC^lJDt zDK`byt7P6XCZr84f)wI`na9!(-B!_@67wXHwe#-Bztu$gA=m@M+LAZ+h?7YCz2!bN zMT_Hn|EWIs$;9`8q97{(uXL$v%ehyq|F_o^HD^Qa&;6u%l_Q)RsTvrbLLV<}}%!`HD#x*~1R*Hc9&ji1T>vp5VyAY$MAGid7 z_$7EHtof{K3a`dj462)?e{@htcc{4pG^=|_DT`-k$VdnfnD~8iNT5uyPqDYjygQz4 z4)p8l*^kGzFxRLg%P0PR?fjIGfx9>J&^C2aDas)eimdrTnkLO!MY|rd)&q$oc)@Nz zlKUcFhoe0<4_PBX=Ea|lYiqQBrT<91eWLyEtFPbGlcfZmp}H3eX8SAcs6H?dsL)un zGISdMWKLGvI$;t>`U@y|kw8K$q#)NHDB~A8(f#P|su^kipPPXqD_5nWqhQZY(9(&~_MKrldk_ws6k{a!TFX{6DUn-Si4|%172g(5kM8*&jElX(Qd20o}ZeaSO zK8UX4x3Q^yS$S`Eie|9OKh^3hrfQuhVz(-oRD9O-z2=iQUCPa9`bpCl!+|IQA9#H5<~8>NhtF~^vH{+uV|iDY_Z+oTpFKF=;M3N)IJc-sbxm@Xa{pPUb2lVjlV^Iz85T(`l+|GvbmyVm08-nSI$^>YsJR1A*jaCPjwJ}PXZ%@Q$AAS?)7O9aBGSz z*;MYR?EHy$|Ampz-@nBm`1bw^Ad?6I^15AOamkN{^@}1Fn@2GJ&3CQT@v8q-oZ!$k9yI@fY)KlSc6+Qn)_FN+) zKO#ojC^LPguLba2P`~PBDR>!GBomup5iGT)rqUl$((XA^aFWjb^chMo66tv$cH!Yq!!2d~tddK~dP!w$&-Acbv!lhacy}6Pn?hopW-kLg$vU1luY~`M86vR5!fm0_sHT zQw*PC?+731e3-e#p6hH7_!HOP#Dr%gPOrDMeG$5h>kSB$_E^FJr@pqgCuUoWqqzO| zS%(!j4vl6Izj!7e9RpLu2cm`;Yd!13iY*U5Lslf3d}}P8;T{P#a>od?$gM95BV;U+>3X3cL2S~ z^?8zZ)nbVns+MI&6EVBp>l2YOKnYGAx%kY7_ZJcLm`5G?< zC%%y@%*5Lory^V+bA~jm#Q0_LflM*Wd{Rz5B1{d^Fer{Bk;ZJ!wl@oN70$np!J7Zt z*uN($L6G>)nUhU*s_v^2Ws4o?=jmv@P3D6`7;tJgwu;L0JY`gZBzr?5kUT~eq?8ZG z8oOe$K3&xGdTd=+FyNN-V2=7oFAXd|cmN-rhvZCmkOnB(|CIdl&Ohx))|?XZX4Mhr zk*ZHg0$alYC!t!3>f(BaOG3uiw>@V6SVoC!@YpNr8=ZN7@6lcSCDwRCNd4TLg>P2P zris-@AXFSgGrOrkkFywypX_Q4$N9K%Xje4(a+WKR#lz1*^!rgl@}DPp!5dbhag9D? z+dI85YVOpqWfr(Eu^R>mHB~~xq}X?|`?++YmK)>8HjwS%>JbnxzI=c0hy%js>>#1M z6cSPbGp>}S{TsFpCq+ymVg4pKJ(1DaW0MO7Ym3F{&yrr{Xo)9Qf$ssZ0H5dJnQ(P< zG8txEL36Vg(2 zCQiX36HjSGg~EV#nfnvW@vcWEM?uT=y?~X|^CP<`?xZa`&2O%(JJk=)|0|>5oiILN zk#e%ibR;J0FkiCyz~9l-Zyw}mAxO(>W~cSz*Jn)NF_~@iTHr)RV=+B1Uir4eU7kXQ zjB-n8+nl{?51l-|;`KQ1^S`3^W!?nIImJ(N9$&=F1=4Pp9MGdfj%9(pT=@P-(saL> zn6gO@;v?caj}uQFB+iWs2yeNAF-X$zkv2dVDJyr3lCmExkB+6nj!D*%C<=c#PpA^) zEw*RtSrU7%kM#3QT|Ka4j>VOgT6~h{6;u=NCOM z^2O}K{j4-5+*80PFtin!Rn8G5>u8(>k(! zmRnXH`xa3{Z*2$Te^)LWdCYm*IZ&b1&HHQBIS%?am2k$h<6)41tn%4zONe=V3mP$h z=ZN1D5A*I>Ac$ zDj@p)56YC-afK1QjY6O`T=WpgzFQ?vwcLW+KU1+$RN}V14|UePNVNK=O^0f->!iy_ zZ%EV==ldwiCS&j+v)(472iM1NYx;+4&RR=AL6SGSg(+t@Bn;a~zDb_4=}Y$8id z-)W+9SCoGs?vq@xy}m^?37pYgUHQl@D!wW9f0&x!*A4{Y(YmV;{ra;7ae^3P)K4*# z+>2cyt2ZI;R(YxD%IE~N+znEtc9WhMlmhQV3V+rDh`U6LtLijK;L>kMF5>xcj?bE9 z)10@nUd98>G8)jF=iYctowd24XPh6+Q`|&h6b}}G8vhgwDQdiLQ?o}`Df_+rIPti; z6#gjLbvS+MHaPo(<^7*q@!9l=mV~{6|B(^N2}73L*p8qgp0GS*!0$`7cVo-o{Vfy8 zK5o_3KCYQy^(jJL1V~oP*~f&Bh72fy?`s&XPK9nzS0yQ!Z|RPX$Cg$$WVH%C-CJ}# z>GQj!)$apiw@+u9WU&Gq@wO#} z;_0h;*KzPLdawW)DA6B^VQ~CJ^ zPn3Qc)DlYN_ETxT?2D2$v%?`{aM#g=HzZ(e>BfwN$t|62s5qLyKD4vRneNY=T;5Z3 z2*}kLb~kNZhfX4)oUpzdoEluMKen8g-Ko}i6~oRnZ&zoq$x`dxCzM}#e*NGbIq+tw zahmQ?%5-&#s2Aqyk?S!lG}tCJqnSTM59jap0SDy!iy%w}Hn{|AWaVHF zefZu@0%uNm*I1d!n`<=KV|kLt(w{%MqkexT(*;G93eeC5XK^z}8@L zOvK_%eSPRRi}#~`$NQdpRayNdbL)Q!V8mQSLsakjj|N8_>WC3BHrbEYvr!r0duNJ`mz*h=P@Q3jB_1whV$dDl?v4YO8y??lDV$oy>14f^2 zUA1pfFfNl<8E@cRwu8;bB^RVnZmIlo^mQp!Qk+GI>HXIjqbF!O{!c%jSl#1QJaMYS zuF1ii$H1Ztf>EToM!3|*B)?bun8o#p%bSqwkIPWgPH^7fZqBnaEbfM(25bUZ_YQi+ zrIvu$;&$e`ZiAYSOT;TpJ8t}vPm5ko^=n!oGkGJkIU?cba*`UAbVb$6wt;v2IMrPP zC)2@I@qX`6?YN683L2g5LtF%u7GJVg|DI#LbbhAK0Dk{%z>I#vkr1I6?ZW0%ssh54 zMNhhJ#fiklYNAUgOLiVkh(OxwpSz4#$C%Op5s_Wi6#y?&=1X`zIF|Gl7Z8$FEt2?- zHhA$cs!x@RieH-;YxH5=#&n1>KKbt!#_| zg7d?xm1g(j6H@O?RwhCORObbb(1rT{L zr0{;`_K~mpG3R8p+dVhfAPm zZ7Nn$0X)`3FTv0}DQS%4U(n!yjCCiv1?n{?>J6*#sNukfVLPXNw6Q~Mzy;g9NOCk` znl~u~4Q$8c7CTd(p>43z+qq~-bRlAH{;;0gvb7|G{8Vwz+`md@c<)8(-Dex6;_Tt!z`I$wbe>=Ko`wW@NKfM*USiM!QFd`esR z4(D5IvC}&G$h{|2CkdKJQmmU4M8jf!xrHXRpjKuq(F}}90mBSIL$lI*-&*9nc})ER zVeYqOdpriH6Jdg%0bRQeA;q7t3G2nUM8AUNz3+y4Dm~!O@>ExaA(44ztlWm~@wD7U zJ85`Y5Rj43rM%tawGK9tXy9YYzv6`rkA@a+HPk^^4olP$FJ2)jC*gcdJ~a&NK+T&q-3d@6Wfz-?VHcZU17us z)p%kV16smzAmDIQMlRbGCsUlkzQ&$uuIPrCl(u|~!{@G`;V#O8M1mNhyT9Gj`_jXN zLl~jlmZ|htUh+jhw|>Ur8`-0~usbzFPP8JB)TBU|>YnfI7GTde1U%TEt;DEL*!m|R zVOZ2>wT@Sn?o>KC>)?^Nvh#mlaY%Mo2G6qoApdG^(Ou@g@2VeA!R=H%8w3Y;bCD==p~HmaC<1fHplt8z*Mn z+@WpxIB1*W$sY1KRkot0eaeaJR!{eqdMC|xR&!iTk_zaYeol~h7TCNa_A1B>g-$1^ zv=Qqb?sZ2T&E~RV<#k6idn3|!e<*+CdE^z&dw5=w>-F|c)ab_-W;q7(%I4E}NIYdq zHauIHK8z0idEhrX=2t48E*EfnVUd+$ION^Ro6~XkZIv*pGxw~qcemwb{bh*Lt_BUR zWd63XeRC~5Zf$L}GH<0%RhquOn8mCNR(I@MwE>qYuNz&)CE#1S~I;XktiV13ob!mwWYM70RJ6!k~QNekc z8B#p}s`g~|QnXxu@O~FN#BmkgI^yM>yK$F~2(o0s!)Ve^IGxuN9u#^$hlIhnQ;arr z+a&~t-=f~e;PZEUhg!d2<1-Sn$9Z9I0C+SN)S)0_jcQQ~jcOxo?N3^j1T+pbt`=OM zng!GBiCX$x$6o@^`U|(DbzHfsAOUvSlV}n;ib1ZIUMwfp|%VU>1so|H%Je8gX$Hr!+F#Dk^e_%FYXN^TAJ_c=TCD6s3rBzsC^og%!;#gl-CsuAJ@!;DX-j&W=XsNyOLV>uN|g zVsAKllx;PEi6BMF5k*G$KKq#N_g9B^{>y=9vyMlh8Dly>vMDsBm^WZ|@MWDqC)P1& ze&uTf3xR0p*BKstPW3Q`8$?o>Ad&3!c<>)vhAa9H{_Wghl?#Ct+i_dt51w1rz=X zTTnaF$;x#^oFnyQl?W%+Q%EW>@%)5n`ybVjr-xTSXgrGEMN;bKl*=qT+e=nae#<0P zT$`qk#HQ3bVT3>*DFii^h(Y1_hO*>A=@?P@mTae5MHcYi>D0nZJU9BqO@ zUY2y?*EEyxpu!&aIrmqD7drfo)#p0u|A&WW$1bv9X(sU5OjXYujj-S9PG|^tsnkB%d87q!D6Cz z<(rXC+lROquGY2;)^!Y(1+BL6F$+!XV34InQIM-?kXjpVFV1YyNzW#rLxOaLkQhKb zWyRj{m{!%ZS0KAaAEVoe%X8(mf@{X^B+hr;wXTOCN|TE9D@MrUYgg0@On7JQ6UE^WVF`25yoE4S#R+un(c8xZ=X{St6hBaRGyVYrMt#{EoG$I~0_S`sI zaysY8%9Sp<^=ZD|^3K%#Q>I=RX1{Y3d*X*8{B`!ofB@p;NF;sL@*Q>Wy)*+!>2O@6S+ z&d3nqQL{CWS~GKK4><*S<>$RC$dlSdcqtT{k{t@Y&)=mdqH)@w3LTHgVQ>TH2hn;VufqR@S z%i5Y(G1JMWD+>|I9(Ns;+Bx&xi}4x3gHxKv{+Bi%&8D0 z6P7^TMn{W0ar(P5XHqz9^sS!C1p;cVnyh$|K!q%TbI+WN5CZMv7-}}*H!sUlNq2BgV=j)$_cl1j6*wW4w?K8#|HQ1mVapki+-(M18 z=S08mZ3!+gVgu}Bpj&A9$jAqS_tEx^ujXk>m*|{S@-qK~c zYW;c5`oo%a>vm99u86_+%8r!Gv06SXPG50B0!qJkWmPm__Q0A7UNyYosBZ@wZM95j zuY$U&_?8+6@H|%EtgDASk6TUC$7>L{rKAM;D+-D#DIzcDG-fO&PS& zqpmG}esW(fyaB7m=Uyz4QA%aUTLctNwHNM_EBbE$EZfVWk*!CE|IIlup z6dVLgG&okJ(6Z!#Y>C!Y~ey$Pph^Mw{fgJk9OmwBs2g^VdgG+yIL&``q2 z9E4z`X|zE9%w@zkV^+SjxHIh8JRa-6)bF?W-2T#~C4j1**aC_`;PC zpLJ1jRp!qECMFz(`o;H>h6D$1J!3+C)=uNGtl&oa35U5M#q|^ykbIN-KpJ*KJc%7P zi!E$f{lW3|?2K#3^^l_1*+lsI<`DBjTTlokQFB$1AyvVDp;`3&Me&k*tvQa}2O1$q zM}CVOt=I>~I@BDk<(*prR#6>h-DDk(WRSr>3>q5TYk()n|fLBYrU$qEUxLQbkPyfrH+ zB;8nZSjZw0V&ZBlrb#4c*MzYMxr;_Bf2`KG=Y6^V%5;A~KJy}^5NwHOV7z@&M#$}x zbN-zlOaFb-uO^@<@Kth+ZY;%{Zu(J-Co*#X_BP>bIlOgW0lcX7Tub z;GjB8stS^%EDM5`Obd$y2K;Ud@`MNKuI!>xdDr}vEDa$_?nFt`>;~ij7KLw>H?FZ zGo<#N?i{K!t8?dvI?e7W@uOx>S=A0m+a-P^FiBiS**;{)pAKkG5qI}Rns&EJpDz;? zol36!v!pvEfyhnaThk| z$xu1u>^BJ_2%8i*AEs}0Ei8_l!~3uyC=Ho&J0hO)X?`I>;-h4GJUBA;*5sR>VUMKQ zWut#Hx49&fW`-&|FaDP1-7lv?t=C$7n_C``ol1J2M1-lUM8p^u0)r8~hxIZ3@DoOgZ{dnfCXjr0U$Ba2CIxO5i6+II3ULtq=2u#cFgSFN1E>T&8XoHy`i z+xO|sEX`K8?>Z`(!)_z~)%#@UnronZBVX+`Dp^w$EehUaaX?<;0kEKgawJZ~sT|fz zbnSaWTg5)u0v&~5-(+fB2Ttt@%b9D{xuEK%Ut&jD@r-yH*8MAvx;i&r>+C*+iJfU& z1n2%y+f@5!ZpfJ^a9FvX;Z6zzWh2{8}f zNDAuI31%l|^9`{N1l1|tMF6nT+VzrbN7xl{^>Vkm2+fJ#@1<+$$uo{wu({g*>cFxR zMZXF_F;`c4-<(8@qHxU=Zg#!Vc>@YiKTnk`I@>>C=w(gq*8spjGLM|I!Ach2)z$Y zXrT)UmK!`>MjHy|Npi&O_(N};q3b0RiGw+acYnig3nQcy;Dc%;mwIMJA1I~8fbYhA zS@PldrYa@PV@p0?=53?jMEarTG8Oo5>m!-`11G0^Lb=>-&$tfB_6Z`oqo^*ck{NH; zyk>K*`eq$a#xhHdjku(s-#Zq^UdSV!<|7XjUF`L1Z3MKJlMav#h()?vC!DRymkpDZ z4GWj?OtS^w;R~PNNEv**5N2WXvRX3EMuadicain&^_94qEy}H~Z<9TleaYh&h@d!lwaU?A`H9eMR1TCm#ue?`Eex1$2!DEHY-g1X*~Lw=@j zOG|5O+cBseW`)(;18qbdpx(7ld+jxbIX~&E?1&H&ifaMs($M5`(Evk@Ym&7cD42?$ zUTz(M{lGsFajbo4$HWho%3oylGX~2$Bp{&3vJ@9)(NuLygx^@B;`}0@S1np^&4M#F z+fNXs`DfPq7_0;wE~OtxKzCQwN}~W*86pB16#@CYuRoqGDOW_z8G=D6V&~Y~vn+9O z6+YW>q!j^?-Ga=M3eD!Zxg0&|=q-virOD#NV5)HX+GM1rQIR8z1tC`xOcNdv_tZFzOV zBv_ClDj%z9Io&|$AbK^Bk22_WF=qwzb~Be?SJQUlGPr`VlT*<^@_TzFeZ?UML$K4H z3MXmnajM(Oat0O{`I8AL+zShC>W&SRQ^_DE{i;$rOgfux)FLO_w^br)mh;8uaYL}A zrKO{HYk){Vz_YcAH(EvmsMD}DsQScQ2#LrGh^@I>(HC@2By^IV>6&7)N<-2EjRcDWkeWdSN~iT2vD{&WIfx zT}wnFlQD^fP6)0L^R~yTYp*R(u=EUMyfh(T;RVWi2cY@o)+wyoFI1i12PzG*3`jm+ zU|MvCCrhq`4oR(Ld3Fz2iP=zopwmgkS9c4)IZwZD`o%c)zcG-kUg_m|a^Lyh;O|^D zOSN|o-rN(7AA5MUclFD)583+14;>KyyJH%)iS+vf-e_|8R^#&Y_od=r0w{O5t3X4Z zC_7ez%}UkMmoC9t4*By)6_f!kq_we)%3a}Trn|U9+J3)PYC&hN^j+wnGBmFSzM{a^ zC}?tCO-T8MWq~C>xI=s}N3NlcXfLv;5z5amWvqTurm@-^!Y5@djW8sPkcpgyo&3e~ zn(hrZ`@+8_tgE4TY3n5f64oJV)}-?a4VFT#`9?%LzgGb!Qvo;wp(LXQBKmQUeK+t0 z4IVFxj(hzOIjCM_Ucy)_ z3uuahVX|oHnK_d&3qbE(*pzt7l7Tp36w&(j%NCcSE!2rl?e>pa*!$zPk}210 zOGPi)jiBVL#$MYaPcbzj+8du~ba=xdwQ|FXQGvu|Ditay{83`;X;w1I!iSWd4hO&U zea9Dah0n5n@3_NNp%tXmJtwjNuj!(}jtZ?VcrxOqEQV1{}wRWgX`$ z2GKUu%4B&?ex#S2Ov}PB?y#s9989Cj85gVadU-~$ZTWAOSY-F>|IqL;;=u5LrGcCe zT}*!he7w$l@g!X5<+fNyfcUmM~2fR*WG|&hoplU)LcC7~Ir$o9@|G!#* z16WrR7k{VbPc6?93A5J93->cvXydYj9hU>KQ<1wF&>3&JH|R@m1qfW6Nm+$qw`2vJ z6oOsFhnVp0T2D(5<*yt&zr>2N4=)-MJ!;hxx+MMuEd zbv<=cwwj4`0~#a+Q}LYVI;Un99v`^CD*0RehGyuW;BL=B>6L@7dOiEo|J z3ri!pKH6`5*w&dJ?zi0D&kz#+YAzIA3`B_T^#ZYUkr-^H632t`^;Vl~gePVOIM6SEa=F zKmY+xt6;dRMWa%F9{fw?W94$rpnOtDIK9r1XsB9-s>$Aw8?O%R_u)gdiFD8Nz32Pm)dq~V2O6Imx*v%dVy zRQpz1$(x?ux20fdS;4}IQDZszQY>KK=bEItOH^Ej;(IAP%P4vRsKD@Mve4ft^++lt zdi)wXycR`F=9?}R{H5WVMe(m~%sBl#5?>Ns<#oE5e{df2hP=nUfBfO!{ZsH$2ngjK z5+UO^p>y5nf1nZjID2I2DLXIRFccF4mJw6TG257$mRB&BU;QzIV(lp)6cQw)=4eEV z@luJWyFEA!baHCvub9J#XRSH!qR&Cb6Wg(4##BC!K3@U+@UoVEJDu1`KR-&Pq?h(S-?wZ?0^6_PSIg& z)6|j`cOz5zobCIO42A93T{Kc)m0D8=1-}}kX@=HGq$m2h7jOBtRMHneSv2tj;{2Z; z-ZmwAe0KRBc3v_ea$Jx4`_`Ch0LP$(4-~fYB2P$_9BVO*f_w4LqdDqQTxqSWY_iGV z_N_|uG)9YlaoSrII~p)s>SUpe>QS=(XUbwt{Tl;%Ju3-mc7rYIf;C~aPfWOBm@0`} z8blb?%H$MMyKG5L&@1yq*t2Gv?7KDqF6X8@*tU|0pArQ0m zpA%fm^q)9%OG&d5VPc;^83oKvf?^T{5`IGs+f(iH>kLES zb*(;kx7akMmZt8cyHy~kVEtO_X?ORcc%QP9`qscaTkPRF$f;WEzO>HtE1dA~B3cPD zi$`F`jhNjl3Z_MzlNhvIn7WWZ)8w|s#-%doJ`EKUi!WJxJuh~>-Te^njr4RYe6W+) z*ihwWofU$4UTt~a`F(BwANgE*962V3@b?lQgHK69I%Kyl*DkTR0!oalL@H)zXMpJB z(bnzhOl4%908~tRB*rBY#4++!6?<>?+)@P!egR+PdQwmuk$L_laC*%mGXUuvsccO-jCb?^RsF+$}}gtTG6F@E~3DJULUWKTO1EtL>-+nIKqv31=Y zcH>YU1yxEOWpmm5Y#Pt=R?3$(y;dc!g--}BxQGaZzi%WqFmHth`(GBEkVMFqpXGpJyy|>rz07$I+%D6-RNinCnLc?TOFUX5az0*l)vLs zUO8&bRJAI2jLl=V+y`yHw~Iu&Ryl;-W8_U{$`RXo(<-2YBx{uw#^rZwmh{5HX6v$M z-_&(E1sUxCFL88C#$6SjKXHIcGs6@k2_kD><^5f|# zh%22$s0lYCrcA||s+Aq>Bj=`qH^&IT9s4dDKNc@xDo~zD6SMmo5-K*) zo|n7%lX!04{Xe|DXHe5&+wDt-1f&Kj(n9Y=n)DE)h=2iUN*5874$?td0!R%~L_oSy zrAhCEsz{e!Lod>s^z--Z*>m>n^S)nBc|I_iflOw|WUk!zy4L!w0uPxAkp9|!amXBV ztMH-`*T@yFZVT+6j7ivC*v<3gssKEa zWeJ-IQ5_no=e=Rs-G+}TdtGjg%<@~W*o*dl6Wo0JXGM38xm~!#9z=fGkZs9G!R6Gl2{KAG0x4AcAdDG<{9VE|oA*6AolSzGBARO8sISR}Gh0s( z4X?h=mUVzSyrLKCx1xlF&uBdDKbQPUV1wV<@d%wx6lcGt)Fovb!@2xU;+H`G{gDv` zg?fq(@janf4kBzcRcG8AZR>tKlzy?idP3O{gaPr=?qBZ;Vd5uM(l69@lazYKNoL<3 zk7vGSS4%pzdRkip5@)wdi{Aw!XVQuy|zZ)=3g7piqi8~S0o*jDc1@ue*5z~=;M^o=~%bs6^c2I9h%}71vOppGi zG`2u^N zmQuvnoydk4S^L+wR}}NtXMyftq~;dX=~d-%Jq>`a!-lP`wEqYhY0)_a#>$)5Dsp=} zaBFepe<}X1;rjjdV676=f|_bE_(xFnF^IE7nG%2`aTR@i7)!=wg(|npRt4XCUIZ;c zCx77%dalh9GT|1o1e4Gv<*MHJ6ahYUKG{*;x)y7?y7nV>t`3};$3skTSDOTTld-s)v59|+Idw?Fi2&klGOzaE6KxFg^Ha9&;ztoiqXpj*4sbe@qp@sQSw_wRwWWgDu>- z{A19?=VL;A`yGwp>424@W|ZdK@6o}YIc%ra1(Vir>$37d7OPRXJL}bh70y${RJDq^ z>^;D=xy!dw(Vh|aUCke&zK}cZ7xU(nXzY(PIdaGfS^3TB@r=+{+QS>!Iz;jlBk71r_0DsS7bX-vR9|05Y_cuN zKEjVCj}uMlN9))+e%{@)dhp5e0gKfG26xg99KhzVg2zCB`+3N-t}4fQG=nq}@@`Dk zeBp}j31I$g{fm3^ZH~iEbnreAas4X>oxlr_tvd;gx<$Zer2*YZYeTktvml2k20p2k zd7rC3(>G%M$^OUjT(A=Xgj(k>tUB=~6(%KXh_so0{?}Sx4G785;-j8Qv{S~Q_UQTj zfmlA75BqwN%1wbL=@nx(1;IOf3>cp^B^FOB4BB0z8yM&ubimpEGTl;Mr(TKap*+?5 zItHXJPRDi86<3VMHui}-UH(}WJy7!`iOtsgBBEjC zXw}-_Wa$luv{Or^9Z0O^ba#Llo{}*-y^Rdd0BTmdfPSX96-FW{U3_dTrtyZa2Wr-UGGN+q zLXnxL_zvT14z-YORtvmmp5>sa?=dxA@+_A<0|+REWelFXjzP#6Mk>kqAd_hMFh-B3 z)rf3|IGnJ6=srG3Km;O|PH2J(kv#e))18QhfiOhG@tW(Jh;>-Og~TLK%c12+niI40 zF%w@<(QBw8@r0W2z}@JISoqduR`U5*j=g2Es&3=T>3L*3b}_R;&#SWuLtpE zL}t%}@8cFx7Ub0UY%6#JmUXcYns*2wVf&QRZ-U`3I3nD$wnq19f^@DxQ{!!Cmi~i= zF1Irsol8inSP);j8a>6+yw}kZ@5MAYcI6V$f1-&LRZvI@Fyb$Xv+4Rl=k<`I2|-6{X;sF z?=`siI_`C2wCQbz{KAs^-Nj|7Ras6`Q-B3)q5HDBa6#GwY{F`9D@6avqT&qCThWN2 z6tqCS$ZETv{wT-q1P=Y*Uwd}!wJ8tpS2Y=nk9S%<4J(~`7w$T3-E|rr0Mt`_?Y_Ns ziHZA2@z>>%1{VL!T|^bz)tp>j%6^j&ZOPCz*U}*RIz0-X%Q|DQ{!TAq{^@trC_dPX z8@V?ffSuS;KQ8Xs-c}Ct2z}6~ZY)SeOA17Clv~<{Ics2ma0Y!9N_klpE0(G)HlJoy zDZPASC#x*WWGTwT&U~l-33*fp=tsCK3@d!4=ZaCM+62b#8Z(aPpru zhaZRUs!aw8y2rCyMvpM8FwW|Qs0JQc9pf)k3q^~n zljCFX`bV$2y1K6OE@nX8cfCYge+r%9x34qQ4(g^5V%p@V3QEIMc)xSsAW2SiE5E-I zA1tI_w=P1@n@)|`uqC7Qgk>8nVh<*H5?y}847^Y8xfkg6B$br_$^qz$RY{^xi0x#9 z(6=`4ckgx%ZqvZ%<9|fq4{o)_ zyKWs+tXFD3Ib<}75^(x$F+f`Kk#>9=JXO@~Yrrl-n&=Un!(X3d&@O7FOZO0x<3J!P zxWy!?X~eFQP~u(S74*e=!HslIl1g}1Dn?5ji_ohaPfoKd#@=r|&l z%B#wJXQ&fjVi;#^-u`!PfPOB1>4`V%9TU+o$K-BQXhbvAv zcq$|QqLtNo{~*#5quvcFOnwzK@&s{S@eO1mmB_ywB-k7w)ftY##Qc`*wiZGCVxO1} zShaiEI+Znz2cB}C3M2jYo!vQMmVozd%xSZ-u^?rlJwQhKYT5x2V0uEMMI66~R4FW* zXR@l1?wW5WySxhDVm(ef5v$v`=yFktd;D!Ss$+8ZFTYQz2kD+P!=n2FmCDE*XxcS~__5(do6!?f|Vy zc7shQ^)Xs+J8s{c*j`AM_7*3_y{A%sV;hG zzDh<8)-fhA)VSuiO+mA`tHxFezZZg3p?!gph?g2ke}Q5t$__>INp=*w+OapLI~CXD zrx9skylpwYZDnBG0`ucD>5C9VFOP9a6y1j&q@9Q1T#X=iUv*&b!n;3DoBmAn48`tT zi@yjA>~?&&+jb=kvxFX_T?0)Mv}OXfMfNTn{{+mIo77&TcaQ#DPGgVmX<$nQ!kd2`i#!T=rLZV1tTJcmc^lveg!Q(i8oB)i10bpc<77UZ1DWT*{5v>!6B}m)@Z-LP zKml{47-(WT5B7A*-raGhph3Y?;g&`j78^N;fHLsw6LJB|m&5?o8B;(Y9QRvVpZe`u zM%vK31`e)wT&r9-J_qo#2IQ^$b-p%X%g=topjhO0-CrkZ(%}ze)3N)Ok+~gwvE*MP zJ`h+z*rTnHRuODDNA>tDjW=su+OC+^5iOmw)i^C+1}fd3yG47Pwez1V?s1y~E72@Z zFORYDqc~RA^^Dr;pZ7E5sQlIUxF@56Fm3b?qyH72_;*-19ImQWKP~#qwT^DKwRf(p zgqk<<<#yeXJ04OoNU}^pCt4I=%ci3{&2~*7zm#7KrPRnU`?&q~yjK_at9=LUv?=qT zyiYlHp|ZDvmsC&)$oMDQq@w|E-y24xhE`A>A(-$a*XBIRuczg9`ev5^3?!U7xIvb= zhQ`q`7qvdIjX5|fD4+K0bevX0z2qHeO1V{fxxIEB4=*5cS(8FO$j^VI-AO)ke)BvF z{YsXczv{zx6z=O+8t(}dDKGTCNYa1B9qDA##wYuL^ZJboj3(t#$P{O?EU;bR(MX_t z&G-T)nf)WuU~K1f{~?;k`2Kshn7h^!0~sQ3|B!GCzJ8%G$uu2J)u~xRRqNY71eko}>OgK6*nPE^0W1ZEfO-y>_=G7MKX(#v&9n*@Eq!tCxJ7)4 zx30fc+v|aq5pzqIW%9L&-$hC4PT1+w+M#mW?sl5qCF$czMU8dCbKB0Fo9LOV*}|(a zSauzalWC+3hepP4MK<<9m@gvle|iDxGw+BFKtIWotomE(mP*+H5fMkghdzbd^kPIR z^TGfRkn?2m-W0y0Y@>*l;JD5>wym8}F-V|;nv+_iBt;_?$lw&ON%G>4LA>%AR9Y!j zl9B8LK!3Knh9p^6KU+e`XVL?{rY`2I6Q+4zt89$SuM^^waMF}Z(!Zve#Ne6e+8{VC zNIDq)Y@8+W-NMvEozm^>a5pVkmArt!TlqOgl>pWgpOk&R^65K4o}3!_w!u}YS=II{ zT%=nkA04USm+!ppTuP>+=bZy61@xYijXH(R39`Hc5EC)vh`2M|r_4LKECWNF98Mmx zYYn({m8mbTGaK9=ZA?2vKj0>#1?oR8cKO^6UYTCE=6l`cHJy}Ir-=z-!wrFGqumIf zNq=kPxQTVoeBMaS5`sp+S-D-tCIe~~B&Ga_NNUmWb;XnB{IDjT#&mqrRr|-Yv@Fl{ zx2X%j-X4T;qFqIu2NI4}m}cFOO} zVk}0r3v_$sE;Sb#X!g$>vR!#o>pvo8*ky6l?7SNV+;%h47nhE!z8U4`dp9+AbpSRu zpiHW@5#MYd#K{=}bPlt@e(`3rp{^Jo4UrdbudyDQUN-%br5CN5S^63=M`t9h?U1?c zH@?#!mu5L~#%5*D#bIxHPFhs98Cf*5+dB94MtMr|{W*2I%YsEJ3P~fIH^jo>b;Kk5?(E3qa%O4R1jzn+mK4o#g$y^X}o(djRN!YRt{L-I!~0 zSO?9W(NyFO=kmfGiQ}Mnw89bV7$eWbO72qkami)DU@K0PC7(WyrZ6|rnaucGjWn+Q zrZtlb52J6#29Ndt{okq>7YzH}^Ne-lGNax@vS-O0`KjylF~GVb|6V^Oq8BJ}YV{i; z5&XGbqv=6Ozp_nx=n&)IT;qYte0PJiP&A$N95^|wX=6XCFurvB)=UEQkBVEF=zg>- zV$%MEuU~A6=-m->VrPpOPDv5aimrMFcpE~&XIF$@Z>FW-U=VMfMZ^Pd-AXefuF7vU zITlO%?zAKVd9oz%=-iZGc&RQD&8A$>>u}}!!Y^IUbO^9Pv;QQ?rtK-D#^V#srfM2W zIi(XKC)g<~zGa%jq14%UZk|lPvtw2He`fzD4sI0Z{m+=m0r>lHnzSQVzscI{lQIce zKH%eWvK9X3KY{RSvtSFJxg=bRl4MyED2+R!y(@6hc#F5)gic-M<;NrHi%B-JU!lb! zWhQcdR+Ji%-Cffr4*?b095bDPM1UGw^Fab3&R>*-N*1|QFe|RH;we*r$Ui=QZO>5m zEq6#^??$ot)@y5Lp+lO07VNFTmVLY`^A$AnGt)t7nXs~(oF*r9@gl83rPZ77^lW6+ zGiK0irl;{Q>hct@@XoLQoOroEThqiDYB5LAPQsixfs1<4L)pFdE*(eNAewx;VX6Zm z&1G$`Z9Ob0%lb1Y9h z$w~V1i3S}Kj|`;oXvfvpuN-jQPrffA&(=j}g>e=fBo2j`(y=8ycR5!k33(juM6xJO1`Y&=HYU%=8|_r* z1rEpulQrVDtGG@4os=x~G}Eg|(zJCrhQVd#{+yK8n!j_JaN9jURd^y|vmyivdQDN+ zEd2cWlL+NO6`x~;qUMPLTL80To;&Xs%FU7mqLI+W(CYr&XGsNTR690(T|0O38QA0T|QeKp(|(lU+SJXz^0ym{POq7KyVICzGP5?y?~!Q zxqVg7fwxrK{DXgQSST8EyFR1R6e!tVTlUI3P|Ks?sAx0>fBUNLdtGg7>zR$wL_X-s z;GeU%%=hJ-m-#K}_fm%4ToEwQ)fOWi5K1^ah5*@wkaD=Mzn^RHY6pIDT%0i?z?+Sl z3>pyp_by)*0?77v=dTB-kurR;cZKs9$U!PD^{5kLxg*9?wsEb#$HN(4=*s(Z2!GT#k-Zbi+W(@mW#p_>!;RQs`c<0lf>Bl0u!^wa0S#t4IJDijAwTDut+?@VTu`GR@?_b&6mvUIGt($0I zM6ooixd#TOT1veaOXHr*m3>s+D%}PgM`^UwKp!NQ+(Q^2_4&UW3i8rvWDZ1nR2n++ z9O{2}h&g!^b242s#@LsHgYroh*U_Nqb|r=Ah9J7P-6%U+qJeoFB(4H;cB z*ZA)u>erN(^lGyte0hwzI{vv^sk7w`7KtvcXOjwp;Iq3ry$Mcfa?NVzPi+b_oC#Jw zip|C5#WGxQ>*@EviL>wX2bGfVB;|Qoml4Y0D<>IL+Q{39O}-bSlefM-Pn!D4 z3*Oe5noK!vFi~wv!#=eYvu8yH^M@Fz?|)bE?t;7%+bIGrGrj$bEfs+00R-ZOlnjY+ zL2{23!d51e+|A8KEdv*=sr~4C+BgsDZcptxVOXm&F|A&m@C8FW5VIEVSZJ+3@}m%T z6$uf>+CEMJ_@{%|1u7ly?j&V+r6P$Z=WWQP)Ena42emz=jS57~6I}$GO}EGAjh-kS94cP3-h>Lprx#|i*?g!xYNvD=GpG_Xd_X*>#rzBv$U0vS7k=0 zV?tF3%3t%ob3GNR9d4HP6B4*Sd|0(&@`daSrrZpc>{O=g3>H#NjXok>ljhX6j)7`d z7_^>aWM!HOz5bGb8rx!(h?Kl>ZE4%w0rS+_r_YzT_%?>U58uxPWEvvy zQwcH}Lx*jb%wTv$T4y8Gy~todR{+38ACLN0-?Sqt(@l%{?p1yke9oI>S`0QA#v;l2 zS5AJ!K0gZ|u#$0V5|)FV_JGu0M;x$WI>&E)8^deEt(#=%u{*;^d&ckxyiidI(_Hj` zqx62S_?D>lS=DnvkxSp9FA}&dyV3zapNpUOaSbUo+305RgA;8)TXa6Lz_OQ~n=@e)q;X4nD*S;#$QWT{-z4v>_sFVZ88}| z7T~~J6WLt_!%#Ok9>9beMw)R<#N67vb-k`F)5i0k4 z==(C4C<~@d#RQ)Ma1ak8xJ6CFsZL)JK)QkBp;k9&LJW#>jd<|Bj{8x>5Ic%f|M7OW zSK4cQLAu9+$&U+bgf`QEMcxJ4ED--%M0G^dbVQlRsk*3gcpv^sa?6?HD0o_#^Mh@JUW1VmcMWm7MNNM#lo$zJ? zxpMM7M%|B>7cn`4z}P83Um$7KI-ny5^grx6V=kP5a^$l4=8kOu38bsU9OJ7Qz%#y$ z{7cakv|aR{akhwLwsrWU#$TGh-~d5bX^6ageT znu7WjFU;zFW%m008J;Y322Y#l$BEhH2G|&+0O%|j#6HhC-Ee=;T~aq3_y;U%#A^wK z>XM87VN=qC}fqNJ^I!(tgs0TQ zuX?uc!zaQyn6&hqE}s^z=%Jh2$4)O{*|bZG-`4gIX`B2(BDoDA^;e*qIR-LZlotUc z<5?oY1@5U(;y3&}gq-dzOO%52U+?;(hoV{Jyj9##XHr&-P|(n~4(7(4BcQ0%xeclF zZSykpUZ^vVRezr1_(wO@XiTdBP zRdl(E#Y>Y@p70Ltm+xU}$u39^K>?Vhs4*MmOi7TX<*SCqhTfV-P}g~AQnWA@FuH$L-H5J({Z(kbM8Q7L~(k? zz?J72lZEN~SikG!l*1|SB&G6c5HaA^-FScGMFQkmvK#Ht<2gA_YZy(+JbE zc%9ik92JFx+PNR6FH7$VdASuVuL<*4o-+cNo{@e=0}S{iBu_!7zD@E*6MM3T+PRg$ zK~?n<0PRS1CXh*iFC(nkNTIsBLO3XfhGEP@V#fz@NX4PrVbltg1(6Tn$cCrEm-}x9FH5%P2DCB;cJ8*M8 zDVGmED#(8lSrvpTJ$m5fyk*E+2j$)ndk=aSjpmeKvKo3kyv?=Y_4N34U7DrGbkED* z2I=TGbfZbQY8}FA9V`>r@;(iy*Oi_l2SnEWD66nW{x&fun_NN# z#dmSSLUa057&SzlvJdyfq+ zT?Q1Mb^tj~!`?o;*Ja@YmO(mYaU~1tUPC?SA1%5+t!H%9uV?m5t!J)ldP6%Rs5R{%4 z^-g`E<0;tm+zXWXQQBPUY;}3}0K^)k&3t-!Mg*Dn^Oi}mekK(?19DlV88H$%CIM(MCYP~ zT4%!n?5X$raki_rD9}j2{*!`|7VvB+z}%McmhRwz%fR||$}s5aV_0^BKu-CO)2DAs zPedh-T$*%vY*>U=9J%PV{9c*1f!AYeTozougU|B1RK(i?*3vUovn2f8s3L~lRY{-y z^m+WmibXl;T7B?MdwHg?{3>tCdQ8rcG+4CLw<_$blG+@r{pYNV^oI)RISe}WdK}JO zxF4$eeE^+luFT_>JF6TVjA?i^^W#z?5;8wOi<#R|aM<~C;d`JHyKO>PdvAtoqDsy( zOLX%%@6{X*FDDs7>#J%y0QsSLiG+NJN$4W&$z{s?5dT2N?av!)IrV+z`*sAp4z8Gn zJZsHtxMIsjJq!kR(ZGNjUq|b3SEqG7XqJNfb)W5FWVKL8f5v)LQ6t6hmtPI-Z46S5 z+nqFi(7~Yhm)BQcYH&F@2p84k5ET}bzDKYjySumhqNX)2v{`{tr>?QOiw(eGm$jU8 zQ|#^i!STN@f@}C%0Jn*Rmvblz4Nvx@;hf#XH6p64vZn$XnmOKnR^K%h#tXag$Y4Ll zxJA&6*gtFYkY=8)I2O%b0Qea$l-o}xpPQhHo*f76|rykQpf=dIpbl8ax|cT0*Z z*C-$xp<$IJ6&0>@X=x^tO^v9=v>ExP=3E$7uqRrnfLD}(f`R9)26XJ?gfEWuNmvTv zUR<5K@rukL`?_oz^WxT+T%E5YzEP=eb7--Vw2S>RPUGbr&(nKL!+MwmaAifh5LN#+H6+-Vcb-vj#5&(E9On(_c=EZe^LfW?p+4@}D!?VjiF ztRZbw#e@eLDU+$QKo{`#eeHHqw5}4DS0mZ|!yQ7c_eBk1CH-jV5%oK*q?-C35VJ;I z5bYr!Qub!oG)MX|8I7<+Dl%c%r>ZAowxjOA2g=GVrf+P(8%gb&ADTC+Jo}^W>zr_g z&$&&y-+`+9(a7Tmw@Xp8M9X3#6q%uB3V(<>d;T!m6+5 zU+(|OFWa7GwuMH&zYb;kp3^2M5R9b4i@(G59iD4_NI{CrDz=E?x7kB&qc?MeR!FLP zN^(w4xgK29`%o}VBC756O0cNx^P+(t_QSjGwmqQnFtKrBv2h~3CnYhW%qJE1cdccU z`KPK&-?zzy+^rE(lbFE=hk$ISnGoKzKe`P%@9BXWX^au6>O|MpbLQk+`frC?xhFHu z@KEhF=0Tuqwck54icanzvyMv(wnRQNPmKi%Zc`W-Xh;3*wKCTfLlg0Vy!rTNUP{VQ zVC41z4T}I7IY{AbY;?3hy_l^)v_w1bJ~5qeM(IWbn#*zcVXEJwOS;^5Zr54cM4u#9 zWGnVM=bwgb*GgBodJ(6YG)_)VV?BMoclLhZ*m#&1IEP({_V2yf(&7rRH(D`7m(DDK7qo5|IW34fa+cg9Sc z>4&Ww7XNnBaS?*)gbDUGa`ttEc^}KpQxJgH8^+Fd^YF@6qst%l%kaP_>s0kXmhPfZ zBlr@D<&oLl^@%>era3P6DaAE&I(C%JXb>YVvQ#$9MO>tuj90((j`nY58jmmCdfNja ziO-V1r&50pq~f7|r%@)Q^Z#VJQ~Sx#N6RJKnZQ|Zy}NMx9cylE<93t%mjSbxaM!2; z>2FS?QYq20Dba-<6`1N!cU$fU^@^AuC)wjv+zE=l&1B6^Jw^>w&~)K^3eKweCLiKj zXXmBy9qrkc=5`PJ8C+Bd&A=-pP&yserDgD_g3VFi}~TBFy8(= zZU&eJ6dJA@O$4F{7tOwB{`eHaBUrVj0pSHs4xJ3^{N68XGJ9tP zl+-nvNz*~Gafw;*5K{5uxr2%b(1nE=i4xE2Rqxtq&Q-ZAQQZQB7pu7%l*uFoZN9z_ zhl3b65Wx4AkHRv&wjk}J5L*i$!olWs1@F_;Ltc`%aG*ac7y;VMH+9t+Tf3-J!EnTba{W;-yH)$i&XM-<~NHVWmm}kuk!1 zBBrQHX~5M{df?Rua$DLY0J@G%*)WQ?$$kcLpI|)Tm0HmMHN5Q%cpaDN? zE^~omfo*2PbKqhHFH#3HaF-DBMnmqnCo`t82}!7{tVH!%82@;M-n$viEUq%2CqU4_ zx@FpaLQzGQ8xpcK0h!^yWs;}Z!kN!R^TO5GTF4Q##Iv;DL?Sy!0e;t%$8N zUQUHS~Kbn?WpEt`Ss=mt=c_aYR zA-7Jaf21IzSuV?Lb$`pc#PL4k#sRholpn7H69*=ad0P;V* z05tRUA>PZ8IH-&!%DVh6uu2L-mv#O0K`)wOVf@$r-M9q8xvSY^(ywN-gdb%TA3L(9 zp56DeM47!NzV-x?Q-N$5N5hhpjXCqtM&>XxSM01M8F_Nk!I2I(n+d#X5@N zZ&oGf`ByxNJe-kV~{mB7@Rz)nY&FVCUF~PbgcANdRDWHXf==P~9NWkt3_T@JB~d(IQl+%rau9{>5+L z;{Vlgo6~zCDt{j{We^57+kOd}F@DAtl%=)+p04j{3m#r7fpC5!L(8zjS(6h4RF4`1z{${|G!f5uilWDW!>N)dj*}SgjT(5sLsL%a{oK;IDS+Dqupv z#J#jy;M_7;`~|w8;A{o=b@olC(KM!;)`VyWrw?P`FnAZ~(OS>}JT*BOqsG6CjA2J< zx5Kc_F)aobxv_aaz5bZx2F-fycI+(^FH4Y|$KJ>*)*V;eng<5m^EKA0jd)?bQ+vJs zM{NEL)V3yZ)o*F1(1pUkjY{Qix0NWy@2d=_;l@J4iHcn!S_D^P(~O}@VCo)-@A0P$ zi{Xv!*|oO@VsPAEk~7l+dGof)di-d-0$WobXb6+f}{Xs@2zLXH1|?^=Lc6Ym4{)(SfqY2ULhXpfEfcS3o5ccdU+{9s*zpC z7jk#2rjD4^Av2+WyA9*hR6fUr3Ba_63qAcgDujZ4{4+>SNtOww@Crl_Upj7_dNY+N za8&2V5T>Blzwp?G=Qo^X%5`l>G_h_es&~Am(@w>G6sBg<{Ep>hg<$L8QDFj22+b?A z5!~UI3M{eolQjEi7kH6V3`X;T73pu;EJQj_$cf&!kEL_n{V_tbb>C5GUIp`AG0pU8 z;%}%XVnS1t;q4&tyw}8!#;yG0$BR+Qw7kD}*2oHEve4OZLbcD>yqRD39zsb9ZLM2m zH>!iI;GS6|>s)!|YMI%g+zHYn%HN1{?;=y7+ zW&o^}0T_IS1qEn|sq#zX#M#lJx{#0%r<96v+*O)qd$a(hLVT#2Ij|if;E9BC- z*IMv?)LI#N&tbOdy_~eO+gzP1{)C9dnr57|2a^J~cQh0ZCrL=L=jkVC&5cTq<>`&m zDgOm=OH$g*q%?g%0kB_te{4+09|th(?AOleGJvh%UiZD|8FX?~*K3*SHb zJge>|_Ln~h;}uCW;=3c(V5nh`x8DM@O3g{j+u-7B05XgzU!`A@^S^DxUhfvpJAIn# zNBSw`#!t_dCyfb(VNJM{sQ(nPHSn(+%QDU@_!Y z(Bcj#9ZgaU3nf)uc@i2-raY9JBnd_4mrlE#+a8y z;d(^^AmOqn75AB~H63lDlL~QA;&_y{;-!9mmvugVbkfc{i{0PMW}BKjI{bW)ML!V1 z&wo~9(^B@$<2#!^$hnycFgwLPQ26=mPeNLGL`~dm^rP0#vZ#w-I6hgb0-3U-1<4;dGX;u}jq}WhgEb#hxl1-lum7*WNfGf)q|z&=>CEKS}*mk<#IWhzSHE1r4B_Jl`SmZX05#b zn^vl|pJ8O)Hqmq?Kaj3?E+KfRBC>psZULULPI28p+-w=(7cIVBO<_|HAtbF)vj7-bjT^(i>8=CF{d^;D3hHjxMV7-E%Wk*Adc51vj*($4*Yi?X(vH9vYC~SPGl5&A-dl)F zisANszpKP%xbgi*q>0r>$p;zQ$GzEpwzaq>4|Thn?u^hWHbWM?6Mtf<@KEl>=c1z7 zS?)#65%&2U>Fq=8p5OXJmGY)UbSGan~rSjw`c>kpXW;L~c zpz#3unYfZbgO*pznvp2uS-*?hLk+9Qr`Eu8wYXKte&8`2``?+ch~-hmox7ARDgPB* zw9*X-{_ORqvLED(CO@f~ScP)S2&%0X?0^uqYL}_v!9Zq}N#{_e+gl4q zUk-`SEjUPhxq#oJ;(+#Gr)F=uJ)GKxe8i8=4hbWlSlP+juxcdPIe*Op`1n<0$aiud z<-nk05NGpxlzr{q(#2;X(|q4cVW+|S4z!?}!-sF;+52QQdY?RcnrAAmc2hU(lg`K- zXm|C>)1-(H{3C^(DL$5XiaXeqZ{0Vu*eP72NJ(zAI*yFjcR_PLGYeD6t4qhVoJj^r zPQrLs1`+izsD%D?F}jSqzV{Gl^= zhwnk)lBb!Wmj}2Uun_;VmMkNEl}!o2%voM5X_rqJc;8AR8ow+darHF#Y^!3HkYYas zBZnW0A2T5el9b;z*M!5cp-w1rQb+?iVc*qnh;=Sj8!-9vLrV5x!SI$xYZJfi>YhGf z{;U5c@xF#}zRWPo z_Iq=#n?eBz^Hy6P$Ve|)?peq|LDXhL>NwO)Qb%^J5!klV5zK#AUZ;)+&X%qe9 zCGyA52zvu*GAOmq0}e{pTbz3)GE#d3<@J(BCt1-95he9DreC5qocd2*WqQ0;W_@<^ zwa2lnFE{pW!kV)syd8{B zHyp@Tp5N2O!pbwExy#w;R5BY+snHt~k0GDX#~QSN4D}7Zn!~^+lN5W7yQGk<{*RA` zaZy*wAMh%h%ubAi2zd4L(ED)4uhw}^E^DZD*Ig9dzaN*~F5t0?uH1KtQ?{9<;abu6 zT2b~|ks3o4s?`04!mma4kmgJO(0?<{8>rca{=`HX?N6hkymwBd&z0`_Oy86l+H3!O;dZH`iF5lldF|Mrt=&{_g#sbX^ZQX|7)Um4c@e}Ih^p`D(=r4?VAN2 zEUU{rPW$+O6QKWBMdN=Lr~iw;wSgX_?7xFS0|wKyNW&q!e^@PV0Tx{|J{)X|q2Dk% zI``YT6Yx2s<)erO^jd7B^J{`P8NtKhrxF=0);bGw`3E5epsmgY<%z8!xNoe9N}v9I zd0^}?yq(x5eLN?7lR)6DhSd*rwid_ohKDLCgKQHTs)9%Me=zozL2sVG5VVtUA~rdpopw}FP>QN8cMW;LOsy&I zsFq+OI14?Q)t)}>+u;NC{)N=K81_zyn_kwUJ@#i>?aEC0vakqJwn7nEqgE%G{>C^B zLKa3E7Ul+S`QJyhN0yibZDsD6TnwDnp>>2D+P*jf5?ZKH#BY;mk;8XXl#cbPqj23XiK4GqWgwC>Wb>h#&l)uID`WpRe|rpWxw*nvKb0%v?^*o z1oG0m#_q6Bnn&ZaMGUpO2WoozjmKUnZ;31B^|3#xQ>v2hrW{FBg@-Px-L5a$m*NoP zyx=ljR-^HNsY$w;sJ34toUnUequ$W?WsPQ!68zwn`K&=j;N{kT`+kO|;8>&OO$gfl znMiss`hG8Z`Z_v}pc8^)v{l-B)jxYyMZ=E}(|*ou(eT!bj?9CdAh2@|DY`1Yk>>$% z)k<4*dGi~mt2eXlErzbOZ>^Tx8~K#e3KgeiKYe9WFP-Et4d{Ty>tJ(4qhMzyk-%g71fYB5ahKwC^qb%>=zq^u3fVu#+530t5{j8)K&?f zE;8`-fkj2^gI>mE&6~W1!PZ2AOd~2@ZBKKNp5eU+EKYwlN(MR`{>Z2F@T#wWfcl&U zq-_G8+*8tHykkZ#=Sm~bT}GdyX{L`P+<)(jR6I9SOkvO0Z>~MueU}#(5V9o5SQs5S z$HcVLaD5ec%n0w4sg#a0B3wAe%%B*_d3Df_lyQ{q^?lgGf0Z(*ePR-dJn&XK)5-;P zkHNd7D+=!Ck{q{g@jstWMYDkhi(?{T;xAwWLKqr=yKKz)u*=LU7=&*1pk!S{w4S;Q znpplhwrs;`dd*`Y>2O1>h8To~(Tj%h{eft?R2d}_{tfX(mY8}^x@+6MD^@5zpH?Y^ z?rSe4WJu2}`L!}IHyByv^6V0FIsd^~3WLwtYjl}|_sH_y>wxSq#1?8%T#D{qymMIOXJa^L*`laec9)osBW<#)n{f6I#6ldp($|WE zmSuzNRP~9&qy=ZV1;eNoWgB!F8a!quNkbQ3B@gG9%WKufCHeLx~eLB2*~DKsD++VhpB832VTQMn?Zg`*R+Eky3UN*uSf%Q#p% zsFj&%v2Q95M@D}_kr&~9P8jkN>K_5cN0*&fR&OjsQK zV!aISYn31Q`&_~Bc)DZg1O2yiu&13H{@yH{yVD!Ky3-%e!NfcIMPYN}UXb$g?26{m zz<2jx1%=!{{UweQa!b)2J@K+aL$y~d>}8H6`55wwkXonopKo8^sw+J5gb{eMWFHsP;p#fJ~3kIK^WIRg?V?3IM=Vfri;U zdQQAG_?}6Y1S;u)hE}Ka^peqlXVC}q^2v7RuyKGiWSkAhZ^+NGv_c|ctWw$A3N@{p zkob^$+9kC9^d8)dZf?_Z)bl}=F!7PjAM+1JOy4g5N?PROn`t$!hfaFS;3%|yRsewe zH^5U?eH{#iJk~Ol8p17{eHy|hB07jDN6U8(ZZTLV$5Yn3DH71yV3e1Q4HT&2t;>c3 z79(0mxy-n+DfRglYALO*JamXf?@5YM5wZ%U!`Ua*0Y}8|h4sB3*F?nQyNr_k(K_}F? z2@I}fYY2+Fd0=q_D!n}q+hv*H!8W;Vj)}=D!mkd0Oc7BGeoj>e6=enQ8RT!H$ru`;q5J-0GlfNE%2+4HT@Y?O~WuitotiQoLXA2 zV4hf&^20kvler6j`8dq=P|Kent=s*g49|mY=BlNoenY_%=Vkl*d55-n2a)-W1)U@w zvxxXZyz~4`zb&J^>)uw3)a|qnmh-aItg6$bP8ChskS8Z9>JjI^_F3e@bf;Z3UDol@1U!3yT>xI&^}?W_6m2k3nM$BboGv!wrl#eeD#6TrWnDTcvtq@(U-ChK{Decw z%1HEh8qlS%(8U;dBkK~ckM3bEr1LC*h<8D1TAZGMu0~a@{`X*^A$(Q|r<~o`^v3NDbW^apO{f`P0>tRM1U`7o4we;7@LdsFOTWRjf zF?Hk{4cp2PIyY>;Q7p(*tVQlo`$KL>S--#j-#{lYIhP}38if(zS(B;8F`=0B1C>2P zCDp!>LM=axxnMrvaXmns4h~?3R8W|aK@MzLIl7SF|CYsUX`^ka8T0+zODz+uA?+2tA96OoHj5zUhV62+%Fv5 z{w%K!3Oo7yd2Kuk>+tZ9Q#--DB;XhLja zaGOrE*Fxz| zd}SUb6q7*BO|ir0{yu-OMaWGDVP*WdKq>Vbxk}|1CUaD^=1tL;o8#G0ht8+*@e4z~ zZvpYA!!o1s)=cU#N3A>&WE`{9qW%*On z8P$!dnaiJVTIzDg6HP8|(&NPpm(;0_GNvj~O4YS6hM5hv~x#DfdPp)7fbFztda zJB(MO(oXv{Z*~rXQNN6j{XUxLReij3-D`0#M7iB88_}h=Jn7mr0oJuz0n+vZ|xY*Q5vuzja0X&cQC@EbQi%n-EaXqD#$*Bv#Jtj(n z{9>wdUD>hC?rNrf+sAdM$Lmd1sULjP?Mlv_ZTJIY=l3bXY<>BzyO!%TlD={k@ofKz zJ6*&<<+x0`mP5ebCRUE15>a4@m~(vOv0B738DpT6VlPQDm=Kdlt7s4jA75P&k{W`p^vewd^q6Bv(UsRNWMu;8oq_f)kHxdkCrrCvbB&pg zgpkWg0)Jc~s4E_jy7zaampiyG1%lg3kCNr02~&_Qph>I_?P(&U+9W}+&|2_Z>xE#o zgA1k@;IjAPyzcW9{dB_7;kUqszBGoh#Ob^=yKXGEao$GE9|!0nR1i<~8kh)Ok|VNP zT`*goh#bLCqdaCh`FZbB#KdA;deLSOWWvx@D%^LSVx8XIDSsEm`0B8kjw@0$ z-jXTv0m!W~VV9x5t_mjH)`=v8hXP)#sd4Favji)nhmT>@tba9OS*{ zTNfXTrN0(egtrgq@ALl61@B$&hf{g?NzwS8R_j3|?#nS7vZ+}(&^LkP+ky4O`sUrm zg5_=hAD1-M5>mAiqrviWV1%8qZLduE*P(feQ7We%P^{@CUVKdZi<+yb$5eC9TPxIc zPp5D?X-sc|s-?j>XyQx(g8;#dU*g6mz_ZYBeKS(v@f64ZsHKbyi|= zXkzHOr+&b>{rnYh203}uy4C$-EFS(oQ2)QY0RMll@mi$P+WR+sBweRIx0luu(G$Mc zE-n5~BKE6YA&VZzVofwH!d~Y{**@38nUVp-6XFjX**?emVlPVZt-;ZmzA%Kh8#YX=uGsE;9)dVkmr^fS|hC;qlmKv?Ntu$ErOZsmAit1zg+K9+f`HzDP&_sh#rI}Y zlK|tn7*bdxHH)eaRPzG+dgrNC0jyC#?~wkxI3hw=*A?n>un>T1IP6QHEfnI(qv4kD%k*o{z+8 zeOt779+IS?n7TcGqTwN>3FOf<@U%+tJF=w9fz%-cAZa>qc=(i4ePG%if0ST@nP@%3 z63XKT<)AQwU`1P_Ot4WEJy%HtTUj|KJ^~s2WP|qW{;V`jSdG;(*H;dUM7ljiVVYuJjME+22zB&0DG1nJB ze?9D&yU#Rds?gyCc$a|+8O@w&MLIIl6V%r=-tt+STub|sxbMp^EzkLWcf6agGtKMb+s_tc)UV?V z`ZQ__(uuAu^hdb{lJOs$5d@kOjlNt>S{nPW=!Bu&SsG)gE5;+@|H`xy>@7k)$= zaX+5X)bFaKbIi)Kn}t%dj`CS5p?`JT>;E?SL#4=Q&d)Lp;=~t>F8AYIjbrVn@X{Zc zixOJxtc5NcTw?0{9#nV0zLiTvXP5+cF9b=X`T%K9?mo+Yzi2sI=2J7>`Hj@6!!WpQ zu^i&Q%6SgQ%2A_`C5JMKIw54j*85$Jlvt2){%TtKT#$oz-!qu)n__Iy8z&svG>D;0vh0b7!rLt)>14(B zEg&G$Zsgc};$78Mzr+8@lu8v98T}(?R$G;zR-yE3ZG|jua&-dC2iCAoBt%^w*7HA@ zK0YY(i*s!rJ*38R=^{_`(oEgTQsj-g`DDi0XTJ7}0=urVkdv>)>Vj;`T;%%c;NOn`qA{9G!GMC4MXw}F+S!ngeE7z{FT)l zVJ2VCJUuX{S`z|{d;NZ`OLin&#CDfp1%iK$(LsatrmJMZZJBV(;gru|y{r5~k2W1B z=;d0DV3^nl!pz%}TK{W~-{YCR_upppBwbRz!1nG%m~CzK^i6ln+LW$#`k@<(BE2V< zpWatD|67d1(|{nCCg}D_@)2L+1}fQqJ$^jXMjr3l8Xh7caXnSt7v6pBmrJwcIsFpH z4gkuZk4nz|a8q(ZJk9-x0xqfpRuj9F;(hOcEz7y0U06y_9zGz;L3sbj{;Rzto)`Y! zpoIdfnUqCP1_ao}jngCj!_1=n}=g-8qy4XQl+dY1ge%OBs zZrmYx{tm`pz(8Vt5POxuqzB&d{>imjHP~qQ;?mfy7tQ!>bD$>JfJ7P{t)H!o(#qJG zp&{XuIx1ZVFnx#ek8aqs`u?I?FFNd@EOdXHXrNbCcJQm z1^ZO==lYj)%V8l?X9jQ(E5V_9qvS&ApXxs`2)Tqb%huR!@63X&j~@GOz8tk&I^co4 za=q{X<@c6$cTB_mg-kSUeBA>98~rPB+=W;+4fn+T$E5@YF0t$4KWF}Ap4^x77SN~& zR(zJU!eA$A+exGoCwAB1w(!zpI5h=Z5+^SNr^etGBWZ0lFQF(tIHb#>h*EmF1tnbT zO@Btk(hre6q54sb$;2gO%E41V$#pc9m_~{t#Z-qNW{9e7SYc#5jJc45*9VAUXc~MF z5a$6z<*u{T>JNS{F8nSr0`%q(g7=kz1lP`pAk#5%UqH6rSoTLy6PYfrjJd4AM?JyT z84&V?CWfL1Dzbk+`X|%1>ty$!<61W2v8)Hr&>QhZ>Q~H3AxYNj;;=cs`Qij4oY-ZOkZDW9Qz(dC=3P1vKBwzp7Yor^mh?NkePkNSSoeL!7zpp(COJ3|Tc*-_`G|_MSj&owT8sGZMuZ> zoGuB5Y9Km?*U0E-#tiGJ2{5nRnj^)|tYwlFNGm4EP3sT;fN0(OF4m?Id{HFAHiM*j zE{wxeqHDB-a<;=%rqwrEBrJ!gzotla#N?@BeeLMs0b47h5w|jFzwJJPVE4P@(*|HeJ_Ue{;Vo52DdfU=sXv z0uKTaTK>Z_MD%5>5plgd_x`)>M3GFSx6{I}^TY(+P8;e7mc%Q9GZV%)h?a$ReQi2- z9o}sYjYOHj@)?b4dwxbHre%vo?tOoomMs!Fn^@ww|INC_eV_21#o6DlSJ+IyjPWEsq2O}$p;8eR&rCiqf*m<4zGp}!waOKS<`fpWWkW|A;mh#o z<5fb;jPxF%RJg$d_=UzWR5TXVFRofuyuG28V2H~1Vvq|w zx{C8>Le>hpVkjwzD+l5XHP1djsTGzym7{pKL{FqrycvvO$$LnIY$JTrf70hG;@>#K zVY;Cn=urfYLq>zVobv^iY{ncBOAsLAdW2 zQ4|G5--mMB_|Z}=g2UI|tUu;mZl)DKy4mYqd-3BXi4B;&9F`w3(;Yjyu_e~En z2^y0k@Uz;eXDXzaq7(hoM__g6Cxr8|&7DqP(l9nNxkxi;1w0| zFq|WU6dg@~D@PQoPCYkKgH|B8bLieoo```q&+51LbwBArB2bBP!0tya5%390lVg@kEZ9nQ$9rao9sGqjqH32l1(qdipXp}+npDMqS&!L2KH{3c{r>B;#Nw?#^4T6+BL zP3FptmG4XKQBSGKp{z$I?G!YWi`G{<4+HIcvk7CjM~K8nsdXa-Ycr>l!7V3+x1!(p z8w^3}dc^)|O&S=$^xc9==>9dSxu6~G%CAy}5`}BSie$1Gp#F<{pfPi(91=5aMDch0 zXfclzC!a{Rr~HvhoC^_wCkhKDFppr%U#I&7^xu6FX5Gdldh_cWZBjxy_G{}K+yIxX zxu(7qzO^VkHZc||yH@EuwukDT(5h)i!Y_50g-#*-uj&-pmXl`G00A=|cNDMg5x8YgoMj~s!u!B*{iZ|Qf31nBfbS!&?~ z<8Coo#8s!X8Vr5!bAcLEIM8L5_>tlptXI_kurn4uy?dXTj7gwHRYHW1jQ-6=I~QVk zeJX~S^k}9C4Q79GmKlrKkwAn3q7xF~^j#b-Og-~}&XggJ*L828J;YX4P6 zbNrad)5j{*C*{@uI76^#p4ueGn#C`DsQyvG11?_Qj_$lH=eY=th5|Ybb5Wm$h1B|b zeI4s+7TRNU&V!Ya=f?Dxst$Ol0(r^U=zrHaf;`j^E}?O&&siE9B>rb4XZ4ad7xVM; zo^utVmAeX(_jr#`$(N(s0f$>siSu=wg2khb^53iPe*gDh_22&-p(rFudLo3t_J`M$ zAc$ge4fu|{6E5hIWtE9URN9wWuG9$YP&h0-7-|O(yPLbcL&2pt3xUB6Jq(|ttAi%< zBtD?BOVy(CF*Y;6VIXt$4+5OZa6~AnTCWyA#>~?%uhUVkI_Xl zo=cNK203m-ky<-y$~NqFaq(?y=ZR2s!>AoCuBSZs7xh8|16=V zj1*mL>d&v~>DYh8`iH!!;1SR;4=oi%S^GlqzEN%lI;ueMquwPvX<~eRH(g7A9DX5L zT6IOPDuOjY^?o{e-Nja>Lc<%Az}a|@SAFO?^qu$N>vK<&_V`KBJ4uJP4S^=k7izK@ zg1DvVvke@iw=|q;8&O3_Us2@_dvE zLwrGKeau52cxW1fm%QFpLA}+0yyTOuxcx#d2l^|tty_ZJgc>jz%OH!6#JkId{ai5l zU=?jVOA$&8zIvE(1%yJ$@9t4#Jd<>NDH3fwD|78I60O}JlrXAXE*!Jd;29HS&{U1kPA-Yj5?^yJJgYdaU-`iwRk*O|h?LW*4hf1Sqc^*B z=6Sg1OYTSa*B!ad8&9l?@qJ>>DqE%>q-KByR~``wuc8X&CiDm_6bDx@VODA~#i#|s z)w1aBj63#RC)Z}I;ww{h06#XX6 z{d8aIdsHB;`yPy|wM)L56{y(P)Rjol{2O{LMJE#~l5GYyP}KaT|JDQ6m=ERn&`yZD zk3n(N-XLIfqMGcqcUcnAaBd+_g7LBO-F+eLF3?>ivxaqOe{1uV5yJpQvEBdqk{VA^ zW3s@%CXY(Ib=_7p``GI#ls=(|g1><)`aqYRkPHkx-e%xwMo}6At<%n?YwH~)r2(Nf zHf=rncex4gl#;xJ6Nm1Kmccp3{RxST z>v-eH>5o5YKe%fpa3FFi0$rO`aGMhXq5(n2##X;1YF}u*hXn`0zS;(e4ZOEP?%PXZ zZ*&3dAa8dj9^CIAy<8vsmW^(XUljcOMT6f)jKTi>91}W6atFda6x~<)zGoUH;^$u7 z6xN>iztDWoMJ0n~_ckL9{D29$TNqF^eBXtCn+9g)Ld%(sjDIXg%N^OVbgx>99A18?Nmd?}W(DpSth*nYP6)h!C7+a~f+ZpY zKL5iI=KyYmk}kuF4BOEw{p#q7Md^h-+9P+*c`v&0K-&J=p;S1ia@H3z*r7h*hHQ6l z!{YlQcDDuOaURJ0AUPt`FKh})ItH&?SUR3$0y*<%@WRkQ`aP3*YX9N4{$?&TWzU=9 zUzHgV?#HwXtKf$2G0v4$`yiNXPiI8ggCV0IxR9s+m79<&>w;41QOOO3$4QuJ)4{#V z8l|4+$rznDm~vo1{n<@VVTK{G6l>kZs%V7GcyQ8>KX<5;vvN| zh&Brq7?xClY@!O56{y~%Q+L5G*wvxi7q@-WtmbmyCX!2tf@hV>r}h|Q z2t-PGM(~$CPMWg}D(3pyuzlQGXiH{`2NZ4e^NJ5*f19TiY+BT7%QgU$bzZ5Yb8z0= zWUJ!1it{n`mDXiD>phh&f$!SZjOW=QxrtCS+tDFg9lu%OqyP*(HM^ErdOTdLZ*eoF zXD0JMrs$P9~l_MD#{{h+-X(>Cm3x zztW?0YtwJBqVl0;j&Y+jrFeo)_lDuX6E=m`rPklk_wf0|rduv5bFulFnQf}Qq`11K zn8&TVOK!@oW#yVEz!Qq-XSGct;WtU;VRlnN0EeA4wBZQ|_3O2r9APK6av>Uz5)}a$ z3uAwrvY&!5^u1a*C~{NOSN6s_IzeCROoCj;KytrIe%0>HgFrCss?_4pp6{|)#zE+K zkM|+Yc)m4bk#z~Xv1f<<&2A73j?EI9X+PSKSk2Zt|1)YvbLXpw{!ZS+03|+A19y)(2H{UJxGvrzvt`UySZLAj4vtBR_$i850DYyw{FoL9dp#i$ zJu@K|GGp-22Qki(3|<{>Jvl@@sT`b_zuZrJ&%+uoZy$dkg8Kl?ScoE}|4z-5+G z9q;bLW@sBLMA1|eXG%J?v;}7bmm3}_3&s=@$M`vipjw3%^pfQkzRxPOn++s&6mB9A z-)BO$1yKn1JyFP0qNa61FIh`<-a&JrSnyCJ`{bc=vY%~Id9h(=3`v7gc)yWDpqPZe zH&qlbq|6wE=wiz?^Wq{{E0EYXfAeSy>9W!kV{F&EMmBmKq_uJwyYo%FQMvKt-EhBm z%xJNr>PkI^(|R=9ypFzZF)$iOp>a}|nAF+6`T#Glhhc4qYbgDUEXs2(781&F)2cfp z6pz~G_vIEfY;Nh9ZZ;2Ex7y&D_ZlQdOOhW7Oha+BQa#)6Mf}v^Ud7RKX*B(FG)VK9 z(cpP&Wl-m9CkUGS2o00T<#}7kGjoIeu>sYJ4rHMJyR_lUOAk_daO&mluiV~GI57lm z-XHuzbr8@8LkX1`G7~5eL-y5>9;AHc*(Zr9Pb`B@eZr*Hvzl@3mgO7#FwTB+$}Tn| zvo3W;+h|Z=5h#`l<(0QZ54HOgPU^FYOrMChC1A8rpYXcaXY}!q-2ArMAQ^Y+?Q?H* zD{MvqfKRiiSBleqQSlj2zY?lB^DuBJYTX}iR;d{(9*KENaoU3m$4+$u6FickZhU(CVChGD^il2jK0M)6WT3~lcxlak4#?**vnFCq= zBii%KVO=^oJ6Cn`_ve5_)o04vbnJHtu4o`ibjUo_`Pp73i6o^x+cNxeOAhX0b#1xKm1Yl>i0aL;Ss42hezQA^ zVs9jvYYL~;d_-cWbbaeukF?C6lFoFSzVrL+@H-K(sr)fFmCK%BCb;otcS`v0ilmDH zwXap3b^L#FlUs{(Dz(R9(g$PZx=t5BQTnuUyn|_L@KG$*;b(hirhWicX8$pYKTcYR z?uIDve|H36y;db<(L!7PJ6>Y+xcN61l6MmE$|momC2V6m2rM#(!k>9<0gDU66wUi> zjCuRv$9iZe(SFTpOk0fE#I_I|bV=G;$C#_B-6~HiFVGf9OjZpn@~KOa(#@Kr^C{1( zSyEz+$Z0s>$J@Mkr23^cCT29M{5<)lOZ34l_S-w}jxRg&=D9UJ?@ZS0;RxI03cPq! zBjEQxtd+w-5eVJ@x6IrGhq=zMm8yJ0@;T{im6E_UUj>IM4^AKdMWd2Q8EEjta8}yx znd{tNd!a`cXX~mg-J{7y4_jY`(JU^V(XBA)NzrC?EE#Bn|0VLn57GMT4=VBR^qGmL zzyHWDB2e5Ny1~`?rJnXu19SUnv@_v0`6Qyql9|f6n>!s_Tv6g$X63cqaypbc>a7%O zqLoMTHrWiw1(U6ZA{WOH5-Z+?Ae=SbaV~X^J&MP@Fd?Le(e<^h-N0Z{5_5;!U*MVaL z|2~KVGxPkyO7FzW-|F?BjT9OK2vjpgw7YSEr344%@qSqGfE(K|=ZCe#4T?hg$^8PM zCiFJqZn10qBJPeT4oWW!Vu4?Qx;|&h7E( z<(g(qqw8{uA#JhUn)t+Pi|CO>^1Au7bM--d*RA~|o2Xg9?=zb3#LWww#LFGGSkq03 z;B#&FRl%*#%T>JOZ>!r+E02rMPbYBjPVpBfrq8A?EE3n&68AzRy(>UMBhW#HB4`4|5zsFXyfnQ_C*grtSrnFZ zfH_YDWcRc}IE7UzeS7KE4-_W19hnxdpF;PN+qgta7m9f@DB>+UaNsPQ2lyk86)4W6 z)28R?!IsaxHajdoJzbiUT%pfOhYzCnT1#<42LvoK)!x&ID-6-*L0f4<#j<%|Uu(gV zfW<2P-2ksAkTr`{h-~{#%27cMM*rAiUYZbA^i#4I!xljlERqgvAGt4bouzW0Rg(wln}MwjlcjwfhvQdbqOgeQyr8V?hF+zVIT$;msQR*{+_?lJMWy_fz2k%fRKkdtnYvw zQB_`CHOyEw3`nE2-8_AfltHIC=4t*OuK^_IsYC39Sz5KiyFNNTO*0vF`;yIZnQSV@ zqcJWmt_%Pvow=;oSm9jYz*K_inE?q?$3E_j@m_c!+UqbR=fYkY;}A4SnRzzngI2VR z66_U`VlGZ|ciKp3`*I0{RxjKi%7K8{TST)iWA0Yz0(CMwo%qMInw3(au}mE?gYm$s z=%qGfaU0>$OFftO`R0+o^s(pW%%Zp`vSZsLG3szmN{vu7tWJrkv>m}yg}ZA=X#I}FM9M*k&{vaUhhJlw zCZs&4GWg#{>OiJR=F=bConfYTv#YYw-CSvi1f!+-rt_gu)zS_=ZS z`wTe5ORzv*`;~rj=xs>e0*|`wA=mS|GZW0cPQ-pcTplP;Ce># zYCd(tjCk$%H?hR&RXokBN1Er}fROIz@7>ot(e^Xc1xQ^lC=yVOfbIHtx7ca^9~9Nk z!xqM`d|dv`!v2o}?&-mdivYz$c!PC~^C4{uSuhy=`_G$!kdu~~n-i+Q0SPkRZ*PBS15!{I(edUt(IEhq-{4^Q5s`#&)Dr5AMEu>Ccy$Ko~^s0XYi0+0F4n$ z@D)YtH6Wu;ff10&K!pZ*kcxzX*GBWWmZi9KlFThNKn*Yy3k}3U%8fY%rpMUh&5Hok z8YI$bp)zcqBr|x7zI`XykUsHWdL*N{M|Em{Nl6|=nVpet5x6c=nalU-(-H9Wtczx5 zzHn+k!42ubJor(B^yU{mh6sn9HZx{t#;)FOR7>U1W=KUZXMGLLoNlntIr zI9XF}UO3jWIX4ffzs{p4i|iOGG`_%p_BoT&gy7>hD@F-MLJ#r-wtP@j(U#ax{nauMp~#&-w@qS6+XpmJ;VD- zoC*SU#YUV=BMLJS0LDkHn3WI?qfmoWWckG@4iyQFm&5%-L&OwX&71#^1|zs*;Jd?? zf3&z{UWv^NUyvN=9FPgouGIGa-dH z_Q#|pcKEoGf9g3;VgEG!m*d**CeHWJ7`F4P@G`hiD+wIJ6uBRPc^OT>&r^$@$WIhB zjLoEdPDA~ORHcL@=JLN7(oW_go9h=P%wnn#I-O6)kL@-MX@Aa-4^b8j5dS%vJ8AnL z3JJ6~DgndE)tI0YVE#7ByC0xv@i`zqfAU+!c6TQQ9Tu|g22@=2F&^+KgK$Ztp?~2- z8{f5MPk(gd$%}Ex(w^&ee8LYWeYG6tb5GtSbHEZs%4Er#CF|BEoj2&~1Ti*r(6jt- z{I_FL?c3XWtB?sbC&h+?EF(|{`J>LE!*OwJb0q?_T`uTJ2EgBy zSbZZ;kdT(Y2>;b^F#1_+hC4o6_R-N=9)L}ZWg7G*pMo1-{8%cWXyG^T=zGO6$Znh#3v1f3Uq z#%A0ULy{*m{%}+QSe5NCG?3AV^DFf4Q#|534&~b?lE;6d4Rs8&opX1(WY9+tkh63z z)ABc>4S=0IF-zgP{a3aHa)wxQ>XhA0fu+f%5CV}3pzCXex=n4#=}^M*tA(ND=cv%i z!ar2N@=@WI=B4C?jQ?}M ziC7T#b1wxo_HkO#sfd1Q*R}4O!W2F-Ou~c9>2x=hralTKlrra zgGTVVJI+@IyCzq!&jN5>9&?@(2fHY7>ftgnGH%zxC9iq7y%=YnZ(f#H2XUU(N~niB z?20w~|H1X#Ee@spk9I+7V}wIK9#zGO!%AgUD}K* zmOw8?(Ub)O#>ceDJ_I5pj?ad%%+4uLJyaMK|INJZ=bV~Ex|+TYfxq8*gPtFYCoe;m zkl2j+QHN6}IZlGmm)~I3hQrYm-bA4y65xc1p&7hEfYA@t%yYFJVnk3l>?6k{q{b(3 zFCPcvi_og_f*V;WKMOUlNWXdpVSbPFNm+lfK2gu*7#_dxd9m~ z2Yb_mf*V8ntJF|S1^fyPKG=+O!ZWNlFm_^;%wixW_7Aq>07HzOlwJ?d2kdAhFlx|s zQ6sICmaeX@+nkB9;XA8sAMPgM)thCX4f)OTvWkjS{bc=BTjOQVGxH~f{#c6Yho@;5 zQ4R>Rb!}2UA|WRd5>W~#k{pIvEecTO`6ILZ&(wQCMtogFIvArose)EMsU{`eUIQ)* zZ~i+dAu%T2w6HD4KH#|LgABE5r5F)x;jKyG=w+glG8<*$=Q=ud#s7IG{P190g~ry9 z8E%J=E*55CpBZjJ5r|JK%N_BF$HegnKX{f2iCrb|dtWpQo=GRI=lU!LYARO`0>JWf z;))T3?Zn+mJ=T#XI0Y{WeJkbjN>9kkYEC!v-7vHcDBHTb&JEAio-8q5@+_QeGQMt0 z^zC&0STl6%EC1#7^dQ7^TAr*qum#HZwJmKmyskU2u9;SkZZ?bhr#p&`n`Tp+(dzB2 zP??U)+K1Xf{RP5dU?BVTH&okN*Z{3n0}r1KC>OySH*Sj>QDJZCXgJ(|tAfnMMdImS z)N?U{%vD9G*d{Jp$+Z#cl(Aoh_&8r*3&~TceK+@M*1q*2*~2v9L3fzete?k>){T(1 z5XO}(jXu`h)o4e(5qh0%;h=n-y&Y6_Z1UleJQ{1-dD<*0Q)-YyCVP8mT6O9B=tW80 z&+hdOFJowKBomrIk*B^@WGBXqUfP~{*Q(Q%3<`0LLv1`_yLC-KZTwUFee9cV*OQa} zthV9ELYj{VH@-lt;L1h#?r#dk08K60ZWz2jOSU)q1eLe}`-pCJ{t#c%(rGbhI>`yv zPe}biN$~HBBTFF{h`om2@`2Fz$B-G~$I9cb_2PR8byblfP}lna8c`K#(O!(pqM_9| ziCmD^ubz~tzmA|LRE?zfde1`zI*!mpNf-Ij>RP;K_GM7>$ozt4|B#^eW>Yr=?b}fI zuuO>Gz0GcvSaeKu2)>gZEw*A_ig&F*f0gAB&w5qLucOJbrP+P^hW!N>tiW0RL|djr z+pwRsByf;5)$gKTexQ}7PlDU3N`WUQ9&_QsfSLFZ$WQUSb1tk0{QuhRyvQDu zSTeDWvvNmmWNPxqgrcjj>H0M9$@9?AB+R|Nam%OaZ4m6n?{wq#bWlQIN{nRN`pkIs zEIpD7c+aZ_!Hyi0Tq!)vfmg_Je?i$pA)3v9tr6_$OzbIMK7}oP*AsRqL!&|GTh=RQ6_UN&5{i8 z)1Q@S-STc1J{G*4bxp>4Pbd55GuecFWc(qSZvu}X%E6Qb`H~t4F+^zl)rQ5i)Bv8U zO|Oi8*kfGRD>7o_X(M3ggu$sTG^8s{3xH#rs5jY3lVu8jOu~gRU`Fc{ZlSWq?s$j( zKa{<7P?YiCHcH3R-Cc{MbjM1G#0p3^(jiE9?E(q{D$=!5B1i~GN-Pr6-QC^Nac+Ol zd7nAwJihbJ`G=j^VRq-<*}cA>`}$mg&$h-~4FxH}&v@>Ih?Cwsxj)`PjSO9Tpy3Kb z$fKit*IW*VW1*fQM^5H9Az5Prp2lmp`q5JZ4+>yIjh3$(TZoBM%TIgEKp{d6YC%pC*rQMhx*KD3lrBrh5NziKdWaq;4kuK)X!tM1gxpHY3(b-?mOr$f{ zM%ZG$SFRfJ3cBGck1$$9#a{N#c`yVpkj~^mx3Y-v_mt zQ%@|wJjnzp!jhEV@R9*HKELZVw`e7F%bpzj5}t?8kD~f$qc#?yF`B3D+7!ye(-xA( z?zq>mBC09jp_)?F@mPD|$7?@794Q-f->_oQm^MdPR^a28c{1RqkYvlpFP#{{3IX3CC~WNJI*ev_uN%jKVbTdgvTG8JLi#S8KlkL4EX%^KahvX-Q@$fnwGfgcJw zp_>#f%QM~lc9sVY!vJlGilkx{V_4rcMX2a?``_Z|<(8 zlvlNiGgv~zr7<1f5&mH_T0jZqnf?+*M*71p9GM(G#tWUYD}@5l%7uCLFBA~Fywj>^ zB^wzw_8v%{yd(thhXjie+MVEchL1{$#N{gOc^8h{(0wZ%s5#m1g}iz?+=WZ9)Y&zS z1}`%SI8FXMf$g>uQ`QA$aDJqszG>BY|2heuVrIqrV9To8rw9H*ytlGucRs@wu!<4k zQ$AYL0%WvUTxSKZC|j=R!j6Y>0irrSleep>y!h;0>YV5*8{E9PKqf}j>P<`{GFPO% z)mo?(0#RFz*@aIK?=w>s%y@y6%agsYRp(#DmM#x4TP0hG4hjNY80~_Ci6<7iU{lRW zqHq{Pv$-|nfeLQzN6P#B^u_|hIT50X^Ioh-vXk~;GH@L0sLzYgcSu>ik&q+dmzd-L z^%utKowUuOkZrCAMfiW{Sf*+w#%A(|g(II3$@h7`^3iXGGP{etB_6H`#de({tb4J; z|3Bb14CpM}tH6k745Vzii1$5hxg4LI*S0K_e>d5(@f`Z|lK>`YGUq%PkDM^-vY@uv z^-l4dXHVqK#ma29Ux!rD#BY)C8-ehLlfXk{_8l`T#BFNt##FVePAJy@uJ3F9mq<|Y;i(D0d} zlT&h^u&SEBG{t#T4YulWw*q}t?Fe&*;L)(XY=T-MOEn7kaljfhoPFw%Cg zT+tCl#J(P5!?64DcNM%g7zbMr~MMaS&~tJR%|&>4?!mj(kmGB^gnVq^GrEjMRrQa-{eeG$%NjLA{yM3DhlC3%aE#HFQFL_S?l~ybJEgi4)0COgz zf{w|rG2Wi@Y6bW{ck$*$-kw12-u{P%mS>hoLCAJ)ml{2_;}UU9_oJ@TG|jyJnv^I^ zQ2+B*L5|c4B<^ogmc$AQlN)a9FGtf=DINzSqM0q#?0?ps>m*_-lT_-`RSaq2GMUvy z#5FT9AH`Pm>7I|+r6y{vNI|z2Lpd1U47RYm02g;Wb)>~3CLN(6nV`XpSw->K%7a^1 z7&(DmCT2ID4v)tSF=-)-#pC8Z$%*bUC)+x-vlNkeU&^IXe+Sluomk z%}-oSM8@^HNW>M?zW6bNr1cKbfl)s+?L1P6M4ddlUmMk4?R!He5qv9=QpKeu2<;|t zd=40r!F83dSm7e^H&*O+o||JnN}BdHs1(KpwS?sQ_qAuLF7}g|egm=Iccdxy-XFQl z0{EbYk+^i?TXd+V{iAa&;pGn^lH{A5SBp-Idm(Cz19JI270N)fCwpdMI3&P9HWpc>-%L^S>>qVi$QEG<-VeUq9vG0 zR-x6wnI6&e5wh2KvMP08N;45J$E zvUyZ$HlTJj5l_P*TGcdENHhpDNKI-`YotXau+}tT{&_=m@f_%Wm&B4`vQ;wK> zz}MKr;M3j9#>8(y`+B)YcTCq3a%aQZnj-3$ATC-ia&V?gc0+a6U+9!ROxiVo*FJA7yYHhiG!?ZXOeDiJbp5d11VY8+4bkHKRJAf&4 z&*a$V;Y_t6bg}sjuQrv?6$UwY&aV(e?j|Fh<@p*{=01IL*@h|f^=rJj)(=}Pv2r12 zRdlIU0?d5>D;Gf5e)wMp$I#y=mj+0gyWg3^;)ctow|$o}$P10)pF1ItnQgUB4It8L zLj)#jN5oe))00Nev_*dt$|a!;?cF~qR>@EjRLU@+QR&v+W^o)uQ%%dqi)cPW+eBGB zQse5O0h~ zX%93?(28=+RcRFKWup0d8gBEpnT0r=n-1mc9U7CoYeDb^33)JvEtBH#6T@?oWKWzP zM#xz{{|y=$P$^Bij+uO0Yeh)m+vT zbp$oS3n%|cS)^wdF{!%W6+ZGA21WMciVRuK1Mct%k^&(8qd!y z`AEHDIe*|%OuQr|GVtCv$=mt{x-4_a2TVT_IUovF^dvBYPxb?*$m!T;rURx; zoqxtl;k*7wTgim_coT+SkC!tGf4ymp@2EP4c2tUKk@=pzhx|@efSg$3fRoTvZgBYH zTp5gVhZY`6t=PH-#3BggM7pLwM+s?)G7yk07)tnlT>=yrK<$GWOP*>NejKuO7UTs{Tiv~o&2a`$P0are>(pAwvKoh z1yuk~ws+sxp{t!VI^s3aF_uNr-lc|r{xzBQ$3_omL}oN7f5;lH|Kl_u!p)t&d6Q$^H-N+Jp|q5f{({+3+Or8imOMQ@#U@&| zc#btTe6qfPCuyPT7Xv7yn>jmXE`nK|JJ!V&duloI$Ecmtt5Vi7^vvYjE{%7(R1`+tgQ{WY) zG6mlf)8-~JG2_yTSo95DJQpfM18pNlkuW#vux-Z1nH3l|0o3mZ5iM9%(F$yNoa)mj zz6t!BL$GY*ItnKWMPs_{=K68twpX_wdX~{bXmNy$t_^DO;fG$b|HWo45$(U&Tm%fW z?{rgspp#9}=N_RpotT*aKp!138~GO~*1bRjI%6)DnC?~|XeP_dTE_FQudv82uX65c z{r;>bxVj(AX1GewFNa=R+~bBEUOX(F+nIKaHTv)^$pb=_RUY%(_1#osuIZis0QJg$ z12q^bQP1oB^q))+@MOguX+<;qQV-)D1vQ~=95tBT;n8ZkIpNN!pnNQHrHH%hfB$#qsaJ7)%>hps3$4HiDy`Rlf`Yto*5^;7!rJxE@-P+ zDySdHTlU=yR+D%^Ndr@4Ew)qo9z{Z%J4DZfwM?()5ML`fiJ|MxWv}cYvi*+pa5*$2 zZ*U@!c|0J(n#AgHY;NY`KfI7LlH6DnU7Q|nSgMc$qSYO>*HK}_4NraQ?{EwwQ(=L8 zXY3P1hhuTQ%uiHTJdZRaG6m&QpyIZ{o^yK}t{j;HsWGSk-jWav_MK6KGqbaiBICMd z*yJ1MGWJV)Qv`VTkKH!PxaB3BW2y1rdq6{36?wNVGaXB}E{1&qP3lqgSc(>1?l%Pm z>DCH!s|wj;ftTprw?~ zhs>O&5YCbJ)G2de6n_GL6zfS-PJ)qWk*R@28-&5Lx(b0k<4M}nzv%a+Ty10qKGr`c zTxgzQa{-FSFL1cobzzpsRCma#-0;z85&z2Bse#A!6^B7t3PWkKD}Ild{_u)b6z(d9 zl@hgmgrL@C-Dr&&DqP&%k5(c7X96xI_p+`@hd!IM?ztBzk)6dFom_|E{n9o|Bqih8 z>3rRz4Hd_sU)NH7Jxv+b+#BRIR+96oIAGqyc$IF|Gyr^flI|$-p-wmEneNmoWpG5=_C~$wHF%Jkj#R5LqMYZw?9z&@QRT$)y zdiMiyraP>}5%59*#}DZNFo!2~3-U==DF`AI;2bkpT=>sP`!@YNnfgwpmTR<}zNwlr z&;*_|WVgPnXzy$*<-6fbMSS{BLnb0CaPjLfcKX*S8RwSw_5Ns-V4htFAd)3#^B{}k zVy*4K6-K8he9<*v@jx3i^cfb_yV=q(go0my?f0>&arong&AO{FD+Ve>IH;caWg<{+ z<&OlS6zMG=X}kwIw>eGsCh5%AD)#t?VNRRp{& zFu=l$s!$fieF+f_G@GFUeOfxQjTNm#L;F4%?~(dN?X!sgE&g&U4EBcJ79Fur}-T-_FeW$V%4bn>_V z4aYL)JCn<++H#Whm~NI&J?K|2hx;3&T`WI(QU3eTQ6Q_+CS6(#5$4xt3B=Gadf79f z*`2tu>_O@Oz2$f9l0E@`wHA${It6Waub|}Y`s{kcpwsBhk|ic(Pjv)#PoijU-{GIT zr~6_V`{myTwI)%>Ix2;yvhMm^QgS1|Tl^RxNbfrA-*n6LA8e_tbwGsUZA%bC9700{ zL{Tj;i1i&<`c)kemhcGDk)S+lu$t9-R#8iYycSA3$!b)ZBA#&fE#?=)FVG{%f;|?f zUmn#Fb;6`rLEOVY$+j{iwyRx|2_eOQ|GvBl%gD!a>9H^I6}wd|2USK`B_z^cGHhtA zCyb@t7OO`Dhb53Vs>$7HL&9y<2L-vq&Ok(;jFB=;W_m8nd(I5rB%wUTDdyiK(3(;t zt|kdn$Bqfggq~#F3F+bN$if5?EP-mq_^Ee;uPLvnDqtA zddJ~>n?TB*C(#MwH|>Zc9m{mtXJVVTv%+%cm?Ld59!QrYkd6eas;UC=E6#x0Zh`P` zH2CA384hu#PSK9Yo6QPe1EL$>Kb5|S=wOkisV-z6Ii+2r>0x@-hiBCu1IE!iS~6eX zm_BPD*0lTx>~7pYqG$hF$v6@9Ws%80+Uba`3)m(tg9ab7PTFf_wsut6AKz||n(F?J ze$q^06jMRb_1cCtrg!X&rVU0($^Ix#QY6w%6oH%xtf~?!+aYYk8utoKpS5U|m;R$K zh3T)~1_K(sirv)A4L*m9EodE>3=btpM~Tm>w6rUVKg9!7--4Pn2CLRldCXooRy=S0 zQY}Sk7>UT!<#zUe)s#8D`q6UV%m=J*+#x1p(9~oOodAja0aSG0OHZko=cEGg0m5J4%fR9TE1-}Is&`1-b<7WIzX&DCj4@MX-p7VhU;eI+ZlT41xz z1>pF~?^8JBYvA*@`i8j_F^-!L!sK|WDPTRt%gwa>}wcyL_^p?(+%KUe4ud+xW?MgO%0JkUau z|1&Bdn{#(%Q76sQk}%O=f8i!`HB97o&1g{{K(ToH)?j7rw{Au=zj52(U7z-{Xn8=; zvxx#8BMV^JStd~Ap$jw^{?`UFS7CYW`xwXn=2J;;J%84U3>!aedxll`W$NKvx*y~D zXCRHU3nld2v$%wJuE{QmH!u5Bi)m+3ljGg`#N>0n{0O2ue_Iw&Pq(-%!FYS>S{Zq^ zMs4RXNCYE;n5}|J)EA71m$f}(n2LdMy1yk*OZL3R&tPpNdf+dJTb0nH1Zr0H)Wn@8 zW&=x#JnLV6h;P_epmw<9?9YiOyEj>MzwI~;BtY5K=Hsls|&R&dl^6f_D_Xt!8w zs$dzjNc^+zRb;C=KP7LHqqEAaCO<{QAv;wpL2~zKoMICz8MQWuz+^7=h#xc*_7aQT z)D!IpXRy_M)+(`5l&g>WL*BOin3m70E^4rdPgHUH3JTJi>Mtu@kN3R38z9?F3BIDA za3jOo6pL*E3mPzS`oxAK^XESdf?t){Hh3vXn1;)g9b z;Yq&Sp^Kw|E0BdY!jkwdjCY-s*hNk9u?`sSDJ<~p&saC3b95Yy}ou<*it0 znP-6O2P290Obl|6)Ml%+SPYJJbq)pirV*;ukEUCtqdoZ9P$KNLU8Vl5ZpL81j0HO5 z#6+bJ(tEy}I=4DL##g@L3tx?iSN2Q)whdi!cnmVpIp9H@i+^eQ)Zl2ZzQpf*jzT8z z{?Ydd{1ZP~WubR6hz71B&YV@OW&C!jl`Y!j$BYA0=jJEhmeUAqqT1jr&BEw?S{+EX2JaZfhB_JpB`!`e8wBJWU|!A zrT{Dj&%vPLHt)sJ7x}Z~6MCum!gN-%S`LSgnH>!)QExU&CAoeLNFzl*cAw8JAJ}VbeY%oHiUsXy-9>rpeXocy`JdY#1YfW2-23Fe) z0!8~7gmY5iT^R?eo-{Tj3PfcX)LhkS%OeiI4r#!=>iM?eal52I&0g>tA$Ttj-#Ctx zR0php*e|_|$$tJWxOylY-tTEe&u9Y=i+~GpE#tHf8KNg^~0GDO4cMx##CuCYq z*9D7F11E};txx$$Uq?x5EOu%vmgz+v$&6LJR$?C6hKx&XgBMZ2$c)~8B9JTCT>$J~ zqG&Gq2z1n@?6ri%y!kP~YiyJl6k60>ZmD6AoAt2jl<~-SB7P@wZ*ADNRhcsry@LOq zXG6EjI9JFHOE`04;ynnaw&1m|EBm=+0ZL9yqTf2H9v)026BbM)7lTeDhXXic?~vKD z_+&msg+poCD!u^?Smf7}C;HXlyG?#xLjRsTEnU!HWFZH|_6Jv9IIJ?j08O~J7%SW8 zYvuDJ!L3O4c%QR6u>#p^knFy(=btGVh-yEOwHf-je!N`Z;e$Y{cyRlq+aIU->%Yth zZqN~1PO3v0h+IoV3*aQCWxO4w1;YDLKurEyIE+xNd%K_KT(8D-6AAxpTeTNeLP+Jjmb= zy8F8fzb{SKee}Pe`>4mD#pl`Vv4@G4>&`E07u5qn$PGaW;vc zxkIk+KZZd9hP_t6G^L4M`m34dP;{*QC>)%Qn9Kw$TJ88`T~r^tG@t2(06)4WM?Mjb z){n~son?z7*bCoFG;LsmKWS%QvhZvgrmmN_G59w=vK(4A!(yx!WV3CJ&qlp`R6W$^ zEHB>Mg9q%4U!7orH9R4>o>(BDhGQ8>hk#K6Cb5wtFcS{MVL{m3Fx|t}N$%NuE7)i9 zTtRW~aC*!K8|O+|oJZtA9r+vY!7_g6tOeZW-3XD*NF#P8?F>9c(~X}*WJ0YSI4Mgo zG`XINJaJxVon65>I6)D#`=LN%EAXW=zU(WS)x>HctezM>ECbc`5ZHPIHwFbij+#T< z+pt|~D{|IcB-o_29Wdx=P}drxQT!mikK4l*qtM8Tmq{IBhAX8R4U~d^3*ZV;P;CL$ zNN+BF4*>Gbg+?E6db$U5Z*Hp)XhS5%ZG1^lj_H zI089}?%TXXHWhJ})#`8Q1dWvyyvZdqp}9yL)SNs<_zGbl0glk?t~%UTL5z#v9ly~+ z4(Y$vYTq9;QVyFFjV!6zVOj8Im6M7A)v%}_dmr>sPjG!7Fn!nemzF)1ve-rWT>6Xt zU4~hcon!ygxx2_&-g-hBy?(qXT+E6j&nI&UAhEkSPpacRIERdH2=1U+-CO%X0ffuo zXNNyf&QY+*D3}H;f>Y!hPiIykRq1r?xcbtW87}vOrwid>m2vS+KW1tP=0Sht-k-r* zG2SOom1?8!jEAqZ)dqW=Bc%5EPk7VdsMXuJgSO%&7_4dozsflC#ZK5adUd^0`mdOe z**%MB7aE7!gIaVynPU?%8MlUa&;V>mJ9IgGKKQ&I3FW1&d@gI>83}sjf4_^7-YTX6 zY}H-8hJv6yOdvgo zZ3ssN6|k-Lxf1PsebXmr?I6qVX;C@qVPm$-Yw*gY=r<#pzhMP~<<42G9N?x801JMo zC32eQF7qxrE#D+>00LhUfmE1cPnLEbSn>0x!uWlH^L-d>fZrvm3l-kfavnaQn>_ik z-4zwS8qh}YJsudgF?iVhDgm2wBJ#s7e(=jUGTMB>ErdeGb0i3S7A70L^R%l~mgB13 znb7p~_wSq~yPW!LpWruzr(Ic^(i&ODSeN*E;IpF1V&N=dO2GaGO^jmbbM$~1XC>TS zNg&cX(cqcUKoTf3uP|HYO(KiyM}W7n!{S-H>X)EpylCCMNSarERogC`eiV=M?GTgZ5SHc#B~X2$0jFs&eeD&AZw2j%%;p-Nt$DinLQKDmDIC`^H5}r( zkI#FaW`CQ=x1Yib-*L-4s#%-F$86;Vu%kSByoWqdC=0qVZyu+_v-I4jo zPCf+8NM)&z{ola!|9(jILW5NYFSVOoM0U(hhg_HVSe$*1_SjmIqnD|8r7MX1<3(y@%F!|lyM%Y#Ic%pxHK;+CdhXRb$tFGYd*_ZvdiO~%cr-Ewf85K<$vzY=3A~) z9?)Lh#)sAwquw4R+*60F?zhwz?^4$uO{dW`-IyJ=HvbH)4VrI2*8GL+<0^odd1qhb zsl^Yx5m!n9|I>e8_z=bq3pC2Hyv4w(ZGB4W0!cRAGt~{;w9kvdqrLw4ScWITSmEeA zyKQJX&Ep)S-6aRHN2xuTuiF{v98kc~>|#eqT4LMnstkoUZngtOuQd!Y8Lk~ZN0ZL% zQ)%~YaJE$V;vm`@*mYxle^(GufXeJEAVlIXg9dN4EC60FBM6<`u09vGffd4 zVwXmfiu^Vhv=#PUEDS?2&7Gi{_){QB@+r<^pzLi!Hgo8bJe-;kMhemc$3GbXVlK$A0TFJ>r&GuCt*;+DmF(4@UT5_{COU3__ScT7l zUK-6cp_&wU6(hz3yqY|AXVyA~ug+g3q#(gQ`*pGW8@QK@kG+k?WYxICk0w7Kzw&CaB7 zuXXv(8g*Khj#vrz)7si(t0d|Iv7*lhSl|5K`5a8}ZdTb&>yJ*A?aiMg+Gm>rssy64&)32}XwezElW}#r5{40eTI(ymCo8zEnE*?K53Aeg!MLmu_t1l#&fsc_evwUZK_rJ6N zeS_9PdN+01(G+F7-+ z9t@L)7`lX%r33`V2F|{^W8^n$ zejO-0Z@rTeGM=*PY<{xxhX2%u>W8F)71QEr;pDKKO|da!(b4`*2+pa=kEH!O)}}dZHQ{BXAo* zP0|Ks+i$=_WK9g)%h50pQfb-5>yLRXLA zdj~iVgs;EVD%h`CQscBMKKow&X&<^DNWdfl{Rl+)2i-nUOj@EUFfpEmDW)}`p)!Oy zq^yn-xfy9aDqW8Lz8tSqN|Z1yW>k)fzc1!*iExR)1Q9?SHY-!v6a(!t`;FX@QikAe z1JMPD1?2xp{T- zWy%$z^e_b2yAxZH8m$m?S|Q!;7(@pi!ArGfPzgF3g_s3LUZfrlvtWCD0@=(mmf@C= z(6sbke-?NHL)6&?f_o18PPg{T*wgqS*tG%Jp(G4wvY@cQT`_EtM9)n(xm0JZ5){_Iw69I z&=&Xy!trxsi%3iZ8QVVaQTbEz7?AK8il#?NMybO^k7YxYMz7;$A&e;lusPYjzq;Pe$I7O#lkj^&0XJWk|cPiS-c!=`XQ2z~XR0{G&)yQ(-whZ>24M0do$fH>dc;V(xrFSJkQHd_Gfhs%^PEd4R&t^9#wt^9|vgap$r+d!V z4x<_o)D$TC5eC~66H-^Za1IQi*zzXx`hYX9UP#7OnxKP9 z_%raY8iYlccyDNJlWQyjh*v$>KTyR{oMdhky6#F##+eM)ElNTSnR(Rns>n){trJOf z9Do!$8{T&wNVWeIfa~NfJ2kb(@3$MVHD#<<0yOgOWdq??qsw`;=(u@mGbCc9Z8KS<$WQ0TZ?$p!Ws<{xPdq#GX&>q_vwGYGAFPuQG* zWBg^vOCtTX?|W1j*+yaEg=p?jSx&O!TV>*J4txf0)1Y7(qp06(asB8@eXKHDKLg#5 zgigX#Lvm}fZa90kR$*>aF@xsVi>6n0jeF;C@H2GH^Z+UP&-OiD5()ye*8H@&RE1k` zwllWk_AsgB&%-wgi1|Rr5+$5k3(hI4hIoB5k}rZ)7KCZ{-21|2265ywQt`utHg4ht zN-_OUGVjtmIMu+p31lOJhph6yXfuaQV(Is)W*f8un! zq`3EEeTaq8e6EG*ZrbR~&VD#2V^vCP4Yi>Vp7FzUX52%Y@nfBq7tQ~G_P?BYdh0%y z`^lIQV2y8%d}t33T>dkJ9)0wo{8oovGHA(sDsy%Q3kj0H81o2PTg~ZQ=P`KYyg;WZ zBR<>Z+j5M1Cuha#SZ3CZEr0SfXsKOW%WK=K50C z00H+0*;xaU^%&+?U%8Ifxc;B(%t1Fxtt{pL-KZ`SF8wU*4)6Mt+{3v^F68>Bc%B+o z4r?wnvOfmdM2~S&BD45RsGOE* zV3z^m2g$7;=W8_?%dk~T#tT)ek;FFh+6eeMD`{u&v$K_y+18ZA=gr%pzp`KZeG=gINVEN;_ zA{K(w(|q(qPRl`2HR=&w?*P4H{%+y!Poqp6!{0SSEvOK$-+>qt@+mK6!$I0Q1H5x7ri zlV@t4b(UB;kIdomN`aYv-;FOE?n#vd7J&INh$%6KPW~u+hkK>6Y74jFjb`qKrPyXN zNcT-5G7VlD4R2x)a3c1eS@%%MR%1tB3<&9{t%u*8Zh4!e?yztDAk%ohJ$6U=AfnS~ z8`PU?Q~L_o1i4k&*oQ-$_AV6*c|A_19%lw!ZyOsmc;QaF$9M@Y1&B}!=6@pAx3>g@ z9yA>IPgFp~g)1?lq#rdy!{t(%)1yh!2ftXAUem;LxH$a&lY6(l&qc}QB|49zmhGAg z53&(MnPI10f6BY6Uo@PB22XoGEu6QD2P7a`MJe(_Sx_AbxkS{gxYX(O9ptMN5P^nG zZJi0dw(SZCBULhRQ+6RBM}Efn=Pf3Ph8x2M&W59|#v$rWQeD@}V^>*B!@5iFDQmN9S#3gKa5;bojEJykZP+gcP}fD=Xp7giRlO-|oZV4T7+ ze_A}n1OqbM_g5zfG$27Ej+)DbEGLNXA`Z=kDflV?`LvBTr9Lu5S~x znH5gj6yT;8(q%c${}N@pn4uYz@h?v<+&@@}sMop`*i0xbx&|%BGImZjt#wS*2>Ke- z+UoFx9<0>otz0vt1?&XEQha z=WUiT7D2nSoXv{>D=wpBwnX^MQa22VO|<%A@mf=wmwTS!pUiwlD^t^I*5y6ZB~ufV zwu6|-dq51mynCDzvi>dO$JdM7>A>So@zB9SzR;g|_dsMJ&3(JTL*pNpfJ-?lhjC)LD9v9RUowgWba(`ykn73+T}M&Bf%&(5F!mOdz4{PRX0Tj1)Nmmaa)lxYsSN5dAt^I4&TU zO8is#_PN~4CLpflE3n#BSr1!Gc#AsnI>zd8>lgOcA#!4O2?${XF)kl@tNS}{5|oZF z3hybvxQ|5O3S}QaheXS+cGwvru*SK#_ke;=+Hj%9fkIx=wy%EfOINU6*SrJcIoqBP zogwv8mUVhG2x!>Xo0^vy$BsCl;ALh+Cca5bj~X>MppuNc3ZB!#0DKQaK*90zi9tXg z5Db*HKu|&GSVt~t&g)xTm;q1QyydwoL(BRlmdiq{cPI-B3x`-ThmzcC+xe9Uz zJ*`dnH8!F(^J7HB#YQblR6N~yV*$Txzr54KWL2?JgeN>Cjx>E`A^N2vpuVrkN;x#C zxrxP2p1Db%TrjwxkA0Nxnk$wzDOIVT5)Zwy^98|F=oGM+3@UmrU_ zV7!nb^PP(5Ag3!5J731jlJWG8|614etjIWyVx%JY>Y~~sdxDQ2@6M2{Zu9Thk}a?F zhhU9RE&1`B>Sw7pWrMQ8hA}=Q2>Yk?|hEJZZ1v#CobAv*VxP1C`>I#T(Tvu5o2B1U1T?VQ~jZg;dSNNy; zb}!UrkL{nmrc*#jQt^}yR`}^J6#fFzsiIWYd}!3&?W5P;&H83z>O5SupQgs6n9Rz^H1fwSn5v7G3@Dy%Yq- zAI4RUBln3QX|MxUIMWVc)9&30%PtZMA-KLjOxSrlW4Li84aiAn^>xiqXcx& z6&_(M6>YA25*{?QFoJC4Xl_B)aH=r{0r|RlvEQB@i4D{}o0xjl02l&B z(@_wxmg}5QDua}=`Ed;M+X9Q2mb;O1g;(kKpv8eeK0dQ9Z29ZIR-2rL{j5O4@Gr9i zdp`D;L#p!di_oprTIpHMB7HHTlppTHegW|wC;N~9x^lN*My37H3sRVlIp2RAg1lA_R+`#hK%2hIb2fT+l#|6>GVGn2~Lq66rKj` zmTDTPE(19+63h5#hDq*}ibGGR&rCH1Sxll$x1`Wk^m|)jXrwA05&2oE9`7ST!MTaw zq@|jT9K)W|aPGy0Lrn1X>V^g$k3J&MGPLC6t_W?81U^a=!>yXS1D{5R8e@mrS%;c} z4cimR=`XTPdNZ`s0>_dv;KXvi!@BRfiV_GHE;>$t9KlZxZ~I=-j>m=4iOZ7@MImf- zUky9@(sSUU7M-R?SXC)aTLcY$(p6o4Ku>4Ws20h|_f9@b+%)gS+$D;dl-JU8PQEgK zKOdr=1^S+C|JI37VFi0y2kpH4bPymz|4m*{?N&FYzrz$GD*ejGkMH@G41=)rUr{xC z>4z|6l6rQPCIoEd;1qGo&-ZO*rU%;db&QLj(EZ-{t>-hgOcQmF5J%X=8Sjb~(NlX` z5Bv1@5GL?T%Ry{a=jbB;yyBU_w7pI>D*`SNS9^NAGB7bqRyyj3x(Hl8(=AJga1Kf% zg_MI^;zp+6MR{c^!X>W*cYTKR%cn311Q(Nw{7d2_8`aO$7w~S8(y^1zefHJ(NJ0Os zh?eH1Zhrb1*~rMieEJ#T*q^7eeS=;=&)TkU#n9ozHR$zIqv79#i{=kciU5<%IG{#p zGDzqn_z_Es6yfj^R8~oy0C#w*CajztuSSr`u|b!6#yQB@AK7!OqY+faz0!#re}7A_ z>_C^B*nj~e`%w0*W;cZfCvov(gR_+AfMC^~cpkyb_SgHUpTY6dG!G#za_8X?piT3G zIH2O}F1B&eUqY#tmWi6h1Os|1Ar=K!xL}Q`JCcRSJ|=<~gII?};hhs2sQCOnWX8gR z!p&#fExC*DSlJ@Gx~l+n*GMjYfDn&dr(c1^Cicu7E8o`9DJ&f6j)g%sqm$bq$lz^G zQ@d*QyrkZzof0e(zo0pgB04|25#y1bFUw+P#u7YId0r=j1;XSl9k3|r3nZGz_pe%U zeQ`*K3O{!`ecH^aec+4nvq)UO?Wq(MgKTa(lTy=uIfM4Y@4-W**f-`ajIU0Ztr@8e z$$hg_+A!Ct)#nL?yduc=1wTotRT6)*Ygreu0kPO+`?x6f$K({sE`{Gv6h2Fm`0ZtgjpN713T=C}Qu+0D*@E%q1uL8s#P z!F%SWbG>_?3)rzU>C4eq{a8l74Swo(O88!QMPt+m`zJH?OfXrn1g|E`rczGI2)TGi=1}0joQ~r0%B>WIR3Vo`Q`DCIzQXu7&@AY=5^XfOSXh;J zzq1TMPKjU%PDKnc2I&B6D?QSZ(rQSRSi=Js&^u#sR=2*BAn@Q(RPrHQhMAK7;)?+B z8B!ltnCAT$3rDgR*=Q~q{RTRF>0As1^{6ckRH4Y}3zUYTJEVK)lI{UX3F(HB?xDLGKt(_Wq+07XO0#nZGtj_B`KE|ZMH0~Oj6S#lCw_mem9<#se64{@UT#YYa zxs+zR`z{6N4b|FJR=mVyCB*5Tv@OQ0X_hN{^KD{dpp2DmCIQvq>?1h&V+iD0Ff zWp|2mS;O=5b7W1+!Q(r@l&pd74Vs_7ao=B%t`3o|UXUul$-&+oIqrAm;%sbt9=-i< zPk9aI48Dr9I(`9~w_HnT3|mar>oT-!w7&V%usz}tCw-|Bb; zp4|M6ceXjldfs=P22F0vYV_twyTy6Se3tY?HzQR>@|!z(5P9IVr0iS3VoEC5f0+9p zV#BPKViR8aca9APdB=-K>pSFbU|^CjN;mynUM$@?K2&5m4eQmoQKgf^5$NV^Y4-WeV7`z)t(8$(GYSGaeEH_Da!x;2(; z7QcJiv{G~oUr;UW4U1dsb&OS!XYp!A&Kte@CLovANaM*y6YJ{Kbdg5)`e?9uZ+50e)yVTMv*t@C^Ts|!<2n__Bg4}PBla*UrN{L@m0RpxxTHFK!`}Tnvs*)r zfX^4ITCMFwXrg+DYdSESu1kGFzKAPsqFKE;dXmH@mLGRe!C+mK0CwoMn65!VrbD|D46O__?xn zzQ)WWF>CzGXd=)i(G;${8p?^F3X}v~bdVQoEc0gY9PlnBAM?6FKx)n&Dxdx0<$Y=A z@$q-FpY>6`!gQ@4ANj?;hb@ivc750fHyroh3ETevfy2|+p!hw*`4i)pTfGHACI3^< zs}j&;!p^Af79|(ICvdRKsx~hmmL4VfI^VSKrAx}c7ZGv_UA;S{-W%0 z^5Y79@PVOwl=SRk=G97K2=>wKaxZ%miI9+V@R47eTfx9QK8|i4Op=Cmp(i^HsIroO zN_J)bDa@Yd18rY6Uk<#Ry#M`=#q&kgW#z{i+1{^@J#$Cdcuk3Q(QOou^Ohk`JZRke zEgn}SAF-A$?;r0!a|bcw+y`0S`u(}6zu#4|n+RY_{>Q{p=U)@c2xa;pVVUbvEiJbQ zY9r!>@Bi&W6>-aqd>?xGAU4$W@jsJ*Dq+y{lKeG8t}tKx2bN``NAme;n2il3OQM`) zdYctvhh@$!ZPR5`NDLnB^cIG{eYZr(WHTDL?zP6CNGtXL8H$2Qy3YJAPVcL zf(jsXe5tsug}E~!UETZ&@5li?>hAj_h=LQArb7VUQPD|o^{oDy0@0x3=kNR_Ry?wbUMzw9vP^et-L^T1gSxe7vpkf_DeW z14WT1`E$=1O}`rfLrK*fY7~6@J6LiYJU5O6cmyI0sO7U5;!JheU*-7%k8eq~vwzv< zxD7vsrAv{n@Vh!6GIeD$Ht{??5^XUxJk2yaN?R(E;GF2VpJA^dKRlwxhxt}kC&;A2 ze5fcsmRNLNZxDaPWaa&u+8~HTz`j7YYCz#(iVcOw@6OyNl->{4Dm&sn3XzYMC#y6k ze_5z0VYc0|g4BIkr_m&y<%<08M`Pzb-ZVM$3WfUDeG-Ds1l#Cn}IuENC zk%ONLt(PLfpRpb1A;AS{#Ew2Et^F8yKfKYVF}^WfUzP|@$0xrruiUb4@?T#@xj5Rj zemtb2G0N(USP5r%>d0hCEH&+!8h93b}fmGaSQXSdM8H5u~5Gc=E&cV2wMQrwi~{0z^sdR5J{ zDV*t5GPf$7N#-}NwccMqzk^6$$C*GTdkI{ysOX+Y(o`@g6Wd5}%dKFOBgkT~w+hK> zk}|Ic6_R&qZs#DmglcKz;vb3dwgBOo8QN`O#%I>56b3^CrYbE(UebtGC!kF1iLH}< zn#V{l`S?|cu#uAJIVI@9$>|Mo(|0DU`H$+jWEsvvmsXIBR60RprC~3Q@*?|f9_~CX z+mEFbzR;t0T}Jcui8%6by?O~+8Now!?j~6yJztdRy^2|rd$a!_dmUVup(>#j7ZoaAk`yYW$(D(X%b{z1;L)-w^OTVk6VjtW8ZRcnjPcRVr(K@QLkBV z_Px+Y%ws;V%m?Oxk};M@;fW2&cO`M9y2GSQtVkya7TRBTyQaE841 z$5kcn$S6H-MTFXv^)D4G&;;b+6+b$o*Ch!xRfHL%$#k}X1(J9?i@rAMbc%Hs|MNr5 zPW~0Mxk*-3_~|`9d7$gBOAv<(bNtKUlsG~GDP^BNIHANB+L)bAr?pCN@gr_*`&~61 zf!Ks0WE~1GrAd^i-{@NjfFV0Sz~DZWGQ&_R>u~7s0Yu}dFMd?2bQnQ@i-|v}coch+ zbe)>X0JjKQ))e`k&GZzze9DC+01X-yOJ@ICpGWX*~ z5tN_4io+G*oZ_*(t$C0P7JdiFlmVi{f*jDakEDupWe~kjQ~P!P%F7UTc;b`Q?w#$- z`7wquRf#cm3~8zX|DE?|!g>jd9g%Rr(rCx0i+OFOu9C5&d&RQ3?rjQnuB&zFfmLb@ zpE9ZthTNOwZX*~XjYw(s^={9GpqH2}ob(%|%^ZxLz2;K0ojk5zBNty+2~@hCURv5( zBr*$uum2RwtMYX37gYY4ly9aEq%)pL{&fvJV$;#8Jw_+k?*Sw#Iu}DLeGlRu_LL5! zc}Y%yg~>5uZ06|aU!tWKe?Sar=+V=E<3bBOmKcP%MTFUwy}+Az_BS!{T?Jj?A+%J5vW?c<`V0P8@N=~u); zLkKt#Jy+X#=5zPrIq&9M5nlDjV51>GW&WLXp!9cHz)yatemImtY$Yxn0ZZLuQh6el zFV4(k=J>)X6srmzC@RymwGwSj*RXrz+3I|BG&h|<<>${WJ*?#`ay|PYWBmmmAo$ct z6ECm&?7p{SQyA`v#xUmc<}U4f~DKdse`om$!6xL z6|DBFu*aJ~cFRj|%!`9gYKzEknd{D}ByumhJ7d&f3qG-XBYg z@2UF>4YUPTE#uL=rqMjxZ$0pO(ka|%+t#i4xt1oYrt=1~ z!Ul$W`@5F00?vSPf#)budf-8*8JQ>K6-ucY`OzLGZi-{#FofgfhWkxF9x%vJ)}dg| zqRO%=I(`|Lu&2Hwz8*!2z80Nc3i`yHHDnc5Zuu>|@d9P#?bAYcIMGSx2{}{+OFYh( z3eUUhB3;&9VQhT{s{<$EeHI2M5n>q$0V9GkuwqjXB?S;+Wl+F0j!+p$rL^-Pk+Z<) zGc8GNOpTL;pExZcuY;TUsZJ7tO;083tz>13izi=sC(%oH(ca+ZAHmJb-$r<=?L%J! zqjjHAqu9Vf?+WzVTR z%}<*^b<=6SctcL|a#14vj-*yT{0Ojy01c6LnxM|K8*8vk!yz1lsSyXUp@F)@lw{lok%FRbpLwS!Gd2jQEa)et);fmExRFAM4%&jnfcIOB_PSwD zsv2TsY!Xy5Hjw#g-9ky7`Vihyw!l^`YEW6geogJHbD9HShJ;3Hr?X|8;KEYN<+vFR zAqqv~;GRCuuanve65E*3V5<3$)cK6_K#7TsV0a7 z%<{@tiqL*_0ZCizu;clY%_j37Ujv-$^|A*)G718FRKVui1h;J-ENz1ln0Mr9^9ysv z>v*!8B}6|mY+Y?+W;O0D@&%do`1aX+-ERaaPbKW}T_~5P(b1CQNydC2 zSd5mE7%acGzY?bHy`kF$-P8cuTTy6qUFm`U6ZQk!(+MPOM|xvDf@@^4B1~ht&%IQk z-}qJ0^Lp8CyV0S0!g)zTCx9N)J`Gs?SeT*mwzm`LKF9rRXZP1Bg_3RFYn$+bMk=lq zlK|NMw0Nu?w}%|;#JGn_6#hp;zF+beiqF1?)%E+wmQVzb>aun274G6~TcXR)rhPS& z3be0xsUKG&x-jd!Tjv~YwPa7Z7q8jFA^=-%wnvKrX*jj<@#AS`dqn%aFyBI7dA;y( z^TYbWRb~BMJ@C4SUB>?yN#^cBHuvo1kwd`WGA{G)GX7r*7OU^XBS(IsT*l^=|M_0# z-9fnD8I`E=J=VolcrY-_s9n6YX=4SL9tH!Vl9z*PmnJlU=lTHg3F6-MF$U2swg52to>%Zl-#KY&N0M7%A2z zBQ$)Nq!Ky^NDLXT{kz7j6|M+E^inQL)?X*2j_(+`bZnl*2u0a@a7ZVrN0TO$$Rv*L za*mB+?EqpkF^I?$lt#e1S;xp9D^0rdi-M){YVh(8YlivSMH>5b+^~R6qwBJhV>D(F z5l!zOa#W~#UaExfTcVg|!WbMZ)GvP3e)4ot02HQu?@S`7r=qDe=E$u15;(UU@kBi~ zl5u--r^Gr97~o$&0U65sw^C7Bg5eIW*imGMnSe_jQ5q~F9D$DvA5Z%(2mRXB760VP z;qwa#t6<&)W)_d=w5mGrs#iI_`K&WSwz*a0M-#v2Ns~xtEuL_m_VbkGRY$9x0JP5| z{FUa4Rl#IN0=5A^ZA_u@Lp#TWcrO&UZILO&)!l}!0@%}Jw{!glmG}jFK(&Q7*@{HV zzyk{SK5L>1HNVgtKC$08qv4l+^WLf(tfV@SmoaOHO8R=2Q6;~YSp3T;Z z2|CZKveOv|oXo^w&do2ch-d4b6+M1WK<4Ee9xMFDg3G3??{s{j3dCBdEOWc`ML zv!TA>5l>RI2;nRtBz&fZk&(p!HD(2gZm6?YMkowlC@sC3=w%gEHDwy}vXxNJ^fFZv zD|zA!+DkI;vSwBvKm93-6#bMvQ|8rH@%E*o2d2ZI>u;PwDd{N_=L0hJ?(0F-p=(M% z-WpQn#wtn6OOv^LOI0kH+MfTMS(2{LW_bM>&j`iO_-|-j6|Jvi9*|+DQO?T)_1R%F zaOZ_?Y~q=PuFzCkHpT6I< z1uA@q{{wXiXTux{JXoHpw`G0tSzv+A+m7sA2(~r6{LFxY!o0vN&uzhWX2`8IC>+uW z0&$jyBWR>CD~Y63k@CZ%QAj4uD@LA7)U;0ES^4Ptl+o0^B{4Ak#nFtw#0IhcqGDTr zZ@Y~b5g&mVnLrL)Y3YczkXBr6io!QLYpxCr(oND1648x-98hWb=7<2ohvtb-PFwa0 z>`JwEjD6}^RcOQm=Q>8i%}}U*dN`s*5xe;Hxix0@55AV&HMxAn<+{;Vb12m~sfPK{ z_}J?NYFQ&K$eiAwE9ssckw)L#eu*?i8W6i4QBJeB_aY}6+gbl8tO5<#^9Ht~$w^U4 z1q(QhcVkf_??89ON$mMK*rddoCD|?ykjPQ2S6yc3MojTZ3G9(LJCucxE&c0bhm+pN zj;;l#Mry;h2v(%AgowjMQ-}D z5I6F-GsangSJ3&(4%CLDGsi5LPwNbgDO9@B@0O+^`}FHu=l`U0@(rQw6J%Lr-A>f? z|4k8!XjNP88MM9Y|9VdzM&4j4tBlXL+-mt)o0jQ%FvWBX7zpt=wt7dA)8*XG&Y)c!--y*&L6H>ICqt+p_ z)LQIY?^^zkL_0t3h^2?@#AVFTL9D_zEUe1fzK%@$2+(V$IESQ&BYx z(8;S*fTNvE?iLLA(8S_RjFt6p6?2L@dd`WUz_DpP>*ig0R{A_!LGhQoq|Y3>7Zf+O6sHavzQ(a0&~uKO zwk!qTZMRU8e$it0ikZ7RTGv<_M~*FK2Hz`&sbYHG}mQ9R5)Ioe*S2`fabQ@`Y-yr zumae=5e2LwazFUFx961DjyH{MVHOpPG);RK$*3_QuN9Y2W!fTjWy87ShB)$^wX%_a zY!d}`HJ7%w_KSS6JM&6rZM8>I!Ud|+PTGYiK^7D-ywBym>N zB5`t3EQmX6<&x?tu0rWg9>zRklwl5SFv_bm&ftU`ei>5YjgZy4TC+6`2WIK1f$ft_ znmIC3m3AN%?@>vK7YjLH9iDWp=$f)X{H2gEw-KfbLv-tB`T58?G2%G&Atb(OJE4HO zz)GaOX0d2bQ>QI`2Gd$hPEs7+k8=?G5%@D9`SH1!)Z(?CmZoc6F+gjCLwneSm>uf$GBKEknY{|^i?+>$;!D7P#>DK$$@!j9!D`G`paJ{j4CF=c3eUHA!uOye z%WsIFrh4P(uVGDnjPN@;^BS7%XY8lg9LWPqEGi|0P!MtIl$GDk?>B#Hc$Uz><;f=W zU;wVm!ENa*Vr?%SAjYE$GOygJPBXU?)$6+|J{WSTrQwl*xkm=Q<~q0=@$pP1D63fs!F-H0nT(3A%m2ctQ?P?F68cLc$Tjqy-ee3h4n2fS)$BQsh^|IH#9q%GdU$q0!h{-uH#PvvO~xwl+jTz zuxr=dLUm0ZlRqjIEH+XTACx;3dcL}TW6P7XTEy$iV(uDCf=yFWp(~5_Zi#Gae8@lD zUi_hk?#p9_-5}1^kOUtAaskmw^tF*Varv4`LqeBcq{!5{Ro|8XkN1b4w3nlV=v~F0 z9~QX;&(yNCt+brxzI{0iR;IOhCQW;xD#w@tPIj+jI8NCuz0|f|>eEJsM||BU(diRa z<5j4?BL)}w_+{HvIBMQSJPQ{{ONu~9DbG6Pi;P1;>|*vz%HX&3A@NWI34wGR34v7H z2f!glH4mmt!I9bb{}l??K|H;I7P?k1^O|DFa(1K9O-2#{YQJuES1x?9RBt;Q$sKXP zRz)GVvi}?h$O~I)SlMvAu3UoGA^SN3pkVQnF6NPxS@!V1Yj{NDo_f z{#~v+!VwDtW1Ih<8Pb2#^e`nOy3e1LdmC2GoA-FyqjR;ADPgUFm54vZA<|+qJy4@b zCqGCyy?7hT9Ed^7DEnqe0Xyg2g8cCJJ=9(NIFd}c6L9r6V!MJVG@F;(2@%rhpoOZ{ z7>lCkBx6xKA1agEbY?>FYsWU4)31gHv>0Na-v{iORZLsML;9mx_Xi2 zWl8E=`eE9#ovyhAd71|kOa^7a zi0RJ0Em_fiX)AVh-L0Y1E?JBbc~5kWEsRzY($|Fck1FT5U1U&?rML&F!gT6SC+2MFNNy7})ldtfpy>{F*9V z-hCWa99_(vTMcNH(PKGgY_n}&rd#j3w{U7<^Nn3mPZwTDLQ}-#ioQqY)#IPdR+ck2 z1W*~Z;+HSVRry9alTvA~W4)%K+?3iMA&RAaEvc8zG(NPDLo2vhwrx<#3~6t^kVk@& zHosTV7_q9rFT&$3G>M8p2xMvJBcglCP%GI4Y!o$Lg>&o|#X*<@cKPe^`cquVI9Dhs z`>Pyw68mo4l(x5iwlx?@YY;N;egBlSlcZFB0gEb}_FqriNM z*r%o82r>M3KXS}JJrtXrscAXUaCr|*rug`OcC&WW3)u3`FnmYxO_o+irOP-MK&jUZ z$~k0G_?|zIiG6^=MaXd-sN?ZuTDoz|;c_{R1$lbN}!c83- z{w*Loz8nax3pA+smVpb-^1Y~!s{|PHg%Y*vfK(9+ICm;h6d5k#o$h| zEN#g}?6{rECJMkA806K21T4SdQkm{iJOh#9zmB}*U`)m)BCav;o6bOi>p6#xheHmr z0#0TxV34o6VgY7v&-DNh0@%vwl*mEhBzD?=jmZLVBgHGn49K zW<)*%lQCLY!j49>r0eO>^tA_y*+i>ONL1u4VVqy%`1!)1Tc3n1V-gB;Kil}jd+OBP z9^IznJLo%9Y>p==AjiyYjOYPaT+xi;m_y#A6O*gRySj{q$5CaEll)lNZhmaz=P`#` z{AsdbgMR|vj{jGwT(;0!zJm{3oiE+--IAlOL@%AR`4w1{wY_s%B6q|2hF14392q`` zwI@>eM7tr#cs2{D$SlD9>^t_&gXeGhF#yv4*N=vJ;;WDJR}3;|Lb87Du4l;evquk= zM?VrD`UsA8GFlHc+&ec0K3;lL&1GNng;K_8yP`8Axv` zU-Ix8-{{{d4^ctcM3PEbHK|*pJF*pA;aYVs@}=l_AGa1y!{xh+)IM~)EvtccD{Keq zhwE=pUTH7?@PA#Ea@tD9xs4o4=Tt(i-Dsa#@#ZnGmXWv+6LGzV@J)aDn(T|wrZL1b zg<3A1i@&&14xQ8hmJhMNqbrP#CTq%oLS~8!^H0fs$55g-%r?h;dccb%CD!&wRWF;W znl$e*QSJ}4r|P58P9xEz#4-XW7dY>8e|Pm)ee(0lVZ%8v?dnI55o)>>lZZCW?z3dP zYNzOZgQtXO8fa#s;d!sX5;6Q{NyMrL_^H(y+9D7T87J<~;nL!`4``}iE?b%uz5kYBD-vMt(u2GT zMnVSWaGVm(dh_HG`pH|meh>P+%A;KZ$2!BUhvkP_d&d^DvB7Puu%tv~6l}~s4Dqh$ z*QD%TIrJBbN;ZbYABW&oY zMa35F0{MB1JxAZJ6Djs(`)girav6hsLNw|PHTFa81l{W}5OvNTjilbweqCGhlj_eb zcQcFE4>lnISuIL_goZ{JBv ztyz>Zylh^HgCTHDlnap)&`?M{L7&_J&jC(;6`#+&*bzDLfd+Dnw32}7fW}%vVGdDY z4laq3_nnHymf~YfLTvF_n|j{Jhc+^BQ3k80-?(5pnU6j?*n8>a%4TOf5c6FH=?1B@ zhKMN|l`)o15sB{FhCEs)t~@ByQE|PU{56UHoO2xt#=+4puo7=qE?8i^E zl7W;;Kf-fALvZihvX^}{Jzg6$71Tx)cKfq^Zrs+zZia+%IJBJ@1K%e;Wsb_xpucG_!RB4)cG_03jlH;ASbIgOu zDGYg65o6CvKk^kAI~U|U)mC>ZDfapOL4tf2C#_--SVTN`aZM%aVu_~`c#c4GOg=u{ z$d3Y*vb9v9tpW`w`==eRee`DPvpew>UpiPlGDq?`pDh}})aiK6LvTx`Z@fJ?9pQO` zeOR_Bb}$o2e>E<^PV~&ekRbnL%5;(1GDa-&^*2}d*`GWdLTOE{Bm>#sc)u;KB9A1_ zB7Mq+d=-x$>Baep0j`E@fuaksI>C^*kqM$oUq0*f2t+0>883kwu-fvByMpg!V+gJK z!Je5lA(oDc9F&fsPa*bANP=Ac(TCD`(a8ogCF`{v=T8#MY_SSIY+{wLBB{_;a3k~d z@nc7cqDQ3=FwI>DI%Z*+5?`}tlqA}e5mpc$Ri0=2E77VM$!?gpPjUO;ecu>go4tb& zfNe~pb_B$!(O#GAz`}3smBc0~SByw(Lu};35sm4eQL!`oKuyeO9^et$e82J8hB|(} z(o(l)qyb5E5dgO$+H*jX9vh{|sa+H~DP@LdIE18bNI2iZeLhB(_Z|CePOiO+H7~1_ot9(2q+4P5Mt{cW&*$0&S!cAe?JGW!G-ZlXMh zu6R!M^;clos!4}k&-lSp#EJG|Rcx_(3^fem$Lsrbua1>f(})p{O{!+_`v;1AKyRMB zu$ff&hvCk#N^i5)*|%C;4EaO49@FKyjMO&ZZ;^k~jA|>unkB9=0uVouyp0#l@9S7G z$R{r8v%9O|Yzaf6W(z-3enWFW{t{IQGY~(<@jL&bi4z4`P*X)(^(*g`wc5~! zWwBSj8((yL@#0=4`@D}6q^%aA4L?+FAc;ll%AZ$xp+ReWGZIPWU#wU*t$8$h(Xa8n zhVDh(hLXm!v)#{1LmU%{^<#^%?7{6~C~MI~XdJ&i!4B3k`&NuF*8Ku<+)rJHDdm(e z`MD{Ni`L*Vx4&QUSyG?y(+UN;@at`BBckQBcu!gDzgHI?go)|oG;@#`_PaOa zbu0uJ=0gye9J)6zN|K!GZ5An#GIg3dp(QXCrdvX222OXe?!7}qHN4~qRi{t zQYj`Mug@shezz_46Q_l5?f*ke|BHnETUs4Zw8C!0gV+5YVU{TAxtZO5!2``N%67I? zZ@(Ft#<5ndp z@l>`qHtgE)!fsP!%9RzcKY7H)!NHl!uxn-x1Cn{HgU_tn>lc1rc;9I~Ot8bww%jA8QW;&BaUj6@7ZzB{_t>yVa zuZAXHGQ+7#)z&~VM>B=;W2==;f8g3LLlw?^7dO8vGZUIj4B?R$Y(-|HiScG0f3 zbGwk#ZRIzGUtyiJEd1iC@29^c9~aNlo*btBI?uacXB@}f(?~>Tu)X-Fyk_@0?3G;c zpI;!pq}LtCo+H1dnAMBP)z$gY&_{yPMleC_E0AmRBvnXF2|nn6dQ>Kn(35sG@aTyF z=75oht*~j&#wV|a>QFM#$*-!dzIfXt+A8Rj9vTOIsQbx2CBF01bNXwr4;t2DEi)-= zOHNXYTDxZcDzx_G%vknBXy0|b(iKQ)A%ufWxb{R%84(ank`vD;B7~ScWe^a5kdKD_ zK`{>zO+)a9_ctt0Vz|$qp$Miox$gw~PHY{ew$5fPH<&W+g+_wX3q)Z7OK^W#EfO!G zXi~NOYa9{fwDjL&dPQWME*!qObXg}kSp;7)CetGz-A9m4Y#+?mOWT{Bp|MT0ZVfJl zL(=(6?q-mxKw6_l{BquYSP=Slt+}zXTvuq)Trj zXWvnxTI6J@SHFKWgsmuHQn=N4tORE671f59OnS;eab8rMKt3P5_iPPOJQ4XQE3K9A zR%8(K#F8r$<`X*UODma|#GNTtP)Eb?8F#u3m#}fAdM_OU-{}4<5thuK-vte!(XE=s z=Fh?)-2^goxF`Vy$U6nhfjXV_;H=kR<^k4n?Ypwb`#h+;YgtD~tVvpK>B`7++E}|i zqqNc$Rsx#N``#^&N1(<_h$N0*4t@_Q6rphaz7lPE6g88EDGFd5g6+1^4;+4|(u3zG zjb06R2%P1cd2zFV6?AU%=9tABiKe|hTMhP>!ElJq1Ulz$YEn#jk`$5A0BGX0d2oZN z5FS|1p=`G)zIfN$>hQJ^I@gaD-_|t0{Uz-9Kvl78+?&(r09N zWCf^PNp2-CkLl_OUT<`%cp5(*x@np2Rv(`8;M|^%ji}2YJVN_Ey!hv`&vec;}Zv znnMOg-qum6<_Dy=O?!Rao^){yAK0}EOpC7K6d1f{d3TZ2x41Cx-%;W$Ya$nC$WrDX zybONf=849cd=8dx`&qYo=yT2{XXD6Vm&XrGq3Jf_$%Zh^e_=@fg5Q7BWEhylm*R22+!y`(X)RY72{d1B^E!hXL!suf9iaf+-dE9oS zidr@O|KTwHfy0+IS>$q&9}s-^5|eY;(w4#Re_X{b-MP`oJZ;|^_=awT(wqWxT+Jyg zBS!?s`MHl13z_+u<3CAy|F|B7ZB-6W**Sn(jEMR3j=R6Hy8>2O|L1+CThZJWTV74I z@9=D&-!_Ymqw*JnUmu@*Y{gBKIw7PBJeKDrkb*slB`4>Xl}&7H6#q4pAPa_sg-sn? zjO_RViAJM4-lKcIqlexjZhj+&HKP{pr$=RFWevd_oV=E8(dxiJeD%`+B`!wpc#NN6yYC^!seshNS_BwZ4i1MSvhD44 z4=n(a{m>#+g#G_~fPXeDV$&cqQ zYB5x#UVRL*hML<{Gbp&EV^s>h+X2C%yovN9UWcGWb&QDR7!>Lg9dnJJr3*^@a;hH! z!WmloRX#wptVeR9&V!#;L_cz>yiL_D2tNEsTz&HK)9}Qt5mfk(m`q>uLM&3RfWokg zZrzCS3r?s8GA0?AYs%v#Rg>%8w_ccQI3bzf?>cd0@?B3U@EFfeV}3FTkeWc+cR z-${667c5^w7{v0qm>o^k@2QOjCaY2_UP_y8+#m*p8tQz}-#CBta6K2C+D2x+VJ}23 zb#ODHhKI#n%jF+ts`|rD&JPl{4!r6!Lq^9cG!P6>${{aWMZIC>_)Vf19<_V_b^ zw3qqmGGY#Gl}ZSyfI*)el$hC54A6YJ6%ZfdByB{K5CZ`k&d)&i8Q}qhg$2FfM+#*eNe7@}peq*!;68FKn^G;?REa2H9HtxZkRi6=$dy(lv5n3~ zT9QyfeFCaobqO!3L)SUdX%E9IBo3U_o3ho{thX4YGz;_YXcGnAStO6oMv za5ag4DGOh-a%Ce+wBjCw#`y9n6z8s7JG+`$?5>{Z5PVGiq?zFrx6AVb_}ChchvoKL z*lrUkbJBURle~)nvd66bp^%(vh+Mu)8CQN3wgQlH`876TpKEyR{dl?Gfu~VkL6zps z`rd0XGqgJt8U8!Lh>x>+pg@t&F(B5?Db2Mg z&GmDdD@&Rq%hg?M!b&Fzj#x;r#qRB9_c1j7#jhv*ky?(M%{2S?c@+yhB*3{w5+&UA zJ_e2W$T;Zp<%mbA+Ycv9l!?0KO}SZ*?Bp#ScxpTdylRb~97PudB7yiUf^{M6!0zA& z4!h0Dd+bmSqrQ+)ottdJw0bmBH3IG7kMkZc?`Kd!jpO<&(VuMgjs^UBDlVpZV2FdP zEWcZpHVR--HafaeK--9P8<{8xa~hZf zZgT+{{k5+9BhTsF03o_&TH&6WJ6b1*h{?K$A6Qgw?Zd>T&_;W;C!sRuSx5au|GW&N{sa?Aslit~5E4;UqX*2g!`zzC82 z=ERBo`(P*Az`A=U)lEX2wIiyFYU6m5lK*l5>LnTH$ym#I7_OKE}aj@Lvfaj zd-8kZN9O{0WAw?w(!bTiz@Lp6Uyase-{r^VSa07IAc8sSv}*Y3$D)b*2g47Wi3+0y zPKbe?${rzO7m3Tmxe~r7#?ZJhx5mt`IqfF~U7}@2yMBA1B%yrb0qKpUk&JIT5m=R3 zUm@^a53WzKmJ`XpfknmujvRBqS@c%;B$uasL#AC~wBc|kEP52ID5|LW(yuGdItEbt z;EpHnVbXdaUcm-SAV^G@$zRO^? z`T8O={7 zMqkWw=9+O6%jp9tqakToSwc}S+TbuSlEmXHnTA9T0PG+^198MMV2Q# z!-PajpJAfnVo*KGC+*wcToyGS{rHIS?g7`3hi{kXr$ z5A&10MTkrN`SHOYh|&0SsU-z`F_~HgQ+uufWQ&(?kGg@FXabjjyX=Ik^x;r)%j*gE zxt;Z9nFYVFDZf?au=QBKkhXC<2f3BAG(7Npz(3S9Gc(D}A3LVn*7p9CYDxe9HaIYM z7OUK&Me5s`y0QPzZ5J{TnFpChJC#GNVU`O=ojOeS z3r*;kR@V9qEajplj)r+CrsXq4M-umxu=<1oIWiS9=#2Am;fm;Z%GzW2`P96+FGTx0 z9U_H)HoueyZR;@xEN{)Ib623DwQg;wXZ@0PKPn8DvQ8X0IYzuk`IFl^#eT8i&sre% zCqDEQh?B*L=?&R0Vf5Q65XJeQ8 z&PN*;&$V;a4tVaiTy>+#*M#$%9q%gO5@SF~pudwOTwbnsMoT%$wV6i(%qS4nS-NJz z1YA=eg%i19uPIrulI-!z2b58!Hkjihla@}^X%ePiGOBZ9+gx=9V3H7PPoo?hvikg=OjYQpyL!Q%YzjI^A3;T;lM~vD18OjXQ zyx_C=BrRNbFvc6Q4RcA$Qwzjr;A)`LG3~=4ZN&YsqhPc$QtKh;zMdhzE`-|3u3g+< z^i)bWakQk{q3DHi``zg6@$pXu|KlH0bP@q^u|Af%Cgg_ z--cx`X?SHGrFa`4U1g%~Onc`^m$zp2_cEx_@x;Up8>n#nr2l{Ov^!r~n`20~a?17Dey?-I6OA-Tbb< zsVk=`TvUWw%WDcBu+2j40aU*k zvpuD`{_4CeJo1_^Ys{oIQ@8cid=*cn^@~=E{J?LN*V&Ty^H7~?DI~|?->*hAN$^Ne z(#X7p6z;vgJhPxDC(~2uRk|)LlipB@=y^9}qCd_b5iO*_gG_G6T;%v8+xUxf<>$=V z$W*O8AQ%NOWX5dOq%glpwfj1P8jv2u&$mZ#rGR%6gV|JFc#4lV?u`3KK=aGitGA6@ zKtBS*=dj62ihvk0D+~3FVBtKCEGXZZ)RN4>&9Fv;fI#4)HN{wQYD@yBie6Q8GVI0O zuSdSa1S!9GdX3OYb%OE;lF&-#u}BRX7!NSfajvO}x3hL+V0ouV*^+OFkl!n;pCKDO z7uByWV@6Yr1gOsKagG#sFc#b*eDbve63|9AT_{pPR6@`6b}v-lr85MK`3HvLTK zL)W8dV8~5VTkCzmDRn!Rd+>tug)gIspSl$R(8j|C6%Ymm(UKa{;?Sd{PA{!7CQ-Q8W%ARvJpW@qFZMp}Hy*qiVeV^PYpwJAoSwTl`_chqocq#IH?fSC9fzH_ zuCU-XkAim_gX!&8@ARGTmtPf?dV07^^!4{Ib{yqM`agiu<=jJtAvgb(K34ygJ~lYS zO?(Yfzd z4$c4LGEmB1_QzOBV&{v@03;)ws$PBeFm#(7lk zAo%4W+yGGO@z!sy>)2e@?R@U)Tsx_)`m#o+l0mG}#H=Fw4U@MhK;|+}8RYLW9J8fW zLEZ@S9AG=t-kbd`vf?nhHguhflcR+5rQIZa7%nlZO!OyD*&P7~l)2<(pq^%RAXBiH zC7P8%Q|H2nB+@0J!?9G-rDH8^4FTk;L1V+2kgNzQOf)i*64iDWK@#PdZ3~1!aGPGx z&1a>QXo@tf)NH2E$s+bDi* zFm?1(E83x`VZ1zja|aArXPsMctz?;Y^k1C;8TEU*C7}ag!K@><^kBS`d-BHOqF-Mv z)RnU#I-7O`+!}fLZB%g6Rz(QN)*u){;{jcYAW~AnCj}r9^nRNt$^^M!MSM2L_w3hS zHyVhq-AV?)c(ci4q-2vtKUmS*AQhJSNrEM8U}ssESknODD&9m5pn4CqkjnKOmZ9U${p|H2Uh8ck z#1$&Nr205vC*+_Wk+i7Tg{{I>s#Hw@t(#>y8sQ8%X6ICiqpdm-EQEznHN{ zJfGb;>+k$SVK4UR196qsqo*d6m;l`XShOqMVb_iO&$*F~BMZG=&ha|YsAnmpBMYKf|0CVg^* z|6Hec;KRK9QvpZya`%%j2q2!C&A^c=dI8um6#7~C5)!M0WZ^ovcQ_cF%)lO zN?wv-O@}!;*FKu{(O5=2kLBNr&*nn}Gp6E~3(~#}5MREYTuPBHJ)?0?|JozS>{TWA zc)>!=(A-eT*8zWT+}+J&&dgY~Wk#uGLaB6SV~H!vRdX54Jr6?ndYcK$f^Gl$9IxGW z^qvX(1Jx75i4fuuA}D&2SWdp1$hur%c75^&u%n*X{yt8-Xi|-e24Vv(b=A!PsQm{V<}4c!D+%h^^>_-_<%u5%&rUxsa1aKE2NzCK@N$ z5wYf(-|ljK)P2-y8MhLg41LxzNCbo0$z@=hH07a>t5p0CNsvVvXp&LVK}3*t?(h9O z*q1L@OYR8oezC>hZ?NWiIGnLnqH*W@h2P_96m<&$l1bdGvT?g*>}Kmg2C4aX8Xi(w z!1&(6M~N4du>nY zfYh3M`kmdncb7Mu@-Z6&>AVkzPL$#&)s=;L-23wGj0`@DWM=1AaoNL#3m!DIKmXkv z)Q*BCHyS*B2q%yKkvDm{a2(=3OoSh?%3rt4xzi@If#&Z@Jb}&5f$vd8a=^W5<^5^= zDiHe0c{m(*_bA|om9cYVM5k^a_vGZVwBb;lW&>`iV3M-0fdA(FH6GC4c!;m<|CK=Rzp{1x&#&^F2ld9!v-JIstN*U~ zTbn*A=vno=qq`FHbPx9MrJr-G;c0sG=N*Ah4nuvroKHK0PmUaxXn&c498WI8I!?y- zCt=|P1#0vNvM!h3?j!{%wtZhR#bH`$CZq-ioJE39wcq`UhEnrs5VNR6bbMEL{#lbo z7sYX(2oRlfe!1>O0dk14MBi%DY)H*Yuc773A-XHN zta>NF@;yY)a>ryUOy>CYNt)CiMp}8s-r_57k}$_KC)c5wZ#9w$s7;OGibAaxDId;6 zCghMO>ekMVS9}8k8J$;al~g5^R370}wp$4>1$hRjB3AIs#fLAOsPql^WU>8DCSMg{ zN>ubus2IO)Uvh<%g)wOmBO=GNx5a;|I-_+Uh`Y*}kiccz<*5abFK&cO!u8lD;BC)siriJzj3D_1-O{IqiYWisG7{N zrg;^7sZqx>#IhE?f`gHG)sL(C>zHx`Nq`t45G`9od=`WUgGhWM$mlr?QcTXDdr>Fa zWufMXQZ?TWd0OY^u%avFHv6Jm@7182uYVUBh{sA)uy@#Qj2ah^+;6MMz9K{p_P-D* zqLeat??y0tf}pyQS>>Z%cCMq{59}s&b+Nn>6S-za z-9OHW)aQsl`WkViQg{5odS4-$(aW~+<}s%(cBYf!Gf$oTMyazr{@4wIS`t#L(%)kE zp8e0W1OXp!HYE7&o1eS%snJ?!%Dw$~c_%dI(afu?7X1_s3@$6g5c#xzC>~}@W^!q- zOSZ%8cWMTDGy)zr((tZCqcHOmZ_cC1t#+^5Q(~F>R^k$4{OJia9i3+uORI#%2LYG* z7emMx>cGEU?XYvSJ;rwWxH4hn*F#F^7X zL4qVV-Wl@kT~^n1-Qe_*5wGW-F=85YMw>%>p0?fvx4qb>P_~<}{bL!jEf~L|Do>UL zmukkq#Un1)V_;e%NNhz2u_95SCX7}kc!2J2H0Ig3^`pX4jRxCeISuneq7zYOEWJQBU)%xYI!LH z-j;HBssR3a;?_t!qH6Pb*&j?c;=+QAjRO?8A(?L*dt7q5!!NFStEaD4!wJU(scc^q zB{*sFfXvw3_d|RXnEiuR0ydm}$7_m-q2DidJBZ9hjD$aD1(>}Cni)pvn#YAtc{=19-0NiB&A7~>j2=gBmQY$~{WnSRTXXlmm zgLB>EZ5UL4YwOiD9F>g zYtqlxc=xvg@{vEz&gr$1_CGKN90oMr=EbcXsWL7*HU9X|mT8fR0~km#bTqx(`NvSu zI+uZR=dii6a7tlP=W|vv6%Y8vOc##!E)N11Z(MdKhZ|lu6%=!=J)DjXE)L2aQ38PA z%lwNbN=~iJ*%}8UQ`2^U0!mR-TzoJiT)@Bi`9VNF`rt}+q0VUsC?cnYe@AAdfO3E1 zUn8@|J5#UiuLb|Vy=MxNa3MZeG`M8Tvki3Pk5+oUdFCTCOYJet>$8cEy-9duf^~%w zS`;V4Nn{_yH%PQjZOo*Yz}n-AaXRa(Jh(I}vas)cE2z>m3^Dr7sZz7m>dvrlpi2T(g@asiYaZQpj5Onp*gMNr973^k553sZp%) zNXL0hvGG~&rLf7Pl^FwKWa1^uC^5kZDFi~793Z41-WQMWO7v0fZ|4^%q#3p;nf7tJ(2G~%Yfer1HCX@vgywY>!8%6qi-P+1?74f$giJ>Af zcFPA_+pV;5G`P-)TqN6+aEUbqHK_o>4HQsMo+8KlRnTKN#?MI9PvYKvalYJu9co>B zO1sE}QPro0{aVW!l=~H)-NMh#Xk#p&2nE!cJk5|j1?LtLmmJ$^bp_81^&!*5B{SL{ zpVrn7McvI&8uD-3S(6l)t+@<^#Zz(>cEQX@c9ltID!}3@%%B+dm5h0zOYuX(G9s#5 z7pLH$E#fEl2DvPy+YLH4DHsNY!7Kkx(L_slRt3s`0;roF1;99AqJNSpCwnGe1{!ZOmKGzuhqfOl5+FYwS#zXCCO|SJN`Eid zV1rNQMQ|Glpqd4uw4xI!s3;I51PMT4kjz#BgM`M!A9aU^AcTu*t;GO4`LUEkC#B3= zI>HQ-)^<)pm%E-{N(LzoKqJ}yM#SCS!EzT+qVI@Wvo2f#g3HE}8;en~9xQa{tqW=% zy3-pxQZv7M?=Fyl%!3S}r=Gr{3440{+W1nuc72KzQ%PCU?je6O6zfzD6*;Zzj*^|Z zOU}yX7Slb_Ti1?zHN6iXN(!&_JqUklEHy_VEe@lE6)+ik= z+tt!C;WV5}1o5_)PPfzt&~~{x^@xsV&c9g9w4rPIVv!zi0SN}%(gvfU9&0l}m$(!P zIzWWkD?x1lI-N)f!IC5b;lkxgXsZ}BnS-iT%gJoQWWrWY&7Oo&Gq}weP6^br#XZTL zD6AzQaZj;H8C#d+;Dg1AQB!1q#%Uq`~Su3BNRJOV#@K(LD;Amj;W6Ax% zq@cF$!`78JSJ}$4)(MPz4;20Lm2|Hlfy)26Au3b-+XU@tmx&wyQ@6}8KqmEm_$}wO zElnH7$GYZ6>|STQX7wAr<(RYo{=ya51IM>!V&#_Oht_K!Y%Q5HAOPmnx~$&En$c%r zEAxxIfloiLd6!pS!4D`)7K!tBb~Xwb^~>FMk;7D|Ta=Az+HVa1pZ*^lY@kHCn962}hI^eHX>&4Qy~!uwTv?3bG*q$l-J2oRmW36X4DpZv#vHRa`_r3&_wgGC)X=Pr4cceQwo!#2Sv2fr z=q6|*NU38BHA&c9qPR4vcyl*8S5mf&k=@n82)nY)vdU;l$p1IoUk=l!@WS?ORmFHfgHGAvuh$-K=k(%+EV>U7pD=PkVBal9v-s>2_JcUMzsgR$e?s<8E+C4Xr9Fy* zL=WnmjTUxlMuuMgojmHuiuDO`orm;~3g=w>k%MjL9wCnIfSL(a`Ci^!!Csiw)-AON z&Q~%2uYO3f-(~Wj%TElw36fr|V$6FFvCXJi7G_5m^pg7NF#Wa`nCs}=v9ERZ$ES2E zIzBXF$jMOMBomUt94B4|Tzy85AJk2m1E&9c3$1<`dXB=yr!}U_O4n{W9t+R0o2B9p zhMaqJ%pH}YPjkEqAcZj>JU7oMu5LK}Dz3q~BJvb^gZv4Ffazf=YfLF+fWYt7C&bO+ zJuNo)$~}`h8^Ok`yE0V!`C?H!T~0jwCaxa{5b#cylM7!7f9-5j@)O299{!q^HrB+* zv(jw;2gCYYQxm|n2)e78inLVl@hR;Vgnxc56U)e{Q{g`X2D+3y&nN$d}bp=Wyz8?D|7*=CJRJI%?vD%0w42qQNe zv)=XOTg4O%aLqCE)t+W+jc=etYI}7sq(!7;j%o1A2^0dyhaEdV@a73wVH2ng`P`$K9Ws$7LbKcS1~5np}=8c zPH;|A$V>H(b>hmj-UDC#-9&j~E!WyK%f}LPRcm+ry&qy#`arZN0h;ItA_20UF0r{D z>$o}_PHJ+qXIC_*J}~XLD&A4Ri5UhM_rMGLm;jwnBSi?v6k{I8BNJZ#bW#rKhLK^h zYXFjrdlyIU1+ohzXQFZHQ7*Ja0aeFuhr zAEy7YdHn5r1OWK;aYJB4f&ATUrej~^P3+Q4%a*BcJzmo1`gm_BOyc^ zI67#j%9{Sz7d7zVt5ztip0bzlE_=-0`~=ghow9|-wmy(>@IWu%;mR|BaZs+M;btWp zTXV_(;HuuZWcl}R8o6`qz>pJ4frCH!yIX#nglT4HQOV2rrT!ljk-n)_{j>j`r~OMK zR)(=}g|=``|9}&C+8y|oQy;G0o0*o@86J4FaTGSu;;6nd12xvl8r@p#ce;D}*Rg%A z+#tEG6>x~|^>8!a@XodIt_Tp#XC|N`Yn-IhV&MN(IeX7YAB~L;?#|1;51o_QTkks~KHr8BkUoWecF|#8jo3CDZqK4(qJ8~p&eU9~W%PA! z{B}SMdQlPDUUkx$c-YR4aA3e|lh2d_L9a8|c)7*CwyT7ex0UIw(AY-h>Uml)!*#g{ zIc-D3K^0MnWbpJXfX$(dM$Mtk(~d_DHiFLM5Gnx!A1S_osajZ1N|MqSvOJQwES^)o zMih8S?Z%3`V=O9Pzl1kyvIW)FkbALYq(aNs$bd_ED>CJaEAVyjtte z>z%=a-aAG@^aPM}G{$YoM1!TvosioraaB1!7?FnL7oVPXEpvYopo3Y&3@0Udk-~qW zT_v)*Lw)Sg)h^5#hW%|xIFTm}%+D8^6s(r(T)E&q`#Y1myWIq)2*v;@ELtewzyXqk za(o+?T;GpH1ak;XQ0bVer;#b}XE->K=EokG1HimGLomTgA?wS~7@?!Cbaxd6xHgv# zS37uD+bo(K2m<@-XYsp)AxK4t+Yy|vZ6%fM^CRoq0hdo!8DiwAi31528D*RNYqu0W zlIsR|#pBI`r(e(eQ*8rcXozd79J*wf=9=bRGR~-&ls~FHqhg-;YwHGo6FGm^LHl0e zNjp*c5Qq0(OUY#OS(ASAJWu#k`qTK zLpV+MD0h4Sv|^wgPf-|+o7(;;0YdZVyl9e{A6bHV>ht5F<8k%`ZYO8B)gaFOH{`P< zE-O)SHwvP0fUkiLSh=0=uX!Hvl`P*b^VH|i_&|pl*>w~cpfQ6Rh@qz$Ne(fCUZg>5 z%|JC5Ycgpz6WR33*V8eKi|0tHYegglLSTK9YN~@*$@#`k8XBU!Z1TQ@jbBC$ z#8R;pY48SvD+9uSOSwrKI_zk2&k^uiM)GkX}fmz>#!W(A>+1?`f|Y8TAd?r1vg0Aum%GrHsd15<6Me5OxR0 zMDjug&uGkoUk|2%n_yV-d8ng zxV>=tA&H?0T9gn_gJ_=u9KD?h5}dNbjJwV9SiRs$HsqpW z8POOpGXBareusHO#fym<`NEaiZ-^P-HGx%t-ZT`v<*zgJm9af`=tu<-o!?0)Y!{sDCdKC>Q^^6Mt zo44do4H3mTC0yfY*kt(t;(_i($}v7|URO^>LwBS18radKE-FpGG$_pJ_)xc~wCGDy zMabD2IfEuz)~EbvGQ0i_dMr%(TgfSLnYB`kNz+hn>CDE!-!t$7I`$L(Y|St*=3Y?{ zBv=N>hy(EeDBW0c>R6fdGO33c|EpGm#PjSn5`(kul-kIw43!P@hy0X}te-{=v(dn7 z98b(9oZ_FfHB1fpmJ>wC&_wZlARM;r#79hzb|f%%x+Rfou?Tm4Mtz2m2#dz&qFvj=4KKH12|X5d+k*0R>aZRu6oql0S3>y;e+mg85KBNELNYLEq*H}e0>ao#9NQtPuv zV|H^b@c5AS#9P1{6tLFb5;0nEAb+^yQ&Y0Y`LKU*G=CB32^Dwyw-x{wEA(fxW1H{7 ziR0}UAV2dr<>2r8Yj^y|Km#bv{y&->cQO1-v@-8FhWb8Hfpy4FZ?91d4}Re|@t8f; zxLpM8Gb~)z5bzFy@{jiS8ls79s1CQbkrJzeppJq1wn>2+J~tf6%xo&OEcg*#!9~P^ zHomNT2{XOolV!DFfV2+Q;c~Fs=%3yCp1o-V@Niy*VZl`);7eX(WJt($$i}wreU^O2 zb7`3u+L-k2@??s1?WlKa8fhMQ&~!B7ZyVh+`+9mp?d4b8y@fU(;{>32Zr8k>SMbsu-MZ3QUI7(WFwj@nN9ktP5%`4GL zVLxYjmfA!kJW?V4>9qk$dmH=>W;i*K#-^EoJ&EAcTo@hYF&~|w0RgFI&rR&%&vHnq z+8>ij%auQo+Qh+9roBgxi0Ig2`WsAGDtvVlJT+} z1E&5QM|vEC^dahC;jbHwZ|e~7`iYg4MWs)l2Wn>Z4le!HZ}EZX!JoJjdM*PW`0SW) zcY4}sjQx6!s7Urjp1mBmuV_%_mS=Y86A;R>hv0je3C{gF1^lO?YpN0b7?{gp3uFPasPNfl1aX?D8L`8`AnQ-X8P~Tw_K*MZU81Ul+{$Z(rJwt_)6)(bz$H?8lE;yqeAMp8 z#GfHUb4K2c&%OluW?rcJ0~wZC@nMyY$=dv=+fWYGuNNav-p32p3BI@5&l2=pIt_++ zawn5sVZaRT_ub|5;epRlTe-3FXA#~|xV9Ii?dRLKrVM))Z!81%uvH`-+^Yer`2rPV zaITPWvm(Es^AHXG#g>XY7wl6~o1*&&mO+tz{Ll|v|9;hpZ@3MIh>*6&Vm=l4mwPYK zxW+u#4KgDJjIXu`!`WelFnE{5Gc+Wo$scW<1>b*Y~$%SrVoR`X)KHWKTr(&-cZp*d_b6pke{BD!90 zrj;NC)Ey94(b0k1sF;clIshz8=skN!*|?-`U~JSj-OpZBFNGhZ$N%K}M`%Vq^y`U? zi{tbuR@aZxin&7-yNl=3&!3R%NkD+P)`&|s74r{vnm>>OkTR9f{Px7D6eZ#Dm?dK7 zm;>MY*vwe^E3Km+;HCcEzSh?r$(6Vk6XmzK3~M`9qP`k>5*xnVPK^pSWj1&}AD;9) zn&j!@vrSf$L3$}fm7Zlvy!~}GUUOvSfA$yuH}J#afb_Dr#TzZ~v>>pq0M}>E-W(}# z^Il+n^wne^q26C+W6@oC#t$JNKgV{eHUKTdkudN)vgPN3?A4a+6DLBWZO&N6PT%>n zaT_sOY=`mulEDBp=$U4P7t2@G*jvA*92~`UIsJXX8X@1>9=fuxGa9S+=0Hg zTKM=C_b>Bl^)K^@8(^IL3(@zzWuM;b`jghd-=?AfNX9&$vi18eSNmGSzMA;+1Tgq`HH$7H(Ai6F?M+QtYZIsX46{sw9?V=f`<6|2g;r3 zst*iTyH8ZeZcJ!Qr<67Qlj7tXCbq(|DTT2&U*=Plrfpb?YvRR?pgYg{#;mEi-;AZ& zMig#8T?=?YG@N1X zcTj1juFX2Zu2x%;2da2!(3T=f$n)7}Nov5v^ygGX5!w$!CR;srhmdKxHRxdunUuVJ zzTexl0GE{_ac`$LQN^+P%$4`62uGY@*JSM{P}rDespXjD!Huc<2(yCmW3S#89=`i>^DE<}@Cho)FkU;W7+*O*pR z;Be-8Gk&tSDEaiVS$D;!M7O<{@o_A+)}zIx#PGw#NK6^G-l`jVs>}PQ4gvqjSqTk$9^7V(U_~pA7K|m;>E1TO z0Cx*)`k`vm4TUoCrUzcu1;Iw!)L8RnM9Qa>CU+9^We9qATHDXGb|p z$T!is6so*d=Tg=G!NCp;D>Qx#Y8pO}1#S#nsxusA>5w-%qt9M&rYmWwi-tQ&hV#7< zd4&%^40CX?PK!W^TS(f|FDMfG$%rsk?UoJhe8+o)Q>$ z!BKG~(2&9CVBXw#K?O(FTL;jz_V;cn}!)Otm* zAPBbCh?Jl*mzcjV`u7!+-kQfrg$2?dF=mTmV}7mV%gohv?FAC(IA+!ivW>w$=A!z-HKFr4l9FJTqjBl1w7v9hTi|3tXKL`C z4RC5+J-+QF^m87hJb&NK*zI(C6By2_N^o#hk@2HUh?kygrJGhqh1?Z>ZYQ1iu2t}JL=E!7~t_3 zRJo`Vh9qBX{sZg;GCktci<#06We-pU4Iq*tOY(N za|`QcG|o5vhE3`7U8r2HBET{thqWuS{v3mf!$j&i1ldH3My8in+Ls&(&S=cOs_$o< zf$Q3=e4Jv_lRR%|1@k3(jp{q+;Y!;vCDYg>isE-OX6#{{luy{={S)Se^v zI1QHZ`~b66r^7Z{@>vI}+6oC5-sB-s5sc{Z@f$K8HwEUO&d5g9Gp=aCw^^Alk^O}h z=|cj9jGE3b#etl!ZBkca8hli+Z3Y<+UogZPLWHLc3^4?yf6A!7ym;Il?h3?PxG32e z164|8qH#1oRdD3v?~k%BFF#Cl$50Y-_pby>6tKF=dT#Lj^?%^bramySUTh(bg@IUcyyXv&?Tnc&Yn+PR;94{ z-MHFYcg28pyt&6RTErb{kB|YSiAz5f;JWp9bazFqfTbh98S1p4oF- zhW2baRX;pjSdS!gaM+XJt4}mWKQKgMFp7ObKKXPzD;~gt}C)@szLw*q~+-+sBKlNO_?T#K_om$v?x!&Ut@W* zhM3>FEx+GyNlzg!98Ii^BYWEYGh^2D^=MFAOa>YCR5uVIra5E@&GvZFs2RVX+W*nD z!L(*{%TZ!srm2NJqpnfb`KlJTWP*M}V$-wj&vm=W_LtVI9z`4GpKqc`V==hKVhdT2 zjZU(H@s7v2?ca!&B;_Q-O1%xz0}a0~DiLV3Z@3Esv4ZpeTp-*rCVC+KTFL=e~>Mw7|$wBxGA_B<{d3 zRtigci4{j&!LF2#R&rV{RDHp7^ZaU~)-~o=evimD+!c&i~yM0Z>~w+V$e)vG9;1`p%At%FYgzhZNPh zFV*=qSM5H$tU64wCbVc&<}|#OLFT&_F_Pe~H@Iwyx>Mk zNkcng9cuWXaTlGBY??%!+-+PcRNWDUu{eSnM3}^uVcJ~o(80w@_|g#Mo9YK^=JrfW z)dn~kZc)6nA}Trdi}a|z$Zavqhos+c&qO7i@u9CnxLzu}+{TLSX#Zam) z95=~+4US~5kqqX0`|fnmAs}k?ggpWl!k!md@%?zHe^K^Df^I7b$xP6{6LB4A;LB7I4X8y{qh7o$ z1;PZ!?9iOqR|Dvu|pbBLRhf%FtsDyrai2w6m&-rNcfLv$j7S z`t?$lCvM_d@*4e}B`iO!{*Az>mCRJdFHbV-)1CA^7Vgwa6eIy>A7;*qA9c8^`zMKv zc9Zkbiad^kX?M(zBdL+bIt~IbEfO}MjK?N)5eI5t+4%-($}2S_yUcB^UGA=to74_7 z@M`;0z>6aVi=&oBEjM#;Uq1x5m6KjhJ{ezVdi&nfcVHZZicCO3S{)5J1H!MMtql`M z4FRv)MlbkNUZ_E^CL?E3HTz9(a8)!tSWvB02zL2U>B$Lu|7Oj9@u6JxwZq)_Txre4 zbuko&=-niOh)JCP4~~81@atcb79GRU$<%|<8 zK3rxiW-nnhjOC_oUm3H58>4a4anld#%3bLX7jv{OBD~)l>g&HX)ZeVH96x#8^>*bQ zE%C)`{rmTl+0U__aPJie6Hw&5QJ~{AHD?+E*u(wNh#qB0qg`s?eh6&A}M)RUdh zFI`MzT(Ky%K6kNqiCDwj8bXM{MAl#@127qnMvC$<5v;KG6DIaqmt%Pw4U5~3E2%=Z zE3TM?KX0T&ITqMEM}l(en!~cj_g(k8CaSRnKA99x|E;_tJ0|4dEJXhVv_e#HwM4PYMCAvLxZ$$^{J9>$#)WmwK%l)cay0l{Yp)x&)>`~t!+{KXrusM*73R0ngXI?DS z5fFr-#5jh}-icvsm^i(r?!+^luP_W^m_2j86Hq42& zM4Uw!14JiA;BKP?soPv{8;0+8PV%>SXHeq^e&bCWq9@WJKcS{Y?r#6aW}C%62@aoQ zGMLRQAwc%OA48%q=)vzIjQd#R67T;!MMCHghY$Chm(~x1^5!eE zHJ;U+nO<~^H$6@kK0e#$j+0Ks1Fa|Kfv5*}>hdwHs&NhmdY7JYjKGHcP~twhMedY! zhPFC5%^(3A+|AjGj+7XVP z{#bthdG=@UYFkI@Tw7=91my+FQ`6clT!_aTXk!7x5YY<1w%AmtRkG3%DR7QL`1|z`DiXoyNNNu53N}?5cIa|d ztP4~<=sqO!l#?iA9#h@w3mlU^eW=IsQGoRukQlKBv1^;(gPEZG4TEuBFz&07ksu0% zq8bBSYYK`{c2loX!|F_7g0>-Hr5UPVVtT)!lIgog8GmLJNs8yjZDR5@lkUQ%%6V`O zXX~t;0nik8x>>Uqx#==O|KqbQAcB+$*};PRW2XtHWEJ&Jl-Q|{K?kWONurNzJ&k!# z!1!rl5rS}*h#*o)#93BD3}dueNrza`D9Z332!;J}!zYWHv3S$K6iqy25&y*i{uEc) z0)pn;t^ikX8(>0GFy}Jsfi(&f=2I|ndliv9(Rdn#3XBPDAY4+F4v=~k4%t2vEQKl; zW|_J1($(O5s6nB$hZT1Ne@o1R8Xi3x z!cQwSF_Khy@9sX?Ui0AZ7iem`Zl@X*PFR~U9)#GWQHSl=S@z6z@65SjD2Wvhu71gF zVm(|%slLYm-(Utq(8_2mefZs6q6;87ukwmT$13*1X8$;*HX#kidCGzDdbMpIwf&HZ zkwI6R4k7MDJxy}Gq~AWzK%cDsIGO!N0!wPO5|@T5=gt9Kk?)PJ2-6p<0Jpu~nb#qW?L|6pph+3Lc}~$RNW(b2d!%Vvt44G8d$dQBA7ya7bO7CJemg(r#5ME|9H&7!+i(fLX_F z=exocBrY1lRLYmabz?y7le{OvtI`6&Y}9284&Wg@i)(7svIw zI&Q-8aE0uzE1USfKgh)CQ?WRzgbyu-Owx;0cF~X%P1GevDRblsa9J;^W~9BRNnCg< zf`UubfLUAKBOa$r%{o$|tX^Kyj}AZk^?Xf6T75&QgfedNRNb46!TIQCXUnZs{HQ|i zQeq0()pf8|NKM1ChcQi%w*Dk(mNU~0XF?&J^dyCaNN5)eR6A7%liYhG~1Y!3HloJ9__!qC2ETbJntXJgdrcQ_xPOZgVmt{n^OY9L)p(WkF0a>R2 z@d(a=1R}U~ovscQj)Bhaene4rk!sIR3O&T7;HvgfStr(<4IC1{Wl203FlCV`V=Xxt z<%(rf_AFRZX;QrO(mvF+J``UFCj;DIc|wEcp4FzZXZ_M`vBD$EnTm6@d@t=J?8ffG zG`LOG9xxZR6I$MsnD)%<(QvMw+9_oFY8kDtPYkaA=Y0HoPQt8PAA%E|@cw;r=TKiR zwp?Er7&O+~Mtb*uHw&xryV((UxC7b=33LXo+4}Bv6|ob5m@mA#5P1E4aOFb&#{1OA z|8umi@ZmbJ%r3qA=rsTSYDy#Pu*Ltr_p)97`uOAQL4P4x_vFG^ti)2DK)_28y@QFZ z|9p@#7WDfQ(*>@7pV8tx=nF@&2W>w?jSp0@FE895)>arhB^o!M(Ej)yAiLA2(6Z1S z9&op?{P}h?5Np}K=Gbe-cp>EB#OZ-w=6o`zrXm&J_zyaA<@r`{+nIzAP}$@?1AfKy zw$8Mi0B^~;rX0YF91b;aNYp)?IsaFoJfxl+`KMxRwXg7Q{O8jxia6K2YV8C)_TQy) z-lzLkX-%ABVA>r0bKJi0O`QjMs2QcMw#CrFrxDfRo$SpEZJ-glL28ah6=G49MHCAv z5Tm`K%Cf>k!)rsiix^Z2#-+XrkdJ~@9J)7CJlW(_#$zQTQ98{bdc}~Vp@b*=CCq5Z z5!IW(iaOp}LFIXN4A&s>t4|%0g9+6f7~Ij7bZURlh}%7;DRKq1gd+{@TH630eb@3Q zHh2hCHa76#$jMZNiTxL~H>^F36Kr#RAYs3fWl6$gPtscJ3C%ZbFFOQtYLTJ9=9`0UQhXLtB zNOj7RFRq3z5L#wIW?m?t@(&Wqr*u+8yk%`dl1p6sFnP~@JV$l6Uo@NTC^(~gI4j%; z1P(POS324LUg6j{C@izIVGn{pOpnl_!&S+E_2f@`dh3(hO6h25)>qOPCh&mMuFo4Y*G7-(o}Zbi5=!=Sif zHx_i{nhg^uuGfU@lRqG}xaX2nelcuZY|D2^z#${kS)JKbNTb^PJR)9E?7yFd9JuK~+i}gu0;{g)^+U4C7Mp67w>m0d>CQ|B%=|QF6J$JO z_+e+%NxWGQDQPQ&&2~q2SF&ugu`{F%5k~`vt3Lp-&DJ0zLzdC|B@t(dSFPws&rO?R z;Ljr+$dHVu%yo3@oi6W!mMmaGR5jG4SUQnqgvCk56%+KSb7d0rU7C%6;x)4j`dA%K zHfHU1+SxNSq-M=r-Q`Y9)*s8qP{F7-bJX>yI@N!as@H-cqsG*G$#EHtJq%p6|sWIhfNhkJBnF-FXh8D zpv44ZTcMy=ge3Kdee3{*LW3Y(Bv@RlW$J7%nHTJ$VG0nB>)I*}-WNR+RHMJd-~Wot zW_|{L6AkR-Xwkf)HBFe6lVyp2SgRU@z;6qGrZ||mCdPO5&#sHtL z0<2u|!>lgFgr)$i^v@C0YN04AATGbX9>8dW93S6 zY$g8GQBxhy#-Auzb}wdzz*AqswLH}ywf*vNm;vk)G%1NUmSV2dl9KMG+Rs#DH(E<6 zZu+b6uwpj(8B@#FjB=lU2}p0 z@ixJ2ON5ke*85{(Zp9N`@}CET{`0i{=?{89FDUkX9w;H)P#&~eQ9_8bIcIdcZw4mR)X%c2<9uDhP-ADYWu*`~{Sy5LT{ z-FdOM=j4rU_W$tp)lpHlUBAG<07Jvj-Q6H5F{FwxfJ#U=BAwDT0@8>`GjvEwm!yD_ z(%lG1Bc12=dB5k4bKdi3e?aO1wSxHhM(aWuXJ19P#OkMV=_eHkf zbge6y@y)R?b<<+ArRCDyTb;GjiZ@>uvayLi$TMQ|S$yWAb|-cG&i3(+bh<4Y1|fEaGmKr<{xnC?{rSf4|Mj_8S+)m! zY1T_Q-8ubdbpZOP10jKTB*&Mo!`YJF&$3};>c*)G?v|*!y5nA!sPg$<@w%8FwrsXg zIr7knpKxK3F?@R35Ll1~B_$sB*}*g@FL{EE#0iwWef^}Oq>={JFbQtXkCx<$OhaoG z8A-rR$PnAe(5(J3cFigc5Iz~H zWf8>_9>)Nq5^;V_?czC2@yrdCM+;~o%wn!?y)kGf+C~o6=K4;j{3ENYoJHhsQ$e^Q z{Y`P%D+DS(snNg-dy>@D#^jL<4=7f}T?;0EprdFGFVDCRFK^w%Q*lZ^2!Kuv@ta*9 z6HB`5JrG!VCATsXBO;#eHv@}LP%HbYJ8KH%Tu*<;klgc0@UbV8niV0dFx42XoHRBI ziCihGDjPNK5DHyz`wwpH-0Wlv5kV-hF;76VI=hZ~+=&Cy83-ZP&w~fat%<0jgYn@u z@txm_c=jqjH7@&V&H;MyrKu2lzHRa7@fre7Zh|qep=;$ zv81CrYQMQQls&-{?(EQgu_1a}p7LXd#mM#5oM89pH}*47jt}HuLN}`zNviI3Q@&X@el4t6JISs0hS!NY(kD(u zQ#-}cqW?8!f<|lLw9=}sb7!ACgxSnLK|7kK>9uyMU324?+-2LQ@fRaz@}`fJ%L#qL^Szu=2w9Ju1Vg;DO5LI8D-@~ z@8mNiR?5&Nb9^P5qof=8xqQBxDF}jr^}g8zgV?U*=y82b1U}3Q@cz`Q_a%Qa8SAJw zli1KTTHa#_BG<1c`3#ertS$F2r|CUhD8@O^mwLVLyYC;p8q__G{+t>rTB;lcygsaj z+N*t!)fZNIi^{y}Vj&cR`|e4CGdk%4#9l*8i1bMh>s&PdeckT8nueF3<+7>60%4Ig zRUsOSLyAlY2nj?C3xL@mjn5aiYH{*4lc3T1lMI^h&iDlN8X}FFc!4@mVxFj%yxnCq zn&p~c5pZ5LtpnmNH3+@1nn(L+zNHWVmOym`IT=Xx`VjTPkn-pZ{8e%2NSpv*&V*pj z;&Y37&IOT|j&LkU4>z`|B0CBi2J45K|z?oZj1rDmg}H zReSR;xs~tLvq4i~{OnVxkkiKn1m`Wwn0V4UUUZzRy{@(lnnM{^U6>E)y$oxnv@;$| zO$096{Whp5Ecx-I*At7=tsTbwgE|x**cqrLOKmBsEK$LxZltPCASy11}XnMQYG52|? z=ASoT;BY(G5||0bz^tPcJ=X2OW0~$-`{tKJQt8V^*~OaH%eU-w9p`?-bN`qMr2b3= zv%Q<%watcdi~*G!n*l2d)R{#On;*g(Rvuv-&i@WOjL`5?_HP}PolHWFX}jAc@Fk#| zZ?m??nJ;L300PciRi1J8UmwX|AIn|eAB^ixe8`{w-|-~}Z7?nt!&39l^X9Aox`_x9igfYf zqjl^=*N>Wf21<<$;VwrdYM7Sv9VUTJa`Q!rw({qtDGV{x_Zpm??F{M=iD;zHht5z% z>?aYD3xQ!^&QdP7T$ENqtt&PUVdO`YR*Eh5AhnNsG?qzbary4JY_oEi%y9{i)Mnp@ z1M#&m>+Gkc0{!upycWehB7+mU;kI0r7JZ%QkD$!hX|UHZUNmLsN*l0C{D46C{hH^Q zQZR-5(JP;lj>h#7tjCrQaWHS*laAj{HE1;{R!0HmkV(t9PucDS%(iQFdy}~-bb1cB z7DcavtLe#R%pyO{5M*3%1$8!d1w-jFw2R{8OwW&nBnzDMBk&-mV6oDt{8O$)Bx1P1 zQL7B5%=>odd|ul2`- ztR$g2%rFxgJau*ndAZI|FsGWC{gc`$)Cu*mBHqnZkGB$$paRq_D+2JM-lQ|oQ(A@Pl375v%P}QhVkt_I&vCaAznPcMV-%rLpy-PL^>Z zUxtM8Y^}(?V5O>I^F$zQ$D4xt8(OH6VA0Ot6i=niIXeklNZHH@h6=&BcRk*Ht^KLI zw%8fwQ~C;7Dd9nu?zWk);Ih6;nx4`2uG)3V$>R^IWY~523FGHSO#P(C5s&PMA0;sl z>8p+=Dcb9R7hMHp%4UAGuQlx_t_c(}2KUh!d+u?QYq7vLe_Vk8%$-Dtp6^+a0Hfw8 zm?M$9#ZU!Lcy=ehQJo+==xUL0-6rXiJGJ$l|B^}QiPgIxG{i0JT_hB^sF)D%NL{4R z!$n^(SONV*B$@wOmz1-~IWGS0%-b-J;pOawvFS#8v^h4{0kt}GZi^#=te|F2;Ow`A zsPtn%RGeWib~?Am7*xF$BmNK)t2ffXp)7UwH&T3VrWcAujf@j8<)H;k`%&pCrlROU*h@5UuZOkg4(N~_>R(tb;;9FVSS3B1 z=zXa{Me25#YRyDVF$LyZKZkOxh-n!Y=M%zW&Nz}(qXaB5jRIj(Wgxk^9>r}VZn&yJ zv~Ie##ge#OIp%C>z7`;sc5TbctvErd5$s-Hm3Lsf>nDk<2}H;vrjag!@i6&KG?qOw zGqT`Nwt}&-aCWx1@%(5Gb?Au*vBcCjRAEf~7n0V`b~Q}p-|)v+!i7c1J~0TG*3W$y z-LYN&xQos%va=#0wZjMRv*nK7*}0ObrzB$ExTLSHrvC(AJb1D~?$U^dkN&!~hWV-J z;<(t0hB$Xw&sFpxVs;U^mBzJR=ezMoJOhK~rvCVmQLe74T__}a_!to36f2(SQv8@d4#|8*+iao@13!l^dMxEv!@cDj9peFli3PPncjcr!7j?tuGM5k2v@_Oi)E zkS+g>iNZ2WnD>>0RJ;0|PTq*y#$Kb1e@1($Zu1qhIO81R))~brk51q_zd^EmxrDKv zN+iWE3EY$EZP6)==B#D{8p1rM;UExqVCUI*A`C%7;t~~BuEbnYrvf=JVefF9{ny3F zH}q$y`1kG|1_scY09@-~!}(Cr9j<`G>%|Ba`ZqRXlLtC2wSQ3%e!k^8QUuV&)?NqU zSL$y2=d!;gZoZA(JwfbzbIi=YaFx9RC1tEx;hU%sF)j!6EJ=~Vf)`MR5fOGAaB?%-XBp11|H=x&PDKlE9#~&KS z{=9^v-aq%h;{hFqLBRe^0`*5!0;q!l-)-vOxRfvW0r#K(c<_6vamBw^Ouv*MD&f(C zF7hyZQ>QDD@7jf;nKIG)H>4+I6*&R+kJctw711I6#HM;2T18q^AAnU+BrV~AjGK%O zA_9ot6rm+APA?Wb{fArbzBHvU4%Pf=dxx4yJ_lEVE|zRIHTawuH!$)lfDz zz2Ic=Y$XUDoTI<8HT2as6wwK6IMXj05jPLT=FSX`0<(=5`G0dO6D}&T`qc0k7BP_enTv#7!mS^nh@NozNa!=5xRCZcUS(x(&7xIXxY33Vtg6 z$RS~UN4o@(W_uRe5KN(ycRBK;c;zPOz;0tiYa?tsNngGDa&3co1-+?>4G z&SC3Q$a8P`kNE%=wIIl-YU9j{$-e$|^2>htT*eo=ClAVVvnS|#$sF!b={*f}=1Qb0 z7J7f^lRVp*LZ)`U%CVsC8}Q&;@GFn6S-Jaia;-E&cB5h1HCi+@)FAh>dL(;}FPwxp zgCu>#1o7H9N1S?ZX6S%UxLKxfhvPlXp*}~sG3PVgaLGj!1dTX%vF$61cCmcSQ_<}c zf~u%N6P0XfI2zLGvy9bw|C*#W}j?LUBY5TR8`B-~_$LXd%V?pWaB&TbQ$YJ-u`UJJ{t0#h$ z+=XvipXS|CP`p6G>8~w*kR@BR9 znezScqi`*_rXq7tf;utrY1-*RpvRZH`wKQ2>iDE}!v)%lz72x-g9|sG3YUWa?UMW# z=md-ytw})}>g4w~A#Go5niRQfRZn@I;d}A~C3&LOzqJ4~wFQI7CE#4Sezgd+|-bnLN|i%RLSkH zvxHcJvI*y#f}%A|>KIvIg9MZCECjB^$2C^2^5^`&n8NTCw}ym+(H_hOm51Hm52O@2 zCyTG-E1;3mHM&vslb2&Zo@UnS6JPpKMl5W?=n5Cqc_o=F*2@q&__FE7$pKX8Z(#QV z)ixh)s*jS&wJ4n(AdUTuA~f_@qGa`vfWxNRg57E}G>Q z1cXo(y@kAwvS-&K?~+5Le{=x7;^0%vk^^Kdc+XV3M}y)E zbWnWo^0MX=U)kSQTf^Nk4eHcAeCqEo(;zsTc|nLixExwzXrnhWkL1<#feMv*gh!Kc z)a*^A5+^M=@gjFpT^>{C+Oj<=&YVWtmNPRvVgDn+ZE(IRaf<(sEn*5PZ zjmpZ-B|0dPXA7corshf0{RHyBB!Z``S|mV(B&<9j;(i?ntLIJO&i2U`ow6s@jecn! zZ;Z(^o;$K0H6j6dIWtH#xI zD-fuepYNi3*ry3pMTea`bnVY@?q+6;e>Q*h+Vwa874GW^K%8-iPftl+M2&GeL?gw< zFinXGPLuM)?~jbs)n54k(^uBOnh#G|*l<+-q z>$Y@Co$xG+0di!If{VCNoW>MJjS=k{2QyqelibS`Rr%6EOHEU~Z}KvI;hfUHHXDW^kJtk#XifjZnB{pfM>>CKq?@;VogOoHCGO&#`CEI5j5()FSiMlyNZDD|F z+{7M#@f~UxFA8E%V9AZbGSFh>N$Y+eN<>H`RnNGqT8Fw48ZU(nW^K;LeiTNODs?nO zx8$4F4UyRD-NH*taE~`iM^($iD`^K&b&6EYYW#w7Oz8J8ReZHRzvWz&Zwn|0>8wWM zW;$=IW<7iwc2z9~kBD#~+kQ z+t`mT>!y`m%-+%Hns+HmtnH{ateh;+R;bi8|z z^l^}0$$tI&L6>{$>C=kd`j+GTmuABN`qUT}bdP`fUiRvC`hjq3BlWS}`M-hr|4>K$ zdDc|{XI}o&Ia*k{E}W`b|H=E=amh&fa(n50Ti0)3&Yg<~etXRDXH3Y{(v>v4;cott zRgCWs?$uy$C3^YSgz-0M^`AfIF(@feA*$qypew^g2d^`qUYwh}V_4iF|ihh;~4jO(xa$3`)(0xab7`{$yGbvAc(!KwY|k7K6rMIK~<-KJRnKR z(Jgq-0E@zMCS+3P_;GsnYtkZ}EBESKKctdVeZ$NVy$~88Vv>zfiir;AZWc?j^O^%&yER-bO3FU#F; ze$1R+@LkjAfkK1MSn1p2&HdC)%o66lCM-I%GDsgc0-`7WP!KDQ0IjA(F0&qt%BQj0 zH8F{AFzC6g{{<9TX2^j}qHIfxO^Uw~+T$Efi~(T=f(e5l?|EzkVN8feJjx--SUq#g zH5d>ac}N7hzA!9yHqeHdAVeOURY*fVoDvNIW>1fLQYqb%%gV8`PYHsmTZfOKYg$MX zr81pRrMr&T5!J~y3E*kzO87?}`NKNxd%#58idCK75@Q}SG1Rj8L{DB|Kxm(7=y%2~ zWDnbQ56iuC<2n(=fPBs4#O%}ZW(N)^;e{)`$3nE8X@!0*aW22E;Yg-zOhzM>`gFRc z6{-1%5*osf43jgDT5Hn~Hy-jPmFWp7+p{94+5joK_Y;g!KbR0r+8*~acPFVi_D_$r zm+jbpI^A@l#bgblFXIFuit*A%9pya_#Zdyp5M&p!8n%lGP8qF9()}dtoMgnS7cl>4MHv z_;mf`LnQeRLw%T|Ep|pet6PPkg6?y$J~LS%En%=8w1d<*5?z{E-1@-B!yBvSB?edG zTn=b>;(Taleq*8jmFPSw_QvWfXBf#&3$Erjv0snH{o>=h7lG)uS9>ry7|vPBkjBGG z0T3z<%4CzvAW6C6K*Yz>h!kw^BD5MNN7N%;BTMk5UNVAi(P`Q^K+{l^m-+Bo+5QS=8jSq^2k62OrhOpX??%p6Q_b-abt9;AJ1!D-AW$& z)(T`tyWme9BJuf3i^@E5_tPdu)An4PD^?*KhhN31digj8YO-=;>6(G-RQ zlmYh=fE?pUSD<h%}%Fh z0@`3W+wAX8bm#DMvCZH}cVgZe)$C{*O>z3$WE`>}n6_f0P9A*N4*&HYKh;*vS7Jlt z2m*q$k6EQeqIXVveZCeHMmKuA97625yBHm@ZL6lPG4%t4uxn+$zWi6${hxoDd>^!Q zb8)ab62G>i=k~O}Ws}_>t9tHM_IoL(-uadqfGPSAlmf+K(Y!uhlwIwjBjDEba(7nN z;UVZoEVk|Ny=+wRw}_XJ6rorhq#L*Z_N7=T=XHHcI&q0#fryJq(>p@v5Q$+x+zhoUL3rV+zE8ijY2?LCLk;rcKEWxG zJ(KALYi6NmmQ!)Bjf8h7f^oy9KFtX{89#&}PjmR-3JB6KE?3;*ZbuCGhLWKQ+a&42FVI^qMAW$bsL<(VpLQZ(oodW3fM?4u4$W63QNzL zkG-5!H*h#e5EnZ_`OXoqm8{znF%H~{CxYGi3~<$ZCI_? zzhK~M=!b|Cswh#bAtF9uClgpCsRIeJvOBQ@yKog1UtA90^itZLwPZU;@Zh>3mM#_> z?ZoHr*Ej+9acom;&q39-9UNvCp{N<2qYT1xvcXRk;^KH@ZB_U?~VMpS}mPraU$23p4$cE?xiIGxO+#iWnv zD)T04#>gwyyk{kk5;jSL;0;iI6>TackDLfi68+BO9rt4WSb6_Rb$hZzZ|iz{sE6Lh zGbqQi!ot6*;%TFS=Z3HS$zvRXU;9#1nvuklbJax^7}$+;kvI=3^wKMZyf~(o4$kuT zpbza8l-d+U8KjE@0Ibb4uJcNL;P=aRg(1hd&JL70c44(z617?_wOV4eTJ4sTss%5L zB@gK)#}}*2KJ`lmz1_om!cJj-rg~K1h>{7X8=%#Iz$tOlvOYb_Sr>#A<9l+4#m>;+6UqVM&*G*D464LIke5=POrL@} z^-|RJc`;-MFO~cZ;V{i<((5&P+3`Rm1_XnEh0A7Gf_4`dSjK^VnH^FZlHjEcRO$5D08f zev66o`a0KyeK?ZpR3tnfH$m-d+A#R7GmM<0X7iJ!1T}Ibv;W37F9`Qi<~+Lx`;Grc zR*(IqSVIDt>BQUXD%S%eHMOUvE>>V|Vc}qC&!bUaxmCbh*0GUV-s{iyUoYT4xJZy7 z<;A7(1)+EApnRdn{&Y;%RTcf=o3`OUUy2y03G$t{K5b4{}=rKKMUEPzt#DJ z0f;WurO9WT*jtBe#8_7`AjlcjRp(<>!~9oRA$Pp0>Bu(2E;&j^hrjXTQN0(Xl`1uH z9Hs=1>Y2y%u_!%AIe2gsErTi4X~p2 zn1VgQ<-Rl*FWSoUEi_Z8&-&wxcL@~IkbH-Ahj4n7928p*r4F{O?nsji2?bTMw){ zU%#ByFqFuM;$pDK#x`X#u0~WidzYZ;cVlCdPO6%Q(=Z-$DY6N6&J_D4JMMKF_^|)P zg4TIrtBZ%5app(-%1JgW$Q2<1nTsOfzPJFpfDigH%n}O_EeUXg5a_RMvjI#J3=SH3 zNWGNY$}=ItB4A6{BKVI<_2M5E;KW~oC>#H3h^m0@Zun1cu7;|}T9xGdA*#&kK;QIkkMxJ~TW z@6BV6!+Ogr9wgIL7kBNQV{VbMdVfV}^^e$8A6mP(RHA9F3^!+c@re3)V(vRVA%^c5 z?Cb7jjbDy12YAQpCFE8H7or`B0ga)cDBQ;7>n1vO1PNQbG81C3)(sbKQ=U&1Rd3-3 zjU=TC`?g3Q_Ose5>LGpE8zplB zr=|>KMwMc6AEkNA?$Lr*q5z z0f8ynbu<#eh$kP>Id(mpIVhxP8*L$<*)n2PC270Y(38yz-&Xuq?vB(N%K#v#F=tcE z5W_uifeRoN#dc0I7IkM<%~q|jT6(ctSUvnS)?^QMaQd@FClJ=r2XrC=DMYC%r>CiF zpNQJzq|#|Ps19StMB%D4i^$jef#2vbgF{34N$Qxj!#`K?&TOL37$BW^B|rNNO!L*m zNXC6oMZya-+pPEGJ;N<$>cN~MYv8fsNpI0EAPX3tbVd>#B1w zGkx&T4E~b%&8>BRAoK@*(^XpprEtPvJJMndm_m>?^ zs?tKA#hscV^A&ZX#y4~y^V>sdP6YR->j|25>3rdpFixK{lUH*OfNtI{Jth9Z3(w9B z0ySVx6D}JW-uX9W{NGlqKR*TdKsb?8haCZ@2M>59D&9==A0{~lEEJS2Rb1@%{c$nI ztcjE-{ps#w7nG;c?;TFK+s^2nF2AoTS6mfL^$su852|G^I4n5Ls24^yUiKe{DZJb} zTFklxk>sqwsbjj zPkV4#oHhTy-1R~AEw@t6TO%vcFIOZdyW1RPy$|hnbX{(ktcNqYT!;PejQ!3e(xp7< z$oUOB=jT~3h4|>%)ib+`wF`F;)&+%Ce%Btm(^a7Bv%Rn8u`2*y zN=SB+y&8WNL%?35lM!EPNQBNoF(_i%c?ZXavPA{@Kg*`K;~7P_B+gZ3im;^0{Vx2> z7LvzVA|n4GAL}<+u!usOCD!P(g7+_QoRgT;wG{z|nb9mcFI>xyt}qlL%<|}6GTcSm>tla!8a=q1)hi3M2YwDStKC17+mtJvOfHRMP7K9Tqj}qHR3)d2S)R%HD zZXN43q);R(5l|*oq6j783Vz+Q#2{p2k9&n#Bi)%N_%NX+C5B`b5#JsPfu;hxVMT#Z zCoY!RqL#E@B*CO$M(_zOO`emFzKJBCASt)H_=iOC%4)>I7z8R~g6s_68wNsTcPPJt zU$_cNVDZ?@MV)dfS2#>Ua?TlF#5K@oPm|+06^&#^l1%Ot14|*_rP!gbYF6|lQ}&cs z2{8IW{Mon|a*C@v-zm;;<)K5qGGqr4ywfV?8Bo#$B>nb-_ zhvAD2C2Iw<^IY*sHV|{HdvBD$BHuEV$OnG2X>vEKW(`TVT}O*JYibjrzX!m9Scb6s0u#l`+R2)Rhk8jK#;t zyx$s{4f;H6Xg}#O8&=ayO`Aq-Vs#EAD`gmB^17~{#J_ZXcvIvA>cT3E z^{Qc*?(QnxlX^fISbp0(Z9nGlQRhf@t|ztccZe$EFhL~guL|6T-}rLL0+CE45`zRh zCk=Dv!@Bn-L9~^RrQCyH4~@{E$6YkPLrD4H98*t2JoJ;{3<}i*S~{5QfC>Q_XSuo9 z8cYJwZSdr0tG6dfcbe@6bQC^&E!tB*s0~$auK)I({rUM)3bb}bXnq~=(nrj9@9$RI zK@h}GBl#}&cJg3hRsV(Y4d&8mhS$jorq~}-nx_hQ|2gsy$Jm=H;BcM%!3~3SL%{Ic z3fER{-}QF?mOIf3-Gfy-qjDhR^^Y*sE;FD^c>j$FU|(1`(BIkh|3YoCJbk2n5gqHl zTDK8#|De)tljm%22C+9+Z+bldt#eH47#~->Wj3-a#_g5({gK@1XjB@oNOU#ge02JC zBH`BjQ^#iNbHdZ)%?2%mBX_aX6^!^Nx{;pAkSrC%jC$NzfA z38?p%<&Ic?|3iHODw<41z*?H4B`I~&>&(rIV{)0W;^J?3h84ys!|l<3%_x*W5scO! z`gQQf!qE8piGu-n-vb$Un<)F{7pL^;_?XwvPs6K#{uM9tCH3iavo*ou+2lbxAeG&_ zs1x($)-R*Jrx;bXpR4HoC-u^91(+Rn=KhndZwJJ`{`4gcb)i6>$LBGnnQi2*hx6sE z5LuFB!|b-HX-ZY3%+diV$8~p%H^((bun__3N=FX*JDFhrpl};T<7y5@RD}@IWA_|{ zX`9^W0jHKt6-;>*0p?>dqHvl?2s8yz5{p3dM$iz7ID_XK6J;ZgpM{Cpc~BG8S=`wx zFv)n)uS^k4fU3~|e~X>*JBOkvr0_`&!Z`{R=Lf8ZN9hC0acVbn~j6p;mXun2e* z-IQAe5JE*z6>xU)kkkkW>Sin9yysC#w+NkG$c>-bKi8Bjc| zUfTT_z_adP9y|>pId1%;`A7nDmzu&r@uoATd(Lfr0@6 zdJdKUnB7~6{ZDn|54Fe)Ni@1q5I1S?D|$5a(JdmIXH{}DI+z25D3xzdUZ^5~O@o7! z<=XSOdSbLxSIUDPKu>v#6|P1`(gpxX9{@ z`^sET6QB#ReQrO4A$GXKH@wiSsS&eiUVIRu?!j7vZ2ZIZbjLHnoNK1D$OuM(fZE7U zPag8{RiCiZNj8UT|LzwTt+)6tl=B)*K7rZd(Mw__DYtg@3_4P+9#&gBV8u|~-j5$w zT#s!k-dssPg>^dzibLem<9?)5iW2XnbDT;>6Ynrok(o|_@_N4;ARCkLDJ#OP9DK=pD}Gon7yLPaX^*FV4yqX@lNWvFc$If}fy2+C z?zKf2PU3R)>qZ)EB`3t;<^%)O$1pQmT%ZN4+4Vi<|foqUtRW#59s#;x@(R$#W--hCYnCFWKuWK){^h+3+7S-gem zzUk}^FhIN_s@ce({8#fz*%?NYk--f(&|}fPbSgkP<#kG_XP%2^q}mrp>uqG*fEO{| zcG&@nWv#$T!1+o#7TQLI+{(cvPC!S4PKvJx0wpO+l2sgFDx!aL2LWdjJ44}^R4$uV zF}5!0DGH=wiXmT0LI`<4i5z)o>=olw`SrKb`guu$D>HeXfHK)m4Z{a;PGT(Dvw#Wz z3XhCaH4?l9j=Q(lY+4-l3;2%*gwWgoiT8o?92!YEuuvH&KMm#wMgxGV7QPbm(8ogG zsdH~`r;otmt>h)RY9i*23X@q(dgu0^&gVbZ@cB#d4ZZk+qssijKlGjL7r4GZ3EIMk z?~k<866hA~?!IICYsn}a#a>H+&bi=yKuqC?vP?lC+u;}4`~7Hx4Z|uvK*UNK_K52; zKK2$rhDNH9lXU1eZmg^~%JrEH%4$M4w%E>Z4gXA^tKBy=GA?Mt^wPoHI%Hy&S>^Rw z{)_iP=XVsxYO?pOB(crFp{{pP)6g*WenmWIRnT6SkY9X5L>$2RVJ~lPFK28!du-3_ z=$EL$mlg(rjn35xsrcEdxf{Tt@fC1rysTIA^Huu0knZpQu~QuEuWi~L{58Tk6$&37 z-QT>t*v`7__iUm%JqtKl2q3%Py1#1V)uuO&zh(zGZkm8nfJ(n}1w5kv4V6blYkt80o}!{zaZ^opem0^X#5)xDQd|Sg7W~ zQ5BTY5L#R$Wt^HI^#MHuF)BE?Mi5qTN%aH91?B0+!5N}<@?Q<5x<o2gqqG@LLqrJOZT6K6o*RTM5ha&Rjg zRuCR*TF8kqeg3K!c?234w;O>~G4Q}`3ZyoK!l92TZEn6t$Z8_v#Iw6YIQYpZc%T#p zoSJ-z6g-FFjRb4xeLtzvmp35-1u%0vZQ_}7iBQ}K_jUCt$8F_*^;^je|$@|LDq*U}QF)uJ7cxtM7 zabMw4wdd`dpw-YCdNeXg0#uJY)`AEU;G`Wfq9KGS>5_-+@&uwtfMrw>6CK-rMB`Ym6=I(XsF+}Kt$|$l#1x^Ww{XS$7v)sPwCI%zLV?_Qx%51p*a<9h|i%3 zRLzD8^Pa_y#q8AWtfrxJe|=cx{;UYzg4@f!>Y9lO>89-JD)1-Gwn?sZoh|pFi)n~_ z>RQJ^I|BHsXi1HHY>RbqdZ`5D83L$FFE?biWF{^Xv8}tn<;CBmT}yYrID0fn>5l_J z-3aa{$!(KgH$Ex8mLiTdXm~69QA&Yzh@B{(x7(I4UTJ6bm&DK!ZTa?v>#cIVJe`0i zy`#weyYmIm+Jl}C+*G3R&?ph>99t>HYe0{a9#`eC5`*_l%&QkswKuRITl=Y$sd%ru zrZ;{o7$}ODEmOR2ojP+W&d85Cb{Plk_z9eJoLf?ONrFf2eWS_2B1mP0gN{)rAUe?Xc!aqo~B4ZL^TTo1!LDW5Qg|O z4LxQ4=E_OaC**R7B+IDX9<1s3RTENnRhu#S21J-xt;!e#g9VpTPj~C4r0zzU;L6YGf0t6J>8l}r>)mOkcP5x&+XOUceF2fKMnT+SorFQU%RCF7mX3L zW3?>i|GVCKw|o2Px6wPlW?)34gF_Wn292iFIO-6XL~lVuJV$hZK{D@U1=iU*c$ z=YZ${z}Zd;ruKlwOkJ|3$wAtOHNvu9_-8}RjE$^2M#Jx({f2hF)Kq(}u>$sWIDGO0%v zSIM_f{##$eNaOlp9#{z4K9X3;Lxisj*2f}+6y%{NMWfYBz^Oap;fCy0bi3#4+oU5n zno+}K{^V^Y#b9?mwlj1jj;ci?8QKEMR;V01N)uycI_g3mXDgJ0%_Xr68X`S0{g_DO zDbuU^w~y^y^y4}QlFnEPW&z`Q-EV+Ph$szZ ze@YbUv3)`zdH#GYl#H{5Y-3BAQ?kG<7q_?IY}LRHbEr1WY$0Mc3#+yjkCaLxbbVDd zuyewxlOqQAr`5V}{1w{C)d?At4Ir9+*{~@4Rx@l0Xf-hNqO+$9s;;OBwJS)?qLIi5 zmF< zEH2~)Pw!=T{yP;-a`QX!(;D}>`*dsO9mVNk45%G)#QB*)gX=~c_N!c|T$OF{ppp;X zeQ41aBar{>m3w%HetM-b(m2tKmk2j6nc+T)5%1=55r#>|Dy)r6Si_Nx1D!p`BApDbRT@_YxFJ;AWigDM zntn@%3v-7e1x^~*dS_FZR4W^Doz4qIKZZ_JXSCI3%A8hFZKvzLncid51%g-X?0OXV zw6^Nhg+&BLJr=Sb?9D&#C0);bTrOZNB2spd1!Of^$G={RahvmCnXCWiCQ}VKDQXtV z&4CETE)sHPs2tqI4n>MPn1n!t!xTh%oDLB0IHbTQiVVhcn_fo-5pwp3%~i`WqCS&{ zN5T}};E>Fo#$Z5VvjDk@iYVQ~x4#Rqp+-GS&Yk@qF}T#a;P6grAZ4Y(vxcNelQy^Z zj%ZE5rI@$5N7`;&+Zl%AJ?p9x2&4d@L4S!<)Svz+52YRc)?PSmim#l9XH_H98($;f zbmtgn8o2Y#J*fSE_4>Ep6Mf%PZ&}Lxi+5>%m<+&e`wzX~w|xJ2Q}aEzHy0XTFthGL zhUa^D%fA%Q%;-s<<_|kYG|eA;xZn=hs|Yb!%JANuttFwq?F$16Vc3IK2o6ppn&4eC z!97^!hMIonWN)Du?@)#QZm;5&%jkS|YW^81z?5lkQ>9)S0Xe@nUBfGWvbU&*(v2js z(!)nPZCBi0w>w5Y><{?oZl>C<>@Hs3_YN-#iX8Ad`jgyk@ZbF(zTPq{%7)zoe4GQ|L9t<&OFt1z^xS{%vozVt=ti%gWx{n_S@(aj|iG zbRDlTeRdZ(R%$%s@qdNXRsXD5Rr0}|{{w84#}0twR+*a(=rzT-FurwXj3fW(+e*jx zXn`3?`2Z6_U;wZ!NN8acyi4G|HM{7-0lopv#q~>Sa@HUIqb@ILe#*g+UX$}v^E7X8 zoQsn=;=+-*51{om|+vo5<2(9jzI$Ea(T>e?Q zRJ*5?nZ2Yy&kBvZkmBfK<9WXXQg*9Q&Li=3 zn|iXn7)e=ewUPx&5M>o-9U4@!$vP}RDH&bzM~^XI{#-OMN5=ItOWf=V#_TMF5{_1V z#gD<^M-=Xf!YELTq9ip`bbSOmW$2#4a5Q|14|r>5Ia+xbVlEjX2^EbnZ;Df@8%F*7Zutx?uvjePi)nU%vK9L=TsB9eE0FEgA>n6!sB5|D*Vdei#EAmh+1NG(w>CE)B4Y0L+@G9>~_zy|Tas zXb(6|KasX$G8$L0Vx8JaU{1ewx`Cc$p$z?&`(lE z??<8;W?!D}HbYRm{he#>FNU;Ma^(ZEbT-X*k}N`MA2=B^IlL8o?`26w?Pe-VsBqA> zl_JQ_T89qA@mW(~qk|`>1d;(k4~N?aL2g%dU%E;qGOWhHPLa5azrEj?!|Sc}uojnBGY z!Ci7Ncu1g1hR$fl1J`^CqW;2LnQ^Lg!xgi?KvmOW#9zY>WseuDf}NXKqI#VX@4GDC zCnBO@(&(0!;jxP=qGo%2>HYI)F^Wlc7D>Q#t&5u2?Af%%=h7tC?H*@T;1^uaEE@FOTF}LTJjq2H z0`iGWb*gAbdX!EwS~gw053?fP>ZKlENaLHvD>X!*3ob;g9r7v5eZeg8hD#f#&*Djo?$`jrHJiqBU}?UQKuagdW4 zcJp(WQz5BZ_O@a9qiLgVhk-0iY@%#kDIvvuxvEN%9C z{oZdSz!UL?q)El%aQl&Ydmy+vcy#|L5b|g1?!4<8_EFes^n9e1_SMqq_(p)jnUxa5 z{-Q(v^0AxiEM@0UN`b*ah37u_ML^RI6FtH~9TR!{CeD%c^1gkz5PrYw>X|@q*wtk& zrv6pHioowu549uWo94Le*05urFlvPpTZNBRuARFdj=EFW)aM(1sPXl6l`A~gQLweL zv>fmV-jp~gu)6k>yf5m%-eNaTO3 zs{i#|$RSMdra7;ej~`~_4Y)Sh^TlDUpH?A|0YP5iyls=a;+Iso$NIW>mG&OK2G_!* z;z`kaE}Z)+y3E$x1esLzH9D1od(`;Axz&r3;~$d_UO!fJ`5cloD*27Epb;7c+U@!&8 zn{N?d-z5MSY~cohrACK>9@>`(Gcv2={JFu+e+;Tg*2LEi)Oz%|^oiDw0puJne`8}d z3^mb8p`}7I`?reLYfTjofa9P~QGyAxQ!HE$gSF2MCd7C`01D$zhv5LGK%;tn)f@?#l1PE(RHHCY3tvWRVGw1j%>Kf;9*}Y*mWyvJ$1LhTP{4 zd*36%8bWMx=&Dxx$y)Lo3`M_D?fxMW2|N=K5&CTIY4Pf+AbAyqbs5kW1?JNk;-V>N zNGCMVxSZ@P4P=Sa{$iXqS^7x1o#=oB`>WI}G04#%Nm+1$xqBVZTH7DeX^&f_1=_oV zsR0|-q1PMQ*vJ)XYHlb>5R%--ViTtZ zNnKe!-)$DfJ*bZ@Dt)iyH(TvBCWp6MiK*MdU?Mv=R-p(teH}p#+2WqwyYmE=?tLtz zbGT`H@}(es*~)4h9Wid;w;t0UVb*jchgol%!{$Z($F*(zOJ5!6^TpLof1qTf1y16$ zSI4T)083W@{up26@4iyZJB{5pYkL&ZbjGAeUnsChdsMeVjI!V=F~Bv$w`i-O@WPVKiW6%sHcXdlNUie%LNfh2~eVdQsf#8+m zWF;UOps*<$bN>Mln=8EJv!H}G8cM7pwCxYY|9&qh?(6t%dSdmKErU+rTVSw47pda_ z9im^qtR_$u0c>Re{}x2dij3mJ;VgbHU|l#@OUppWhNSmnS{;#km%Fg_d%!2U#03RY zqg9bgl`RvwGK?BhM5;jOyQjsSzKjbg!oU1+mD#j5)rEaY-P?eW83`|>Ddo%+&aJ3^ zSI34@$&TIlfw7Qn0k+Fk7JyW-#&iD9E%5H^HT#tHiR!Di0aup#`*ds;cg)X-((x4H z{~ubhZn-SPwv}W=(%pI3m->!t7lqU9@2B*DUgqzrwG4>t{=I5Bg`CQs{vf#QE0eD) zMc!NLqOQJro4#JF&h&Kp#_UPKknzSlQiwVJa8NR zZyfXAUmac(^78Sm(_8-h$(I%rcK+l}DD?RH?$_J$@!O{<0>LLqC)f%%hccblVP^t& z7nl2Y8&)0PpPRS&6FpHlH4Ofi))mj0f1@mWcSgM#&wa76(Svuf;gf&+OY5K&u$_2d zp)9gWptv5HBwPCjsM10a*7JjppM1gl)wR1-)PY+RDb$Z!rj#EhSfzA=mjUK=nDO+u7OM zZR(gBTGfbOtMt*{ZRdoX$C+)X-^EJYjAbAHmS`uNuNEL~;Jbzw9{m}k-k1+PQ$Wo+ zAH>W~`b~gDF|`ya{^Nm=2b+BBc_y&pu;3Ca*zYW(Dl=MB|M9a}Pt-5kSy3Vd9(P4y ze~F!@XOYyCb$51{q#7pV_A0BHSrf3NRRVL3nu2_PkdW^qMNgIx2OZdZ9KP4%ye2f{ z&Kb|}!4E_OS;7V!3seblgxTwp6&#wA=K$R;8p7Atw@?_wl$ReV@Hmp<`OrGc1qK!n zQ&Ma-EfOl=x2Iolf*2`-nG__+9w%Sr%^+fAUna+3z7*V)PJ%(b5`+_U)W}|PZNjUS zbl`o-1=KOJKP2dn(}tL%h318dkYE^gq-qpB2hnNX8Vsw~hFurC7L%|-I+ixM&YEQw z6ZC)(pRf2Xh0VGh{K6y!+?yDV93)gxLnAH;8iKq+cV(+8`PcS78=9MTysW4&KIz}M zOGrEAEY6V`^J~F){rG;3L7_R;yp1&ep{iJi2NairU1uUZl2X?3SP6!2My#eG$r7S= z$y^j|c)-H{ScxdtTa2mSW&wTnF0Q{OuKyjfjt$SVTqy4GD7U%0<~MJjm-BHyz&7i= zMkZ4}EQAzuI`G%cituieg0&QR83uXVPy_;OdTBk4*b`-Q0j?;(T+m*apt6j)pexr< z0b`z)uqL?<4S}8{EoHMyBT_07h_TlIP_R@Acrr}kU4baIM#W;=dnDP-lN!DFs}wx; z&NxXH!n;hrXCy-mY*l!=Ezt7?;R#C|kkNrw2ZPj~JsY@Oc}a~rdf!<2k^oY0j^t%Xwq@NR689)Cw(b@F3H52DGub-QY6qmx>bh;&- zdAXdS1e!zZGk&wtE3Xydt8x~q*(&nriG3KvsvP8F;!OU_B6*cci|z8#bQ3dY)E!NtlrG4gq{xjvr}N2j<(& z7su?xT1ns5M!0~7pmyMV4|qiD)mrxq*SwE@k;`*72-KO{uZta}xXyGK_bR;O{4>PJ z*ho=qJ*r}OSn_H@IU#~fQ{($ctt}z+w;E(i-IGXX=UbGo?&gIWoj(F5-b^IF07R!z zEwTE(nQ;?1moxo90+s%P>8`G-k{;hd_?WHptw<%+Bpz@gdoWP9N3i!tslG9yh{*f$ zEZgd04pOCt!2*w>#e61fj#54vt+~ySHa#mb=Pw0Os8O*Ig6$>3uiRFM zOxjfU3w_@F?)0alt}$B<63HiQ9==Z8+SzIkJ!}E6XlakaTA?TdHT8;CDVg|zO(*J)(*Ii_#KZ_YtL^G9lfP}T_+<0k8H*(N zF5uvEyI-k<6&3_a+&K>AVvwy+8Zbb4Cclopx6S7fLd|d9-hN2f`EBxI-O1|Ijv*tP zDU?Mb@bi~}V5if8LG_b09!qcK#$Rd}NcBV6D38Fo>5DI3Cz<1+nD_qdKRH(5SdO2U z2;FV^=uJd;AXMvv@ZXa;p=Zsk6v|!bFUo9mVmI>wdk0}PY^Dh=B5`K2z2hJYe2x@ zFqYG=pYOGSKg%H}5|5zM zqxG*Z4qGV2)ti+5vz7eqFU{Y{nZ?7Inhx&^ZPt-j91u&J4lu(xM<)*LOibNu8`2&* z1porujp@2SRCqzX zSK-G>0|e#TWPVG`$;prBl=|}hNVAU0OOIHe=WpY`G#BiZjWO0?NqCqHqZ3asq9%Xy z0;`iuN5c;@Nf*YNgU?%hA(~UDa`@(kaTY{V`YVr2xqSCD@kqp6!x6rxe^5k$JM<2O z2&M)NrID&`7R6#ib;D)P5k?WhaR@a)s1dG~@NO2C!Hy5UUp%EjDxprpoj^yV@-QvN z>=hNAF80F}MYht`IJFGHr!;s5teAuyqzH9>yf?`%&%x<_lb^I|cAY~pqFe0krF9h>)JAaxNBBcWf#WUvS*m@*s%b#};fhO|{)L0<}?%{hc) zZ2nsdkTrSn`zBi#_q-JW8yIAW?0d>?*FJHxxVLtMGhN60uGzVy2gC<+T%y~!n)nE! zua`!OPM^0o5NmV<=Z~fVx4(@V3 z&=r>_fJu7nk6)h_o^!Z#?zIffGHfKLpIMGO<}UVuptww|c-))fX-{1YFX7SfbMJ-Q4h>fntfh?hH#5A zn;CP?R@RG7MXY!^%qN;@>Dw9}`k&)LM!(Os?0sBvcaP>ZkR;S@)*aYq4a`2su(%AZ zkX*8_sF}Q32}$0uvz}8r26}?MG^xM(6>!dPp6jjDOIfNgPLKgLbYF?=VnSzZsk&{_I{EHBV z4SnhB+P5LE$l_;Up_D`EHGu6>oE&XRS}om5#Z#QkG1>1{PTJ!9Wa`#93^j496me%$ zJv+rH=w*RQhNFwHpiFWv;~mDWy%3)0R^Yv%%a~wA0!MMnJTKl6wpNSFDB#|PPMoHW z(Zj$cGeC+RpLg57p&*M=9^ku_>()|R(OTkSTuY+ECGqj+$7x|TM+}&B{f+~jbkhFO zGxK^UlpQ10vH0+;30%cJr? zq>~dOQ(&?GToM*+usmK|%be&d+}4@pI0vYOp*QZuTy!0c2RLj=&-2~)6al&k;O$*~ zyM6bv>#C2_%Re;q@$w6qSAaqE*F!ge2;}gIV_mp=j95e*KWV zCW(kGQH@K;=ZTq#;z&{M*2sW{=F_(IViKkJGe5|N3XGFgRWUOM*G#8KA23^5z>6o| zHcu;k{5W!Cdny$|Rpu6DI-tXnE*tp-7iQWmpvh7^5lCsMg^`W9N)u|EA7L$adeh#} zq&N`aN`dWc9Y09IzkxD*NH z%~$wA`i~@~BT$Pf3(iJlJA{!ac z?^(R6Ganz%$DzWk4D5dSK@Jdcy~UTs!YN@A0CBUwPTrd&r;*TL2{Xbf!o8n>(cFJV z-lxRu(4$03WFE+p**6;qB{*?3v~nC>a~4J*2PEg`={6{JG@4mHm}&R}k%@#9ze|Zp z?~@gBRRUrNV%qz5Imwkok%+{Zqh=3*ONGaXDhgoxN zL`PIU)tEqn-Og|M1tK$~MdkP+*Hz+M^p-PeQGxAzj@npm6C)fSfH*?VR!+7mpq7{15XWr}AikN;C+bR9fEe-PCV@CF4aEqPiG&(zy zQMrNCpls;ay1ICobMwQ3Lga|Ytvh-Nba1oc@oi#bqn&{37rt?WMggI*TWRFOxoxvo z9zTKq7c+R;tb>^1C!$(a!dJ(sCB7@9>|BHLv%ar5o0F?fuxQS)A-gb3!KKH;zY-)2 z1a(aCwM{me9bEhi<33H|a;Vwpa|W(-_%vTnKo8|b5pBfU-wu`2Tx=R{Q`8# zDvb#mHmykp4(di9;?3#Sk2YRlAw`J-NZcIeXsdau&Oj}nxn2`P{g@w7#g^1eeBH(} z9vwr_<9(4cYoQ^Q9)}OoU)n5lMj|G~BVT(tEOK=cQqUDoz>t)hjv&X@hegx@c@Gj& zYZ@>>Ba^kzYc)h8G-OKS!U% zd+@tCk?Bav#8a^$>m0|%m;qD8rX~T_MPo+0vDRqtixe0E^kboj-yCMUI zw(1nF7+{7V(6)oLoa`B%Y$!o67A}NOTca1SQ_gtr<4)pzQov z&~!8u>?YzpvtL`JI=7n9e=f&`J=N5N@(Pt~6FRRr&#?|XjzFt}K@=fMH`1)nON&aXt@P=sNsIARVI^aL5 z^1>1LX8vwN;yAnO>~~0Q7D?yNm}8!gvGn;P4H|q76sSf8(?|wN=LX?Kd9EpU9{nKT|I~IRKvM+7G+k|MMhxu&GP2 zXzKq3R)r*E$d!$eM4|-XxA?7T0d)u^l$66rs)7S zO}t((rR~Ve5x}DHUQd+yPLTI$(7=QV(NzkguHb~KyZR>#aw4|Jx~Y9?;d*%|o)hl9 zPxSefIQ$kYpS=@A!6T{EwnVP;_9PFONAy5J17dKbsHO&7XETD*NC*DOlch(qQ)y}F zsUZF6A)2mW0u@E&YS*5WGQbdI+UuCv1D1)Rrppmj{lsszj4f?=02kw><p%-aul~^%d(wIt@B4_Jjk=3FU8^%w91AyL(o^uh zq8YPPh_9R9_S#yKCCQiaC#N3I9qbR%AInv*0bN!w-57RzyuddCQ}fc&5O$D9Vd&BF zgd1^}I61>0PR*F*<;T8qCFjfu1=fnN-_+T9ARgJ(9Q2#Ogki(?X+q}C+O{mTgAI0s z^O|mO7$y{l8w3w?<;z&QAmbE5b7AyE6(As=zN}RO8R3<{aTe7R-p3?Pb41W7?#b_= zG?mFf{ugA|l~W__>%AI9c#iD@ewqie!ZLd6Q2?mHVv-mV`#HhWQ%Y)azTWQbBI@+* z-8QP^HcRfO_Ra#!DlCx&wxfA%JE^acjb=iYm*l4S_clsVN$yAEI-MQVs(a=WwqYAo|0U4tg0ji8tx=$yN7c+fGE?4>>I^7hGT4Su18gugg% z=?>~82%4I!tQJ3m%TazJKotrROKSNonKWGf>{BK;FK67vse{_q|+7 zL5JeH_ZEr;i?bl8TJTL^EEWk|<64E6y|?-o2J-BziJt3qJD}kIkWR-_|0ka9`^yEJ zF~i8`z|s07D+G_yoR;;n|M=xF&9Y8d72Fi1i{R91Hm(qo2do^#i4QG2S9x_Jd>K?@+92i<9G`o~kGessXX4}Vlhb&wJpsoEo9 zX`m8QJsZd~B%-Y`h{Ps=G;SG7vLIYmOvP#}XW>t$`4%ogOF-e?9->NLoW84&skq(R zP)wq_|2nZ1iUo;O!&XZ5JdmKH5dsn|k%W|EW2~BVv#%b05i0wY@J^l!a8(K9mmrW+ zWZB*7P%P+I`I6Y;D{M|WS?#GKMN%I5T87aY6Iu=@MFAKy;j;`+0|3V2mL2E?VrzQ7 zp|RdpS!`rIQUFdB4CLqb#D@>ZM-$HuL`TiAAdH&H(vd*8=B5;{o(7|-yD=>{6yvQf z3@@q#P5|`}NN#g~Y*#mj4IzRJnE)z8J$mP`z=#_$LYE+Yi(5+kQ#Oj+>kW|zio|h< zfyP-++uTt>oxfr&g{+MK&({&MhxBG>4DLtNI*AFaLWx-;VCo6i5Xd^H~sdM&Zh z`Qm8ligusD8PGq;-u18n8%$>GI@l+}g7O2|Cpa`vKpUe+J! zpV@cE2RFuP0UKw3jM4ujZaOJ0W{ZMUd!pN=rkM8D(6+(V#WpynQcrA11Yh`z8)^)Xd{Ea$vu+t=c zIpn^(8tQm5=>@Bialm#{mf3C~&@4PIfKDfq)`W%Z9={#w>VI-`SrG#F)QaNhdABorwCL9Z#+kMi?GX=AxkXL9ZycXtAOpyCU&aj}9oGA!(lD zy1jS#tP)=KnTh*xsJ-RK8bMpk-}C<5a!)*5U|<$W_#>qJg=~E!dAWchd@maw0am^j zw8>UP{#%hvjaC)ojpR3Y*J2d)AR*QB+Sl(ERWx)gb85uM283+T1h!WRd$GyrW`y8N z9PVQ2mt@gG5rT@OQTQ-!vR))h7?qJ&CQ}q`DxA5O#3C5KjCw4?J8Pd{aNK5n<(au$ z0GME#e|@iIIMz74hDgPY1g^dZx^IJTFw}sT02NbPV17Lj9>q@BcVE#@53p%;MCtS1 zI7|@AQ*XXNfZZ}Zv^Ttk6NgWlXFf?*iE?=5dZGiN^BY3E9JYkyPctTndllVyQ)w#& zwF@B}>_@EZCaE_Jk>^1JUzn41MsQMd=(m=G(A5fB8 z0nAyQ@X+Ztv(>G9uxQ-w6op+`On5wIM80TcG$+IxKCagmPc=TpIMX8)< zzCT;UeK0lttj^7ih~m+*OS)UF8Wsdcryd|+s5Cr?{fnL#zyca*xkNpgWaK!8_ba%K zmT2ED(;7(|Y+p`gx=02&fjI^EHa1nu$;1$XxcD4|6wI15oKPQ?nkn~mhoXt-`8{{Q z+R0c?YK{@G#e5zPd)aR{qJ>q|ZU3lAd^@{E(RcyXPzBdydMblEhYfRH|ZSGTBWL!yeaItJ4>vgcne>P-R4M&ls-AyG-I!8I)_Y3+~M7;i0 zrquJ^dQ^Z0Jm+;~jp7o9cl56k@Q)av9IO;|=pYQYN{gw(03Z-%<$pnIHFfwXOC#FC+`%W8TBXI~$ zf-OWOit62sbg0`?6YmpHKw1axC)*A%fjb6!P`BOm4OYKDSa}#%P^T1SlLJcT_2iis zXz&J2D1*-z=|Ixa7O7}(x%=1{i*u^4C3b`;FQac-fm|0av6J+!C~?5pt~y>>Rk8{m zH*~b4Z6P~c34wkjyFK>Gu(gCu>lARb=?CxL-UuoEg@M+m&|6L1|l{)N?Fk9TtUNW=1X? z@LfZfw@6i$tAvEZF4{YEw~uSCEz73=@9>MbO;PNU?_&5n!-0U{t4A5JimN zZcv{fNJ4$5PoilN7+v>nI;lxSb{k6Z@P%5byR%KA7_QFLcXa0rNH_;6h~X{y&S1X9dTxg+LIk{K=;$2l1oVYj|H{ssl%C z&-+_f4tcx)c<4fQulB}6`mDhVpi&MG$O;wL$2LDGuAhga9YSvd7!47E&XnWkJqo0paa2@Kd$L8Qtr5tk*efLqu(Z6JHVUVYnY87~MPLwY z5?bo#0=+>{W)dtYJe(CjS)Y;Msfi94%tyE`hW~(YopvZWI?^6Hl%ZB;opbYvN-S8| zu0{t^fYhB*q@nmo{W#7R;Ih453)<0$*k>@aR!%^LY+%8=H|d@~KXY>%KlwKEM&Crz zi|p}swaxAd*4r@w>ys6%EH~y|ofFbB;T3k*5zeE8c!8YZb{a8FoPItCJ_H>wf; zuLt~Vj%qw9GJZWrQPDE714=O!nex2a`l_gMm*&x$3-#-j@&mQ+?FpR)uz0HgKA&x z^Ke&H`!;trrhZ$$QqAf77%`o0>^U$Kx)MQs{}{|6+OWui%ON^g#=w$op5kGTs*(TW zq@Y@mB>0OIm#I+ES-JshEc1$TY^5Lf!>S&4Od+ZC_FHp>O=!wdpRn(sNHR*5cvQ?( zvy$%5{l67}ca)YJ@Z25GuO5#0LEdiG8@2Kgg>vDw%J=DcxNb zKG}$u63Z!_tXIr(+XS*KxXioS*8C|PB_*(AF{4B^CEj9DPz~i|b*5<-k7WN$--zbd zu*9kCC#3l7Wrrz#sN;U>$L3jg)@ptm4x>)CJx=>5q{G67j3Q5celPmCJdqU_Vg{n{ zmg?@+t+>C}YQ}r)NX5JTmhAHj1e&RM!h*!|Ufz+XA`4&Lo`IRy3I@_}aPh~!B`O(( zzS_X$3XTH)95fHw1J8l@3yZXmH5f2Miq%1l)NpH<+2HGCc@X5F);g@dR$;~jm28dH zP60NH-kCh|M;dFaln7bXymL7=9S;m>RSP9*qkARLNU=a&q6cuGae6FKkBxzxvACb{ z+P%;*n28^fFse!amo^g-k@Vcuz@Y$Ci|(R1#7*^N9pQ)K*_gTJ{~pfWdqKZ|tN&;j z3klPIUgbm}k^7e&P3>;R!VkaE09IxfNB{834*&4VtN)W%_RUeE0Bk10?nqXNTcZyL z_8Y2$P}>47hXZ=8+b#5pEVoT*9>M3+Cx7|k3kmD=^y7l0V66PB>#&vClE1JK`S|mJ z`5$}2zFYs*FPiMJeqSGcXtDCE_@{g?#DntAN2&*Rryb61bm{ro2$f}jmIxeo;mpjx zdZLIx(|3{hyAZkCqdc30TNt;l_wRO%PeKG4j891Be5pU9rC#AY##orLb@8npa9q}BC71JJVdC6g+5ah*p z3otGgIFc+pLr3uAJ^V5rFpX$$m5gol_pW+y!r*pztuv5?Bv~-iy*tOi&z{!2kRf=+ zUUh%Ng@EvImpbb|SgLCZZqep~XU*lCC=OUeV1CWXhv4rWH>) z<*9i+uomS@IPKZNBxa{^TI+~f-a3o6k;Ulr^V$0Ik?wK|`u|8@>E$U-3M4W0HRkr*)uS%IIo+S!cYQVdcoC zk%eD~8^NVS`dDkZd7z&R&o^y;%eGP?((fQ&UgOAK)8C1+Z0Aw0$dtUi8#+yv=>Sf}W#(xL$`Pt(#cK#Nr8K5)l4{22T^SAVJVA zMWw;)95yVH&teH*PnjA#;W)U{q7k$l1>>YeW}QDLfg7|n-2iP7K&l092tkYw3O-Y5 z01Eo;zY01vE+&MU-)f+N$Jq1W=dRHuSRH^u3E<;2)m-qeYMzl!$R9tPBIWG_b$Po8$ zxOx607Z;`RbiZH+zU)8z$zk^Ks$j5zj{@hrnpLF2ug%M3*4~J!PYzMlx_qxoib$*L zl+2{W#YgS3*<5EPcL|`Np}M9vL0A@RTE9t-A4wcijk- z0Sr7Y%PP4}hni4Ch9F>{$LbYU77qic>qAKb4kSXxGDFh>+^oj&55075alk&xDhgP@ zA}CNtWygP?HJOUONe}>APq@ioBds9`v^{nw33l*(VOZi0^!+ zz8zF#uXe}$rV4IMU3IYZAmLTwnmW_vSBvbfF_V9FqqR9L#jw>5zl#sb-JKjNFa`!QdIeAbz)QesM~aUz>R7EbY~w93pdT;hOZiYe z2^px1&hH`Cx*|}x5V<@t?s9(DBDZ0>8cxCagjno@c6|fru>F)6h`kC+j5|5`p!Lqy z%<(xdw=1u1)+RaKsyp!lEmBo{b!Z!)#qoz{3c{mA2RH1S(w zW1iv({-S&-n{7imdXEC3fDp$sd}ZN7?4n5A(=@uFk)J+o@)%QvAUT?UM^fm#1!lh?DCHikoeuf*C)rVN$$C8Eze0oaulU?yEeg%1ABD13ysc5!&%m)s- zqK#NHzGz6f_ER<*`b@RNS51o0Bgr@T+LF1@W*>Wy4}}&Ux9d+K zF7G02$|?l-T1>;pv%IV5$&a9s<4n0m#+o|!d*U9O4`L^}Q|k|2n|;ZV+Of-D1cy7< z=+w#2sAazKd8{>CmEET_j4y)0tgF3I&cvT+kJ%e}*mJ_ykCpMr8@B2D?l;AO-> z>}|tZocxeeK^9?YYsXPQP4>7f_CPB$Q?87tz^ZLb%zpRZz$(eE8of6J1b`J~%d69Q z8OboY@80XrUp7y|Xb24GGM}FNS*(b?|A}h!sL(@wNg%4SaA^!pMppJF{xW~xYH#5Y zlg9nsxzUM8nzytVd( z$*=Cv)jm>$N7tvZX@P;0nrcii0K<93^JgS)Yt88+X+8%=8+>r7?&}Kt!5H`}#YuMS z&=jzcAY}5HC(L@-rvYcc(O@lqVO5`a{V8av{n?a|JJ2?`Rw6qjNp%8u$*U||qj2=6 zkN-xwI)&y9Khxhn5D&n(Ec_0(QKMFlr_dWclE8wLp8&@JqS7-9Pc<@PXuk;OUi_AB z6)*SWe*_$d5>=~$-Q4ImTVraOmRIfo3*8161+hH(bw~Hu$V3N1>&zK**WIo0uY`;6 z2*{}(O1M?3ke(~E+n=U{Dz*j}{AInn&J%t+A1RUgu7s_%i?r_Sw+S{T5*Np=GFdtX zkk91fVP^UG=-E3=3if_lpox%F%IL#y(cU{9x`IcD`A1HEsxbywP-X4wBwd9UOw-Q= zQ@Qpx--d2K2w8oRHnXf$@@z4!^D}aZnEd=9mqTq%G+T}w2iH2_S}Xq(zo>i|pd#Wt z0YK`jL@K*uKUgi5<^wzY(%TW`N$+;btjO}L?_K^?1!*Hk#zbMhZ{nn2t_TP^b#C%5 zd5rSKkNIo(!J}cTk2|{CwB_ub%X3>>(>I~dVvYZ-M=oncfXn$B6c}_*wdlGs1-?k0 zB;Cj5E*6LTK7R>^nW>ePdF>pw356Up+Y>V+|6T)3$eX-!c8mW<0|>?XO_K9#=O4gg z?Oc0};O>U>>jk1~=?&>&$F+9wJVAcf|6l!AZ2QvVargD!i9+Y%?tD|ou+Qiny*SU(}3SPi&fx3`G>ALG0; zxLGhw=Eo(tP|Vj>?N@O?o&zB%CC++i6xnx^r=vA1V>MY@CQc6KJKq;H61ZjsL|i}g z0f&_$*jbI#oWHSAE8QF zXo>sI)vV0H$rET%%6d;fO8C;&I1NX!^ggqoB;0U269WmNd|H#t0M(b(*EjmX^7`Yw z2d33@ZwYA=>PfSDCO!%~yw?3eR1W53e_aFM?wAl`SrSGP$81<{1xqrnBImpPN;2kn z?9JMwoV}r#d1tgo-|EIMLN}@NtM+4WYH{HO%qcZvH@zA z1RJK%z&|WGEx~jS{Q0TBKRaDI7_VC#c6Y6;FSX^^$l^V1gbK@IF=I}6LMDwm`kBHk z?rdfmP7fX4n8JzZgarw4)#$(n)X&2{`r&V90UEPxVdVEfPz9W#LKnLNj(zZstG!&9Tt+zix8YodFRd1 zm@ZKh{nxyIK5frPt7*QXm|HVL5(;Z`ty%YBSci8bFldu26Dduj!=umI-+d_`0}`Go z_8C4=`w@$IrrXmNMVVR(>S^@Oxp}dS3bMy@D|Xi~2l0hAp@AJPpgPv+pyFg#ZjrS< zXK&$5jzK1j@zmHzFwBqk)Yw=s>>2IpyokBwm{-ulJ)U8y!eVY*t=G&6yRuK-?}x|l zEjGLh7(GrLe@lqMM4(@(?O)`+h@IUslF-p83j?67jVQZkWLV%J4;1Vo$5Y7atJ0RN zDG8U|c1bJ7@Ff6D$S@s|;7SwtE=7^sYfLkksyh>qEV*!4NbEjU)hNIL!>h{L1=UD! z1b^uWPCVLp%5?THNj~cOCPpuHilpVUMyN>BFmA-f-&rB5lBPGE(fnn&UR;Kr16 zt}mdsgEp#%7zjw<{E)BlREt{v zFe)v0-@im4FV;Qd_%fE4$a}peD1;RKwc^Q>?Ja+7 zh}+;pkmaWa+!;tG_s1ibNsF}x%llbh)hV^PG~gU02{K710?Iv}Ojbdf@0qT2XuZ$&5@TVUfszK2V_=1-vdU_EAyK zw8nUo&F9=PzAqRL$AGMY7$?R{2Jzt!f2jev?LB72b-M2H9NP{>mJWp%KWN5ef5u`U zOF2Z}Akh2sEv2cK@Dv^-*Y0Tt2F^yCJU)L*0_J!)K zM@2x%+clcHwhRlZTqHh72bdR6#9sOmCMS$l*VnOh{5(Zd8$F))oM20_QC<1t<}jV!q)29 zg!VN5d9m4v;<9fwlq&4@q?8vrmuC09jWO(;TGId9ueYVNcAH^WGi7VEPp;o9seP&S0stP~&leqcy_aa} zdrYpIECbJfrZL5MJRcK@&d}qW{1@QgoJ#=|RgdkC>gB4xMZNnN9DMF`7fu~K7#{-+ z-`ZDQw=rQ!c$OzW8FPxpoMrxMfOCJHU(^GT`VpYbbSVSo^-o`cbMUUXC}^FV=Z&1_l`iXI2H3DobLK!yzpW%Nkt?Kx9o5n^HmzR2hsoCBo%MbfpB~GHTFnf{0 zn*BTnp5SU&!J~nK9T~NfN(Y-{?w?p7dtOOuy8lDiTSrCNZ{gq4-Q5jCw=@ic0wUlL zA}Ju-QG}18eTZtl9VeUi-Q} zn>}3s4Iu~xdCWe7pGqvlIOXA}Bv+b3S_N_8Jg0Ht9!8JfBxqnhVd@iKnXwaDW)o}@ zkBk2vk;bdo_lZ?LS(%Pi@CFpZ5N?Q}5g|batrzUhQeL*+c*d!7@W- z%GeOxn6ZOSi#Cb^Re`uc*NGBL2#F*@2b*U@uI2&&e?eL3g%Gp71FP@Ak6$Mc<2#VmNXtRBF}Z0V*5n;ix^ zyzt#{9?p5Ny%3+LzO^lZ|I~>(ibJ0?<9%bblVsOXh*5cYSz@+p_%NR`Or#n5;V>}w zVGiF|Hjw@mpJ5?(Ge`Czm%rye?c}N6Gcc18s!<+71A??(9Z9Z5J3|((4eI3=b58~r3L7JL zWE`(@a-v8y)yXeJz^2h?SJtY-j47GxquFn5siTWHzq9auFD3fU!V;mVnD@apkXJy4 zEv0sv!;-40HN6!CrgPtN#(7^yufD0W@GJV!o)veil$f$dfl&<3{@h`)6d5v>Z~wHJ z5*5cT1^}$7tE(diu@)j65md*sR^XiAmql-aMv!EIQ+NB*0O#z~AtDIYDx-NJq77mj zn)1kl*)aBX)duv}^bQ8;@r|u_22sa$x$YE0=;qvGFq8krj|zYY!kOSeosmkM?EDtp zOj!GcFjU-alaL|=8#vOO@Ax!_t3~js{3EUZSLNNkpC8`q zW36xha!pD+Xc>pM|LvO0TeTMja#V8^hwTLSgE}0u?l&B_{IOjpEk`M7F|{99fXS(D zYEn7R(%}8D6grJh#MkZ~#iJ#H7V`*B58{7DkAEk$Y7*P-&vRP8bJKgTO|Z{={(8Tp z=JfrfX0=0bOUE0;l1pa%(@y`RmISdoU$dI{V_#Q@$pkgOL}?e~>+P+GNUEbs$%di*lIUYr zN-53modHEYUWHjKbh}c&5yeqGs?QJq$?e+KX53^p^?U{w#5&yD@CbcNsEtXAUgj{V z8rLSn?IdH0M5q)Eo7YuW3S)&%09{cu2WB|-xL=?hM$VH*O+^+;CS(cfL?t8E-~6lx zry$nc+(4!xR##>;wRTpJ$H5XCAOz;5i$_-VFpB8 z*lEIsGa4kE%P{!?QL>uHQGr@a@SJuGJnhX7!SPR`hyh5aGjCYfBlnthqt|_xPp=ch zusDDo=1_1EPC~tt8-~>t1;PZ`TPDYxU~{IcW7DPp5ZPrI-T)AfdO*%;e*4HQ>D*{% zmZ(}h(N={gL=*Y3%&O(I*2_GrzI&Tmw9u;K%a;2Lsa-+^{EZr^)+Dff0%=;}n{v+h z=|b1|l^~@07nj1X`=qJ^o(OB1L`~-o$jFn+7H4U4v#KeNua=R)B#lCIxIedKcP}r# zIn+2_j?yeM#|P7L4xtIS&(LjC>_Q z!I`$-x>|jppOt6NgFAxLbm?5eA3mz#C`L}64|BpGX1~MHdbcRTnJd7NQs;UnP0sh) zJR7n7X-x1UyGPV0!GX8e@U}%W7YkDuY804Vv2Oy*`d`Y8Zu!;GOaZc=lPU`j9i2`3 zC3=_bYW_+4t4B(P`!+<^J)54kzTHzVk`rgMo!q_gBX)fORgEQY*-N<4$Zi{mz(PnJ&+p*Y7 zD??R{DJAuZv;-X1H_ng1&YLE7@fqo^}!lYkT_yWSVx60SQ|HqyQLk z4WM`c5}$yaW9-F{Z2k{H*}l zatvu)cai^5@I-=X651N?ZUR@9b2&UMwub$Ogbx!qWE@|uHFal|72`+=7N@E=e+QGzdN$U z!O0XI4I5)&&wHbBF*dj71)gj>d5_;AfRnhm*d#9){rOL?`Zvp9O8>v|Nom$e-2n%_ z3-EHkQ4+8JOBoKlqzJ^9yJ8{0uV#92-(a;mUNoklR!oAI)fOsu^C)0#@pkl3c!cwR zyeM!J2%cp^^5jm(6OBQWtCJaU+as3{`f##(iAqSUscR0R;v9hHJqL|iBwTWt!7W-s z)|!HUNh>xgkE}~t-jvXQsu1x(&$`)*gM~2oN-|RpI#PHhs>mI_WLMJHL20pF<7n?Y zbs1rP@4TRauYSV>e)=M{StrYqAl@Cap-@?(+nJZVLqI}swc2s8c+7h%6mhWFS@RH# zofTt>2v4wg4zEi=w7`RL{fSMViRqIp3r;imX=WG}CuIKOl#p7N zkSZq@@lmly*$0E+b^;I_B1y&^IMOtkpmI(mnJ0}vb-qbBh(BL=(_82=ld?HC1Pf?v zpK{nT;+UDs^LS9cT92+4=xsadd_+Huha~T%4XmknSXewE4iwwB=adeDeSQBsl$lx)epPMXzSYUeBJ4 z^UQsUqB4Vx-Z`t0E5perymO2kEjmzt^SyIoP7iNO-nTO=f#&BXl~Q?qelw$jY;u2j z`jWP_0!Bhn#Iy1p&Q?OoG-DB@PNZx!DoA(aGT24Xl|!^wQ9NI}<0XXzN7m~IN(7U} z=dLl~ZhFV-N724}j=r<|yDGPGD!{R)w3(Z#3;P4$Y2HP##VYAynOq~bX$->>M#dha z^~pS{M#MbDAv-3^EYC&n{hV4B-t_v7gN6~|vE3oWU@@?V6n{8oC1zT1PEaaF%2LV2 z+7nlpge5t}+9f4}wg`XzwUUxr@@&qB#=sh2`?Jgp#cdnUxp}L&T|Z*CUveD8fMakSKdW6*>VKBrS()J4+pTNomGNn#cgt}83wG(NyGAdI1u4O?VgI|x zi?(pt29nAK&h?|_#miE0*Nq&ShBBbzq;Ca6Lsp`_$-v|I_!wbTGgTn_t_XI{X1>|MC%jQ+o!cyKA( zVc4P%$=zz;)mf=p)pN7JbuEUT;QD%_)a$EC8JFYVyzs|ATaEpI&}i(@(N_lxKr*RW znVlrzhSrsP4VRUsBf~qDiXTRe^ZQz(ty}jOO0uxdaDv5sjm!r~(@+`2Y=kNlf=!`e`CON?=Vv?Sj7204Fmgg5 ziH0`nVLtQY(#!N9{_^?!h|t@J>wEvZJk74{;Va%;SGn{{UH8Zgl)H3iF&Ftw3D0Xk zUlnCtn@ooSY9ab|qnjqF*ymv^%V82K=;@ezJWC#uhu;!RA$&ez&K_v;1uRgeNjg^U zeq7@2y_)e1&s)8ofC+hXL8sZ8zjwTRcXUjL9!jYDXn7^&=bIB=s>RYTsVs zR<576F@;*pyQGHJ-u7hHpTWf}XUf=wE)xbkl$)t&zlcoJ2|anD7&^0ag6FKIcl>n0 zh*&WRN$zGQ;cHobylqSZT+$j#D)!$EqWz4fL{EA{BX*dh@N7AB(@9%#KvfY2q?2G% zN;qTQNQ|-C&UI7ZMRKtU9_g{mv+Hui-ZmG24&Z|-U*AGmI^E;V*oQu=W6wzlcK}<8 zU}U3$OHu<*{^JE;;bQoe?_Mo;n^r7;1lFHqvWL6|(NOx&#tiZ^mr1O~3`P{$knot# z9858ugIM!Mfc6&>=6Ls#X5Bu|Lv(6iU?bU8V0vtx-n)YUvlPcCyz8?tklD;mR ze&ls|E3{WT>piLO^d+mYdJ`8ye{OzYIk_l)w(J)qFKFEWc8X7dxL zi>3~ZtzY*mgL$@gv-d8f(-_EPCKF0kp<=RU9{m!Jsn}V=yEzOnF#TQxK~P9E=>U%f zC0yO!4lN0r(!8yrr3_$gOYT1rF2%_bMyGJk-*XpI#M)Xso0YUmN5m)$$a zE@57P|JB5U{1{7&bYL>1)r%p$ZO$AAqd=)nRzNhw7yrfb(kTcC`n&i$=ieQi?bmCu zq=wRQDC|jQD3dtPrn*9ZJ~x}yK?WoRilNLdxUiLT+;*i^eT(-xZZ2%H+_3$uKdC-J zuX~C%*oI77nL=f_4VKpq;2xyH=j2HlOLkTqBq?e>X!vh<((XN-^nChu=5xzn!cUXE zLA93#5*bfljZHOjebY+zHJHzVa~!WT(_w4f-TdI@N+n2&!$O6Vgv z5!T3h*fU3hnm;pLH-(d4MfCI#M#nHw7eBY#f0U}F zWv#0A(u45n%L14bp8JXF$r`@7kwL;pDKE56RvQ$QlU_U8DcUV=_PJT?*_ng!Ed|jR z|Giyj98wit<>f6UdZZj!jqF)(XWamJnOG;0{A=GCG4Y~Rwlk|bDwpaT!gVoBsQ9L! znDwM}uGSeK5E`G@aVLu z@^I6#+c4YclCw-+ox8KZzH-U$z}_ks5_qlh6xI7WH1TL00|Q=7q&u@g694P~1-5xn7%0P;_5Wu{Zgin* zCfWchwZZJQ(?zpf_i^9$1Ut3!Zjam_jf;s58Q-?A9{;N+4|~4!DsXS|sC&_W%$eli zP@5w*z-RdWcC1JCPRzTDKqlhj$B%RisWehjS7PtwZf62Rv-}2Q37VOK>!6!&$pR8t z{;iRo7H9y#Kz8C5ne?vF_{yhgyZzNeSM0k!xq7-K{LmFU@CP4U?jL-2x;ePF@D=`9 z^#9Wq8%PGrDgzFCo5sD)HC)U%9d=!)k!yB>W}<|2nXvcoHwjd+uhzMvNIz{-mQ+MD z8zl}Oza*XJ>hmKjzT=r$K%Gejhub3NXD>Q%9F29m}zGlCgD_Mg1+qi()pVkg&NPOvry$0J9V{7!X-prdDu zR@;bvfo7oCGg2ybN@A09C$RkJ1yqGt0{XJ(_oT9}gzCCUAI!XjRJ?OULvheKSrGvA zf>Fd{9N=cWTMkF!QQbrn*=1431mO}X1{VR7y>b@?9H3DD==s_ck=_O)PX;iJkQMW{ zkt7YkXbZc*>>=RRIek!~4D32xF9ckQcr_;xKJ4kSU zek6bLQKx$H$7`M)$ibGrT|Ef&s9>ipg$k1f6pD%YrVR}L$ZrN6+D`pmWM5T)G4|542VJl6HO*J$`Ig9%+F8_> zNgykB7%^brox}qgHtQUSuivy?(QfY-i!THYk@%7uZ=$az9Fo0X0d{j>=4YJgrMC)0 zC3WRQ6u>O8p~)oOX*)B_DghZ3gBPv3YVHgeOULyH-u{S6OHc>MaQ(LMqkwU@NIC%LS#`pwo%@Q zCy$!g=rZmkoycAt(L19;U>>m5NDWHBQ4uR+claZj!FP^5{^2&jRvZHjd&f5+x-lFO zP#T^++d>bM|Ca8gf5n?*DueQJM)Hrsla^Re|&-6t^#A|^7VqM z)^@?zxp5j#SXeNX6upzT|3zexcYE9LB~(07J#;H#T%~49It@trn0N%Qa9S)ayHepE%jyA{v8IJ@i4iNx2Mq9VbzWb)x&M}CN z&Tv8BO~QD~%J*Fk3V&9b7FO7_l_viV*8CUbr0yBnY$4JKe2rFp1;~^ zEdX4?+*LZL04NwI4MgDSB|BgpUcOD(Wb+ZPRLdjaX=%-joM%hT2loPZTag3@*7O>r zD;$BRYf_TKgO-5$Y>DL&c%RdHMdP<#X*@Oa1SMwPR`h6_Az(VlY{&W^1Igb+0NkWD zyTJ90!^OovG0W@=?M3(uvQZBLv6OgEyXD#LhAaVr+)b|u%OcFI`M&v}oh0+{B4@(z zAsN}o$*!4wm_MZ^)e;XBcZMxMX}-VZzeNuC-OfO7s{o84xoYTABJPaN3*;^Z0a)2= z+~wB4CZB(c+W(Q*gAIg`-sZQS%e!AcY82&qugwVg4K{^#eCpg}>>~wfCOpN+yd4;4 zQ%mB{9ncB>G)Tyl#H^4qCPI}Y{o*V2LU4>+sJcL8ja=tb9R*4*&p7L;N6N_PqgLxF zff&HMSFyq57wL9H8uR1lnyR>S5>EdQW_bDz9r^cX>(6qD#CwP9rJQx6$w$x8xkPmJ z(5UpYflmM$Pn7^uuxh#t6FdXIiD!pPzF_c>(G)J9*fFdL+k1?Bl4(c3uMOz;ba?Xv)>9Z>&WoWfJ1>OwM>n?(06nzJ%Q3u-FH2F=^1y~A4SV~5EioQxY2M0qq zDGVy3h!bHXS4@+z8ogJ+Xtdok(ia*)eQFKCWPp^~(o4bj06`Sac9I%97^ zJBC!fl}oFwjwIVP9V=g419X$%rTDAv+ShX-f%!RQ6ukWutS|K;jXWV(R9GI{w(}Xk zENHrt1<1J)Sf*}TcO3uNTPE$@7 zj9U?M`Nh(8`Ir9H~WmR6<-7X|x>an*6!E5X>MT{)L3^&k(0JWL=Vfy5u{kjZ= zY*e(HIYQb#s6*PyKv^ZG{s%d`5{YW`FD9sSe>-oFqrA%8XT>xpNAxUq|FAI ztnV6O0?9h;087RJTNAj-UzY6XKW^Ff)|ok*LC@lUbo*_)p7K?O{p`_t8a@k=TY(8L z)cUhS?ef7M$YDa<@y{*&{<=qSSHOY4TeTrj=> zs2C!W8cUP@_whT+dNGYo$DSW3qFb^b<%%P2EtRjyy3#X&kwHoLnrdxXGQ(=cB9cT%JDKG4S#78LiP`K+$w1qL5&SU;Q4rL+vQ)C!Pe5FZ zAjbW5wB4U~U6>99DHV`A`JOp*y(R$uFiuXWX&v10vxpP$!qT&4A1?R`0s}u3c%|1| z4tV)dPkPL!e;L{yDq<(wNcnvWS+9TH=WXqO7t3q5V7ZGJfK9>B( zeX{T_g$r6o!s+Nh|IlD3{htkX;Mva?%UW5N;il|HUeparz`8E_sh>WMkA(q5$@9YN z>wj~$hJi_5$CTX7@j?6V?^ERYBO3p1a~(HOPtWIPeGYbN{t_hw{E*Avp4lDBEu7!u z%Z1XV9v9zrX&vs%`CsD?Ivh?*@4tJ|zOu5iL^5Y?)^c=K-dWqcWDu@z2QVn#@8u^0 zAjY&eVtW@VKz7D254h&640TSdo3yM8mz#msTh3^O|EMif0zm%p6#?OY%R;D22Int{ z6_u4ubtb2U`uyO_^22SRy-7iSWUqgszkiu9jg8|+7H3)40MQxjY2n0P`{O6Cf{Jw^ZC&brr`ADT>Y zs`n%7Ia3zgI@~cGkskYrRW@o$V1|!McB)q(gETn?pnWg1RI-q%iih+@ zg9_1~iSETL!O&BL9inMhL<6jh$Z?oe9O{PfR;y`j+H^LKnR;`MQgN%imuI+G;D(8x z{~d7=_pLVi6)+ye(4GIp@I?Lbj?rE>J&UDOqnB67=jzE(JpOY37DF@2my^=d`Z%Qe z1d%+c;>5`a7vL81?ZgT{^{rry!xz4{`583_gXinILR{rVzdt#Ycdkd*$E5zrOnnoA zS&3|3kEx=|SPjlWp-|yyYsb2Lt0Ca|ye08bo$DSqHh^&!%`=ngIn@pMY8mx4k#i6; zw)OnczJ&C<2caWV;RC>~%9~Oc81MbsDs}BArIe%YEAVFiJ}@@0eJz100$T?oF`2STu^Ho){QSZzHdDE zKN&Oh#B3nLg?Pm9epS4DJ#XR6V1id|c*c!xLyJLWRqlDFvm8CxeSkqqoR>(B1|$;W ze^ziW%sT9$WBYfn7-kCy0fDEU`9I*CvCABsYG46&aBRiTCkR$dYCP0uD5fm!y*Ucj zgM%rqK|2*co%xPO-@Vq_RZAu6+`C+ju?mLh*BCJv%%N$!*K}wi`>vQ~= zDpM%H`Q)Mk=!vu5UN9L-yZ|o?=_COQxeQ+`vS5w~ftQuz51r9ST@6?>4g<=87FqtF zd_t<=3jP~LbgpDSY;?LKF*G)u`S>gFjQd_xf3Ul3 zz?Z}F3wT*Quddn$a8h``uH}X%TTlg@;5kuA7b5il)ZDgg_bOhF!>!hP>APc=thr^R~_}CtJ5-M;yl%pD$ca*4`I8eSal=wUCkZbx7l1^>8Us z^&!;4=2h&N@UKuyX4`r54U*qQx$L){{%L!p;lKs4)$_n}ytL?=)kQ#N4gkvQ{>5^Q zlVu@zxn-Knx8XtjU^oZ*;5wPyDUG905ehFwzGy{_9qBJe$!E@mj}yt*i-c;0mAc5O48Uho6qo?WYe3c z6_6`F1I1v%&lI0PC`HR=qCU8iM@H64Ud++4*>rS*!?6GlcY;V{`1X}@4hpZtCZo)Y zeMu{F`4STa96Cu8CbkN6RuKk$xl$nvTAnZGKHf;!cyFz{E36tlc z7tEA|D2aSzO3MtGm;K4nLWaIhYAC>?2vc?Q{vZTzegRT+Rm)dd%&TKe_ETtL{^RRo zlg>6E!$=-QN}oaphd;mueJJTOPJq<*4jWeE#_m-}cXSXI@2--aO?asTvl>j#Ya@%= zKxmsv-zbx*jZ1s?{_92`SoIgiL0>7yKB7v_hro z+-%V+>uQlmoh0fTD~E}E^aPbF!S(7`i0`#QSJ#e%VN=Wd$jKRTm(M^ZMH0xQl&Vm& zVSb`SVuI(_%r6;u{+ zbbF!Y1{7%BX@EgFA}(xPJM(li6ab!+?zg&8pU(?MU+<@{MDb`^4i- zE+qS*d zL2guJCvolzKNw$S3WI#dw`eD10ykAj*%TlaFGiKr`;~_#ohjBxQS@d=5k5x2@J*_k zz5v+t<3`$|NN`x3-e0h)zArJcdJ$dYdE^7}BjPwD01I*N3NNY~uWqsCgY%;Qf|3Tk zd&Z3U1vHYRC5TRM!V5nsOa0!1mruDl^Sz9}@_z`vTP8G=E2$I{(5QA`B31O1gQ; z;i1fV5VT`jYM-!h1YitSD_l31&a0<=8ngWy6WF%T{!oRzPJY$@)qPehl3eVG-4f~O z%r<^Yl{@;v0H#%H6gtRAE&{+waVrz+Ks&wU^KbH#kBK9-+~Ft%p3s+=Tr7Le;NgI~ ztRp$O)33a0!o9t6m$`C5pqWOyLZEBj{kZS4aJxXT(oeuJdj&&LJvuG-$IiSwEvGh7 zrF;6HrgZb3B2nzxi#IjxKl1nCr-QdxcYnsR>X+r4F5rv7i&um9H5)s?g(Y=`eFGek;2J-&2(_6;05qV^E1EDT`0R9rA;7&O)DFN&gEA_iRq^#1zTTBEZqQ=jd+hm7~>aV9#7B zrP=4Uii}51`=i6~v|7%8Soj2ge`QNLZ>SU1!F7UfQ!FFPF{@`aS^<%({!NnLt&p%F z&(vCEuiL?mVH1`0C@UF%XMo~xPmjGdp@5Z2&>pT-Mgo|cqgOKo2k(utvq?dyCT$LB zk7Hp~DkdhYy8_0qbx9WZN6)l=+n(zvS+T5_n2%N8z#Ij1O;bOme+CtBBF_++A=iB~ zv`iM@{zz4_n)C1|)X%DwLwtCzc)AuuBn%U(yr$RF`cBQ#OK9=#2lrFe%tznpoc{y~ zV)lY7$U~}$cE8q~<3ydLoN3{GiYiL|d1NvPOSCgWksX>p%hoDmWKJK!BTG+{?gK3Y z4yV^t9N7XUs)WBC4jI8YPtmD3S!bDX=~R2crhp!TLK1e`LQEaV(t~9)Z5}F-Ju(&T z!h8nkMOA^QlY;h}OmNOsn07g@rfx^h+X2wB{mX|5zLS(VJ`Rayy};PgDz8V7itvTQ z;N#Tt;7kSiAQmSy^l}loKcjcbqI?qC#z@y%o9i{PJAScO#IrC@7!* zq{2|nwV&`A-M3zw1qR^cCZRiSDF~&Ef2WPS4EtEIYe>sbGhoE%6rJB3k1vMdDfXut zoxmNM6X!pFBgBsaM`zD-**=iWz;t01VEPCnbu}}Shj7`<&k+#2KJP8@dvQzYpDCmd zy4A}iK9qgcDMV0R5mg|&4x;zC2B3h~@>=wW2FDTANHWLVl%LN;gX11ra^*L3mk|1Z zLwQ0?@4KAvLjfi@fow!EDrs5Sr|O1n6~Hl=Y@yK+02q3Ql~d}6K0Ce~5fZfYNvC-k zN^u1=GaJ&UC5wEi7HhVpzruZ;So4Da#6i4F-*nA7Fnak;+TeQ`M!Mh3i#eou4P8bj z))&4ICRA1yBOnJ-lwKb!`HqVs6KNu7U*!&Ue}W-@{fOtiW#oN{edSC1Bb?}uW5;Ho9Nh=9W#d8Gg}woNVZad zg5-E;!*EO!c=eU-=+PJ~FrD!MU~**Bfn_BiC>+{}4l>j=G*5TF+te7RjxK9hV#vB( zm>{?3au@nXBdEiF2&FgR=j?F(rC>}cVKtp>EOG>**;2fAx}11*V*Gu!oF5JQ{H_-S z)s+SoUPfBIG*s}uHivIzV$y(l-_L^`%AkqaFQLw|d-}O?NY=#>!|c|Z8kq;j3JZ{tpZ&EJmIA=LXx5?8?g|eB${}Dt z*>dZ!7(Us$FRHND>py&joG#_%Sm@)C|C9Bw#7Y*W^?bHfA{D-+`EM!PvF|~+Njt9C zR{w{-+ZKkpX=>O1Erp|UjItN?ChprJ0-Gm!9c-$on*+yK{YA_0dsxTkiZ~c1@`M{* ztg3fea@2&_ram|-Of$5{!0ZKByS0A_rl}7u*{Q$dgN`6OFI3*sYxWWy`d&x*-O2Xg zTA(8l&<&cK2^F6g}2r z0OOA0QB>OiMd4!_ES5Sz^_V5Nge@vX|-`BK$|#IMGF>g3*}qY-JaS zSOOYLFL?PKN!{BcX8l2rs>w8KF^fVBq;6pCW)1{dVDG8S-sKq@_HFAI#*OgXVq{!@ z%Mh~BODI+dU!K6B$+H54Z_>B443=h0){S`#Aisl<)wFinoF^do!~gV9t?W{x`$iP= zoaIUCApvnTCo*;c;1$Y4bjQy5aIDAjy$Lu1tpZDg@y8mrr2iT6L0OS*G1Q-Gj@tZH znd*E$?Zd(a`Qv6f=<1-v(7Ahevb_cOc zHoD80>~?QDWdjf!uK=^XWieIg~RG2?=hd+;3)B z)59DiB@ zhB{)K$*wu)q*Yp7H?5tu!9x<(x-m<$G$0#qC^3ft(!NxUa8wQx$P}OEN|`A)0KiU| zClc0lJp z&5_6H$6|tqkfWojf9CAd>$7G`!eY{1cROh|c}dxTJilVghn34>u2#V2IZ@{Oa#8eO%qp zabE9}95S?78L;3iuMVz`2qT`TM>PpyEdY|ptAM-(ZXwPry>=ExvtLtQk11fddB2)tkMri`vhF!q`z_9Nrr?_CJ|p-^ z9K9Xp*$XgO`}6KHuejwg0c=&4+oHu+h}P&ne2qB7HLQYl^+m%u=DuEfmrO04Ng*Qa zt>_arTxfAvVHnbvkB5nMzsEA>j31J>FJgM8G3X!RMUkW)6{CZ82{r3l!v4%i;uIkh zCF(wAJB(T&VVyuDjbBi`&y9T5U~CP;(cy|)%)?_RnBcr z6=yenSR68)!ntvjnjMqc^Sn3xqcb)eNnTI>Ax%VMar*7m$@P~2*%ti^8Xnr{Z3D35(ka8_@ar{xko=T^cE32>pwtFU=+4jhXPstjcTpdg%i@!4`X zB%`V26j0@zA*ZsZuC*IcCRwMiP=2R>atoniFZ^mBiD zXssRqPQ0F3RV|MHY4DiZz#5U+mRdY%F`8DnvU>rvzLUFs-;}DMXxK_0&5za|YAyHw zS!)MTNCQyMv%F1`G0}(IHujW`JosIJ0 zt>V-Ak2dmOW(w)E7ORz&uIH07@5Gw!JT8I{t`}q$@qv(wQWrUAJb6PWpt&b{Eywf%=(M zv0fo4CkO_k#C{F@N_&_Ha5h9R<-!)unF6iaAP}Mts7(CNWk2|kgj0SygIF`|HFXw6 zHA1f0zOxsG9kOZ{Ki95&ZrFECtm@#!=1-yb3YHNU#fx?o)xBr(Q=tYcifnX^RJRqj zhg^hH?|^tm(*F8g)Q4#>*p#D?8T$(^>FCAf4;FL~Y5JiG(0>pch{KRvpD_X)OVs(_ z%BH~4&lI0bhmw~^st#ylGZ(37L&zkv1pw{wn=Y;@5VU(&8;plfz~v5R+M}~F2K0%L zY3gMduug!z&i^&wIl-c^yR)19vq}Cd{=47m zvd;ob1m2SfXj*4O-qJ_79XouAcc9r_pW+>wOFBmtvV`W&90Dit{LnY2ZTvc6&G99rz93R9g zq821UUx&k5?!%ou;+|-Uaf9E`$g=Olm_Ph92nCBy-P$ox)hrza-oh@u`K>7M(>2}T z3^wiVd~s?1xf8X6)pH6F&R|==N=A!xb`$&P5eQ0|2k$JcY{v#BBWvC0^N-#r~EwDEqjH z{OqZr07=4%jskXux!s7WA9O zj^IxTpjYEJARzT|)W;T1*-bRAon8IBF~8nCU*l_>ou3;!E3S7j(~V11k^_0m^^Vkm zBVF&t&UU88NxSn4d?>RrJEq1(V(6v9;Irf|hnpIN>cAuo(W7nk53KsXJav@G;NtoA z#`|lhT@}bLTQsM;^;i4sq2shp%|ZVL3^>d%5Zm_;|c(-i?7 z;iPWQz4$>#Xb0--K58wXF<;%h-LIENyT&!}{3D|LS0z_l>Q-qB38s>V zTW%Vn#CtHl7F(nKHuV0QM>s2K<)t|)$+0gI_VcPJ5ykcP@XtK*iCrKfX7fH;9#Yyk za3^azQ8JLaI~E@`LH;R@1ovY8GXJhFAgVv=khwb+b+VFBQw+Cvaoxm(g2$R5Zpj~? z>@?H#APmpq2fnjwP>SCa8vQX0IEJhb0x;ds1=wW`HFrDM%Tuc4(tNT9{hc1WusXV+ zIc1q$x=_;Xz|@!kIKh87?Ad#foQDkc0Vcc~s%Eh)K~Lp=Rjq_ER0}?>1VB_4E_+qQ3w!BO z%BU1Xpzy8-i#3mFtsNPDtoNo3!X*VG(npL$)sB&7@T?v%YY7EePpri@u8zQo-+Ba( z@Br;;wnasUrLGc3VU8L&ryYCvg>LrYqeMzjI!R<_gILsWtnDNpai->4V2cM#o()VG zhRKa|;SWAQ17_6-JQA%@@o5uxYpM^cqn%il#A!I%cz(&yIl`;5?;s{Ts@P5xY^_jI z_PX08@lIGcK)O@T0Y}O7LU@$=vQvgfzsV4sMb0R?}gT8K3N#}{?J!KNLJm>_A%e%5vdD1FIWXbRuckFa|!Ngsnpw zDugPMd8tr{ghm#94vdU|WQo!Ww1N%IB)FEJ!VQ?F?E;in&o-q+Hs z?7Jgo=ij%FIicY@*5u2d%&@#+vEo=HS<(}EL98>#f`61t-OCi6tef$N041d0c5(vGDFW zByA3MXj<}8JbLQn;hkcAZJ-@vt6n9C1-}SLrDhIy1g+>}zwb^M$=sk?$cLrDkW$?J zfU3hklMB{$G%cW_N$P z`##S;fAP^j00+G0y3cja_n7!tlh8XCV|!$|$lo$To13k(#sOZn&c~SBB1%Dwk^NMm zria+})+BEM+v)e^uBWWSo+W%wCR9{h2fh``cY&YofMN$-wZiRIu%-`mD$_jE;)C)h?3F&me5h~uywFoePxsV6KUis@tIWc$^s zJLDh3pPhQjIvm5lBZPmK?)}^5hj#3CsXJ-oDE4L*|^_ESVBTQN<73%gl4tu8aHY^C|_r!90OOc#Izyam*66LqOv&j z;IbErZp?$sOq(?;p{C>lhWZ{}^wEt!NL)HTkzu}j8BBs>_Xq8VBJT^M8pujdsGsHu zp}b`j@lDUiU$PP<`P4v=T|uI3{q8%2D|%%0X#o~f!tCY$@t&yR6}HAs9Ne|*sY~N4 zHs@A*FQ^$?l$BpND_e_)QI#Kf(HxP8t;L3I?s0FTx%t@g6fm{m@>0UQK=ech?I}=2 z06QL63o*e!!!#k+ClGv&C7c-|8D1_)+Ir=$6pD&j9!ZE2teBwiHc#GFaX$M~d_7wX z0m1iKK#<#t>XblkoNIXFw?I1fdd_m&_pAh~QXtUWsjPtM`e3Z<7YOOYLaHXS7bCUy zkFddMy-+UJhr1<#2|b)OM4QfGl!0>_IeEt~`naZ3W-bwy1H2`_NrQlMjOu8jUB5ba}Iy z8UB5*X%6!N*`7r9K7KDzEnwO)(=99>9$GE02R^v7&Vm?Ykr>v+-BA3I!vPPa(Vr_u z9nMR$cds9rc9|$!JMZss#b|k3qSYqiC}Fbpe=90`FDhFneGZA3Hp;ed0@#gIJdM<2 zR?njjP<@|ksDM{b3txR&;C@lwz>dm>jT6JNsRSE;IT?zZq4b>rLc&^$^IQZ@!tEY? zssyV-7o=%>=Vw?PoYdE_lo9CWhcL_i} zktEwmebwXSCq_}Z+@{hjy1WXz_b{q~J7a-D*jz~xJuLEVFZ39M|(9TIQ$O8Q%dg8%P zAwalowR08CTVxe;e2A7Dfuji{M-&NeORM)Um?qR^dm1XqW;0_jp&mZ76Yj&FczlFEP zU;dAb9a~P914h#voq$mvG=?8tYj1 zO#09zH$>)kh1T(1?Yd}RwX&3l(b>-TTTVpa?OulF7M1NTkJgvc^!Cr{1KV$Zbj+is zdk^1Tw@xy%i^8$qsiRiB`ix6n+irzaCXntw`m-o0Y>KEGl;;wDU|2hYVXsZmQ^d{OyBc1%TGc!|jHb)|(?;2i4P;u4moi@yd=kK3gI9B>*jZ3~-9%Em%`E%{<6P$f& zv$rcH6*5-XeaWStrU0c;v#o+Bt+0g<&DRhsBCI+9{kVLxCFZTsu0Q2uy&%N&pTx28 zCvSPb(67}@+Vh(ZC@{rAphY5prLEc=3jAgQIWHag=jw|B{ps&A(Vbp0{~$9e@FP~! zJXVy)Sg?zTc~ij(nm6dnT>$bTnX&9X>c~D>EzeRPWLFJE!}Ro1>Ell0KPv#_h4=Ux zqTz*oRLIbF;N*@;e!=Ko6{RZl0t~_rqlZVBhZ+MH5e08vFqu(WT-h@Wy@3y3EdQYO zHsipmNGystL|4^>x*ddisnMURQVWjb?vgeXzyEC z9V6mD7z?QNS4))tMmVXP^$*IpZh`2fSJ8r->gM}YIs5Dd;HsQ(Re`?y*s|5(WD*TC zyVc=@BsqPHq$*-vFfJz>`mEeNQFjq?GsYhz+YkHz>LhzBE?G7@05v*UDBJdSThTF*yl`r&baH2UvKzH z;yu%Wun51LSR7Uj&~hI17G((4YFFb``dUo zw;UIjew@<3ToU|set|U+-t{pazBeaFBDT_sNSyJG&EiJ2-&#VwBadH}9&}8?lEnWZ z5U7DVIXMQa>X34&?xCcy=shm0Sq=Wb=DExg~~2 z-$Q}eu81Wur#^35pNgNT6@s#PVizdHInw@FdGbbvu()}aFwBMbg24zD(;R*FLhPll zyYC$wi?Vl({^SwI-{%CN3+4ZFFn63}E(HRba3e67fpeRl@vZCIr;*I{G?G_6?+{GS zz5zjO#@yS#WBrVzJlH}||L=S6!by~!N1McrQ`9b^W-5O*@WrYi`<>*t zD19iM1Mf56xW1tu9IAH)M4NR#*0BjQtgP%P3k6-Zc=y+r2*j0~BujWBad^n`b!%i- zk!qYt*pZ>SqYN~yq%I!_UiwWWH6pT)?5zTRTz*YURf9LPwL5-QG8rL3a31$6N}<2q zvfg!4{W#_45a~n2d^F8%TXsA~_o0;68+W?P2N$x6kLiG5e7et{zn^Qa%@3SRu!u9p zfR>{So|7&hF*@k})?s5E062r9ziglC1Zv4o9-IPCt8mO$T2Zc4C!!WmJ_sOA$RYzt zao#%SRVWJKsUR9#!Pp=gHfxaqT=X@NZ0E8L}}>Mt)g{S|1X%xIAVn zVA-!6kP|#h^WIHQ3dL6lmnP)agSX)k>uD=t9%a$?2Ln}F+G)s8#09E4O;>)O{T&ke zOA7Ij`OUWtkrF3fd?aie*f_ca>D9Dv9r9d3}231-m8FFD>LFbqYY;&B5DCwW5*vXNmffqR?I_I~PsX z_xO;+v`mVgpmaX=;(lQ&Eg+ZsHDT|q2_P1mh7WeZsDx;W0E8S^9uFwyM#Iei1Oa|D z5(KIS5mi*8f;`wL6E$gBE1@JQiC7<7vQ|gOLok{X`MmN3`Wx`W+scPL#ODe+ z0VOmp?fkhz&`x7roZI;yZ9Nrk1!w7gN2r*)zNH!(dE#Q92RE3$B@#(WcQ|82H;V~c z+o+3$!{kYmT~FXG)$J>Pf{`|(+Xp;JZ+*2mMKoN4IJdo1A4axaI_YJ+{h|a(Rn<9H zwxCo_NiF8UKP;BEzkVo{fIKZumEsR%#~j`vn->ndXr5>HC_$U< zs*_Jpvt(m6I4baHeD$k8U&_IgK*U^pzfmNc*Up*H=>geZ?c6YzgL4BXOS@4mZQx4w znjH}0|1FDpum<_hJ}CM-{LU_)DEyaQdEW}@=hEZPvHQV_^3##!J5}9MzlZ$AigFhp zEIpXBk9YK2d*Cw_m&ig+$|BUh0={*zJ8Ghi%>{I^?+o+6)SD` zJu3|xzul_clU}jvMtUsscqGG-^}~bZF021{$o9S2qoYLaPTjxeTchn0*qHm{%7?~( z`raT&{WRzL_>vy&X24=TN^k}B?8F*-{I-o#e@schRzQ7UX!h{Tcc&*HC^W~Ka_z&` zzCo6lo5v?HWr-ny+>^f(JaM%4SjVVi-oi^)>XphW0d+oTg&^&Qvl|;~OgJ>|Xwu zBI~MhK!Cfp#JJ8V#Sy&twdIn722wSMiUQoP=u#i#_*1s`P_dIPC6DX)_yH2iy4^X( zzowkz2q8wl$` z&t;+3tyko}qY4ets#y3nn``X=&BK>xG!k08BXOaH5zgQf*h$1Rmdaf>&K9IkBGzg(aBk%6+0u07tSO{_5q*Jd|>K3#=6QM zocD?3wzqmUQ9P*gT|x5wUU8|S;?m@I^++U!;yTB=)Ca)f5XNZKHTM>Y>x_IHcyIkfvX16 zVPAR5(6TcPJM)578Z5)o7#O_9f8tOq(}2@>RYi63_U zZHMlBPvYQ+IG&_cjW``vZdg3wYXfv|-3CNoppZn!y~QD72eC0_#f9s~$v5am*=Vzj zecy3@xZi@QAAb4M7QL8Il@Ge%`YBeuH5BwyeM$HI-0KSl=yiuGb>Y2~n>RIS%0g4q zZ+P!Bjs+n47ZO7j-Xyy>FRCokL{SsOVzbb~62*L611SE<;NG~U(T zfJ^b!^Z_+e7Wx|ttJFf3-$1f#_FS{ZvNJbPV-f+{FeQjl;m)VzSuI*&gPAA{NAd_&$w?M~h;V;uuzrT!ws{?f~QrX{^u!y(t>6bUi51M7bw&My0<`2r0 z8=(W$V-=>9m*wvQQQlnD;@x?Oe+JR z<})Qv(m~ta>mFUBy_wfScM~t0x^uQ1&8TQ7ll`y<#De@zDnm!`l$Xrf*wBbm$V>_- zt+0qEn}Jl})nCEBq9R^^hQF>HL)`;k`$D-zN~%TsF3s9|z3?vDca%g_Q2g_MR>A$Z zPZcH}e8whq|M*K0StOb3+M~t#^1GDTPAZG&n+ooL;-~pt3~~(cfAUKd{nz|<+_wX) z=R=&O`X)xowQ~u1(@M2geVOkcO7s4LKTxq239i3{2lxqM%ReY<-^CW~;Ke}cQ_nx* zOid-GrDr6$ek7dI(UHssj(~e3 z__sUg#cZG@H|^T%7o2$XcBZm5{)i_xjFK8Fba?^HZ-3R00cS(~KY>+n1ZtE|&BR5~ zFnSK1Bw03mIpwY7x$R%qKdyMn@IS>_5rA-UIn~}Z(w9@cFick_8D#|FG1yTl$U8$0 zFcSVic;Y87dTUIvp7A$Ba=FIb>7ULFCdS{Bck42GVJTg>M!2LV&slI2CSKaLDHQvdHEo z1ry94p|A-~k!4k3aSM`!*P8p1hE2$as8I~#{|%2B2;?)TCj?@Gh68{*2<_>@_z4jn zh!1Duw}pr(>A$ZBf1ZDmu-)fN09*6gClqM|ymA3`XYQe@6f1q9O^QH`49pi#_%j2 z5TQ*J+)I6cJljsm5cRBwhO2u+gu1l_Hdi@P$sb#t8hKx&>$U8pUSfpL~rb-@z7&pJl?v8Z3zN^y0gp|T1N z1p=UTmMBI*ZbJTz>5*v>%5R2Cdio*4fJ6=|*gl-85&#rm!;UYm*{QO~d+yYb&!#N& z)2REa^J_(Y%?SaDhU-g(BY<8;kfql0NgV(-AB*eaBY_HC;u0R2#NDvI<5c3Mr~8qLiLxTxevpOUIyhn+^!kW^ z^#%XSkyr zfdP1xH(WykSW3<LL57|!Fb`VFG;MJ?$NFFW z7!!4j^({EQa^W)Th)Q#YYxF0d1JcbjZG6cY~D;lETt zjMON^OVdDK&m(W4nM5t=_I}*R=h347urO{VgBF{3mbY8WGK>HDw=2xY0!P=cnf{u2 zc&?s@&H73ev9NkTe&ibzAVKqgxJbOxf>n7LKIzck29kgeFYO*Rrw-kncTWjjBA=Tgh()K_>;{!=+lVNW5C$J>A_GSj<+)PrljU6WO#j{Ak<#pUZ1sRh6zcdHCE z5azt@HZgkg#woX&1;T0X^Ju@9nqEf(*$;mNOtZ_3H>=c60Sn-T&ct-->mQn{-ezYE z4;G5Io9987k37~An^Ew!$;{lWw*P>> zm)hW$XDQZwL_7Ioa7orAY4&`q{>>m?uy#b1nm&CpyAQ=3!{-RoUaMWYB=W{oGIy~wv21S#d8 zUr4t)j^NI#K8hXR&7Eh-CLX!9jpz0U5O*%S9eK>PPyOIO?PI~9 zGfEmWDhf6Y_Ty4suD_|l9zxhL%bM42NGDf+(;(MoEj|9+Sa-dRBF9INX6VPE3X3RY zP60FZTcUn>ltn$ib(o7WO>VzyHjwS+nzg9{`d<3F04yFF2k;7Xg#rT^S@#<~1~WD% zV(Q-`Tc9nb2pt(3UxV@V9YOiUY2f~e{$H-v?lTmvvnund_(|Pf2p!z^$B--4M_(x7bx_^Joa@%wj z3Ko$oxoYR=LGteU*Z1>vv>Uvwa`M+I(r4Xrm+2E~2cG=dE5VMkecPX)0BZ0e#Qn2AV(ZAkDi%_dfh*45U7sy0z*SY5a&E4yrc!jtOz8eGs(22i9) zEO-3)`otyCg&c&YuO0IM9l8w{J@bJ+1^BcaYJ`%O=0My`Gsm*Pc?1dSu0_$Wva^=R zgTWPJE!#X2C@%*ZQGAOBW^3d`W3VV0UbCW9V4ug`B;8p;^*uTmC&9_wGcNJ-y!5;# z*}sgbQ(RR$VUO}JTnW?_L}P`M1=Yz#nzIEm)PkiBk@sF)t(=qTCWf>$sGld zA#Mf6kvtAO-y3Tg{fZWk`X^03dNpO?5l7sayv^b*nLe%2>p?+=Vv(K2!Bz8P5+>$+ z>YZhBfbUEqR|bcc;7FOs(^s+t>`2iPB=5hssM3^)d?dXQ)eZ71aK0XV76w{pbCreU zAtV(O}*U!m@Iw_!n6IHbTJ)^ zvtp&eDn{Es;ttcs%bVNwB_G7Nw;S$Y-Ls8bzQvcHB`SBf3`TeRe*W8$5ysem2>eI9kP-GE_p4`{raJ^LySMk%8!vr>!cJ13cWuCr)FtL@>|w1k ze=08kS9E^1d>$`KSkdI4)X_$ze{_4hWPN!453z&n15)hA;q?i709H7Ad48~b!E)Ds z^bjMpjPY;Fv2r8azCJ?dGT`*)y=<<~6NVYLA55A56oWodd(xe&Y5mbnuOm|N>KQfL zkJ6jGPA0);G4@4!s6eCQV`J`}UE7(>veY+|xf559VD&?<%@%}z4S&DPz=w`jC%kCK zwErx=*qO3*pel#~ScXzsMZ-k?uhfc$ zQh{}@_;#BJu?yGyXqZ+5{D`3F>TOlZ=@ikt+LWl_?y;P0QNs@7NWr=7S*wggo8|WH z;RDZy+U>2{yK6rua_NYjqO+V*&!O70tFwzhXxrK1^7hV`$FdI8qEo*uu3ZD)ke49S z1`YFUl0VBgt!*>tV8nRAO2WC`1kwa`n2VKzb<|P8A1e zc)J*)vA)hpT@i2hxvWpc)sG_+Cwy?L7l$TuAVT@ebo;6WrDKzC1og;RvsPUh!78kj zd7)N1gyQ;2g@$syfTGFn4j}u&=%7IgXaE($g+UaOHC|2c9QQ}zM;bQZ*{>HT%;BNk z8I}Pv!@$n)uis>${Xj;_1>PedloWy=N}R&2trGa9cdQB535VEqP?3OkmUlqqa`Md6 z!v%Yb+&nI?J22*VAL)IbC(^9AOYo;5vOGB)APCSu)KT_|-!ikq#0Y4`OS9>8>2WY( zqlxs*Rfu%eIP+F(C=qLvxj*KhX=#fI=MgDv`}y78SV@3A?^)`&+Mq2nbC96ewk(}` z;&e$neL!VH=4xE{w_^v1x!EP}-MX)@2gDfev{aEWd;RJ61(iSTrg@&{R*cou_w~px zh5{f=vHXD_2@ie2p?~#;xxSid8zuq%Y4m4=KrI=W*z?ck^(LZu=!BEo!$L*u3eam` z!Pvj7=tY!iG?i~ZwrvgeFNwuU#15~;C`>i2A7kTbC%PrQa)HCA^;oI+pEL_>N7h;b za2md-?T~1n9TFyvn%CCPuT*RWVQdj(Yz09X7)W&`7B(L;!uU<-!0b&V$zrOuykawj zXOVKVb{Ran<6^rSw?dMNmG3`Vog`5VbHozME3Y?7&QXv_2M3z&*p?@t+OtA=Uy-GMD}CVTUqBJ4>(Lm6-urWzOn(qT zaiy7nG9Y`PVd0d%Mv?0IzRE}@Q5Wb!%SJ`HPD$6&m89($*5bs!!2ly=PddNO9TL{h z`<>J3*pXv(wH>o_Ji}7A-S$=@{U=h?;KVYZ-DtI-~^}@x1bMvlHQ({*N|_bFONqr z+bX@lsKvUlDs%9xcTN2{3(eg=dDh2c!Jg38pbnF56Rx(8sF+yF9~N2f3NP<@Qc~Y2 zcTh(wQ7_-ztkOu*T=(rD{mYDT@!@Icc1TOMxcqa$T|l|O8o%sw`iR_dL1ZM+W*`&SFB&rMW)0v@5$E0aHZ6Ep9_lbkxrPlf4Z1Q zb)Vr0%7Za|V7_0N;6R27zQAS2Rt2f5ka5&0fK_n{tfdwDj)tPh5;)EKeq5^%(Zs2w zYe-Y<{yG@wb9A%PHjy<%h1-NYiKo5*bR@o`fdEbr~Xayx|Q@+|As zS~iwD&NWMK1e9Jqv&PxXw393V%^cZQzfkAm|Ng%<-j+(=e z7We1#Q{^Z<1iC58Z#A5oJYr+a!uM_D1Hk;`peDEVy_+ZeQ_9I-_OdyNMgOIaNLdlP zI0+Mz%8&wxoJ-J|KH4ZUjPQ`!^G_7eWwv5h)NYt*o#b3EzB*WHfnGf*yDFGkdQKkd zdx(H2A@bH8LxYVs4~kh@j|o@B05Vp31Gwltp{RlKu5hhNEo#M^w@TSq>^k_T@X9$qEd>@{sTkjWI9qZ1W7`^Fo}~ zmu6*#Wr$(Cjo^U@0$c7&5!?7|wlxm{Rl;%F^e-plUwqJh*X}H7+>ovYMMNl4&eoZf zfDLgM`sPI5e#7vvS!9M$7w&v#|MES_C1Nbg%p&Xf?=t~o$Gl{;odPTO8h!>HS88r8 z>XG~O>oUxWj zNbRSBTFh%ctxD(Xt5#Cy{Q<5R>g>(aiu~HX#Z$wSRn2OK*P6u>C?$s^E1p6n@hpI5 zuxk2q$8sX;|GWSL&bz=f0xMIQ^TE6jI#EJGMlWcvtddAxr7Fc345DI{&AZp&K~YB@ zvH(B}-mHTrKDlAVW=21cTA2pg9Yto+AJ36mR$-YcaM0ILB8`D{yTSKGE1_cAY;mO{it`iM zZ5tv{#`7tDfOs%CQZb@{AfLW`50jIzOk=-#{*eqe-kpy|X9aWqND*zvZU^M%c(}ND zS|I)|1n1RgPFkHFTS{Uwp02*`rvEGQ5H!Jhyw`b;2;8f@|6-vX*FV25#Ab6u5{3p%|*XL@GR@+!+O9=M;jww{r)O? z`5IZ$byd6ib0gpDLc+C$<*RS+hw~Eu13UV+%ML6V#45RY=6wEVdQD(|GHDiz8^|CZ zBff??r*ilN^>Tpq>6o%D7oPH%2Lr#cZ_(K9;%VWWohOuG^YO*LO9IZjlZSVnD_HXhVR}K7Lr;6UR9(%@mP>`LG-yM&=z zwWs^}Pwqqc(ZmV$C4*^HVEJHGYv$|}s>m?dz;uf8iqaCT#Pn?QIBDMstdqR2 zQ_1stST5^tVY~45_ixBWM>In-9L%Gbh&tp0Ve}3W(PTjWV{JeI3&;JX zeqIpvS1x8gxE_|=Pr32kCfA!aR3*&*N|WxZ*Bq(NLM*^6v`;W+ep!{lVoUT?s1x~& zP-S5Ji^wmx*3K_+5*SwSI(?;qAb87 z0AqR?jDFx-H+p}s(YfsO(62`_sdu|~L*<503IRQ%zy_wwg_pN1WbV@2HH!b}(lt~B z9j#SDJo0l($J9k*NBlI<2Uml^WJ#QkE{91CCM(9}sAF^K@R!5-%FUb=C*#?~eNH4e z;F%Z=Sgz>%-XW$6O71Q(Hu<>VUj7yiZzaWBaYVxWiexi`&!Fp_v^}S%tkK!|>>rvg z)d%@@FJ3Txbgr}ul8t80_jH|N<7US|cv3~Eigfg@Qn<6IwkZyU%_anyn4hZOmdd1r zylNQVsCnbv3$xlIU#)@hn%;U~{J(SD$(p&8BJ20iqU#pCIQi0z`IO`e` z6H84VHIl`Z3z>A5*tN`PwnPQI&&n5xD!($;?-c$Ni+qcQ#+igQQKh@2rCh%pTPQ^W z*e~$dnabi|axLCfR$|z#f$(Z5Y7~He7Xrbt6$HjbZOn-u?#8Gc+Q^>8flnJmAtItX z>mk!Awqb!V3k#@Fm3L)r*;G|b>i7xXip*FzjEwq#ntbz;x=m=wgbYh%55~fwT zbrq?Q)TeDnMCe6d?w?vk5RnpQ;i%4Hg=iM9EYvZLil)ge3(TW)&}_Br-MFvkBO>y> zxs_W5BBI77;&-u?+W};k7V!agU4KzApAvW#SVU*@N@rjHj{)aA1i&KmW}i4ZyudS*&z7dMoouz-BDgH)OYy)bGioyfHM5 z`aixGS$}Nd4y#MoE#z-0w z4yqMgqmPy_t|&K_cRQdk+?zFSp5KSf#dy(`#0}bijmD=A-TXA9n$8OsR)ETV4M89X z&|_|k>x?uZPa$dws)nP;u`05wYQ+d7F(P3J6rksPa=78K)bV7jXYL8bv0NofwG-{L z%?=C+6(h@tHD|c3Y66JlLITlArc2 z_s~*o48b*}hISkMa!A*XyMJrB54<%aj&FSZFourTI>Fb7?pvYaPNQv`GoAX}?fXQ) zMQ)yO__L3<)(*Jzq^`e47@Y3XtI2i*()(6Iak&fZw690$!@dSWJq_mr9mvQS z07u3wC#}}a?Y93w$my8YbqdVT*`lgZ*;V3LPedql)m|VW$i*rMvzaU3uv8QXAj$`0 zlHYUssL)3f^-ZI~lUD=bp=GES3Ug+31>k*RISfE2h$1=#D`ot+DnrC%1+ihb1_3E$ z5Jv$&9c;V@6heo8SGh+e@Y(RlMIo|7N3HBuWov~M5=X*D{3^7DSY^uVSG4{PADJwJMg|>|Im$m^F#B0Y{MKwH`2DN|2VTu3;WVGn4&^JMyM#u9hqK(B#> znV2N~s+q?K2L9u*(%^Y~FmTZ@e9sGUoITsD!F*?EMQAm2tsc|P@PC$k z`RAE5QjN?OI%aDwPB4fYEDF?|xBiy~`|cR`{GEj0>U?x%%+ z*1?8zy2ISYSjkU5**0lfLXgvZb zZcGyAn>z2q1NsVwW*;G4i_7b)vrp4+?%+JD^YS(T6G_B#{hZqABhF(Z*MBSk|5+({ z|DYWD%#g19ZeG0Z5n7m=N%dWfJeiU?F>4eUQYiu!TIVPr+g=cXQ;+|o6{y?HGd#&m zl~1JN+-#ZcJ<~Iew)F!i$`N>&@t}nNM*s10z;0@ImQP)+-rZ{?a0LiQETu#i%Ii&AY0r7jUK6ox;Cp7(*#vr?ozY z!Co*{Se9y3lFX<(M9uR~F4W#!Rv{S64ucS-99s^Z&C`4kdv3LuKC_*4?st2IS`Wn} zESRfAg@!C&>%{diyj>W>zPX0_l@Cl>^l=y>RYC?y~h|mwC`z8ZRCsD||&+s>lXq ztAv$fWL93!2d=GAnc>Z#GrV>C;^=8MxFJ=uE4AzbrMRW)FV*c?_}j12own?CKM-wY2%PHGiC9X zh2C{gjeEZJbbfh+`r{ZI7ZFp81qt)>CSP$99`U5;0ws#Kx1KVb4Khau<(D@4%m;A> z_`RHa_A%y$5?O9z z=imP2sqbmTXq+1!(?4B|!sMFK+5VpNc6V91xLQlxEFPUbU5?k%qY!;L6{|w+Ksaw8-O~*(Gs$5}Jy8C!ZBLx_D*Bo5z5fWTdnS<2uM!$sI44m4 z#Q=@^2U`RIk+zC8QAj8|2B(@W-TqXPWM%i~uN!*Fx3Mo^=BBycn&xZ)JYIk|8j{Y> zYt{!ec-^-2a=%1*ZpPJ*vxdd z2Y?{F(&FGc#blhuW~Ls1@c@VUvmAySEDnJ;3{wh_wdDhCd1p(~)GvYnzc`ReV2Q`- z`I2qCjXJ0(R-U^f0qqpf<@J@fm(CB0`k$Imiy~2Az9{o)0pWZ)w zXlpD(D_?N92daJ+=a)dKU`!n?^*SJTCpqYksU&6F@9-uN`i+CeMU|PWY~fF1fxbN< z>V<#j??Ezs;-cHv*HjQx@6mBG93-Dw=$UUB1U#%%k+NCxPA(aLkPCMW8|wA*M^;3i zSyB`8llifEAAZ$>CR`J++OsDs~*PX-qf}oPM7}oz=V_3 zM+kU~eT?Y5+38nmu>}}B6s$n3@qNyM3B`TR^@%2R|MJ7V48+^&eQWJdW?85W0-dqJr09sxRNt<&xR_ z*#RK7I&T0jFYgcT0MP9C#wtLd(f}~;#vTIZT~Al++`DGY{>`B`KtLJA?GPPy{IK4C z!fbYt%=jxa`}tZ=zy+(K#M@}6?D-{AIQlaqDio$qgDZ#ruyw@lRrhOuFF`xz^I4jI<% zBK`d`EY@L&e1=f?QWUy5ue=4AAxJhfiikoaFi`#-x~Kx323hrB*<~D)zSj=ou%s)l zKx|h3Fw`y0Z-Yv2X0~d{{%!lBeC_m_>oZv_*(q#pfQPvk>s1kSj)U>HRzFV>c(Ydl z@d#~UYp4LLEHbn-+Mo^t-~#e$tE>lw6D0%?9K(?U9|rhwm=Q5ch2Tq;C1eH8$Y2#3 zw6Pw{@NUeZPuOaKnhU7-?iiBS>uRMfe(GV zWUSbLF)UEtsQ9)8Cxl}DOGB&_(Jfbhw#;cZ6a=LvDC1Rkkl@IP>H%G6@ur!j&|`d8 zr~j@w=o*u@Rh+Z%X^oeDq$b;sI_V7`>h~{IMRrNX*z;_*MY2$v3PBf_=8(vt_r}KY zr+KB+#>SsrAVP3dohL>iJ?&9o>@Cb=dihk#hdSSM36>P;-;wNNY4{k_zqHy?B*h9F zUkgQ1ofH)z{|$}se$hXA5${c#kxScy3~k8$63vI4JLSDcrVLsSMIs^u+rr>!gH-Hw zvh=fF$i&v5`h&?9*Ov!vL6}o7R^&4p`TmZw)i^= zXE97zT&o+2cA>@dnR=(XcjXuFr)T7-BTQlqOgy#kD?r3-`-8TnF)g$;*4qSC(Xs+9 zkobgZc>%{f`A5RBFCN$}$s555E^0K-1;SoZH&c7K8Xh!8GCab;qlr`qbw@rf@`HIU zD|8g-TVNSygy?2u;}~ku!1;{=Y$77#6lColRFt~yXjJ6ZSMiG?fSb1AVk)|wvdr}R zIQQ(`rwLTz(-98Pjy5p)&E;thWn${zy^$joiz1qYf)jvW*hnJbZ?Bawzs5xU(SwwV zZ#EiK#ORo?wvFBQ1|4?tuENyu7Wdnmm+adgF7Goc?kZn-$>=vF4^-ElN-yt!3~-^_ zq@}RL5!4v^;+NNF=x>R?A1Cy_wNmMu4b0gZ%|cg06Ufao_Q$M_zi)&>-bH;*?p7m<{uC1IoEj`seAUTI~&ROKpM>r37E5~Joo4;o*mnM`9?5< z1SBY9Y~3-^6EqV2asP2;t#I!a-*OdaiIwP33VfA%HGHDOxajl8t>mbA&g`d+&T{)x z=E0NkwMo%`F8lu?Lx7RSZvT)1Tj;_1tW*@M)CuTnh4$eg$0_{DN0#P4an5F+ z-)4G`NOT`KN?ere(Tv11Dj(wooV}T~lDNPEUzt4)#jb2V_t^!L12^OT1~ccaWv^wQ z6UE0vtZ?xh@x=ev7yifBuX-e^vL#7IdG~V8UTDIxapJJ9(4n!au5DCw_0;fH9K4=H z)qrx7ONpE>PSH5!T0kDlBB{i(F78;K91kQ2M8T-N&0?CFU{%$VO7-M;g~B{Yyng&halqU??7BHB-Zml z4>oap1lLS5v=YOJJ&q+{1X(9)>EE+Z#+wzGixO9q^@)OM%44=k>Q|SGRvz97vqn5@ zkFiXd+N1Iwv)qLl-+RX&xQJCVfex__9=IG^Vd#k3HB>SSJg=Y@BUT|H_^#Bpyxe8Z)U1ae;ih(Z z&p4$@WBQXV(hT;7m9%S-oQ4zVP}w>6es~5>1<-Yl5qd&7>+NXt_H^`1$6&9s&C|ex zAUrm%pzom5mn9uQfwnV1TP%N#uZN_|rLB)c#>mvEpxO<3CHVZB+#2ScFRGA*)Po4M z3L)OoUv(!FP!-h>h0twEogoqPP!t^RH~>2%9Ms;O?S$JhY&17Q(D}AT(=$^F0k{*t zQkqeWPgnqlnW|@R-efOOx=LGS`QTpv@N%N8zJIVt^ZV_Z(xxzgX>SyIHOq5jh-LH->3|kwhfQN&I2FNV1<6IW5lYH>j zwHm3r@*Q(605a^}YdMorM|?pYvy3jsr%l$8x%G#u!~rm1QJyszar0>AiUx(_fW5Xh zUP=N4Uwt!ErSxWp5aN%r^y|*p-+_YG&*U3>f_PLLkuqUJr<11tKfc~ND$0fL8kX)J zn4v+CkPhh_6i{kJM7q0Mx(85NK)R$vq`Nzml4mH zuRZ&>L-rcDg;@>RB=lYt674v-RC}Vn{zZ7#+#uYm_7I#gG%AWz|4Bo~McL`?a+&QMJ*@AU>3C`Syz+!1?YpB<=|Yh*Cd3w_5-b) zRKRG|GhpFGA3lM{1S%OEw|vfDp-wtxiZ{!9<_^qnPqZY3>IUfi=3D3AI}Sj;i{ub& zq-I_fZT!AHbbq8PFZ+&PQDUj1?=khjH2@@dv&^uZNdM(&FjhbB3#<0oc93SW;@?O0 zk_E!c_(&fz>gyhvkH+P=Y!n?VI@d4i%XX99GV`cJ!XM6peZ-EnZ>PbYf)R720>^7M zrhb5tR>{)Bfx_0c-wrQ@Shx2+*`eCEEdb5rXgj{y>|yUvq2bkOu*Us4^*yQ2MXArP zhtK;84b55eF8~lu-P~t8z!SUFrx3rI(pGQPY&sje#mhSVpF6+RSHM73aQ64gkX{0^ z&h_z!jz&FO63zu#r?+gg*L*@*e(2RTU;f>*NJ>91ao?P z7zt8-Yz{B<(;NC*FJ?LX79i3E;Wm)v%zOezCi*IO>K1sk=FB^;VfM4~Ex)m`2|??n zByeLMay7TY&e7<{cFvH7=@X-~NaP>}(#DgTcn?;|F&afZ)`2f<~|054tp|Eskc8W zv+R|#C8K>M!@o*~6-(b32S*9CVxZW?w)!x`CJ!uf7NUQ>i1*$Df{xB|1TxJLv5iz3+JLITm;=hk6QO$(Z3M!-kpDkkfOquvZ3|O=;hdszyN5P5PbYMW|r~j_eo$o z0@@4-Jn@BYw`>Y)eug$G0JUm`+MbIHlqb@;L){qlHX7~@`+R5~QEl_yK%rn0Woya% zcw1NlxqY1z{UU2s3n>yDvEf%mt>+vH0r%$D05~G}_f4vkvT)+ZN%^Xz;vIj$TkIy* z#I;Se85DBZnnamv+BYg8CR_dT2lsHJ+kz~ z4wM(s5aIqrWu9r-vN4l1aExJrR;df0t|##BqoF&0P?2tZ7dMYC^4r8*U@`&*$Vv*Y ztw+C$o=A58)*XBpocC(^50LWkVYO6{i9w+B4{oezRN3DI}$K*5;Wd{Za*rp{hDczgfu_TjFlAFwcd!CvIL{{kFP=E&7$N6F2NuOyHit7{`fX_=&;a8-S7sG5W&IA zOutTL=84x1q7?pUU@^g7#Q1UlWm9qFhpZ`uL6ceV#Po+V$A9lklN66D7}FFplJU^T zgsmS|Tub8(-qMNB$yr)oQ*p7c&z(<05u>&3hV%ush`(m@xJLb)@N-UY$ z+);S1RD0(Mvy4fyG~XpRM=ac)`AkS+3FZF>v0Gf^B$^lIY&2CSWc~?n*Gv+X3>s^O zC|N);wz>?^P_{yV<01(fDI*-G!uw%QSwLZ#38r zX@$BE4#qAK03K`oTz~B65FtmZJIBz?WL@sFrw#!tJtRQ{=Qy;x6>g6)uv(}ujfFHy%0^!TP%HncvHVLaaiz4N6|xMdCF9XG#ON0#;75ER zfvoq9o(RS%nM4u#3o#^@oFRty@+k?bJc7@#HyNT<1g?hOZ+0aMs!st-JH#9g$9@ua zic}<8tMX1{GCfAH z7dg@|xFI6;6kd7M5)WJNHdl_HJikbla9Zd6VXEk;umNW&2J(A1}PCM?UgN~!bfElN)m|hzE_WMZ>IX)!{3g?m3xZQ|Z zBT~0tD`%P3(ULE}YlWME%LLI;3RgC|!FHRYgt)2Cmxs*r!OuxS1rl7p^?)ip0Y$6d z2~FQ6GEalz^tx7iW`9noaSo#x7>fW&Q`yV|M0f*^Dlo(0=$p#cY#KSUe};U3{ws07 zKh@+RiYOtBXr$2DAGVeB4b>kbAD>$@UoD{|s0W+q4X{sVL1!odt#aEeugTrPJ?bzb z8<%qP6qY}0r>a2mFp;*u+GU#XFp=RBiIUN!?S*9)z6(Y+eSqr($(s#V^jO+e!NFvHu^p^iposC8+OQ3*YmAu zG~@`L7CCeR8OYYhGOMaLN%p$ob52U8flswc8lj;^Q&L2^>pel@;n_@2dHK+ReEmHw zWi=Tu^!5mjtS!QefVsx-<8>)#=2S0vcd2#ljf)7b_7h=#(_0iQFIplDW!baLdcusu z!}&&mml8`?Ck}t4dM(}n{%u*>Gx*p0q}`i-z4o!|8sVmyV3u6nk&SS#co_7C3aNNK zsKP!mnW{C3VnP)Wb4@OCHN>1l%6w+hd=t#Je6i9y8kP|lNO}yG9S*u9M!VvM+W10< zU)-=Z?#lrw7~+B5sd5S?Rwme_GWA&Wzg|*3?MIZ<_@E_J_7`Bxu=~Yai26p(9e1V` zP88q$PQDTs+R@#?pb&8arC&iWsByioLem07b)GN6kf6g9F zwa29tjNtIx+F^=knKM6pYW>Qut+0Ga{N$>5adF8|TUYP88`gYfYqRTKKb`S6Or8G) zQ{FQw6S9ASb-3(e^~0S{2V44mpLCx^zuWDp%tH6& z5=+0`MECNQTD!%MGtW@m{kp|G&RL5Z_qo8X%ui4-XBLo?foMaP_@!6F`HNx;%U4wA zJ>AQFz{IY?OyMU!ELhD$i=@cf*5LNIMdjSUj_wWF?UK(;v9(e$(ckj7RWtJG&D>tK zf^+zPy?1Vife4@047c4W^9K6$Z8Ad~PeN%@4sY8Cf4{|vv!IOo9u%?-0dWr+@vy4M z6q0cn$-2^l{rzB2RD$-vsxUD-vVe{CWo{>LTrW7HLoLN|EyaY&ZL7Dd7s{myF7r-@qA6<_B-01|wo)XlkN@$Sj%9wA|y2_z*Go${z+w&cw7b`l9a) z%mzQzA0>Xg{=VhPK5Lp=J=n_MiKGoBMMA7Q059*x7|E*6AY`1+VyAw%AW&~{j)jh+V=rpU-7t#H2bf_Ojtq}&3lN~gm zt@K<*FB1v$l@75Togp^qNDvFuCAZMGc_`r_MBIvzf6lom!*ux`bW1i72-4w7>}C(b zDA#(2;ixW+vGN6HWsh9Sci?{2->0D6+U1+ca2KX&AVW*%XI%V`FF&?oi~S1vBp_8d zECJrV9qqfclYCdMH#^rS^ve!42Qw9~uQv1fM$k-TpZ>y~+~miHrxP~^x=>;<3sUMA znx>w=y6f1xJhx0xljkPY&9#$nIJOk0C~A4la<^h!K6CcaobmwfiKlR}nOvjR9+y+m zj~aQ^{CngD2=HIHf6&8@uL%hgpqPFZFAwd*qoI$hT_FyFZ=#?`APv1J6w&~k-_Ah| zxt2H{O}TXVI58|;>7;6jOB!M_qlZy)jP_fn=dmqJL}%L*3Msjfu^>#>g~~r5x$w%7)-2h)ipj_B4S3W0|G$jm0RtiAp})%aDu2k)|rij#oN~5cLeARaw+#FozNp7AgMhqY%lm;6iKVKCC>l!_~E1p}M z39)W^?!9q3*yXKMtbG~rHBQI$=7vCdi^thV92K|$2rNZV0worwmT0QVd|)OnnjUce zx0+%=(xn>ABBV1vCqmp4NHwGKvMaNf_QS%J;h*c-^f7 ze9rbAr@lXEcmtcTOP6qL%Y!y80@zOpyWbRb@4sQu?nv^g;^YnVThc#`#Llu+^KF~z zMP|z8HsAJ4M})JTy`}uS)a9`WaXilV9x2bcGl%X!`<;7)OeAuy2RGvLpfS+U7N4uzrZ{O&q^-qqa5dKg>_>=2^zV zflagZ56kSwa6yYS{h`OhTJ!Q9^(4jQ#6;8aGcCun0DgnY6}LzFIg1IhG5a9jFYnhD zSHAEW$j#dYwrg*SKSC>P%Ts~-S};JiO_*{bL6a8{ zzybr`V!V7cqKYFgJ80xcj*P&?2jYUfEXH{DGSwG~h=su%#_76FalH=C?t87>V-k&n zXw)9H!+E#_K0b6*XHUz=VCY+Q=rJl+b`W%}rN9sBfn{E_;iDt9I-jL6#D{hAcJrLTHGj?RbXD|ZdehGZw4rV4d1KXJ-sz<(hC-5rw%G4+ z#B-W^PeVkQ)`rQ{JT$?hXkf16)oGv+HlZ@10*ZMgHi-5RDAR%2TBExTkQ~7)-nt z*+#p_@;(-@J4T*3BkHyX3R?0MymHx}q#k;>OuC|&h*~ogK7m&VnO?i-HF37dE{Vhw zpklI2iK(D78v(Op^g8HF3`|e60>ULSfy}y@ZyODZtUkawGW3D2W6Q1~CK(A8Ip4>N znb*%L8bui^%Mw!BV#^?_Y_H!?_#G-^pNBut$^lrd01yu9S$9A$r}bxL^Z2?Wz1Vji z3SrDXbiG%2**f~ifl@%fER(}U0xF&?j$)Tjc%pRKG8IZ^#t@ivw zX-`g9ySR9huT-9#fa{MCsk~4Dryn78;0(p?u`pZAGn3@F1omT}t!8JN+EZ2C;Ofzs z7|Z1FB1{n9&Njst`Z4VCN`cH#r{|!kC~Js=!qmhU$0X5%+Kq^=v8c6BnFt`++bAu; zhXZ=UNS6_1Z`g%_^8BaDkMA$vzf|lWArvz6lR_dKw%5UjLIbyapFKt*oamp*8jicB=lFDg%rAJviwLzk^QA7qS&W~b*@euFc_x#9iM}Rso-T@$ zJq{C(x^9QP6$3|Ewq1^diT8$Id$U7AAM#D&89GGCdaL-=6T8Z)WzB4%ngfmOs38S+ z1&Az{E+^PyD7g@|#wXQ=_)Up}LX}Vv)z>3sG~AdOr8dO?%Jl@#ekj?Z#91 zU{}Do*6^9j#K>Ylbu)3EV!HMqy=BBrV7GQKqt3(k7u`E+=zt}JL)%?qckbZE?t+Y$ z4G_1^WaD34p4ob%i8ronG^YP~#f=73fei%Rcj(@|h)Az#-oeu9**Gk)^^%&H8AJUW z^s00pLGOGfw@&)6*JQh0S-9qH&HlGin3u6g;a zO#U-cqu>(*ZujFW?w>l!L4V>Y2NmR5PKq-sR#(xknfe&5Qp0lbz%(g&I7I*bSv8}!+W;D(HZ$*4IS zf$8Ts@Y#YbZ3Fm#0bEZ?DFB}>=LUv+f@wxc5DTLt(446(kg_He4@@M7vLe8zSPkJW z!gZBuR?^q6-N;z3geenPEnjRUh>!1vfthu>I+V0R-!?4fWp$l>!h;N>)hv{D)0?1< z<*l;_G=r9AzZBBVdgu~#55(E;T4BfxDr=)chEGX-UUkKL@7T!k%qB>pY1;PIQL&JV z776XpukE5axXQPelQ3n!OQ$3O2mOQ`RT5^{3EI^E)UDh23E8!dASuEIO%E7H8`i3R z=kZJd^nGIKxRA$#7%6r3Yqx}mgFxJ2?h*U+T>h0qR}yCW3{HdWp$IA2r8wd45=RGg zVMer4N6)C>JVsP5zS-cTC9$IXnvG{8=5VXMA&Ekq8t`2!AsIsGUoRtteF({KSC6NM>z;@m)?WjRb#(Vq2Z2N%v&f7K4F{G&839Pf+-AL!4);yUK^%8cp-;I;PL z(JcragNY&`$pCnk+YwObG z4ncpmdd~u*J=3n8l)tvni-=nmP73>=F;5adxF?0dx9Q2Oe>D5{ACU`L-3hrda0} zZ^{14*Z#}*ME<2kM*IwA_Txi-THnsngcxMdtt-ZT_}s<%w5K$tX02Rz8$jIEAk(GK zy&QY)^*iQ3l3;v49;Ikoon9JYfEfv4^Ny!Zr zmr|@D^JKOs@vhTjApkso=wD?52)LT1&c;sx5h22!1reU7nA$~0RVbmKC*0lFhwXhh zHzQ=7Te!s!T+)gj?$KP&3UvOA3jqu_Vz03IxZrZ8_*Pp}-No|T4%Ly}Z9mv%Pt|cc z;-3rIf4x7zn^y9Su%rn=)T)0_Z^F;<1WQfrxxRirlXEG0kiUgL#`_=0_`gpkL_{U+ ztWZgfLegJ>1!NC_4-XFR%Mkn@=-_yKP%rwMXULs|6Z(y~%{l?P#dI`S*{V_!Azu)t zzOOIGRO_+gdsi(;;a?x#1sUK=wc!}naFQb;gSqt}G(*l1zA7 zH(Wvh5&Oy+n^(Q3Uu4Hdi~#66C%2V^j_u zK`}~Z#NS?BnTbRYAuX7!7B3MSuwSL53PfaL%m|oRS!z9z_SyqDPcbnVSZNHtN8MsWmkHbb zg2VG9??!M<9RWhit(<@}*+`=qU@tD5+RA5`flnG+5J)&q$`EEzfCOg;Zez63dKI?l ztFLqP9!albnjZWE0ZafGP>x^1uZ7~CF6fsDLV*g&+1M%%Wx|vwWw0>)mPz>3@2?hb zH_UEa_nh<%69p7rEUa1&7K~tMN)yiAjmaC?L$^Q9#x-C^q_c4=U$%HA?z}rOrV!HZ z`c;d$pB!p;+%SsWam+Q-%1izs_mlYWud10JRoy=#zBtOqT|!(wJ?tKhru@G0lEm=y z-NB}7QQ1^su!)v{v)e$Z0fMVZ7b>TynD5k) zWFJgaFz{C?f_&JiVw!mqTUmmoG*D{N(NAN>~C z6$OK9#jG9&>@b*}{GehmGVDG?_>ls7yPZFw3o=Nf!;TWnb`@tRfQxL884@Q~#WmO<89^OEofnJhbn>n_;QkIW9+0?zaYg793{uY?`AhQ#jL}G7a zEWXFqU<^55a=PYBG8d5bIF>NxEJE}_Y_klDEE&*O zyNmy$wXSzuGR+@f$5(Ryh$tUG1~F=x>aj&M{gGITZ@N~v^*m@Ii7%@B!#*b(`l0F6 ztuVX+-o4#f8@>#oBJ1F2Tzju6K&tKZ@3rjj*EQLP;kiQH^r`xGnZx??tA?X~+cx2a zqk8f>*7Vvl$IJeO`-`LI#_6F%+m=2Mla^1~l@% z_ON%J+y^Z9FwP&=oqHo2P8fgCI$ZmZfqxkzel69o9mip7si}1nBD1nv>-d)vYg2dB z@7g`HFkZMWWU(RsurGX=iRY7@1^5gulM1NAioJ4f9w=)@0JZCXHP7+-=`ge~ATEim zM^c4~HJ5~R8eqr)z=_pKC?$DHH}O6IB>lb>eQU5S=mn}jIytv%rk75=Mx1F)za`l~ z?C&0x=dV9Ky^P~-VDkSEUNi8L0FkUnb!o!l&{7$Vrl*Ac1(+s#V~$kki|IV6@zY2e z^hGqW+o9TFD$lBmy>^r{kD zOw_;B@Sl0_r*J*{)h+=l!+FWgyQqPLXeKE>qK8d)Ou|{LMKLs$@rklVBl$IXe+v|B zAde6r;_>nRm;Z6SLJQRLM@R44Vh~?(O~30L+4`Rl=B`Cr<89w4WIY0g*S)rfF%=h<&_5bwoKb>G)?~pQs!it?00J5kj{yat zhcty#Mj~@cMk|B!oD6#uVjEU7Go{jrvUQ*CYnN~RetGC0F92JjK@=H!ivlXB&W)FK z>-hV!*9TPeQbYQYd_0koK%$K|0^UU(XP+F6Da1dtZgoCaWK*&KJsQ^iWoZ>ldDFvb zH@11~YgUYP&3o&}F+V6jS4;#JNT}P;5-S&IUYEIEZHEyY###XF*C$f`O?*2LE>?0S z!wBDVHJk7_W7TnojFPSiLZ^A2N-Thn16!m^fxNiIMV)fBRkE2{J{`dGBwyr#%wjAg zPyc0Nk&MNAEuj}-`G36Gg=?r7ufo>@u?T@Q%6*EvZX)D z29tsPxZiqx+d5ydlBSf@l+XZ|Nem32J3h0;7O~||e-JhnGUJmJPbzRIaa~eH0B)I; zwOE$<=HUC{E9YLBgta^+kJebNgkrZJx)&s7URUFDr$LG(Q-7e)!5`T_dCnP}I}R}J zyV4WMk5s7pjPTvPJ*6lT^k){PG2G~?uIhZ-MmvKW1;3C}U=bfHh_?ouzVbytM>93$ z0<2zsTcq!l==cTD)0;R;bjbqs8}Rt%4__d{dxzRkvxoL~SP7jickvpGf|P;@j(hvO6aa zq@@Azb$WdNa-;FG-qy38Km6m6#o`TwifWacTO{zl3GpH8={4Fb6~ya&>5F2;<~EI2 zptqkcsRbE|3z*`26Li%&5aT+ZQL)GUres~3jse;cT%?nY!yb;r#r-;S3?{&)7Tw76 zmr3A{|4O(2*P_mw#R1_5(q_B%^_mUWVIt~CO|hd=oCVI03yygA_W*idUBQd`Z-z44 zFLyV@7AnuDt!06dY{onZ{gb}U`m)}l8uvRt7q`!az*x}7hdb9%!}Osw`tgMnMEK5N zpQ8Qlq`k=gCnfV{KX!$yeerv#hGSxY2+$2&++N)r2K$^A+3=3JkbAJ#gQ~qw$p7n3 z_J6L!#u{-I0s7&?Gc#be3hf+a#f%u70At6LAvaTbzvpSCN)D}OEg|ZM73c@ zRldM6mAbzO(TDin_lt~q>r#6{F;Cnsb2FN$6QKx9tF^hxgB$q>H1VN38jx>Pu-A)F zcg046JWJ2W>sP6&vy0&r$bRx#_1ayaXVN;6Mnjyy>A9ep|KakRtf6Zzcu-BiU} zTUE&hQ=2Jm4w2)}0*K?Mph5d(BT!9rHfhv%fwTvz{qaiY0@VkrhO^*?#*KE~DqJ!+dShRs(Ro*?p`7H3Uh24bilR}Jd)Hzkx1ncWjl_ON#y7D>FS{pSMk@Cl?l5sXT*m_-3f=W5$_zZ~Rxy zGc|vw!Jz1iz3r&ajJjp)+Ds&t-h}q`8nBXi99p}~hIjLOZ15Kx z)M0IJI!E8W5Yv5A?=b&!0-YTcIMgKbf)4Hk;$8uYyd)e7e@oEf9Hc-xI_#*sf-$v} zu)!s!8xRvfMBw2HO#CF-r-;iI>X&2{eY<5>u(Jx50;ue{9!otqESwY4Z)2{H(AoKl zJrM8|Y)%{@p+Ojoz8|(A#DYnXp90Mo0B`ggk$@Km1j_v6v# zERGRtIoWMZw99EA+jz$RO|__)ym1bF*VFMOIJM~s{HI~>Vaq8mYHscy@fYy>EoN+a z1zK_5Fq(9!sH`s=)9auG{V$5Pwi#g{>d=F8FS;5YOh_clw_AsdC~1Pypwkh#e;D6~a+1|B%f_ zc=6$eg?OE3D{?)aUOs&{8}CJ9rn$g+?HxTFECl$3k#P7A&%5XwZOjv*a%oedEc~S~ z80ZuJ7{HOT=pYo70i#(E2xVtqPP~DG2?9j@&~HpxM^fdf+5|eLSCKyDpBBdhUMZ zI21>381jiBG6YJ;I{>cTC$D7SX_dVZ`tkwdV6TsHgGbpq@vtPUoeluKc4UPq&izrk zR|%u_L9G?P&i%KI(`;*NmH(H=`=L<@z;~z|?{d)%3=9Bs@6rWdXPHwS{zBc}jO$&p z&2B|vL>`cYxFZ0jLqvE`Feet`cR`H6uv(<|EYXZ@hTJ>gAH1Vx5SV}|3Tn9H#$Yuy z6r9_z)y2O8G~8-}HMSc*Da{|7-wya(Dy8y|N@DTw@LaZjwB5--N&R15(qEfv(->+V z*82Ggh?t?LDV{288%5TD1G8eBB)ROnTH*6S3oI3M1k5c`XC#oardE&k;zq5dL}`e7 z4t8wJa-7`ZZ(>(szt!)^Z&AD}F`LO+3#3I-3MU9MB3j0giG?5}Q`ljKYYvBP_}+Yh z)Fy6|bP1fv@c3SbRN7?UAgSlHU?T;}KnZ+V45U$>pU$PPV2pdeMn!fBLS!l@d^cZ< zM>pVfnc9m5?2tOsW)k!vp98ia>uEFmgy%_Yd?R*sD}Joswc19kd+1Sibm~8vQGS@DJW+q`0Kfz))oy zdaItg*W|N`*yKs~gDV2mzP-vbJ|0l&ne0vFSMKl2a_D&mh?!xFLVdRC; zwg$L}ba|T_1bLwd#Kt5ym?Yrm7EKt$0>r-{fr`9kQjaPtz%2}in3FH6n>b!xZ(p+~$qSP` zOs)+ftck)G5cKVW<9$yA^>#~q-qvF?*22@t;+ zib(H5LO~9*2mBR;$O0vXm|nsRf0_-^t{FdO*mmQBq-F3)uA}x?>?lbvMGCc4=yQlO zhA3rhYJhigs`u&+!T`#M0^WUMe+)TemES7y1{sGva~F$;qNq%~uFtJyLcf!sGde}L z(Jb=KiJ5WSlSlF>a3p*{P@FT2+eWT4~!PKpKk9Zw60=uviNp4b6~E7+jn z!@g(n2A(ElL?eMj%A(<{cTa<^9IMA%>OPt4J8m=mufnai;^OEQPtDaz`i?`vYsW$F zE~29l%i{k4??3*pqT+F!=0hhfudy|4+mE6+07$uONCn)v?t~Ycd-+>G`n@y_rM~_) z_Pkt4Z=bzwLs4J6zZcW;T3f3zn!tA1OIg>YZG!m#euNglmr%P?4)oUSM4x9%UZWgb z-1|`Pyq>{pTz?~SFr~EhdS?3j|01ED9z?L$FIxn zscE#M`N;Bt69 z^Qw1Rg8&6{r1WlXqA4PI{+h+wc&hMIS67$aO=R;z;d%w-|09h2rH|(k6Ik=IJ=&adGb&gJxP~C73;IZZ@IwueS9V8 z#*L27a=Iya-@@-qV@KNv_{mAB2A)c_>$eowULr_DARyRl-S~sA#kLU|`P#$4n0h^W znTyD|3_U|FHW6qNN>kk#89CT{UW%FN5BztMVoXo)mh&5I|25dR_rh9_J>0;O0z>S*RC^N& zCXVtZ5e)L)j{C=QlSN<*G7wQOHE$`XB;_ znXmBP31pHEE(eM&WnvSL+pi(F1_E7y8Fm6KdOiqnD3w4XtH zD69VCNzU^)>^IS){!66o%&14o$wi4AQ+{KyYAWQZrX1@Vi14Cc1_~@wCZvA;{YNw3 zik--wMn(BS5ix4N?nz3}-tYzPR$+p=Hi$huD<$-|TR0|AQNfjrk;r^b0}7~AvGyob ztnmea5g`aO=cT7e#^Bb|r=)xbf@%OuCsE*4(=Q|goN%bPmhVxq7-g8=+lClS3q`e0+BRAqvGv#uZ$nP18{$VBt~5YKa7Ipd|BcbBMNU zdx``{JE+4VVH9z=nDhMk9Pq5szLCVl(npuZw9e$j(An8e6lcTs@7~Offfb=<`IuZRZr_C5cPV({_`_=fm z;AHIRZ;vRS5~9}LZ_4p{fgJgr}^1KDpk_6aTbBeKDy}%9_d=2sj9{BAeyBP5{7M=6qD%Dk5JRkaj^mI$hX&VKn zk#O5C;raV28(_Cwc;U9&b}8JpHvduFt!Zwi{y29vqL}>WA7QuZ?cRn)Le>85m7*& zA+=#fRM)fEs3$cR;g&;oAp8FIT!x=RP4SsNx=L!al5k{_aSK$ApBSoYc^Zq*Vrf}2 zWESLBdF7ZXy(3mA))nb>3%CPl>%uT%3dtPI(D#*x5<% zi+7L#TR{&T!XGO3TJ>Dr?e$Q@I)WsPVZzX6Br^!8&YXC@#1;$1(~f=aHr{Mcq5jpC zlu(^hT@33~aOG1#LJ$gDQe0B87mv;YF((u}#H}OYPyPG&=%IU0Fp*&1*iUr&JWvaG zwKcK#D-XN4t~Up8A3f@ZyBi6KrB(-0#w;dgWbLWFp(h}|YrOMccoV{A6u~;_)Cu~r zQF7@t@b`$A0l?rPDzgEUESRI3t3dBr>-LfG7hDhi}$G^nljq z@h5GVuP46qm`IXVp@N3Zc-j2|#VihMi`oZA?bd2qF@n(nZk%PSwl(#xu!b7s2!uu4hM{am6}^oIGh z*M%1{=&SvzJb=Y-wDXN&g{JspqAy?k$f2A`I~CtjYP_9MoSF5Cn4J5$gMw*Zukkag zilv?pl|{>(Dtv}X5_ONXwJmU6)^reI{T4(WW2iEbQq3A``A2diMU{^!GZe)A2G|55 z%?HjorkRhEV}UL(#=>PI)~34}Eevfry;!qK5e8yc+DgcPqB zxjdXmpe4lfxz|KmK{pOm^)7vTttrpCIt@^73r)v{6T$^D>sO{JCs8o>4wvc=x{dLFy zswl&G(;z>R5m!6}W6WFFbD)_;&&bMh>$rfPn*`zWX59c!#0+TDr?1Ht?BDrMU;AAn zN-wbzGI~|VSWo?`C_Ft7s0UJiwRI(?rnT7>D`dXWe$aAVLc~dau?WOCt{>g5H9iJ} zSDCb{LBUbfWa~>1CLnq{c7zk#2%)(hnpNo(p!Hf$BxkD^c|CB{l;%(5<+^SXA<^bg z8uI3?#q;3vd3gKBg#fw>n7uDlY3Oxr)Cq(MAkzULZrCOTqjFniIYE+*+(kTkk`<>1 zwtmXssi;4XwRlC$zT0ujZ#>rCq`Qob5hYI78(*aNvQ9B8{Zf(s%-{3pD{mmg44 zICQ@*1)lc?191it_E@jC=i*qzxJvSRt`3NFL@^ml(VsBn_vXX@P{v>rMZ8T+(IQ|P z4IQX-_w_FNSMDu%mhsT?`X=~4g!0KV2^y+a*T&0UCbAMFEk%PBi4I>N`0;c`mH3zuTOm6Y z!iM3Yvt#?wNQhz^Rz#HosWWKcz{yeg;LwR>8KKoUyP_F42xGWnT_kyMuC>h%cTaCV zf&l7mU1f_o#xuCWVQYBhQpF(IrzWVE35OUq4^@#5PH?G`eXZT%HR&{vq$|`R?i7*fSLgz zI(S@?9^9WW$z35MiVxW@C|fZ~{B1zD>Sxe&a}flbU^fvJnHmOihfwWyKUoU&a>G7d z`?Cv3F@sl%G@!y@qJL(}I z=-D6jMA`WITtGJrfOm&MuU8bJnu@<`F^|%}CyTw(OubGy#k>;VBF%r;c`Y_$KGWUw z#vvo3ykbfH=ZJrcOAK05MqmY-d4i=kTl*XeCecq$luiI~BTq=iD^0>${7EKq^bs%> zK4mLvBMGR{wg-;m8vrgrXl)Dr&l2epR&AM~N~Fx7N}-?|DeP6~=>`%us7y=uCWCo5 zkus*t5fncd@oXRp&;_QHIL@U3Q@aD688MSqN;=w7U=_pd&ZQp`hO+D8ljq1Fp(l`? z^&JGven~p9t;7>5-Ja4fHonk})t&%$(fT)H{fG6dsC}ZEthU+jGfKvcw~kF3^!lBV zF{^4u3kRg!UtdSkK*7+grSH-QWB7keO%ieqQU(_jRf5w7Gg39JsIBb(yvuSP=664* z)=Kf}UJi88&8p)R`s^u1nvk@7aUu$f1HWfW_dAEIpk$r7rJw?AhIbRUD}1CECcEQGmXW-!3(n~kk3o9+=X zy4}1muZos3|pmVM+uyWt0KTH_~{R&@Z$IgGHCe5K2bup+2Ri< z+4@dvXyCqJBP*8FrT*YUocRmji`IqReeIyXUae ziJ2KaC13vl6ImZa=OjpUfRP}?RL^_+nGONs!7!xNAQmTJNEm#D7g%PmajAm z#}D@}=4KmF735Y7vuc1Mf*tpIuwg*@Roe&q!=XPo>St)WwP;FS%Z6OMyd|_JmZl7k zZrAC~CxB>ufZ%!5>$9LZq3)RUA6|^u-e$?39r3rXs)YG5L(AW3G6et6cXBy~L!Ucw z+jbf8^G^z;yBwaaCr99eoAUop+G^6e2UPG}&m4*#+#5FB=5Ux>zAAE?bWTW4wtZx( z6^V~yW0&2ozj}4&3g256P%d29AI{fLC#n8t-baFHG7V$%qJGA)ep}$S( zjRW7JNP=iXo}(8Klzpe`dy<)7PD&%UsJTdo{bl-qrDbq!al+}<28BJ_{ zYSECD9EQ^~AN#ow$|Te6LbRH3*D}bb=TU0hzuMH~d?%jmbGu9>hnd1;s`pMsh7*Tf z166Y{TO=}@mGq!L0`6`~n$F%(iPf`5AZctv3n1+J$IOn-H3ngNP`vsek#{!`%I$VNpLu4K`D#+; zXXI^uif%WF#$T?Am^CYQ?|ynY%|Z=%k3`7g(~ji~OUsIpoBVd<6^bL%n3qRAN%8vL z9|Eqf);14nrlreT%!ov2yZ$2GVZN&}ns?X`-~K}bTj3w0j6s`cv!7B&3XL~ag_$!- zGT-iW{6qx-gF(PFy~_s#%!zHj{#y>g>g-4>c7cQx4`kX1NvIe#It&8#Ef$3^@e1fa zvp^(1Ig%W33j=lSp}&#cQ&u=2g7vsM6k0^Jk)a+V9A`#C2jYcxR_Y2&jxw|jYYZ-s zizY??4_|K`7InLJ{nIc*Ge}D+A&r#e&`6GeN{4iJNyh*R(%m2+h=g>fbV_%3cgOGR zz4!gx`+0x+egE-LJPw^>;+peX=USf?&g}9 zvvK0>cMr$kJ)et^C->}l3zZMF)lNjrCL$(y_=G4Dgs^e34fQ{gd9AK)kyFKdw4y+q zFYP+{sht_}!x~`F7KJcitjPgAWP)B}qwc1DbXdeitz9O;tkZR#!$c4t_!3+%iKmPbtomnEof zttQ`ZyAOGTDL)Rx{a>Zm6b}hX5eJHlhq=WIPnvDSMq<&&q#DnmgLJ@^NctzgP>;kI6z!D%jE^G2I@a);WV*PD8bRQ+F>1auI$$HzNr@58jmL+L?>4tL+>FeEGkIkE1{2#@+6>%*p1z`@g z{tjwVpDa2-bdqlrcP!$fS~@p-I!I^l ze*G+43e-whg0mr9~x!qkpQ$q9%*63|O{$SHUpGQNl7x2fyIdR*?A> z{`-L?xd4cW**7RWHcI9>-MP!od*W>NU7=9`c{mk7`8$NL4$L+X4i(oT^J{|y<8;7i z==3XA0g2J#bjENF`z{F;d`)fe8IGYt{Uu!0pFp#wFFSCftkZKs>De~K(jj16MQd5V^4t=2Srrx*Eg!$HUlQq=vO>1c2c-KLm6tFx?pq^0 z+0dDb6*pm^-IB?bo+&ZXW@F30wn9zP+#VlrHmI^M4=>c)oh^9S|3ZLsD|FF|184>n zl8=;N14dw8byS4=wOwsA*F&=g;J4)G5;t6(XEHYmH?b7EWD#F3Dy_e2L9;M%JNY)k zz1b#{(MX+a`psXV&{qLt8iZ4)Q04x7JEP7Lufg=`r zQ&SFZfzMgLIkCQc`Cw;+0tkkVIls;SBRpU9r!ui9F7jXZ_Lh#Qmb*6Ehm(Meo$20# zRW=Ve&VLj0m0!H++W3MBHlM#)h5nx{vN${DzyKD4LJFL0B*kdV!LYk*ifxC z&on-$rTfUG5EgOq&w5|q$$o+5Ummg+Y5Jqe5+CH|EBWSzsB&#*UA_Ae;^X09 z5u;HSWkJmBKjasl41fysk2ned4v()GM2r3rN1yt(6_zyOoSvTU2M@!UZ2xwi84~|%B%kMpOAAdpI z{0^>H(q1@Ob5SseAVQ9tM;$bJ;Pt8^gVtz*KD}sr1r53C~Ne{SnhU{pXi5(O( z0zPljta|t!o^l`uaVumbX;Mod_j78SaY%f`$rvUKpy)NR&w>)O#ZuziGPr72vF}w} z_<7C53AL1p(7dX9hKD=-4G7*l5CyKeE|K_^ZnGduE7n$8)G?BwN> zH@M}25FEEePTA!(S<&`Dx&TyQH^V6evje&Ziz6?oN8n(6UD;)Q6h6TBrzjD&s=Xja7*m^?jB*?4|LEfyG-HDfUL z=Ibs3GTF#Eq6N>I1nzW;#Ke&7Q@5If@1)v4Eh*mbRT+zCDh>{f5#~IYBk9NiX)HF> zZQj*|kQ%+c89+r$O)<>3GqAzwy4Y|3iHr`Y(Vqg)?eCVvBs*x4Z7TZ8o?m$BOxs}+|N(k z%9VM54B78J_h>e;7{vaJ~+G!>N3sa z3m9 zpGA7@(}7ArBOh2srn)XHW*>9RxPB(ImxX)qKZ~b2bwBZ&h+3bMg%mB^?;Suo%ZfAq zt@JLBk$gFQDsT$cAR2ycPh&qqs{~M%y<&P;&}G()dxCHZ!ReTV3-acVbdO(E?nnPm zJU60Z=6lfIY&Dg#*M{TA@w>X&`=Q56pI_|F=Lr+S^Cro_Tk60X8@RC~7&H6{+j@Tt z#-(b7q@SE!kZ+9uCFWIBYbJ=`$2;Xm6n3wk(7#vsXBUEY?V;>@5gK26!uqsh0TdMD5sy%J@lE%*S2 zW(jE>P{XY#Kz6@mNypI`2*X~>eK8Pk{ggju;XDR{(b?5y> z)HJ_TS1KsIykvYSNgS4Cx+MPH3x;14`M{HuQ9##C(jBsgUM0^JMtCFgBmx`B53Agt z7}&uu{NP|#H=sXKd`cbD2OxqXvkLG7^C9oH2tN+MkHl5^L<%z+sxWde0otod+eWHX zy+dgM2?96nY0BhJP?SH~zOF%4#y$cLlj)B_5)p-Sd<`r_q5*Uwfr>+VjOmTVI5`PdeMH2NvYQ-D(|iq&#m`e?N*JMI+2 z2b}eGZJp%DSD4Qo5qEW~dUJE$Hmy6nv-=~UvS)LhgpwZLUQBZDJ)kda=CMtGDbT5} zO>bHwP&i!p^0R>H3>Q1gRv+O$AV5e#@1dJsGyNBQA*1f6vIY6ym-7F0C=m1dm3ZFs z&Rw&+URu$lpIyoPS?&~@?%O5=4P|6>-HP6A7nck=rXN2Oy3+(sC`uMzAUxnQcacWr zQfUtS;7^aip3u+bv?uz-K&V<^N-Vd-;WiPTT^Il@+<)otZ=8QX^zU^(PM3@nFS zVzflkfVWm(SqxxvwGwR%2*z1{t?XrTi|u4C2ds;59m)~lhh9VV$I-;irA1HPWO6*6 zjd+|?K3Yj=EGuHE=lOj$M(BOj=(F?xNUs`07~?>UVX#lMvCM&l@9^GQ-qrOw$630E z3*HM=q9SjTK9Jz$JfkoR|Mt4oaU{q~Nhk~}f0&6|*Jz1WoOt`Ce*~7MEU-QR*s#+0?eH@JRmiX566qt*%OB%QlUvSD3>wjz zb!C>uXr^&>(Gay5kcfi}x}gS3=qeDk#J*NcWH*#2K{P_*pq|&=>nlh|nB^L1n7e1% z^s&XWVS;rMMLT5VZeA39`cUU(g+ivyA@#n8 zzc?xK0QVFAaIYKJZcV_ib{o+ZXUBNIRFcl=kj0lVH`NfB8*Y0b$`h4ZS96dIDR6{F z2C>3OB;aVPPOevh+j3NBSh;a$fT5O4X^O80@F>_KRdV27X^bJicvU>z%E6e)!`WS4 z%0Y8YL^>qHOH7^lutp8XS}Dz-kOpvo)CUmn<=@PY{pEZL9*VVFbNaN~ZraNz?HpUUq{8LA zQd^@WU&aL}@;>dn$YApNJ2@!Lbq>~gw3hrPHS$T1N6)S24<2;IS>zunTM0xU@u}Ao$K= zM}r+4D-p!ySMbtp?d?lI!*mNH9I<12hAb;LfmPyzxkH!?Jq6me_QZzNF+XZjC)(NI z*jW3u#RNir;K}N+VV|rkINvATX>S+1EN`qH656$%ZvJ_`%MEzPQ}Wi`H7u zIM=InA@#L&?qLP}BLBWaCd|7dv!=!uh@7gua+=hY2FPk@!wAc|C|CBDd9Oq91Lb{6WG8|DW_0u$h-(?TJZJ}!qyy=!u^$ABq&&QB5D%NL z<0qtbyVQrgZveY!uufw*Q=RC}zY!|?7VXMzbIxun=Mg&=DZADHH0qqb>g4K-%K34v zkb^ewv+GEE8uqAugNU7cOTi+D{3EY18EaoNAy>Jsgg*Y!5=Au+i;(78IWZoEA_eu|m{`LDCL84`IS0?;gpgfFXZkRKUT z81h}sLItuji3RwAzmhP#M9AVan#K z#@1di8yodKM~MTs<@-}-3y2dr2Noc?po%Xp$>d#Nx?im^td*&3I(B1Wwb11Z6PA?V zo&#vs4PR{{ETRpE{B?(X({la%NQ8E)_({<;%q;uuOE+e`IdxO~a50&nuV!i3ThFFq z5ShrUUYGArU~M^Cqf8PkT?=|KU7&tg8}=(F>$K!8YDn1QP;B(CgM)HSm^UsmTIv(@ z+dKfHG$k5Xo?nwTwG;peNqY6MD*CoL4e|CxM>S2=2os^Zk|ho!!DBe(fN2s%!w(e* zJU5Y$8NGpt_7b?%5&)w@CLHWO&=bsY7)KWXd56kigxtUNN`+yyFEedo47*R}mduq# z)oh-f6e;h z1E^nkyoTFS(-V16DggqA+5B}b2Il$;B6*&IKAt&uD|~dNC-zHfpZZ!=pHri;V|#A9 z+js9)#%s&%e+|tN1Dn@GVn+3$g+Vsje1pj!&2-p1y{C6X3RjC#|HMhRp7uzYml@Ux z=3_$GQbmVgG|PGnxKy3MeY{r0`-qyhuEq52TtEg*&)8C+ERcZx{hJ?vU=Xp$7b8P{ z^?RDw+6Xi$a1vDaTU<+tkyTm>fR#xjWG0;l*wO`bhbgVjD0!!QK{dqXdX`NtFEL<@ zd~*IjK(YYpHE4C~*QBq3!{U0AV#kH!_Nt4|&n;bs-Rd_0cHCFIunwK&(R6u~9VL;A zTQC%;{!}DQOqaH?!}EqVQ$`YM;NB_*bzd<7F?_g_MCWZ(&+iGFAkR@!U<1Zyqm3@| z8Ng?xGC-Xv8nw05u2)SPq~7gjx>CbPHg1SDGSZbUFy1o&6FM zDu0@S${F_hKCBs<70QJM&ec0Ujv_qk{MrNNHyE8w%2UsF%qi~@8O@s|W*Ih%_h79V5Rora=u<1|yz!7JF4?!VaV?2E_{FKq;c*yQgfVVSTuQwRdW((dJox1Y-t+(tRB5cz{@hhif^AGJuQ$&@{Uf)?O~KHmRj0Cd+gJO0 zL(1Rf7ar54NO0zF_49sh?J^Ooqty@A%5{^5l3Q?I#!>OCSX&&Kf9pf5BVmf1H5Y?u}r2WCPx8>3lru5TophS zX#HeOF?)#!U%Y+5J^K8)(bqXI53W3<&WJT}u9_q1smAcnw#ik8UB~?2qYgJ!>ltxtFkJ3)ZhsxgR=f zl9FPC^w>(Z!yw5+g@I3_7NJAqDWB>OWvo>jteg!TGtkQIUN?MBggr@>pesXeD2EYVa8nkhA zc|#T0f)#jC+VDtUa4W#F+!cA^1YUvAqRQJ<%B6z@fH!$|l2tD)(X*6+xB0&eBlig- zo@^f2h;FVLkN#@Pnhu}Kdrs9K%vl!KhBnG3&XuIF7(zL78SVVCPsv8|i@x}q)BM56 zZ+5rJ%kv(%Txu8dedqHXwBhf1`BmsMOT)g#$pK{Kj1T!7itm2a2VmmQRLorlb|A$E zxnz&=eR5btnaphhQTKm6F!iI3P5#UyL2>G@9g0;;#0lG?=|{s5M`yz7zi|0xD$B7= zPDJ@b5|EL3zN0c%WN73^>ZvxK>+}4Q$DgGUyzdxdQ%0{S6|pXkf5 z>3TH#+Y2By*Zv*}EZlO5n)%E#TCN6*RbeorK-IcDJ)WXvwOraE-8H;wBar2OAe~P; zb2xo+T~i!q#UYR-0Xt-m8t7`nYz_2m;>}eeF~A}Ot8^d!=0OX92Oji#aJE=3Y#NHR z2Xf0JVp7?UV^LOEV!hv^SiKRe@BFRTO`CxoIRp=TGGez1Bn7n$*k@Av^8a}6$TNf>aSwtbQauA$G2REp$;nQ`!`6am({RLKNH_=bOn z_KTj5(A)IZ{;T8;ELW$354dFo^21IwUnXS^;B|s|EHMpVp$3|;LorvKpFNdIcfh52 zQ;QkK0L_{^0>2|!ES<${C7I-oq?nf_Q4hZH;Sb)^Kcu%ma|dLYlbk3JTtj0$W#>stP9WShjubL^-pe2Z+*El>Mr zHQ!F92pR{6O9Z-SP816Q2xgp3Zbl(y(M{@EDGo0LsQ_X&W&c4fR(T#J*;A>+KAMz1 zntl^f#){0`eex200Y&8ay-&a@!@=&fzb+7Is#zvZUnsw* zmT1Ss4801)b)jPP+!&>dkP*3~A?60)P+Ads0IRCp!npsK6Zlh)d9JkR{NMXXgx=SO5BQG`lZ`rx=D;-DILDrT`-5TC0Jrvg z;j9Gtf0IQukp3d8d|A9nQ-lnDrF4JVbX7ZKmAtNT=nri17k<5?1Rlsgi=~Hq^rKi) zq540IrFE*i2f^c@$;PUp2^Sp~DXmdwN8q9RJsxlRd?s!@3aa|hxI=^6wX*U-YZL%h z4WJM{tXA8!lP}zb&TCdy&D7qszF*$eyLZqU-I4s~8|pvRT6x^FJIu4OAtRKOXsQHp%#6);7cd~)4fxu zefb%|)LHsBi@t1oMHr{9tQk$K%o*iZ=@Tn2*6&;vl6VBG(rOST^(URd%@8D7F<<5jMy3rU@w%>- zKW<-YTa8R1R@wfN)g&ujD>810bt~_heYq{l8MEbWa-*lf&aW$0Zak=F**%tnr{B?D z{fNQ=%__Q#m*ZC{u=^8-mIf72z3J)ZD5;ks+HYFoN4KX6TP(*jLhHy%W4u(%9^vzI zXokWuFc<+#EA#maXx3(EFw_ekGz!fM_O0Sv^^?vDH4sB4{LI#!ECaU_M}}zTM=qGA za!6Ye3=H}Q5-AfZw`x8Gq&H5eF z?OwqcyUwM7LyrSn&P*RD)_(WGY5&ctC3Z1gD5Uq*G%XwiW9-()BG3dtVed_IhCK(F zU$h4j_I`%IFogC#GYOe(lCUkl`rKDb*$x=)MF79&hQ!%=d7uBAOgrX1sT4<2z#Q7@ zV(%yJVdn%8t3l7##M>Pm`9B^u^1ENz0q4@uA1-kqid~T}!2Xgx;nBnDsf;<&#y+g& z$P!zcsZ+Bb=5)-rPPS0Z9By>rQ>~>0WU~}vMkhW8q|=^(=$1eyiIk;4Pe)6o?mJ7Q zJUuXN0%1m`@Q_!;M~_<{a`)d8Sd31#FpMZV446$~4u%{*+^+xtP$_2lSb)7yde%=> zz^FlMTsb!}{#H&NWG;x3{#RsTk{)yB{l0$Un(@Bz!KIQKL;$4yr}=RMRu>e|)X{M` zVCw7%qzun;hQ;AyEgZ2^u04?g!da-jB8AiYxpNTL108J z_qfoXTn{8XXx%eT@B_GAoB&tCVg@MoT>hWY*Ocvi8AoJ=QQksReM*JM=CyfxM zY&Ia-J3eiAWr*9kcS~!?(HUf5h1F?jwVL#^%Zfl;yivCCaNOgqv9xrt^?Xu z-3MQ0_-j!CW9D)z(p9j}jmSfleuJW4+lPc$BzR%pX~e@>;grwP(GHo$hpkQFTl1Rr zpM1DE`;}&Der>s`3tIp!>v50VO@BxNSz|!=F*(6-xBm?KPezJY7wMyq>nf{i8r@$) z$pn`ly31jwWBTJtCB=H#>dnOB-4mDnxmqiq-JbvqHdN~cP!g7~Zt-U_emuK4m=87; zxkSC^gAGRl_z9}D<#rjn+tKvjKFFxp*M64|l)4XP2^ZslX>9-JeFu<6^|?509)2G$ zh3(Y0N)=qiOk%_`O;|W+#=}saBSAW` zP(XbfBFJpsnE{Y01NgP-`W~%%2%F_ET9i=C)Tu(Sow6UM>qAnCsK- zm_%gx!*0kEPWm~eQov_QnrYHVC?|{v>;xc=#(``x5gJ#H4H{;F4ZgPwAV07ouS^RV zR7AG6aX)F`c#ZE1h90~RA|iOUj!(ZnX2n9%&q3YKv9!4iV#_gI1*mHr+%N+K_ROJt z9A5`gO1hxutzdbSWwQ1y)E-t292Bqn#N#2XU(Y;{2tN!V z%N8s&l8ah!+i}>eKwoa$=u-gwDTv@6wxwf!e)=x~ipbw;Mrl-;`xn}}U4B(Eaqk8a z+K88nz`0p4S1hlroeAL>bPnvE#i)#glHs`&m_4KlKtHP*U&oAO zYGU2k8N#05>a1Xf1&EF~ytWMR^ho{@xDZ=&JrsY}tbwAq&?bN5fb3Z$wuor|SrpU2LZutiqpbn2cT3TBem#WN{3NZZt4p$WLA-41_Ah=>5L)`&_Dy6-5=m;qYGcc=^!+8rUiRV;f&9af(tos2qc%yY$IT?-wM`b@%N{z&ICVKqC z)6(ToU(Rauu(x{jWCVBbtBBJC)x%~07yxUL8|`}m)!o8JU7VJH#Fe-&lW*s~ z{2F3#bvMtIUafYc>Dg;&>IJ33*RK3Wse#9TJD%!RWHJ@EF#{s3+THd?I`O=5KvdkK z&I*(mMS@Ix`<9!Y2rbZ+DR?I_JvPR!_bZtkh%=1%G!2wc{plEQ3c;1o=Ca#?mz&y0 zeFj)p3f<=cxLh!GrZ^IY9|S70^C*kgB64Dp;}>m#?9d{@{XNHbsU_wN!EEca{_JN1 z9em>lbjU5lcK&0 zzHUlFMi#dDXRnXy5AP-I{{>_bRk;bixb!?4y_4~gYL?5zc)T3C=UzN*($oVJvNf*p z3c#*fBOYnkv}?E{-(DsxTu2X79dFD34PM!Lj@Z~dD=#Q4PRfdMSQqnHg! z=24!E2$7?s<9#r!CDOHN`-|J8;Nlyoe4*`%yxs$N;X(fK!#Q?QHr!PBl(c47l}b+v zQ{dmUf);6c=;5JF#q;l{|G^t;qzAeLWv76q>u3Rb7Df|}kcaRwz;hh>+uT1yRC36@ zFJO_BY7?q%JrqCsYua?+3oJp**+o)G@VZ8^?hIP4eb;kKHe<7tgqd6iSjd z0`Cxas(46o(HPcDCm@C*>iNUh3oCGcA~`=e7Nivm#Brv@+3r?_mq=Xk;R>`2KWn58 zdNU&)nS(JJNYl=ti2pMIDbOlU)|F5y?Dy}NhcsH~i2e>BZ&?xpj0|~{W=*(^EKOYW z7-NDjhq#;%F|m}k$_oQV7|mpqQ1cM)Cn<0TlG#gyt;Qb3ex!07BgWWDER4jm-Qd=O zJua6CxD<|`M$}VqsHpkwkVY`dRv z>1+Jra7-&F^D1JWuajQ(diRwy#UuV{^po<@QrlT^Iof8;6WP%S^$|nM!%1j3gKTzQ zW>tPK9a*)lp4F5_F8LR*Dlk?iJ`3Ggv~u(skgqn@vh$EqoTQ%J?qJg7gmquAv{v6E z!3U!^oCl{9W>?)^_NowmQdpL+`rBLg2a~FikY{qpfKjFBf$XRNF^yCuHe>&>SP|vU?&?$tAsGTpVnCsMB?F{Y8#ruz#l>do5aDcUiBx!w zRvdy`$=ChLk!!w8-$qXyvf9!=Y`1{c^_$O_x{dwBO#^LwEI;*~a}Vw2eAC704M-g8 z`!cCxbAdGV{Z6gxwLg*0FV!?TzOOc(w6^$)M<3ZZzC{4tmTh{lw|cZah*XCz&w zH&C#j$i~)@KF=vLC*vvRvo8n>%j6PD9Y-PTm1?|(Bh~qG-w0OAEM*l|2$mX}qJ^cB z#YVDEfQm4Y&_L{|uaJ?z)?Q0~=p@f`9Da?N@xEb=oB50i2FgLOR1y*4I7&6i=g~Bx z0lFhiLAD|MeCAfOM2t?Bg>mezQDfFmUG1d=aY8|Ld@*yF>3j5k0XQ?EPU$x23>Bww zwtV}mO_8V`er?(x+`;`&C6)%Y7ViXNw{fx0DjEH*;b;rDSwn>B z{pIQ2g6U_0U4#n7d}Fc)t6w{~UNt{tUc^Z12;U{~mZVd}e2lP4(_Wfr;0+i$_Q(@bSE;xpk|%yDlkD zmjO_Obz?M}fEaATkQwU@uyK2gbv;}NFcp22`e*K~Lj*5gQvL~BZCgqMeuapAT+vIJ zt99UG)l|k}k@E59)vzyDr=cY%Z2b^8yb?5B+-BxRr>o}+1=1vVxH3l=1^yc&? z5|iG&6aK+vnl9>^q4VvXR@jf<<=d}>h)++q&lV;E>ytJoK=)-w@5?`NhezSr5qDAl z!o$R*Hl${@hqT@a-I+kdzS20Cf6D*qn?DUD;lm%ft@)%PXJ_D#!9@F!my$`&fSO*6 znisJV_k}S^E`bER>LNIZgsESyD5yg7Ne&QZWiqezuTbj+%RPUFMlD~!+kxbDQR}1o z&WfKTB+{}hM>9+cAFJbeJ0`1=S-fSnaXKVn8p(#7%4f$}XEUdnLhTt{t1b5uPs-6M zi7tiX{LMji)Sh<>Q@wqL80fNEGYkS$-p~GV8krCkRd=gGMg>OSIIu*)vDl8gc`J5O z%A&{k{Gh7IhgDgd#kkvg#qnK9?`jgkHz_Bd<`4WCT8J$&)L82=j*VRV5xi=yB_ zn)T5U8gm6bIy-9DW~6od%ULW$I3;~ANIDyZE|-w*P4Bs)*3Oj3n~sZ5pT}a}w&0RH ze+H4*s-lnak*f@ zIh+kPM8^R<9b*804W;TgVt5NLJ8A$tER1w+pqeL5e3Q$hS_#8-Y1bCpw&f0;XvVdufzCa;c zfCrwnZLZeU348i9?xPi>lqCGb8_rQw$v}=6E?d$Jf z9wJxUZ48gV*h_9xfBq9*T^|h;!R`sOqJlk!z9)zPzki;4aPN6|aC?;CbANfyXO~3d zbHbqOpEhfXHgciK^BuZ8^4iuY^XPzTE~4aqyc(@6S@;B>Zz-4EbK?Jf+D3S-sJe9t zE>^~W)ppTtJ{wvL*SlW#`6DnC{UtB}X{vt&2Fj^M@*($!&|BNgOd8;EiFTg@8uZb9 zb3{U8PO~$h>{A6?Utd2+FzR;;E}ls@?4}(uX6BCb9sA*Wku+axJ(~YD*YZ8=4J}oB zuZsT2Mt%BkprHQO=b4wTd@}^&*MF7O{ws%|3n>M_#DSpXpT2NVd!Ts`p-UawU20tb zLG-7{EFYYBsnIA>+PffMK7(PdkHa%k8XjEdC=Z{ihOhfS;*f4^W%(V?Jo%Mcw@V>2 zkx`K$TyK*%-vQbd{J6+9R5p4xd$@nP!(DVIOan)I{wy;xQv@xFOp!X=PyI-Ihn|1P zmLPeuf2Z^}6t4>dgTw0e)90WzZmCEW)_MF^)uxEyOT4JB;#HDNy>rMJSb>a^u!1(z z($fA)z#hSzuq5@|Ef+(V5b+I4L}uHb*C$cB@fSS$6uU-E?iu52&?_XQ5iYKwh0_rp zB43R)>qAmz?mjh(a<-|VeU+gJI`m>~?$qs`Ius&R?o3KjWD&8*Se{K*=TnbGDa%Xb zkjp)3%S)T$L)(t2MY&SZ*FZIv<^+`bC)B`%v|GTUc~ip#t9^`+*iWC^yNspgDzVU3 z;wu*%-b>H@BBd0TCccvpiH5JD2fmARH0}%10hp!t6pUENSAGqLDBytMVACLL2{cv? zGYc*uvOvg8n^~Gp5E>o#6rCP(ZO6=Wmz#c%P7vuiVQOSummE`2Hi`t0VhCzO!Ch48 z3hx2CaKL1p<5=-tpg5aA{rksn`8XV-VNV;0CjXv=l?>Wq#Q$V3Yn!gu-P27uqU*Su<<@gC1|#s$NLB0i>l5yGUJ|zEF7VO;T;<*sT1a!o3$IxnsumYFLxhbDDR=U(hshd@vGbb+&kNC>P+3M`9y_3bN1xrbUT6=2md;&Ek5_v7g#ws!9n-h!?Bg0o{ z!F!3;i)=6wvP_O{Kk*=tsVOQ$&PSJczc#wtOH=+oeYqMF)lh>XwUq;KrK!HS7BM#A z$pB8%GEFo0G7|jyp-}Sr!9hmX*9iKycR12n;^)3dZ6Qou%bpvBsZ}Rj4?DX5j zY|sOy9?eqz5i9M^{~X6o=Pb-=|4KGZyzu+lrLv(~0xmysJKS;lXM8z1rN#lnl;44hVfi`ah@LhQoO6 z$e-+jJ}cyE+3Ru@mEC(?W2#|BD%=fUm|ZMZNJn& zbJf3Kz}Wh9L}ri)WNxs#gU?Vg86%HSC*nM~fYarv*&MMU1|&FNFq zsdduJy++bbk?O&rgIUul5WRw?tmYaS#zi7fneyaiWNb8w%#unusAT+uhp!WV$&+?l z-sNfxRx5kO=duJ>uiJqAmjuEYafX_B4z&zC4CF$OQ2@@rz?Tjr`W(CzoHZ8Z1o^Oq zP9p@k<*`64Sfs3LHt|JBZY;WQo+219!t1xoVR#auL~O2shM zZc{SJvJEUzZZopTIVwOl{Lwh!XK04YUOqb}BAelNqxQP=5WhD?9R`+Va5oXwtI3I~ zD9p(d>JdLemsRrFG@_&$Rbs=`v`~ASCV06cYidfw&%-sO@N~k72J-Kb!lJ!fm6>5Rm}We1JMCGx|Jj}tN&gxBBl z`v6Ll;rI)b5o4$5OS7L!LY-qz9uj$h3pgW`WWyuxf%4PY5(M~0qJlby;`@=dFS87# z)}tXz?b^0U6rI0hpFqCyD1?o1ywbGPdOmOL$Xc9YSsOYVvI?w0mU3q>VQ$NN8i*}} zX?;8G9+xXMejpHI&Vdb-#?K_MsuCGqhD;O-vt!#)R>DJ}j4gJVp32s_Xqgj*B~l$E zIHn{ZgJ<2vlhD0bl`<0oPN*HUdffIq;u;gaN2uU$81dUOYz`3^Jb@qGxJoS8fFYw{Geq8+GM4mU_s*hfIfJG?q=B1 zDf<(nW`fB1ux(TG^>(8_20d2T)&anu7}3QVX?nwUHLEATUlQK|TL1B$4XhaBz#j?eKVMPSa_+ggn6rXw%H{QBDoCgEKu;p@);TOdr?wrwg;kt8Mi zBUsC2ujSVncjvcnoIl-@>-*y5h*~slkPEeT1S4S(Q?c~*f(KNH8V`zt$1un)ce-vZlL<%=Wx{%Miy=* zAQd4M*aB)$cag)`v-30@}nPrT;27dNXaW^^EJeK z^`Gbc-_VvSkkAFF+Q7xd#agDWf6DuT^%3jv>ReCj{b8Fu`8(e&7H8V6>Up-hb2N(~K* zCY20FcFrKJ2Bi$S;kma7xuQAtz-B7&QY(@whk3OZba^}b6&;#^EPqhg31_upFn8Kz z-%xpqd#ol<{xjB7zAH&Qe7a9G9Ai2Uk&Z-B_>dZzz8`@qD@V$zP(xH2X@P{Rhj!6L_s6GchUMGUH+wMn;&gThr2LgSi% z!S$>q5<$oht5M|_b-K(v8uwYRF*R*B$I4-WQhT>AoJJ-31|tCzG>5s?SnzTwBD-oT zL!+j+ntsFght{-%fkMj<+%p;)RkW;VXGtQJ=;aW!7teBz+uTtc9j%QI4h{_rhIF|v z4qDq5gtZ?d4cZ8ARRaj?7W^=u%$U2lQ09g-N=tUyK8q~Xym<4;Ggh9mH_9mkT)m>r zG5$`I5)qEC=?%v<2;q z=-`);BUX*IndKyC6^pFv`YdpQlNaV2@3Fx4j8m>z`+ZZa6An@9hVOk3pv###RP~G6 zKYS9mYr{C5t`v|N*m>=D#KU5Uld*^>vxnGz@!Mzh@OUZp4GFNjWH1a=V2{Hi7?+coG>6p zcCio8gzWyX3XGvNX;_epxN#z?K&Yt`ZHs!=@6WX+D2itv;=?=;!D*22xHQI!j2RYm zAV^uZ&m%dBB=b`c3;}zrO$e`S<#(*0uTY~v)W~h6uzV$0RnxdacE!Inl z0)Cm!DQpy=t(<5bydO^Vsm_fNV(*1Q>J_J~f2Ws>-kuy`^tQKaFk#~w8qM-zDJlyZ zL)uh6@fZqZErq&>6i_4R0wfL$rV8S>wR1Mgou{fByqUxL@J&-w`*8g>)o(c>USueQ zl!A6pUvbq@Mc?FBP{AM|6a!*ZcjWi`|BtS>0IIT4yT7HoySr=C4I7Y<7LiWr?(W!t zf|Mv7n?~twkPwhYlTk6)n+($U#pBS0s9Q*eO9|VfWo;O!HnmN>k zX(*oNfa$wILgnEh6I)BR!uk;tSKvLTxew#-U&W{@o47v%2Jxa48H{k;JDOLAb&&qm ziS@tVFjI#(1zjOsQw801pjq4OFm4m!F5O3Ho7%gZ=d3l&k`@JR-&P#my%~o8u|F5 zA`k(qW2exA-!M6y_}Ryx`A?Z4(0l2@`+KzUpZ__Ul>^UB-BjLGMyIPpm;7{sz`FZo z?#W|I|M+9aEmeSp?j*S5Ec*#BaF@y0Q1kz6M|A$pXcE%Z_&J9JPm5VSo*#pFmj^pz z@}^WTDLzZhN@D~znDCOfZPPspUHRY`bV+hsTJMm))sF(rizC+o$zzC~(4#SKiseFv zmGLILt@JmWJQ}EAn#k41O~MJ;hg{^s2uT%2Ex|!SgV>2M7uQXXUv6TuoCF&w^IlCJ zfFoj zjebI`4P1}`hC16FK&54s@S^J0%J_k=YKB|X#xnE{wMttWjYcnvC2CnWC4LBH*NBk$ z+~Oud?#oK(pKq1*3PzujY`+~ZGe zIg#)!@2dAJ7cELWb2=}HZ7~aHM#gBX2$MGIIav4J)xhIh=9Jox0=2elxw5DvO0g&^ zghEZSiL6+5m;HtM^VA{&{U=}I5&)K7duX3oJDq!KT#-_M{bNzWz~mtTVdrziodNuGyDR^wW7xV<1!zWD?=H9`hEV6awk_3|Z6? zKTkq=O84u(sofg0gX79c%2*4)XyIPT%&2yA)*FM4boz!K|n685yu%<3e7U*7Y zb1X`E?SJ~BEJ!8OAk*Ncp?M6`y4>4Vp0>9EJ}8en?70%LZy;%(ugtX5h^S6Qn@x$Y z;|rNQ-GlqVkc{WYD=jTTvP(a+h5+l%nb2!2om4Rou6`sNQ^_8&~wCk)$ZEYWL zTyHFAiKFAY3VX-fH8)!2jD68Sv5>~ZptRXTXEtD*FCQ!$9O;3uBh)8-5@4%$a{yuq zy`gz2SJmyc5APr(IdfK*;lJB-m&=zf@9vZ73B$tu%?1s6S~`Rh0yYiy)90}LyFaL@ z4iAHxspnhWkSsk9y1rzJ*7&-fSx!boz6NT*9K4Zb=;&HYFADy9n!un0p7bN*;#ES+ zHI)J4&1|&~s^r-lFLYOYZNoWttW~eT4)2_UzARU^EY~>>ZN9jVsQ7_fcUP+w?Kd5$ z!}S_0YX?p@snT<;q@-_vCKrwZua2iTIYve5T^m(*hu6bJO(SiiStvQu;C&Q$38`o#YZ-Hi%WMyYDsr2VVZq_(w=Cp0yr) zu?2`a)c@MYJ_LoMux~WlYdM^cBRb*xed_VP@M-MU;+bh9!pVn>`h77=p;Z6WkL>~1 z{?ndAW6J57`>`(TWld-CMRUXAE{uG8e!iXSwV>0A}x=X5EONR{*e`w&eCL`LQqg@uoy_-%+S0rlW_mRAL=3eY#W zT_^#t$H=Vb`E&F$5s$*hIi+4KqoQ=0=meytAQ`j*D%MwEkSR4_bb!g7`Gq{1E$_Y3 zTEewFFYdKA(H_uvzT95=2z0n~2Cmofqq1u>PF@NcAnY>aXkDta!Ch2Go94?A1-BE-B!wBngF;7<+K4cw!!*ez%-K|2(jNyX6v9ifi~ zsIJk|t2t>fLK?y`2s7`m3EDm#T35_HsvXOkRT8VmU&&Y+o9+3<8FYme5gw0~Ae5*9 zUjlzLA~F=tnF;*(1a`($ZI)_w^y#LToo%6^gwy(*UybJU%QL_2+!^iXmV;@661 zz^f2K!z^`>Sn+$3wG`d#mt7c+4f5kdmK!mc^4I!fcW}NV_rcT7^J#ULr*hk;l8>OS zKn`!Bgb7@z$0!}DzS$s5+EC~KHD83}4w8Vh0ExUhuoEJM1BeA5*Ip6_9 z!QpZoj&P{57yLy?h#X0yJSPok8jZ|f9Pd6mW?wM(u&C=Cf0)_`t-I!ScXDaMgKsHa zKzr97Jiy6%AX`Wc$>gNCU}Fl_L}p3C1M4kuywmA7+#jJ53ZG37X0r> zWM(mUEZTkvq042!f&PY-~Tgw9k_bB{S36Jqwn}uw!mhXYZTC)rgR4 zv4%|K+g8W10PEy;DkLr!Ah_(r-`Oe-=`5_`x0G2XKYjXcL za`hqPEJ<@Ro{KAY$M?bI5!cDE#eRe3nbWOiNN^B+WpM$1$gVoYXFZJH&6BmQ|L0&G zfPY*i3ySnRd>HR!TG3VOpiuMeGDDQe`ep{H#=I@$)xz4m)(jW;v_SBwOmP0`8 zcnZ5ax#+n4qnNv0vJ`X??R7c1B)1E|1TPx+kg6neoBU7CvP0x0PYW*uyV){;L_;#B zJsm9Md~g9^ELb5T3ROg!U7!lW92ovT%dLO{>_<7cIPded@%+evKQnvUfj7ph$&WX4 zQ!|<#+2qg5t@1yY+rzf2NbeOTuXQwOh}__&pS6|MNA+-Wj*852;lW`ZqOC zKvsC(>|&|+E=CRMzhpT8FzgGIv9sy(e&ET38x9PQfP-lM8Weik4C8-(d`X)!CZ>`F zr-jL^;6uWfgTZ0Guh_%ZO>KeoXYMM~+muOK0dB%=eI22ncURT#(#MZiasDCw^PHm!lFm{Fu_pko6sAdriTp*nS+1KtT7Krb{(fd*wcp_B8tNdwLw6%ZgVBuB@^f5B+O+KK$pgHu&!zju(GP&p2; z7N%HaAm#$Z17U2l)zzjHc`_M^^K8&7Pn|_lpP6yrq)zz{ok}>=8{|$|oMv0z^cKpy zE4~PIwj_0mD8Cg+>HtR2&12uHcWsGo#+V+9(m`y?xOj3m3c~dn7)*13rC%$#P79_X z<3J}+Y*>F+%^y2JjfF9DKmCwMLDDA3%QzF2%`>GuX3YRt7=ADlt-@oGXZL;Q#URfx z?EF3hEVrtSW6B_^B8@b@j(2hW&1wq=Ak!inGo}J-x5Dj9#3ug_wtm7v3iU8>a-?3p z<+!ys`Z?-g>Di)&ZpZ>~V7i_Y#=8{S;XGE&sXQP~8H^<42fh^SF$I!lK$7$*4nvA^!L4fjc^^7xgN1Ddc7Ee(7ZHm5j5N zFNuVdrOSbMg=11#Sm|_WZ(OUa$ZqP5C#$DC1w5YzZL9ws0&1MtHT9Y?6D9@h3gCy{ z+Tu+Dv>uV^Zt@p3zi35L6FyP>XqwHD4iid;bM|DlP-W+NJ40S}aAinGLac7hX)-I9 z7Pqe_wtU&5cm%GU z7{14_H5}xe_gQ5}PopZ$b0vZ5D_X?ZFGVDzlKen%VEMf#M}M`FfT<>w*#vfNus}o= zRs0ey&JB!8Tq9uSuw)3kz}7ISf>ae2A}F(-c$ai$4#>;OiAvD(kh?#F%#^ zE#&sA8TcA17zQFpC!LWq*9yk+Wc)TDH#hU-1kYabQ3wXl+a=dK`nm4BfLuMO_yCx7F79g2CDMl3n64Rg&^L{8zvy z!H$);#AL%y+!o7phFf&R@W^sPK-xTk{s{x0FCP~2fYf9Z+!YtN z{op;0W;`|(BeuU12TRifd{)56Z*F|%z0W>J!W=!0NWSy;zgOhMrD*s+#9vw5%F*OA zvt@XE0hHwrH<9m3)CB{Qv9HajrP1!*M^l4v@x%?Ytcp@stvcq~d2411g6vS%2~A?3 zxCI-L(fN9E;26hw*Y9_?r=&eEMR!KOBSU!kx|`JBVS*IXIh)Oi(hoXnJhOvF=eD+% zFqEygUinAe``iviQNpH=R_b>k@e8XNX~r1YqJ|{-Vbc9P2EGs{$lgh9NR$!; zrgu6~6lOT}aT*lwfYbeo9*In$x}XD@z1dh`m?3W>;m6)B9dZZJ2~KdNp^}J;G_NQl zk?DiACs}wNN$Kydvg*e>#KL^NQr!1_xAdvqBJlx!K>i^$oTr>KYdp1yn1~S9z`)ui zz!VBWQsY?epFxbxuv;xIK>^v<=kqCZ5PoD=om&_#-pd%z9Zt=iBkK=kX&m3Ur_pu7 z0IE*1t#5R4;?<=Ag?ljwC7-nz;AYOa@JqT|dOOYSvm@X)D=<<`Wv)?Ez3KHC;5M5Z zmX5J!E<8X7GzT)e))MBlwKZ5N;q$M)nMX9TriA=pC zHft`V-9`Pqw$abAQ*GFrXi9D&i=GJsM8%t-Nounj^kIM8^onFl3Zxs|`8LeZv%$pRhw(A{$S{8et0RD6gJ4l|W(jTe>;dC9sfVm3oI@?DG zNf>lM1~MF>C?(=wQ5Z7B1I1)eOYX+n17M3NB>)H}CWUl-A&IJWLKkkG*`*30>(Ifv zKa2aP*%nSZq_694LRR7{Z^YbcLa$tAnrZf=FI`*Cc$p4ei}LIm+`{^K&SxGMFqaUh z+?|B#JX)}!JDw-fL`<~`G)wVNJh?L^oJqBkXZx;9la_3WhHR5w_fWZE44$gf+>eVw zhq-5HZK4$VPMocccpjQ;z&|;Hm4qnnq76nn9^HD%MX@q}Z=TCP>5u!2Z_&gWpT;`n zCE9FofdVWp?aC#36|nwz-&)bUCtBb>O9Q6Au8|`=838j;JJWXkQ~Rm;*#22IHhbq^ zd+a&o78VAJ$|C>sjWL_j@@j#w|8ico;S5;(@&T{H8-~(zA(ryasH2Sqkb0|UqQEPL z*-t#Nl%m4%1g_r2vDraqc1Zux7%Hpq#_n3k{BCyV|N8$|enIiy88rSr7qnwsy20#6 zsoiGO2Y3?X8*gy1j(k$s#`6FlLqYjJViHGLRQE3c>jT?g&aw*69A{To*rmIoAZF%| zpZRN^r*XhFoc9V^R!8-F!2mY(6oCABf|p`UZv=Sjh6D*S7Ndasn6yHZRz3(w(+`M@b)8ANhizhbysJ0z5yIdqJnTfs47 z#|PnK%FIMaEcDO*9uyQe?hdO)5KXbV z#93o{u=LGPkz;5&u09hoyOy=BBtxmXv#jbvV@n?cm283K~tU~^z6QV zRh~f(wE)|in1(|;xHueebv5_!ztXn>I6%nZ@WhCr1U!1%bPVfbgWC%ES@4-46YCJ^ z-Aim>D?Xlff$xi@5#zjoB2o3c&y#mP-Nwlf@=a>N@}`V^4dYC{eCP|W*@aI~UNAXG z$(j(Uh+?3v1i8d?RnG{?&Lzpi}fo<{2vN0442z46Avz@0&!(;7J~!>##ZJv6j8Up%s9VRa08_~gY!4VBwp4KWNN?(=Vt~`ruf(-*f7QfV zbaiHL8VahN=`S#l^Q>5C%suWl+XME#sJK!0wF1GK3_%Q*Hi%o}yNYOGWq>dKoM4=y z_`>6UylfiqE8j&n@K(h4IeOvT1`F95&FXEM(KP^F;E2Hd{k-2Z0MH>sv$D6s#E9HN zmFi>>nnd}*P~qdVSHV(U`>(>U#{delKW4XZEgj@$YoT|=5!EIA)+5!PNX9kOu?F2A zxZ~X!0(U(1tGUaK2>oGi-kjm@+uPxBfeBz+7Ei-rcTc8`o_e-K(>ge)8}0$-HWHh7Y};fto3n}$!VAWgv@j%PPu$*avan7m;NcB1$&1@{)6gaM|;Y= z&@u43`?#7&+)w34-J<*{5L|;_vSL2HSZ5NaR14b0i?(;|1!}-^j-d~c#}KZE-VbLw zj=|a;r?QQXTlE{hbLvZM>W%G$Td6f$$Kz@Nrqp;BU$t9bOaUJ?uXk!W^C+IOgBxhq z&kMS&b6SnOI%0A6C&OSC^Sq~*IBVh}N=ulVc2M&t&$_8)!YB=J(E0my#$=5#2dmO? z-r}3vU!P2;9uugeGPqBCMZloh-KI=_;n#IxA>&C=(7lgAgPpe8gYo;UXZhFz5IhE5 z`Ja)xLB3u<@v_nBDb-O&NN7asY)F@F-3O#VZ!amE($dst>+n4tRS#O$Q|o7y)}6cC zbjtUZH8WF{KpJ@~eKE?b?TkW}f67ZV`+m~uc<;#DYN?xudzp?h5U>5DPLeB^#o=GC ziY9P>d56ckCUyVe9cI9*EX2Mr$wpubjtwInEBMAzp+Om=Q!>k9ZV;w{>9FmE=HEUVjqr8Dj2#M(z3(b zm0>MBGm73$zcc`X=jQ(TG6>B{f~ZrST83}{nJvaF1dW8t-lYN9$eCbCZ(pHFB~s@n zDykIIG@;N0cZN+Cw&40QL%=NO*a#C8Nvy}+xX7%v9(rYP1*j9Q1kBp;U%rB6E2WcY z^9$whQFQu&mw=Zv8hQ=LjO%*J$>D$C;DlUPg<>^? z14H+^+ao_w5-h{#Q*yvv;1aWv^IRwlo8_ycs#jpEZ$q_UzZQ_Q&~HV|qjn*X)Sd;* z`YXz5w*St{MP_ax7C&)RiY!hF6(csKY!H#YB~YyMPr?h{ zZi#x#Hxwp=FEQ4--zuYPgCsOo(gdaeIWZun&2dof)4>5ba?i|nU4f5s3-9qxll1n- zL|$e^BEX`W!JwuCPnl?;ov#rzH^8(@`t{*vRW74|p(Fl1XakCkp7{)&3ipS>8wUDY}`TE3qv*du@ z#i$1Z8#xjNesiBD65Y@Ug-CE0Ix(M}y<#Ly7aR&1z5mn;hzeRb;A)$rJ{q{rNuh)I zlk2 z{Fy)MQ~+dk=$R3Ry7%g`)DINEW%2PLW6gkM0%80^CLXFb_DH{}`4_dm&Ibj714NGc;UW)Trz$qe_#)!IJu zT{`-@UOMF1*GFVdNL&!jH`=X$h(BV!@cYE&lGaN({K$rsx-5+>-9(?OdQB5zyCtpMG zrZ)5J3osH#?bKUB^I;yov1~uHfwuA0*hN|7gRL2fRx=UNyWue@QI)~q@P+uUeIlCq zXvSveysNCfJ&7mU@EOcvN>Z}!{vP;b0EZS6qXtrMwAs_LpB16I7()N~+qUABUC_Us zY0sMCDlc5rj!kLmAH+IukW8dF4)M&yvc^^(_PH*5ivQ;~+zvVS>eHAF%A#f9}tB zKuczFI{@l^#2bnfyIww|P1AKD1E8ux)#Y%dfP2FD;b+J9EeXjKD)U|bk}dHo;p_E2 ziFv!D+3dfii%$MT;D6C3M_4;j_-`@8`6H7_)wlhoqoiOSDU_Wi`;buh`n+U)G|Fid zY?AG$~2y{Xf}vGr2A&?mFjORFQMWf}=eM7-#mgMSZb?ktm{D zbVO9uff*MZHFyU7+=G*yN`UB$CXyDoL*nxTJ#*`1AR(s$ud=RZsdt`gEOhdmA{8%O z;`P%6=GX@_dXC%HUVb_?G&C?d+&LYYCNjH0O5~J%my^J<+rmqL2VFS>p0;;=zsi`a zh4y*^7l1@y7ohA)g`y@W?b*iDvQJ?r{Y1`1HG^nQ+%glhl>Si>ho7_sH=%2?>b_#L z$Y+p7Q_@IQQh5KtgdJucW9gHaf!_=8b@v_iKCmxCA2g06gYV)fdqZY^2|3^LO(9vS ztu-F4#B7=VfwL6Hvhv4z^VX!6R-NW^6)H%0JtV$s{A-DwM8qJcs)7PEQfrsyRRF!g zuiBgI$0m~fHldKVWX7tiRr)D(d|IGjyxx(Qt3L->3deP_r@`^+U5jEg4 zz=b2p=Cy{}dGM{`ySz(~*3)(^9+8HKWq5cfdj%f6TpCw&JeCpgbsdYprMr)x5|&f8 znAs=2sA@Vi9aJ}R$|mh9tYQ`Ts8vAu4OPoh07HLpdZ?5n*=*b~6AG>o_gwK~FPQ)? zU!#PiDtu}1c(W6UF$0zZwpCKZIee-(O-whB78Xe91t~-|Y@n!|S{BU2H-5E&sio-D zN;Lsb%W6mZXkpsqv_T0=JA=Go|GL&^GojO>5kP+jL|&0aAHN?ad$$pNC0{TVs4Xa75a$cbN+rB;P(A-ERsmO`-rp>1;hE ztw4=Ay!M0SVF0am*)fj>WDQinHn=8%WKef>MyGQ+!$}Mjm}!ETglI0ZYu|pQCDpLr$!xe@)?C+Ti*~EV}GF%-}@Et$Udq0Ajuyv@sZqrvd zr$XRU;1AQ$k2kB?YSbL+%+l>`+jYO>_5{lA2pRM7P#!B}Zj6RoOA$E?FqzjsS$WNQ zG)LCke?Q(FCa$&^B%EYoeEoF__|wQawRfx#yv649jUhTMivW&t7QOo57hEW{d$4rk zhD?S;Uk`jF4F&i;!asi{zxO8+f461gu5qkv6#)$Nm3Xblg z`wE@Ut)<$gk=@Ki-zN1IB=I+`cjbZquTrnf4g*up{R0(d(K7$4qhQE=Thj|ZL{Crd zHKR#$K@|IrO5a4dlc zlwy}rpOeV$kkF~|zX)m01Pqm4OEC8X+U@O(Z5-9BgKo_;;u zrC+B`b(B~9sWhW44w3w)Z;s(8B$0rrY;Jj7vQsri@KN)+|D@bB@LCOtad zS5xJ!HmINEbIMEPj>)qmr77xBN|U}#`0`cvqaub;3A*}wWfdJ$^I+-6(wEC{6Tu9e z!Oo4H^1H7GUQ6s^2ALaQTvd%M+pWip!wlw*Pwk&|R{aB+TGIZMNnXn0@UknGcC98ctzFk$;K zM4+rq%I`z0X~O$PAZs@9pUpth*>)i)-Tf~B?g2Ff=n$^zLT4Nrlg@b+-OQjsaDO&%J*>0!X}TDWqGW0Tgt*>P4WFS%C1ddgvV*; zQ+~5VHH}~pX=xOgE5h4>+Bi4JBaQR}g$2mf+fWw)(qYCtYvAY<`$yXPbR=Fnl(y`( zc_v=Ok}Gjl^vCH7ki~b|DcGI;afiW7!&E9Pw_*5?Lf@q`-a%y-SS~YC`c{Bzp~PG# zlvkx3g|T%~A!Fj*SZo#mnz7%MP0h<)hcWD-5(g&>fG=jHmQ|NFR{QEsG zo;#9A@$pKcC=E1?6sXfk1@81k2Dn*yW5iiPm?eKy`;3*G*`3p1edsf|?Y`?LY_3eY z0JPnP^up$=plMXIpbAt>Ec9nTh?S0x84K$SLSzLbvnR7cP)Lr>Y|t-X3LhbN$G?{T z>L9oh28bF1KTGK3o!6m}AisMdRVvX4U1`)f3Yh^|$Y<2ujp`Q=DHG1A*(+hsc{W3) z{R&Zby-Nx-K6&jO>594DU^h30Y+{L>+QBLDfx2nx?C#V@QOTO4Gdyh)`3THr!Ss1! zmhDEY{=A)Un zKl?YjW)>*d-y7@Sjo#(zu(wF6+RWY*Wq-|2ZCzNefX6{0-~IJ8Wsf$kUbUxnHV{ws zB^FuP0Qw~;@fpKo&6g&AKI6yLuyCs*cGl;(7Z40{e)GPiJ?c%-DE{o}zvI!6`lg8= zh>+d4Yy|gRqiqr`T=f$>&7^l!nqTnN^1RQ;i~{)OTxE-HCg#N!pAsaA`}xFx6}}6o z7)tub;Sh!SK2P$-ZC}#c+~nIIUm-ax`_0;a@7*CxKn4$qvMTnk^I?b`D@?QC*}0WC z7S_R1NBLHI&ex~Y>>x5Ozk%D(6W~X}v95_QL|X9(Y3WHoPG0T<`ds z7_7o7wNDZMl(iB*Pdys97J#B@-Cn&`%bO_^mHFabELpuwB!#!Bzyqdwimn+UhWq9( zaD-!ebm8cC@-v@-(Eb-7(Kj=^HyN)b^4`Oc@=A945H5K~gkp<~&YZd3!K;yG#D96S zsctR=xT0VQP!*?FVfBF@Je4J%O}D?Vj_IStj7eio49AH{Ep7Bt!}?$njHEV1Rs+G; zXJp0jh-~JqAURykgj);F_a!)QS8(KrzpOws@(e=cK{RbeN5!Haf~jG- zG)`#%yB%D4+X5D~xHqWsHkvO>-d0u!@Xr$#jl*E_# z8?w5PCXWY644#%E(SW79(_<*9&A5ecGulF9wmPekiWUkwtg1Ew)n9Yy4LgA`gV2Yd9sQ1qa zs%4`l#aZ`gvqe$-(Js&YXrOsv#jj201i>E{267B@1PbzWu?TW@I5A(~fbyT4xikt| zq=fYwuS>c^ch9h^S$ZP65e}5|)GV9Xxm#|hk)hs3AW98^^h|Pyxi^QHZ58tYa`&gb zrU)r?4ojfn6ZeClSs8;@M+-4CIlQX%pV~_w{neCq5tyQ7JO~UNMA)4z9a@vN6>RRA zp$C9L-3Eb}$I2JQ-q5DgHa48Ot4kq{Es`0RLCU+K6A4LYveW8=)}D7wt90X4A40k; z6Ia5+@h8$o!8Awe=;|r8r*7mTu_wpuqkwH>r$tLC*6UU1IFx7iGmrp+D)ubIHJPGO z)&o3hAekXL=>PdrL39KW!24Hs`^T=B_y2cIIPpxk5>?t-c3{?X+dS2HP zwHTIe!aMMTS1jDzFc%N&t*hE**Xi?>p%M%WGQZMaQ;^Ac_$%*LaU&w`z;}oDGT{<3 z3~^3ty#TyJ-lxZ=8I>0+-9q(2l7QkdlG2O;2-5J(^!VcoM?x^?H4=>=s3Fz7GB1Gy zoK6dH1SLX05R8P6s;w9~5;^&5C; zQHsb)4A-VT9y%XDZ4RQ0R`n*fgXln}#QE7bH>*xjw_-XO5z#o-{J$skKe!a)Od#f9 zn-U*N(yuE({Njc`8vpSzQX&ijk%NBg`IL1`EVj4PKs39lNH$jtrr9`N#9D&f+(16d zRog#3o0m-nH%lz;=9tBS^j!NF^O~8Sqk!dKaH+**yuZ93?2IJh>!+&6r6{PDfINwM z#nc~rt;c)2rJlCGC1(WY`8F@f_VcBu>M?r}vX(O|phSgd_(4HWOm)A|Us5{mG$U_r$>%l>e0!RH$vY!Tz2nFE+>dza3 z9xx1o7Rn_eIRin!B0hRPw{*jKc>R9rubgx_B6I5sP8{p~(%0M6va8lRuVcK5*1T*f zZT=X5;rW4!?0Q774d=*rOZ08O^(^-A2b;NzOpm9V=@*uq6g+?wvFznlw(tRHrpd^$bQtBq=q!~#IXKrb9 z!A^BA`b@f@@E_sYrpRC<$LBN9zY3@SSUrozdK`)?Cb^pSN2NlO-vr2^qHwXlMb}b@ zf=Z!bXdoL`N=u`C5^qT$mxx*)lcJBra(OU0gN^NR6Cxd5QE2!;6XE9g|rv3JvlB_ap0k5CWJM!Gv%S%0OpRwzY7q5gZNX zf*siyTmc+s6$NMt5g;OP#}Z*H5MLjw41;L`RK2r8P*E&~Ounm{0J*PPN4|H|jOmH! zKj}Bp?vYnsVJItnD}x{nTS+SmEm>u6WdldVDRDVe6x)19EIh;j`fHc`b?z5FzrK*x zt7ujQRiCDgmAPRbEIW|E_$WV93vl~YZz%;on zx$2ZD)&RJhs*&~TI%GPDk+ck-L+?-Pe2Hr24bZ2IO6z%aG}4#jEM0?4!0i4o-22Vj z)4u+)QXF%7&Hd$FR&Wf*EYfR-76iup(PF~6UdmrzBtmT9P-|ewg?~^M@frxdvAn*f z-GbfJu~+Xx=Mw1Fq1Wy-6Bghn70OGP3&;!BBDHFx6AwJ6M}%no|acJ{T;`CS-+laj!mmkTbg%Xvt4(FeN)~(F2Lg zTlO$%6P&^#Y?$!T8x|szaf-Ec;vzlJEfO9VU=+?)*U68sM~lWUcfWOb3WG;Iu!(L_ znZ+BOy%zeao4S0slFCu6hOH&^-NZD&RRde3ImEQUym`AWQ7j}Rmepylo`~6$`1DwF z?(ma*Z1AO|E;o236_3eea0ZWQyB>WPkrCh@H0M!Qs)$~f7~Ef-C8i_R0t!i_Sk-=- z8mHGRr^37vqSuhCW6BcsiuIpfwtss8(9$q_7lc0#70zWzH+NfQb421zTy0MA4wV(} zTPiGas^A&MPpDLpGv%Y@6&nDqkd|5fR3E1{D~ADcu*K|PoSph$*b%NDW#Ep36Z`{o;`jY?oTQ)uC%CJr$;bcvmof2n z*!0xVY^?UeN!K?Y-Z7j1XGDi!eCeE@C#Sp%p94us2E859t<&q(f1Js@hBUMzba%^W zy91YKcFxB-L{!Q9gt!&S`%Nc;zb171RV$fS>#9Wnrs2H_Kq=1NY3O*Mm_PZNz5jeB z1j1z3+kFckYPLoQ_!u4p&+4;-&>pjc?!G+;j%~&7Au``z%Y&(%Br6OB#bC<_#aH|` zjP_ud{Q=5ml(^reQ4{u1%F)B47l?<)zqd$+>3@3z|K~koYuNL9F*?Y3l7L5%f_`#* zEn$2QJi&;YV3)|UHcUq-Uoq_ktC((ynM0F;2_j*tRVM-f#_Vy6Bb2KJCB)2KnsJ2R zH(g*Vl;Z7Fzeq%3qgvFlsCOWdB6DU~CJsuNIu+=C95T_})4fCF8r&R)$=n}<1#M7* zMVqay=A^?%gfeYxk%-R7frCdQP#KY`?e)l0J0^umLd_?v6Z|o2pvdtqQxaCG1j-DK%M_)kdV}SR#LAE^@YAg+l zd&$V(#ss+2y5KdHuG)yKh#CB?jhv;wCc~qWuq1VyFl49&OP2&7qQE`282H< z32C`-oX?aoM44Epg>`=bh#{}Y*HIwqUtycCZHZobaD$1M&AmZH(lr6nOsf5UY&8Ko zm7nUPE!hB+0zAIPf}(2}gn@i=te4qF zomFQlm-8L|z~z0c6d|*{00I*|-+46{XlntGP-9T>C+HOlOe-Q|?F%j# z$Zw5cTVZhBO9j*5zNK0MLHq0;j5((KMS%pEtm>wUZ*@*NMe(!VC(nYmbD=B@1U|gi z%?)|`W%P$Fi{4%&4(aJS0VJEdO!4BGH!QbecS8xmEeD#u3&ZEL#-mki>eFtT-*&2u zUpg|Z84L?;=Qn)DU6f<$)bO&4YrtDHvTlJo$i=q@8h<0H-xC_Ht<4NBV#%gIuD9O( z*^kUhmscDUn=^F5EZ5-BJ9h4_kD|n|wK`RNkEysVtN>m3bMQXQpmO86qmv1f>c>eK z(%c|$i1s_BAITIO;Qw5G)ZG=X`3B{cy_eeZj!L!=6y28dei#D}_CEi?;9bKDJFda0 zM@86%1#U2z`SxRV*jY3&A%1Q5+-3EwQRj?JL$`>7xdN1=qpeDoziiE)+ag|imvhRt zcyiC+>jh1D!;&+crRQPKkVi`+V`ZfPUy>pAuihpIi{F6k-GBT8e~TRdn!DxZutZ$L zD|Fe{Vo%*$dTr%z^N$0lZs4##Xs+-5>61hq_1S#$;V-iW!2Yody5N|92)onH7;uHt z{9C~Ye0!ymOxEXn8>mjb*mKRi2)OYoigep-3pe1X9@wp)B%`L-j84hL?tkndji0C0 zl>k(?*G>Q}IIiQI$lLh|8d9!SadScxRz30GP!oGBAwgG1OOUvr(>HrIiC;1ayHJ)M z(VV);0&hKt$;kBUpQO%#XD64Ea(?yeofe5`?RoU`8QijtjtBjYnXR#2tU@6B zm-_gtq2r@=`)<)+;x!;?NbC$qM&$Ly{xy-^7Rn8;Tbu&(o)B-zU4iIH_U*O&$^4es zw~8k99Bdsfo$XkPxf98!%ZfX_SdsXDUlaeCMFhAQ{0!jujLz`-+IYTEI-2}SpI4P# z*SOdpzoSJ4J1gv(C>(!9zoFe%GP)eU0t$&ujd-K_Argbxm|he(cjT?LJL)5Mr+T;M)>Nm<^?2(aNMQ3?c2YQYI%3o-*{++7HoCPqBwXSq(C#TRAauTHzWr9 z;XbT~B+?{!&RhB;l9{)T4=G?~479$^Hh+ywo4jVlE#5M^bdpBD@S`kVAb&|nb=4a_JDeJ7v7B!2&CfObTyl8zHir;MU2yL?_7mfKb-mO6;8Uy#4P&FyBl$?tp zh4>U?Z~@Xd19jEK`3}f1yfmU!b8eiUTXkTd$w)9|UuEkNi$YdP?xVS6eItU&cx1D8BxfPX&(Y%}XmHIn& zZlIe`qbmyw$XGd9Yw$b6KMD~;frJK5Eg2B&qT)58EM>GMAC{K*xMo8w6GL5F;gny-GA>%MWvjzziFv|8NR zpSs@#!P0nA4fOl1HQc~K4(Rc!^+(~LZ{Xvq4sZvB88THd0g07qGtby_-TFLtiM5MG z%4l;`cEFBTq{+qiu16&l1@8ySnhw}N&V@I4EW?c6wSG0rOCbo+dh=1=(i@F{u{c>q zQxS$0%H@H-{3UX9xiIrhqstw=Euslk1PaA7-^awEItbWPXRELKE4PZ9FB(b3 zDyMKNEXm<<^;$pLBBIXm1q#DJ54x}XapqJS4A4@3*(0s)do+vQ?L`oJrZ)_(L}W6( zAyH@6US-C@$OFi^xW8p&=Ea*GL?Z;8ejIPwpgS!NExb+*{4O<;wVqGcp-@94&Z(80 zUFkzx7HLYRp&+!v4U39J-pTz2;L=5%6{)U}fBsd}lpfsW+cY16KY{RyOra4qW9+dR z&bY@?5T^MBM2TH3J>ZL5GMV7vaK=7u-*=P^%cv&eonX|Pm*WuRgOB8QN+g@2b68J~ z5jU|;M(R(LzpHCLUr9XSB0ZtM2-x;3j*HC|;0c9}zn+wryA}@#Pp^0Eo%{l@-4rd@ zSby7cUW$S(I`$ed|7#>A2c^^k_GwG>YB@dV3d>GdQIpN0b(LYXH}-(nPGpfyxLPXM z1gHwlJDz{aZo7N&X`S^5@>KCP_EY!B{s?2i+ZXIg$oeE91IU%&D0-eB2K#%Xn>7zN zCv^c8M;CQek#^zB{byDfw`|GKP<;UVje$ttTJ2N{w zJ6Wlj0c5eNDqg=!-aP$md(+_)@d9$7SAhk@2piAJ?`9|NqqClr4cxysIoSA>@w0+g z^z`WfBln39xrl!$2ZiWS#)0=vboQ?=_1a&@k*FS7+5@g0II$8F_0&jL>_xO)7S&dT2&MY@A=~BJWb7g`D@$Ou5|9Cpx3P68b2Vu}+%m=q<&B3%ksd8G~P#lOh*$edCZ# zQ~z~VDt24y$Y-?BE?^YlF~%h)m|@Gu{c6VdM}xcct}qJ`Kar|bPOroAxKJh4}&5~4JF-3cXtn{v`FUwk^&;#C84x5NO#B3`9ALb+vlAB zd(H=7Ej~@G=Z@>XLN2f!#Ns|GTm95_83KE@L&ims#QiP13=Z0NJ4bAOVXT_SODCYC zDu~ZXo8Cm`iOez-kJ(i#eVKmp`#OEcy&U5FeTtAVC=&(t>lt+9piI06G=#VZu?g~; z>QR4=ml=ne3TM7xNtb2GR`U>y!CNsplaHii--nBfM_Y41B&nIwV;q#haB@s==9q#h z(g;rn!u9y>YlYjfYZkZ;D^4-QPDUe`++xC8HhHhH`&Nxos`ty+Jti0hYc;kC;A&xT zNhak^CJEsB>CRmSP(z}{L-ln<-ApP^<{CbAB<&Z=xe?fM6;gL*mOr|}JwhNBguav; z%U7Ul^Mc{aCl*+YU*$owEzZG^oWYN_<#7k-IKPO+iQ(7M6J~5lim7@g~OU zGq;aag$nR40-LgNtUOfWCIz^-r6wxiCU05n4erf1UCxU^x2Nwn^$WtEcVC!HcvTfI z$Co2l6~AGMTBvKH+)T{4W;ecN~^#>J~rV0E;QdXFn7h3#r z#3R4*1`J^INk6@+MWpDQU$3kuTNee-u%b8%yjwwKoE!=We{F@wKS+SNn!fU;%6_=!}wWl#)gi3Dxs~i9~brR0?X;$wHdBYRo=- z8YE;>U~b;pyz1hVBiHx;bhe}ZQx<#j+veA^+es+=CDu+C`|DCD1d-N;R+Xb=oLQWs z0xNHHnLr0=p*kqc*{BD1z1wJ}k^DAB$zw%V0(R;Ucgqt_uPqG-SQ)v6jZK{6VJvQ5?R$o!KPMl zBo0Fu3Vn_q3P}^22_CfA5p#S)|G2>-7W3Q$5@4$1;ieH~IMvB>{w(jltNWo9r>K3x zoz!5vBVsc^kDx_L{|D5e*@&>ChzF+u|0*hM5ie|ehaNoAf#i#c*+{qeJuUK9#2&m9 z!FWdC0OroA2esp1 zb~vc7UhzBh!@86@cGkD7S_Q969|34jRrQwvS8-HWv*%H_Roih)@}^p46}jZrj`y#` zVW(Sv3ylA61OL?&1QRH`cCrcA1M+OH547|X^F7_&5&mMT~g(W z$kM~SV$L@_jg}p}%0A$SN8G-(O~x7Cnj*NP4m?O3u`Z>z zLuPBao~-Ozx*swYnta?)ai4$X*hl~PGl#1_Em`T2HR$fu)j@rG`7?*tO#jD+05r&s zbQOjQBo{PZ6$(D})X%C6B}xp~tiQ##L&@EdWS<;I9rM%TKH+~ZPnc0Ia0V73A`F&) z7M40ohskw@Yo>5x0!nm|z$Gr(`f;Gh`ZvhAQqYZ0!90H;x}1MB(I?V(@%3o3qNp<5opD=) zFG}g6FLGJM3*IOxtT4V9fA^yr&f!)n{~4KrZQ>dBatNSumK^mgw&l?XCtpXBA>e`b zT)G{%fMXPUMsod6**Fr{ij`vE{9+N#wz#YaY?f-3pU<{``LS6@k+^~rcE3j2gO^h{ zbPdbyyLCQMaZF$pgjQ=>o2~*L5tYTRbhQ#XeCRAQnn zE0QXbE0*IMG$y64C>}y3a!iC~kwO^1^~~UCCcZnl?RnkV?(dXNu-B6XS|4|>_0feA zTbO6lbu$gT7IQ%^nzo`BTdN(Laor(pIs*kXZh9_IK90Mg?D&Kfh4Ckb&G97Gs&>?U znmKH4goNhTt-~i1d*Lp3_Fi|fUQPB@-z978SFD_(K!VGYQdZ7XE@Crl205+7W{Wz` z(x0D8Jmjbho+E>(@k-N9GR@m0e11VDF+n>NFSjis;`Bjm1#+S6HElLC6$p);@L0wA(>U^k(C zA2-F7LNTjhPx)V#;`Eb%wilX6rI?runmm->Gb;83cGRjzotMKjw2@)r)biNZEGmG0 zpIE`$;>d`Gr2v{j{5PafR7$vTH<2}g0L6V10b-q~ZN)BWJaKZr9liXvL(YM5Qf-Ve zTAr-u#@(VfFYUm@66S-&IPxMt*|%$sM|$Gk^``#W;J4cc_DI^#(ED>F*ppKs(*t9p z;D=8rV3riQ_@SqXlOEiWA<7xNyn^8mE2d`z1g0kMcTL^TFLKN3Qxv_NcvQ>qt0wmX z*=GkD{%5(U;Sc#@AW-kx_B;9CV|B+)M#q?We#3!zPHhmf-Ln*nwNZw^`x~qLq9VIz zR?Vx3o0OnO3YMZmeeoql?lvp{B%1tJLnyb({bo?HYpj}t45hY0TlCSM*QsQK$qSaw96!?y# zJjWskXe2}9f^G)x6ip8NNu$tP?zt+(n3gv@H`yE%|GfcBJfap3Tn7;R)cnun%!>`! zORt`m<;0eh)P@|^x(>O!yPI?`Geks0#1C1L**6(*udUBe^!D~rvf5v^nw2F3FYXKL z^}*2}*mUa&7f{}nHU6AFT(0_z1gK=_Iko5l55Y*O=irgdG3uYIkDDePn_J>Rzc!Fy zl}*K_kU z8B8loZ^cv}WFoXTmfY+lT-OwxI_MudCB6mB*A*t`r+o;#OUzk*#?y-JPNR=g85gkr z*1+w2_kppoHa_f3_TO*RBoO8Fw)wl(e{Vt^O^OU0oSE^806O|5AN-Ey^#(IxEE9Vi zavFXIPccz(Ix=q@SO5?I)EWOnk#ob30hGYEc!&n%VDO|Mx3#!XE7e=xv`_fBw#6rs zR%2ikKNUqKUAi@IvF+DUU!-BzhJZ@n3u9`H zP0AqjS0x*!#qmfYtx9+M?Y#>mr-h8Qq9NodD?Oz8*3>lbz49f*-)CPDHm!wi#}Y7R zXUj>xQZS{&o^*T0tcLM=pXq~t@UCcZp914gJ?5^fW1?DR-x@AoU34;E-7CStwNb&+ zia`~I%nW&*JpS%;P3cYpjMO2P)FBkNSu`}Qjbb#gaIPuJ8A(AAuT&{J*IZ$F>lax_ z02<$(LsOw!%Fo7hsxE1e@9bd88bq7Nkns@1FQl(pj!`Yl||3x#9xMMC~vV zs^a)yNX%B1q6UnF3Jh(plqs|RJo*%7igHRza&6@-i%Qcp4(tmq&bv&oI(m{gNBvpp zbeV#mNSRXcJqljI$_teCzt4Lg@NwO@#+J0eL0;LBT-mnqU3x4d`^!`eX+?R~oG;3` zP&7!v*7fgNm5b(E<`~)h&_AmUhqqkg1ugk;C;A#0hEFnz@S#Ehnyl&`_q zYxy*KC?c9vT};rZ_rne$@Gli5ZSMx6k{zt<0uQBe4!a-c@`Em;2eRhLN?fH4hr{r) z7BC`kN$H5wa=u*NeX=>a7z4xzgyO7}TI`PbX@TKU48!vZLzH|{eT79;*HE~4T+F5J`WsOZD>X>jlRX@aFx)x4d^?bNz9K|RVEc=yFb0VBPgJi~ z3T;WLeF$f3G?|JWA$d(?9;{#%V_x^v76=9Ynb1i5^#@NPuqxbWX76@U`3dm1mh2e{ z-(*)lvoF8VYyD$?uNdW6@~ETX<=+NYsC2cx6zJV`6@yLfq=$43Wi+1ciZ4x~%k;D1 zNfRG`!-@Mo;dt>*K$3uMLcx@f?Xxq`8lL{EH4vCt`e%kfG{|ZG!g>WU+NMEK*5l{u zUPbl1x#;LZ0EB0UNPAr!!yeOiW7*|j)iEZKGrLynQ>mL7UpS7~;0Yw_z_wX@VtAG2 zpu+?MeR%lcwj5IiUyTo%)crl1yZh56wHH}-u-1+Owbji7fj|Ur z$*cW+n!~HRX_u@1s<%SA^|Z*Kd8(t(m?IfyikzE2+SlZYbN9X%0u^0f+V2WHN95;H z{tcmsq7ua)d5r`oJ&$7h3mA29upA#=wvY!Qyn@<4O2zAnBm%>PqUr&w%sso&aUOND zv}r~m+dAT^{<58Xq~UPKAzjXV2n3zcQ918zdb}lA-l+6fM&Q+0|1JGTSND$uIW24B zT)RI{GsNs}J^fcN2+R?i2^%7B$5HO*e@wTZD3AIa!5_UFHV$}-IthZdmolWuzLMs~ zC+E=R1?*I|qg4h@aykQ86*udrmqh{F@W+lJCvQZ$Y_iQP{q41C{79LMGY`*y0HF?L zaNAPS!9OkFFG@6Jhytk4)OBQuw2(M9y1_mquYE|0w~0s+yu~_-K54^DAidZ^{0iOC z6h!LVu^E%rrolg0zXDaN6q~A1+O~5eG!IV$-&7#ItypM|Eo)hRfKiE`A(|U?L zMDFYu?&9`@7G4>Rn$lf>NNNMq5QBka? z?Y^5hD8ATNw>};|>n<_uaFH$eM#Wh(V7B?rO}Vcc496cq3ddMfOwmTxV5t{X2@=jF zX)OvCK_@xAjRrr#c)6#Hclk0dUqURn;))^VaGhaw$A;O>&0zIYg&YJs;73By?o8yX zGBJ#nALByHJ(L)@*gR;+5iUqMb_8^)YkcYy@^0@xfw~%^*(w;`{9@Y*=0(-y2d8QY z$r^7i=KMsfNm@C)=uOl#h_qPDw3osBP@4r9BlfL_>hwzH`D+` z0zrjE6Wb~bYb!ANP(+isD)$1RU4n5Z5Ut&na11WZODPbsCK%4mM@R%M_Q1pW0O&Pk zv163;WvctLPrOQ%Xym*OFNG|5WQ|@NSj`d7~Oiiy@IpTh4%kdrr(IJ?(=IQoQDf81`E72tJ`;p zO`DcCGc8P)&kRgQWxbdLu_~p5IpR~sI!S;Snm1Hz1xxgmnr52Y;GQi~Ds6aP5ei6Z zAsZP~5<4WuuI{j2O_v`YKBoTD3dO*;6U)?{PkzRAtAc!`BcV@L1N*w5DepnS}%G9cTM=H2$_(xX{ONc z{9MA9I1(b7%mc%13OW;gnGl++1=nxN8p`pCw7q_81c$~9H?H_h=%$@bhwWhSJdH7$ zF8P$X_`LZRKZo}mR=qe!eNam41XT9%{;SQxp64tfZsGV%U%cjp&m3n_LP3p--_c>& zMrgD4T70*)%_iJRzk(TQHLjjM4R&%|4h=Sih?6yLti$C}vr>yWd{9X?5!-AeU>)iUOn3nCxrect3Xiw3~)t69|ixES9jWC&%O}IM~%pg*Te6 z^N5#&ws#_amAEZjjh!462`q)Lmz3y zr;fzXE+;rhKWPu#CZ?dzvA02x%WOa-k92{rL~tU#x6NZZ;3|&(;j2US4FC5hBCY%A zcLcF}=g+_^bNbchYs6Re1Oea6X*o$wy%Ba!FJ8T>Do5x#uCey@o_tUZLQm8fA8@c8 z+d*eD5X?)C`-V6PxVlSe$8PGixwLc6C2(rFez!-a=javqyY1im2-pMV^uEIW$v;cW zfl{t|$?}|)G&LqZjs2E=!2ua7Kxh7q%9Zp>^r(xKC!kAM)nz<}r#t8VC%t)h#N@RX zAt0GH?z~)h4ES?anvvm@`%;4&lkKj#{c*{At9fZWFT18Nt*uSEWy|pK{a$vUgCdor z`!=EUNr{B>ZJ+dq09DDcPr~{O{jR}XgdE>CgxU{p_C1i{*+5>1T`rJq7UgOrmoS!WKjt&#v+~TRR+A$1o9~bp^F?steq-ymzyBL%$r7bT?kWCqSYv{>5zT(h} z-zy5eNOBvpgZpfgu32P5)C*~&h{X38nNxXANC#8A->>A0EPfbX59TubiOejprm$I< z@L5yV2E%llF*cYjZ%&TJ@6A}0nfKTGo?|TO=i}sR!Q_Rt^uBl1?9$4~mxLSsKXeIP zhUGq=FK3V;Mn3%s3u?P2};4Hqtx|5XTel(||~4f5oQt^m7-*mp9R_6y{q1f=|J@*5TbVMO^))aNvpMuPQFI zi6<(3%Y{dLI##h!+%Lo{`|$MSJ*4vFCn4|rxNp?`G?~n~=O&0=>6plFdVEm<;m9h- zJjWQRTcj*4ymjZEWV83BLHQmMf~}=|Ob66)@2=63MSR;4f%B>Neuv+sih*dp1r>IF zG6r|&Kf7*q(m@ipUy(tEqoYVLG~%~i6sE=B^ureCY$!UkysKKJmoLx!&v-)`*Ox~{ zaY=jmPAPvy9?Skxe%AwL>weJ|gVJ{wi6~Pjp!aVu{DB!|R=FAcsz$s#5 z(;Tiy=jSh9s zp1P=s&Lb`h1I2yb75=i#WkRIsLXpC2Vb7;IrII_aKVL6Py7g-%1d~>0^#1$H1+Tp* zqw_w{ehOa=SNh2Qt*?PwSR@0|5}F2l0}I9d>?}7?kw?6#d2Dh#DrUak)Onl**I_Ok zkBN!mPtB8_VU=2kZOERo(f1Q8Lpw4SkA3eh=7We)QBjqLN({J}QU?x=ui#IEAtL=9?K@MuFdh>&cId{x0_YO`yZrSJ0 z&(7RMCPW=ZRQF|qjqg^U{PB9czgoOs>Kh5XKtvQ84kbvt?qQ~5P)>6|txpFn_`T=e zsrJ-P8^n}Vw4iJq&4hGzexct2^tll{{wD+8OSg|6%6Y$n&LZ36mhQ8bjE063Ho5+N z%>EWZ3~VdY$G;LPUjNr|8|;S7tE7Xx`bIA$4rL<|{1?zEnHyn1W_Lh)LiAi=#EA2U zQmY_~H6bJp48f$Y86R_7LZh}EzrgiY=>OdFLy)@{aUAj^7Z!q%a)s@kEl|d=j!T(a zih;TA^89Uap>tYn>B>w^UT>Am>`oG`+5C8r!E{emsVrLz^KP4N_0O+d;}s8LSyO|? z=hNKjMrOCkMpLElCK5*lE+a-(AJQx{ZMLNX9hWRuqqkJn&QwKmEFDJ`1W@q^=X_4@ z1mAq=6Ows_MX?^W%rpfxRlO4xUk%$s{OI5GcBO97)i|LE|5CMRIOvdzvcye z+*kvrvnp6Ktxza@AxMbC+EljM*scKBjdqD=lNz8k_r z=)UOaM1~*LUOj^31w|QkiCQ?|CSBPrk=X`%IrUY<8Mip4xW(cbKaYyi|RR*tB;f~;G{3`L-AF#HLWNc%SvjZ z8yo`@PnyDX$(r&N3jonmmim^4?x;sM^7a}$GwpY-AQE`dHy_ixQ~b71`aIohkbj^K z&CTiIXNelz89uIe`zL)s0*UhAVLI70HPl}_1#6h;xH-%pSIu| z8Qa7(jYp-9ijS=U7TZ_kC-a1lO?KZGW$?fLfN*0H*w+LNq+u$T1w%Yg%a7}?yFvSc zw-FWRc#u-pJ)C`ciMH?)s_Q;lRFd@jp=CGRu`%g`+if~Z*~>!Z?ODOl!vtl?KYYCJ z4PL#{VzeK9-!HGYMGe_1>|2X+3B{hoqsAM@qh1Sd_F6-ljFTDeUV9anCny9vJrg9S z;wCIk8Ocu_U@zcJS@Rq;7IJ=Ul5K6ynlpbz%CTb-wAAsp5f!>dN~sYXbR&#HD)_LnPGrw4*b6ZfAYTu{_>IE^#2+7 z6Fb^i@mCXb?rj&^Z5uwd7GUadUw^?lDN8Yo9v+TO%Jk6RJU^-r0Ohmx>CatgWV=fn z9cLER*9?6Tu3HR&p!|8*xvyuOYGk(3wvZ`rIqU(ITzHRRo(l)Lw=poK^e(jGyxOc6rtbnNy>35pJ>ii;*EaNdChRcx{^3FT?DG7iUH@>lnc()~pgX(OugY)4 zXn&!ZfKN))Grd*XcOLWP%&yX=blQ%V?Q1=BVZpHBI26bELf7hq?`Xezq^ozuRAP7g zXQHV86&60=w;zUyzdF|E3H;F6apWYWZ{y^&qNW&~4sZ%dq{aPntg%TBzI!;dsp~3e z{;!XV`I|RNI5Eo;!bls_RA+v!G3M@Y?4Q)Q#Gk?~vujnW7(&Cg@0sBz+t&fcvX;?! zqkb4boRVCABpNW~O0N5iB5o|jV0C9dN$|>m zbFdGG_vkqu?b)cy8)SZ--8bP}p?5L!7ph&4QpP0hor zhPr2+@Z*W8`wvZel)^aF>b26r5^+H=90m$NCt=}}Bzv6T#bUD9P(g*cd?fnq2QcEZ z2vrm^JixV);li&`1SdAXikQwtuwffg=f*D;IJ|ymB8)vJJW*$p3t8+s-&L8Z`e0rg zSA3v18TVQK_=OLWs;00`u`~BiK9zoS-OsDR0R(^!ig!ytHQq!T0@{)4y1DDn5QRs8 zl2lFO)eQG8Nyv)dkU}X~-{;xpLeBx*nvA{1f%@@Pet2C#5}c2JA|Uy@xaL7_3>D)S zC2ZFHek5AmTmi+}NViB3#m<0vfk<3J8x4UMnJe460NXl$|JHW{YTU7oLK}y2jb=+* zf=sR3YPU>YQTSnP`d1LUJ`sbbDH#G z6L*#$+wSkj(%wg6vwVHAb2fp$5^m4A%M+Na-)Ei)VioLT zKj;^o=R$_*=9qNJ1D?=Zse(|e2Q39>-_@#*F=9nF22fgJAq8tb1Ghg@wVk9slF|*O z=E`_biUrsC~1{*+N=KqbZ#_mi5J!vn)7O~csTrfEI* z4*uQ5x!(fc%D?c`@`sTWIy3HFUqEIV0x&K#MUX6wZM27D?$%TeL_s89xfiHK;C^eo zO~Q`rzolB9WXVxOw|C{+nsKfx97&p*Wx8UVPEuFeryZ-i<{bicK32b+EI&9(2Ch00o?w)V-<&8Y6Gw2$MD&fa*V0^neG4 z0LNVi3U!K=2)sJ?i!8{15c!zc@e3XRntdWvU4J zkM7YAyGw{{4?J$k$3Fn)5+cCJe6i=X7zR*mD&NQe$DE*jOnkad^7b1CB^BlRAWL8T zvjOe)lL+m+qGq?AO?H7#|CgmmaNwSx*cxN8&TVNK>*;Lk8ms-Zso4J|5iVQFjAgUL6TMqxX1h=7r!FM$OY@$gXwHg|zw0Ps9`2S{G`2e0p&=C?!Md$e2gMCpfZBtkAEzWtSR1j|Ptj zC*Aeo4NpaF!A%L@dp<45ogbm#B8N`K0aojTy}989XZqqBncJSF`QW#KPBkbJk+HWX zaffwvp$;!z;EQgn+dfii+Dl(;%;8}ut{0L8W8&HnC&ybw%rJVzH+Gi-b7d(@2}*F^brGLUqm{ zAQYt}q5`ipC6BMhW(ozi{>0i9vIRfxvi+*&z+|s4+BiCbvElAPg#x-HR_Rw*VVD+p z9jn%*p4n-TxnhceS&Qh?VN4?fmKzlcLa4O~M9OiQ3F~u!8UdRiG#3Z&_u3Ov+!q#7 z^4QZHrl7Dy%lNUEc;lwEBf4ANFdUypFBxr_>WS{g0t8=o4{kic?@u_vZWxG}iHx4Y z?B~Mgn+=D1zw*mc*M1ugx*C#Od=O8l#p{ljdAIo^~D^8UZx*QU4;;D9uX5py({9e zzu9oKFE)HK)TZyo?d)#kSD~En=WHgctAkxh2Zy9d)Rf|PZA2Hgxwtm5dIJ_zVeRtr zpU6@j7h$%vxz2j|;Xr_WTB-?QG!z0@%?=JR9!nA`^@}p%QHKL%SZHoglri8C$SW?e zV*tEp1&f5j{B_S1!(fM7QOM?qRcA-gRW()azLHE9_q%F4^2~Z2`oX%E8SjB>e=$UH zQ`$t9a|i_1x0j)E-`E_3=)pYVq&gH>o9H}Cu8tl;fz{p`PmdB7yW`{K+okR@%7da> zTkA-C{hnCx--Nzkgdsv8IaQD)rc~(t7Yq%0Z+~+7sEeaFdnIdqPlkt|W@gA0>_G@~ z*pV}@qNujG-tI3d~3&JIgNdW9;cQns&we&$Y!THa!&r_-ce%1W<;Pqb=#J|@@J>}&Jzo1}Dy1TLT-NnKc zJkiTc2hq!YhZ)fpQ|0ra1Ka=+m*r8l{XezjGC}U-mX?p^wVe&gGwEJazPO8qD2>H81 zsms;L$^g0loKz=ZirJoGDwz}xYEA`dmP8Hr-Sk}moU$-jFyng>TUeO*K`*64(dh2! z9isWsX^-l2^8Z1W|JTVQ8_8TU_D^rBDz*X#*kH_KHY8WQ^C|X_H)BgfC^H8tb`o?A zCK&LZxuOGADy|YN2f@W=W>$d8T4*-+EW@OU+L1;^7#UMXN4gLQNnB%D7#ewRKaw;Y zb|w%4!w>|neGrgPzvZ&%{a_n9j$ub!&dRhxLIkEE+lRO8aCw7_$0i2aAm~u07)D-} zimYN2-j;5VUCFisD=iP~iN+B-dTFW23*ZP~XRhkg5j)E5q`S1*<)zcp|r(QU)U|i%K zFrPCF`U-L`=wU>6sV$YT<*X*~Bg4Y#_RDZhSbmuagLuiU_^2@@mHH{Ts$ceCu`<`h zGe95!lbiV!Yd#k(2554N%&XcuHx#)n0YVRqqZBrPa8}~G0x$x7VsW#0sL@j?K#M6~ zLxO?01?BO_y|ql>hEbMOgr%18ygH)$Umu}!$^_HAkJms7~3G-_A~d|$4d8!u8(8<^~%@klkxVEA6!BdpLh zr0cmH48*M2_k^5H2^k_G(mXDTs0^E@_tVcidgmfjU5hj@tgh6t}I z=}k#}ndPaYW%^4IlAe*hvJ$kcurLGhhQ$t#k^c9-K_=i<8Qypln0p8u=Lq3X{7NV! z{LQRLN0uxVHp}{VH`eUY^RsQXRrS|Nu4#6tBq7&|#{c0008$X!2$Xa5&$6IB?lqL# z%1)GpjJ1~nzf(4by-;>n$FI$P_{)>))~==DXk88v9{S;Z)*NKv-;4_<0JRhBp3+yJ*sU5dr;umQr!43YfNG58cI=~N5#qhfcA1?$>BIQRem zkDXM=NZ<+e%=J~9(CkpG8M4H%6pntqeOK}j_1O4AZRvexdUj`aE9N(=CFK3tX8JGu z_urNMj8&VCC4u-yQ$M+>^7h++`tp8pAso5+OOI)z*dl`(X*xkWOlZSX&IiG?pS9Xj zc-nWro+%z4l-CE5y^71yIdvb%TJA!Obn*ZfQu}%FPY;vh8^U@5_W)moZV7>w(|xf& zPjG|tzU&^50Wk)ss*J9`B+rZja0$$714k`$Deo(`z`OBR$B8*obsYB$_6U#4oAWw{ zzOwI7evSV%Zi?m;ovv&kZ@T-bCw z?6jQeHtg6?56r$alPyn<9uB3vhxQg{{xZ{D8^J63kK;}&oW}RQQNBz=0A;>U+Lg1S z@ut+0g*5kD$%LN7m;-$a`iOtT9dh|pAxHUtgVO&)fAuvAjfPDD*^ZBJ7)cHL{br^w z)qBej@3+%uvoxO?aCx}h@HnCq#QLdWKN?JgG~ef<9^)w7NfMGE0gKTV4cHl8j?JL) zo^kSy(*Nb;lNW_ge(1$3si9IOxFyI!NN9_pT-CmioKdlgA@^a>+as+?7lhG*)p63| zx-%x#@woCt69*!&BCTFx%iRDS$f|*OU=F!bDEzt@&(+YvPl_Nnv@!`fY2ZB&dW7P*a|~-F|G;!pcxA;FR_&-Ejrbps|jCM4HgS7N)!qITkBB>KkwNH4l)dc zFW8V98Sm+V0V1Z0oe_L?HXZ^JBf}J}8D%`0(K=?}sj1>MARKEudivoHbre0v{ELHX zUs6;3$~d?ReRrYz^-LW+F`;XvEwm!a-`^CbOm8kJqj345>2|COiRQe7v9d=<1{b>B zqP>SVna#P%2FZLeCBP_pzHNbSF7Tw+Qm&%UifNygYpvfRHMs=Z`KhJNJ!`aXlkwPH zxx1~;F?#m-UPz2(tziF(kav?l_|e{E_eO*J)mvMWLY(N|+v>+0PRt#?2wmqnd8!HF z80p1S_V38?c#^%BSgZx6q1YPxpFK&*>&xe42WQy>#!q|)GtQNG{qRS(q&z-CF}2Z2 zb`d$S#I5!e6d1UX22KR<*GwsTmIES8W^|Cl1lzVCgNMk5K`LyFmv9WW0%7Z*75i-M|}E{+OVJ5Js8UR^kP~!<1M*>zoBhlp{Y3AWF8D3vTX*loO!+| zS@6xXaSv=R*zQy5yEYlSuA*&qM^?#V&0Wk*q zdeoBufz?CQftQ#m;;qeFY)I`?Z2Q}Luc~t1&`;Ce-)~dSMpwWY-5q9vhw-SrMf)w! zUq-aeEN^^SLy`5m(Se6Rn3^*Dbi;`Fp$%@V<}iW$d<70t<}+w>1ws}Hf?cxi;ZQ-3 zr?UMt1jo>6E=n{Yr0^)@K-|_{AnWp7=q)+YpY~rS-0XOeVmD7&$T>`{>vBsAYRY9` z4VItVET&amT2Flk$B}C~8MatPFSsh31K8>WxVg=haf;eOGSg_p7X*0lAa4rP?ruo~ zHnIa{emR({y}f&4{K@}0gH63gQ6pI)&Upag(};iHOerp_M$LF7Y__)g(qR z?$?(vB2Ls0^>L-iH(wKVJwv;o7mYso@Y8c}_p<{L;d$Pcj9bsv-taLheH$vPe4pN2 zWEpomiMFweuHw9bqd5@lj_Uu|nG^)gsM}NI4kQ|uIeLzZf5zp-_twD|;0aO(RD)OU zWv&?8Zd)Xs z-!eM`C^HZ;En?#CUh^-g;>};EA}nCH(&&RZ;2*x!L6rmO(~|UMy%z2b|J>b(l>fmc zPaOxwk)WHc%azKsZ@fW%XHZ51)z>v4LrLbBL+oF}peW z{)n=CFQJC{KM48%+a>%jkXUP9QU>7gu_t!kuzTK-aA-0lUX(w_wPs*l z(5@~K9l6VBE3Q`$f9^1ts*8j40Ux4(U|s6a!2~6i9wdgMT1#%p^|84#aAbkX>q%HO z6-*f=-y66aBwdNRuJBzEqmj_5z1zjQ+hM{bdCMWq1S7>GE!8TmSH*KISuF4=6|{BD zzf@?6vZ0hhr{>j3S`9xF=+u^%s+li0G6*BK?Sc+NA;!}$QSS5)WZIL zEFgxK_Wa^=q;v7_ux?Wx{3O3dX+9jK!Dp##$ZOSn)3}zD^IaWolsO3yS7HiIi*L}* z@H-9=Say+l%d?v85v<8N=Yjd4tZLRtTV?LVE7!{D6kqjT+x2J zju67hI2+^VpZn!MxUdYiObRQOS!txiJ>NhtX2({xp|U&EA7&qkK<8qU4a( ztM*gZ$~1RO@+k^bSb8(r0E5a%goIUnX)Zwxuw{s^}r#D_^>3iAj^1`Kxq> z7t*9-@re_!{7?!X+ljZ+(^kuyqO2u-0#$g3a@uD`C#7*^cH|fO3vKN8gr%jp>f96CZ=>;@H_soJ|)4@JB6i~_W zlV7qRjc-ud2r{NZQ+b>nDIt4jhzAVV3B0k9VfvUFz%8~5LWYh35-`Al&dgk}n@@`2 zG7njsd|GEGE`A9bl2b0U%yf1os@Bk`W1-kTUTg)i|7lJEqSN-jiYM#>8xsb0qco{X zaTP>-nb&ALFFXnvM&<0ry|91!*0^j?rV7xB4x!ILrX_2&g+raQ#{6^yBAKh7QRO<* zJul(`P4u)hqHB}i)p!-uR#$G{7tSVCO+-@K@$`8cyK*~&q@>u+E}oYAjn%&sXo?WY z^Yf-K?Cv(OauqxLJ~S2_EzMOV58BynxhTcyc<7@uPmOnh7>>jOh9Gj@Ng%KXMQCuB zKT9_dUf*b+9QTSWe1hB{#>i|c3<)n=hv#w5^MJ2jHg(j1it5GKl&_`M{n+-G5F8)s z@$0t^PPJ=0JOYi_A$TPCj(@Bphi=96QiMd}h8*Mgv;Kdg z`G24a=Oz{?xAt+BMBDrPK9zP%&FWcPU%#eC;GC5U?Ptmu3SAtr z_W8}b!K60^s;VO<_Wncw;m=@P=0!Pd8n%@aM&7$i_)dCFeUqj9zVqD~G1?IqG*5ERkvZizhfFealbC2Ci zL70mt&FyYC+`(rC|GRJeMeMDuP&U*2h11V8w$-o|Ai>MB+=7yQSd3WDYk=*LsTVup z1^%Dp2O`FN@L70c6eCfp{77Q87U7KPv#-|-zV9Q26cmsQP^eT%SzuRgLV;aJW8WzI zwob04Fa-qFLvhH}Ti6HXWo*)4cPrzL`WW3vWQZ4%AOyfUe4x?<8ONN5t=tIPYz!v% z_*RbRrOAQ{Nx^4gLE}xb2pX|U44#^^P+gN&Lw4`cmzOkWqg6`Yl3~>1q8WPlhG(}` zDI0f&1-$fh5N_!Z1NYE9dvBVDA4c99k;}^T5h5NG4`-&Ie<62$9Qhl&CM(J)!2@D1 zSW*)z3^{Dl4Nrfk24>`>pb9@D3aj7oFe7U(e5)S{xfu+a7@=wr+@_PfYJ4h*_rzBg zpDrZ)nj5VV|EdvXTDIan>V^?bnfsippD~bu75x_c5v_ED>+ccoDIUqGr%kdNs{5QBG!TZrr1R8Q z`Xj$aPRSDe)LPoLz97(dV*75B7wsp-wOojmX0k3v6E8=z5I)|DL3CjYV)om=Oi?En z#N5|~XnKQnI)W+Qbu|*6_Iu~!FmsSpC9}Avb={;I)c#KJWv23Gih?Z*;u#Ue%XcU7 z%2Mn>X7n;m>S*#wO4X;%KkQ%;yYT^li0a_W+)EZk+tek_CxeAmau;HnYK5Odx!6@p zm1DUJbv-z2bnw3hp}f&;*ASUFwx2?U_Gk3ZA%UtxB9(HhG+K?*9eykbmohH8Q*Tx0 zx@D@uyGYuESp7Y?-3f9*v^C@NhzRLO(P zh6`wDq-JGrrvD1WBcc0KjR_>mcf+Oppy_F9tm>Fg1x?@~n0QA0i`8ws{Nu+XdbgX2 z&S46S=S#s6n`bN8kbP%b1!vh@s3F(=-c z6mXNS_-$l!<#Tg=12SmwB~G)C9_+@0orAQ>Gz z>|XCiL^<~R|Mev(kc>|M%I%%a3tlmg)6I2uw8xdk{-tICY-eJj*jagP)oS%dn)B4x z8uoshrxkQ0#fS4`Z3f!Yp*tz0j{2K)jdk~>msxG;(r)FZvIrp*WpglOmw+opLV9Q4 z(r5C#ZdagIuF}j)1eBGvy}i4-0$|NIk&$DHV7UIx?X>_^97s9QG#9)?l}^1hZf%@g z@#epnU>#{0;8wep4Wq4`Mw?%s{?Y6}QL5dZ`CpmmbYJ}_K>Hp+)L_y#>-`far?yl| zTq76)x*jlY$C0`18P*zV_S~fHLHXG8)I97ks^T7Nn%ShrpkxBQHQOJ!^Bp%%m-n9n zb!{;<107VNy>xZa3fRO3jVd!79JWN4i#Qjg7azv13+RmPjva&a;`kB(bGQ!~N} zuH?v|Q~dTY!RVN1vk7F~qkqZlJpLiG+bw&K`}RM(ML2@I#D~XZX@XUSGJ%*}f`d$2ZI&z{N+pfil-_?Ld|vT^}O3iJqZ2msJW)^0Z-Lu+v75$CP;SgzxhV z%5+F-N~H5{xN74a7^G#VCDY&M_?sqB@Nm6Bb_ILWG+wZM9^-m($n|*)aIxG>36t<|>= z)gfi+qNoxfGw{;;hPiR>J%C~N+_vD=?l3t^8O4vyaDyG`U}NuA3FS$weQ2Xj%)@#4o?w;B_EoaRJDblS+b z5In^tPqot=?n&qAOXubcq&Li5juw`lloCmP*5u^EP!vNhoo7iWJzB$TBI{hw+2F-W z{5Y%$8{vEGBnWeIT&}W~{YV(DiDKE-CnnV^8C6G^=#9`+*G*~?i#r*860dznw2bPh zU&niyU5bA9PHVv>BMfvbb?Ldj4xkt41t8D6WUsE|t>m*peN4pn+rx_c?zrO9@Qu01 zbK@%uMMQ9&S~_&?HhtJ2%>o?3@ON+z>|~V?Y%Q&up&kxh+f&&Kt(o*T#{|uV2&pCSZa}K?v1cDmDKYN-Y9f}+ zR2h~2OpBIk=R4X*Ek16@QP2p;;L}rm>?o(Iy7s0d6PwNf38R+c81r(r!&0DUeamK{ zKj4f6yKZSluMfa-;6UoR_KAIpaq|o*6PvOx#)^o&Cav~1ue+{@i8fiPnHI;QOnlZO zhWe&Mee3&@*ZRFw#1d02~t7yzT-VmuIS}kzR#HeX(Ogr2P$6Ryu75GA#!IBPJ<5WXPIU zAwhgDHvcng`HR>y-vd$ith1EAIa$wI<@MoRskjZwbX)t|ojaSnXvY6M1>c8}fYn)zjjz4$%i3nVVVmg@;NRKF1 zaBfD|w?YD^e;*SG6ddq{8d+!J5Zx%TGgozJ@Tlf}#(OYGCoy@Di$_W^;YMitzxzJ%kmi9T_DVs*{T_WxHuqCK9vgmp8{qx#S?G*;?N} ztvyu!reBlQ)n+q;awnZd+{Uy7CVChCW7RH&6o;4AKQhth=;|Y_~y?PaZh&r zqJZWNsu5VVsC<5hd;~DyAyM+?jtWH8HdlYflAtMu%==_}yzkVBJ90ohd?2o>o5*($ zT~XXu_<$s8?KiT z_i#_;sk~RYUFfacU*R%B{oCo!iZ1+ObpVHt*8bRmJ$I);2B{)~c0BNr>iFd580&5o zdD4kSHI^S!_E(!dsps-;CKLwcjZK25oE{AW6SQ0vz&u&VQp>58PrN>_P#UIiTLeJs zM`m+0;61MW*-QMqtgoNAM9QgV>zN&iEhP5oZO#ZohK1J!d=mBW-K2M1J_R;d=w8Em zunXBwTu9afX8N-dSa_FIj9Nf>?q5ebS5aYt!2^?*?I`wl$%8w5GdN^>tVpkOk6u7&k=}>w zX?%75Mi-MBbn-{d2YA2UsUZvEMDHuxu>zFN*m6xGr*PfvwC!@1b|!lU9jg6tdwYIV zEVxVSp_LhmFy7L$?Nq=hRjf9UcG9&b<>7*q*RRi4Uxqp5DD5|@f_#!R!MRO71s`Hu zVKT4bE*ldzIW0+(rX#O=nkSvhzWm^^HT}W;c97-mi1F0zudn%@+eRlI3uRmU<|+F- zQ7SaK`@A*pTyAUOz|HmasAop0J`74f&o}Z7Rna}y?@PJu;bl;?kT0sBGSke`yfj|R z-Sh=##hf5$$6IVd;GK1=AY1BDwzNmBh=4QqjszTld|H3Nyz?S;}yO&9d@oCBEJw z2ZJe3zsAjF8XS4NSGnkzqhX~!bagx@y<-8GBB_%pi0Jo9sQFDPc6uh-b3Ho)vqduV zB#rWQ0kVQ88cxZ1f?VzZSHAE@Q3!|PKM^8TuG6L@PiNz%>R*T?Ot5n)4>Csqs& z&3?lMn?gdn?+G86Ju%LFGPTZ*z$scjj-ARD`B8_*MTG8f@8fw9LIf*GhK^{uhC`*o z#@aB4W%T~71t<}M%5feKE7;`rrV<-$V05qD_-x{`N_Y-J;y@k@PmEO9YP)pICV6qxXd8=lRXm4=h_-H#YazpRcn2*U;G!s0In`bZ)IsGbQwNwk zt-nAm)&J@gDR5lRs$~!iIecm4<2)jYtRatXSXIpVHhD5sw)Q(N1I@F?fo7B+4&nkG z9o7&2hI`olq~>JpGpF;eDGBwRSHSuxZz527yM-`KCQGyC2FD|Klh}V<{;vDz;Tx)dZ7GLe{l#w)JF!9N z|FA0%*|Ar8&SKiC?oG!)N|{%x`H>drfNMSWTxiY+rW(OFO19?+*THj1W=6~AMkiX# zr`b75e;hG%I{oojG+T%iUsy~yW@D{R)`-X{#IPlTLse@S@$)gBB-Fyk#Q769Y$G}8 zs*?EG9A_Qu*aenJ2*{D0(dH0^bxwN99m(0J-gvU^xd{C|c3LbD}NxU4jLDM1tfdshhGO z40xWsu>ooJBeQye420w9$segbnMm|X=(R^26v^Yos85PqipQ2|>k?U@cv#r=3m2F- zZe&&*`0|-CX#?FOD=C|FPhdOBupNb>{Iu6bsO6*W*Ed40<%SlXl~%kIVyI$giVv?TCD@6 z(NPX%-TfU=2AuJrUOEngU*X@?B&DV%j^rHIpC+=n(2IGl%Lc{go|PD$HdYdQs~*4X zm@M-tNqdS!J40^G#KeY8mAxwpe0l^1s#6Va?Ysh8ln&JXq5J`wk8Qa(DIWbm;02ttlE0eVB#S5`!{{+*>eY86=d>($^2eQuPH1CP*5?J5E1I=N;l z`ZW<)Ml=)+KnJa^LA`eWMB%*@9W&d1CGPGgUpeQv{qfB0w^&XV7N^-fzuwb>v+<3L zFAm=(IVYLYv+7)Ad~l5JWv9y98uE%>6p5Km4dst1!It@_({Ota%0}=tG9AT`t|U&4 z@%XqDacY-~3ym#9s@EA2r*Z;Cn)m8+OYf6HLABhAq?)*YW=%G*d zX@gXZ0%vEB-j47xClM}y@UHTBrdOcu6=}uSPJ4GjForio; zXO`BXrcNokP-^SR@LZMcu6IPYKIq3zB8fmi@g5VMU3fCMYY&dexW_;PQ8A{DLo>#H zE(V_qrCHm!?W8m#z7kg7x^xxe?iNATsgA4@@)Vd_j7o7bM?uY=Fj z@_vSE?hb)Pn25Uhr2PP_oV%MDW3h9ip_>8f-44Q>AWPm4W_1Z+{xkfq6IoeBb2?tY zp&vwYYokWK6aJ0WsBYU-qVG9px*^+ZJICjbRSNG){no&fu<}x}WsOp>3kA6^8?tuz zmmAJ&jU8>3&JNhNNd1Qj0bZZlVNn{jc=6-wvb5hq>vWTE@0m(?*J|~ZqwG1t|K!u@ zc^^F*ZSTH}IT0?RO>GB7i~i>M`Cr{zj4%+BjbYd45B`kY_uadh%NH&oC@v=Z{|O-7mdCsd^}DTpY6|@y`I;BG*ZUS~bfJ^?BBbvsCvIR|$UzBkDueG*A6yi!ynVqV*a{Jp$>Qh%kgPX|u2 z5eb!K{9r0at*F23#QeQ007|vV<_x}Z^5SON?lA4)J5e#Z463* zPH-qTB{__SK`Gj{OUOJZKgsMPj0J%Yeq3(TCCq|gCq_#?OH!a)=!y>qeN=pcPhqzK z?1SuU+~G#s^3O1D`s<|lGq1-F z+z?(}1chLSS8qtab3*~V1|T`rzw(l(4o&t>O{J+?7FZ(rJ62>tjA2gvxf65GiDSK> z0h8j;*pb1LoY>2E>pkpEN_#g$Z8M_*#*ttut~%nzE%E?P-)*nNpnBnn(n3~g@uXDk z@=%M!H{LERE_cN)0aU_~4+q?{xe1tcz_vEY&Vyu=-+s2mMeau2R*C%SAdOw^O-t`TN;D*t-9*j=X zF;-xMgjE|bVEzNRnKfwFny=fh@Z&E;qBx)?kBL&50_Ew__}Vs#1N2RFNom2IMwU8= zY(I0^;$#fs>j8)vNr(u+CE}7{=)Vl0h>H7U1FAqxOiUM514Q5LQ#Mh>ScE?|RjrkO zybaw2e8Y&A>P=-vXvmBSIucLIYt8A0O%ZFgUJgks%`m&|i4H;^rqw2Id(ZWRL;XIL zzq_MLPoBNs6*?h z?}y(P-o7Te>gMqpz16@K3#;#FO;N3;n5d?3d9HZP9+8oRXHM+U|5$rXq*(AITM1C_ z=Dv6=gD~d+M7X75s+QF@?QQKly493_rDkZ_W1xRxtn)xBD5$Y$L@FpSnHO!O0KvGZ zQh2E@@yz~T;THcbUP4FGB{Dwz>w(aU*U=Pkhtf8)13tby6Q92z#{`;_uaQ5zJX=`o zi+pfzAFE$kIhNzS6wq+@mp;`-+l+swqlxBd-6(VUE86&MdQVTs8UO8BH!5_}P~pbz z=2|wRR4@_K<+pY7ao4{;ZaMDpn~`;|3F48yq69@=InRvT|3f-ao&jmJ=*?Wb%E95b z`0*Y!Wd0XlU;QKVvvTpWb@g#wuNf)QYt^X#Zwj28K-F2fA!G^NE|%?kIYpC`ZnCQ+ zwY;{7&P0r68UFozj5ck?MetzT`kLpsR`H|YOXiqAEA_f`hwykU;H0seqW>tJwwx4p@Oz zbH(V<$3IL_vuL!A>()`Q2Q%+NR=SO`4;q8_TJNwi`MtBEjxC&z@X;1vE6Es}x@nn? z1?I=6j3>mJgqfd=BjwPYPsb@!y5&Sf69Ph?_?3Z;n)Ds>ACuGv9REh~kXKS@?UEUk zpfN)KBg~!NgXwhbX*kX@b3vjVaQwMGZ7;X1eE0UkF&0jb2ZC(P06@UH!xmpdD5rox zXfqCjf<%o*WG?ne(T(=lIBt$G7XOiSLQTKTU9F`c(ER<#?|=@q7gK(B zGnP{UMUD=R;?92THpXNCTnO9Kh}ff98?fV3l3(=Vy*6GF;NG)YzeL9zk@eaH_h60> z@%>n22sI!L3(oi&Sfp86(s!?IlBzcux`ox0rb>K9z=1v;UJ zh-)$#nk|H}>P5s#?RVRX2?FzVG4OoYKY9wrbF zuNNrKH=99{h{h#nX0)xg(FQO}}5Uus~k&(mMnn3rKb!y*$mBVw%(4W~;W z-Xok6T$wa^3|8SU&Igo(mM$`sL~Jf4$e@t)!meNEmG2LNo;$S{#+kVo8`M6wc!O&u z6^r#j_xyTWZ}-)+N4{CN<2?0W)delfZ666JiWOWYKT@^Tn|)UdOA+`uy5*Srb(ghw zL3BQZvk4#9+Y-BU3T&ybLS0cVDbk|s>YrOU)YJj3qd6MbroaYLmLx-vKv;=;eyI_t zcHeaa34cJ|LG7K$oGfTAr9b+c4x4MT2KBIdJ|7iz?Z*XMx?|WFa7-S&=zlix-9axm zg(bYJit{^rCIkavK6;uE$lwz}G3~7Nf_OcuEJz6={?4S8W?S}`%tRlXkA%o|Bld5R%$Vlb22?%l!sTRv4Pxt0 z;XUVKJ<;{;w4taZE#sN*51K!BM=@zr1isEin|Lr9!+yw`MYGUpdt0NJDh{eGM%-IJLSmpvp%^R~+069wkd1o-xJ~Z80b#!F+`apOy zB1y|YCmI26P&pSsq*L z4{g*|oQH0_rD2r(`rFjgEEJ1tUbOUa3-S~Lq{m+D=x}&;9*k4};p%IR#$jOR19`$2 zMxRD^sUN3bZ@Q(Yl_)SlR{pGI=-48`fTd$yzB3E|_i1#mvGT&Xn1QeU>st*8aP&d= z{ZVx*Ce!Ut&S{p%19tpC0;CuJ7Or#H3PP~=>)rCN?YFby1L$7R%o(zr%bl!?YWe%E zAG}_%Z>9-hsV?;m=E+$=YFe8B)Vr@H+B`0mdkn%n$2 zk1lUM9KRv@KR&B}e1ILgHj?06UXXqvJr52xJTDOyNyni&(m&z_wKKp$u|!{yMQVq< zw+zu~(^ZQ2Bkt-%c2i@jl4qz?{tOxO{YWI5o(|)Y0#UaOmrpfw6pnx!nIW6nXeS7Q zlyXI@h!uF%lDpo0*~GB#M?t?wR^Ty@%VIy^wmxPE!{rf8c+sc{szRv=AYqDBV$Wdc zS;kivPOvF`yY@m7i^Go3wF62$(x~-o)M}h+$`5KE3z{~DS)IbI~hoc4&%Sp%x6!@c6 z#Rdx0;c;Sl$r8a*1VI3LX28i^PlVxS*iOk4FqzLkQq?t(68zLj*4W&l0qK|SmrP{f zTmQb2;gx=%?s^3im06lENq%v@R8@n+J~9w2H`{#e(&?&s7N zKc7J+7ul<1blD@fo9(9}jHvg@P(?uJoJexb`x-EmFlO@QM1`S)hl&rNYZ)MO2x4OR zO5vmvGoQEmJ(k}g_H#F?6T_iYS;@~OdY zV9-@FBJR3yD860^27*qa-;p2-A2VcN1O|;l|DFvO((vm1GoUPD?3 z>l0axa$BKwv_r}B5aODojnsyn-`&!n1#^l)XIvV$!r*W> zfj(H5o7{*v^SPglH(TES2eJNxUlquXLyF?VP3iqEyHY+g_cvHc4Z%!SHxcU|dd`PE z7G>d3y?l$(KUw#_(hd&mU+NwhnP2$K(03i@+(wpb{Wffp4;%c|6epO{tZ##^LNwSK zTP{9GNm`t>#1(k+Q>W?FLFN+h_-p-IYn8i|}HZOSSS5h+f=(f%|_xDm3Clp1^6mpnMhJxRg0&7FTwp zDee{}(smwelux=U$|&-Wp@GE$B3N&RSP)^r$O@j^&I;+}7 z^SAJsoy=nq8s1PT0CSNsK(h_pn#cTru(0-0%y1GJ&T@B|k1eB7{&DtGNK)v)QP0y|1fCN|VT(Lti*bk3C z0yNBHZl;-MMxqGJxa>zi03JI1`txwXL+s3smaw39+Po8peLHVEEuh*N4VqS@;4)>qMXKFTs2y%5y|)BK6JUmTX(q>R>%fT+b|bnahGkrh4i|zWZ~$| z70Dt6-=fc<4UB}`Y_QxHjqmVtm}9DrL6AwWIn5Bj6BExbAU-W@Aa%Kx!B%C5m|1%H z7g$++jS2oQJqE7F-s1mE^{U6Q>zcTpdH_Ly<=z~0$TBYJA ztEMPORMw8(CO4+^+x`40@>TEGrEsWhg>idO&xwlDvuQ~`Y_1&)ZPmi@pXaSOdNNGp zJ40N0E%f*&+bF-2KeN;JwO=xCAHAY3n*Qly$lujzM!$5O-a7MbhVZ5RJu}2s@rM{O zx7(J*=B9{pjps3jGl%oY3 z&U8I7yG?)ljyeJhJ9;o-S4chxvUEFtP1~f$*|(Wg5=^- zjAOQ|eOmT^kxOkD2~UG#Ve+n>U;Y9HN7`#O3v zCdg2BFV;NY@}NRtr%K#O17?JunVls)OIf!&TmRY?ae0Tia&?`;1QUNh9HsDj0Ova) zA(x;)UR^`@QP-pIuL&hyqG2+Lsc+-cQ>4$U8!A}3wnzauN4xu6rn&dq=J$1hbqx0h zwr0g#??!KvJ5-$~q>nXP33?zvEmMJDFxDe`jKWf5wgQ9+syCBXIic*~ zR$iOb*fJ|YK`Gmtwi;g0&p7NmO!NjGPOsc^O`h<)RWOr2B1C!-Qz*ar|GD`Gx&FEz z8U;g$7sD>nH|hXDi{~ien+w96uK;#_%Ws85Tx^DGYt#pxOcyu~>uX=@n2hu<>W!Jc zxsL?QxxDesQbt!GYfKwPoT785I{g;U8Gat=u85K!H}-#{Q;j<%GkB)@=Idj-J**pgw_kB{ zN^pR?Ch8moq~t_5=2> zjo$l8bC9F4^z?i2{smv?9<_h~gr)TS)H9eu{*FSvJ^-q&cF~W&7}F|C)^d1)ep-z;9T9G#=JO*r&u(7O-tCo+yEglN-QkOjcIE>e*71 z7-h9mA_ij1{P-I;OGiosf;*n#l7h;p1QnqgsLB>nM~=gLB9;s@C5=z+?k7uzT>>09(|A$6wU@NM@6`JY!N@L$ z4rbUSK~@fY-n1BC3Dky&v*f?<}tXXJE>0NW={Fu zp|K9B>3YKR+Iye$CYY%unCZrXEHsy?^tVC*7ay8)*j6eV?Sm=Ou>z9Pf!trRtkyZ# zg~ZIeCU+hct$laXNz`7NU%?tCM|#;YI&!X$GJ~zkds1N*_951tK;oLw<5r}J-MrK9 zc)E$L-wkGp3IIv#UC;VS8Sp{7gZ^`WRKWv9fY@QY`c#bq$B9XaDvpIyZzu-C*n#3O zGb(xG21`5v3;!sd9m0|{f@2;{!GW1$`T7=zZKx>Rf(QOF2Ys79=`|ME<@_1Q2*;!> zNbaRp#QX)xsewVK;~)qvT#kxqxJ&-C1v}yrQ8TWY0ca;-CScMWF!|wo%3>8};18Bv zbN~%GUNV$eD4v83pTr&#vuZ^m`EM8Rod8 zJEQzu$kTy>2r`$!7%nX5F%f(d8U#g?O_xb>uH>5!ksoSnes!BasikB=Frdq1zRpfz z09gfNp7$#p&ymYoWTX*e_11GYCH3w!@h(63Y6G!)qU4LuWzgzAOroXL z@2Hx4mU;Q~D$9z)V1{>F&bl(5!EKavF&5N zo|tv3@z^-c7nM)yxs>GloYnVdo<2H>zO#{n)5}Quh|@)Eg+RN+QtrJSB)4%AQ-G0f zBf8wF6CY3D-RPB1k?!YqLJl{L;?4+%J-WQ+%;LaU10VbC4xpA)X12_YZ*?vLLvNPP z)d>!mcw?TUWMPu0IU90~|IY69%gj<(qi9+gfwiw_Or9Gu`x6xhuE5YQ7?>JpFhj- zI@#zsRMf!YvY4tfG0f8mxe~d!G;Vh=be<6*!<-=a_$7O$y7f>Co%$=^kZr1NHON(C z2C=O->&dTb(ym*;!6jh~2FX3Yjv1Yps09?Nk^SFD+reJe5r^y&OU{;hU#EcVl!AOQ zHLfbmMG&zR9F*Zvjn_(<(Z|qZ#%|~>W;k9@z+V%MjyUIF)t3HTG4AMOGb3u!4~-@h zK2?*)mOZBpRyhQWsyqjbulFtmLrA5ug(eSadXbn8R1L*QXS}-NY5krUfX%~Ld0H0*Gg0PU5(Kxtdb2@Np22#I@nKMkc^7c!dIs`sO5XBP#Q%P&k0HWEB17CTW&jw18*>jKfUC92Lz(o;)h*9z9-< z2Il@`$M=tpHZKchzdso-4Jhl3!c#9@$A<#|dyaf+5EwL?G!QtKdI(AeD=iUuvZfi> zbVXrN2-75EARJI8q$PNg!t{EF;skmo?p$6ha7I_H)oRk38o(rhSwB@tJ-L%8g;QOJ zSC=yHsCFeoS&u=R>5F&rEva8@cO^Q;*HcYpBYpVjoipm^I83Dyao6jWOl=>$k`eEa zX&sMPiEW;4sincJ|LrR94cD})Y&e>sR&x-fKbmJ0iTnFV#+|fB;~J>0`caQ@Ic}FO z9B}&TlXoUT33&9|8)pWB%E6vb5913NKFAL2RJvqNxldj{*r(VF&Ar9*D*y91!2J=r z5Ck||KU(tGKgm_pj7qP+b_#-Li~$;#tEM8uhdGTuge(~bJ@;aQ17i4)HJmvL0=ukf zTC8b@DT4(cL&Rlx5%Ag}VYZ}K&eVPpRL{iKG4Y;e;P!=oHsk*&9YVJr6Z722yeUV< zkA$$cc1Qi?OSjC^CIo2{5^82ZoSw{QNd-}b2#1sir7mxSLuB;uMFxjR#Dgh%@df$g zXL05$yFwgJ^k0XNmcP{!0Tr90EyiBH$NJScaU6SQj-IfX6Pi|NxH3Mff$?eKF1VgC z!y{itlgZ6ny4h^_V>e#XYf{O@7Kl`gne=`;IHFU&w%V2aD{J)(l7XOgo$POoZ#kdeO>&=cZl8jY;4BA7 z?$lfei(e=^GY&(11b`KyNDb+Ksr%X)62VbHY)~TSUej)aw7xW4_~>=?_yiwFrQOd& z#N}jHQdKuh7M?P#H*9EA^pbFAZM zui6y1_U)A{cKhFW|2L4hWnn#coUa<*GB@_&HvK2?q8{lXe>U3+yH_M}y!VL#mW5BbdVs&8`e4iUL)7m4P6CbtPS~}(1v-gA6PX9 zkZzYHaaYEuDJzjDM5^D9SmUiox*_=7%N+m)0z;7$JBEHS+CLjCmeSbma#Sx)W0#$V z;y=57mVNy~ts77dAmm|#uqXm)KNj7@sT5YMiOs1uB{vr2=wc}N%9-nQ6A(KkcSMz9 z!SMl5C;CqOpe3Oia1xsW;e_M`4x1*~Ja}+e!%^%8rocm?=Kj<~aF1SSk(v$60>`Hw zG8Uk=;5-myLi|5V2!ltYfV6 zSe;axaO!0KPihiU?H~BKyKE9HO3yl&g#^fL2v`*6f+OnL#VQiIF02rjj6K91Q9KXc zR&R3q=tz;8N3LbR;4frSzz6L8)HCCO*|L3HK32D@RFs>-#U-L*FK@EGGh^w}qy2g6 z(l~GU`%pL1k@wiso59#k!@{yF*64lDcP$IG70Mh}@2$AQcgR7mFW*?Zi-2QKPZNI0 zuNWU_iG2ZRt0s4m_I-cnx&B7O)cba?iRrgN#J(gxp{Ju4w5RA3hGHvIX@>2Y{WDsa z>b73w8m%c7l{l@Y>iomcD-G^=GW|jI!+nsLQ<3QXH#wCNbR0w#>9;&Pz2#?rM(-4e zISrD#(4}M+EiXei(?cd_ol;DwGybv(-$;Bo9o~JB6&J?ZR#usn7m|u=EampCef!oe zGXXCWcQafm1{*8!Q1>H=*at5UNTe>8ErF^N65$#SY8ppS`2w_}~UoNYjY<`bP)1t4~hE2Y>ZP9h# z1qPB!T1RnRlk|_jhrZmO!$>{zZ#&{{)SA_P?2Ii=OmGAF@|AklF2!B>*WE4tXtU$v zxaRYk-Gx^Cj9^Aaic#5TvP{Pl6X~pv^LK7eh?J8UdVX$B&`A5J&rTH6!qP`bDKlR6 z)2>W7NAJ=$)#BbLK@eB{MPd99v1VetpSC6-O{W>1N{55zujDWe2|q-GTrgOewnYn) z*V;bZpZO8-t$@`q6wIt;lN1p402wEYD7Wh?aLr53K<<`yCM9=(O}ch*%6I$Z@#*uB zTWAF;`Q6uF>se>_QkrT5(El1|;ad8TyAJ1xg4BqAEpwz`Hlem;i!JA&^YIQGsT9e#%pZm__zrCV7lDz3Z&WR04+udW6lJmc2ka+IWkl&!8 zgg*6uSou}+Ey(x5lH|w@<_`VbC);PLz5jkbK)wR|*P9o3I1c}XYhR%7iQ*9yvF8=> z&9!lR8)c96$usiggUYb;ul_^CGu4fiSX}XG2g4&FjMc$Yf>sCj9_an4m`+Nf$i)Sx zJi@qr&4;0HvEy{ckKiFCg^D5rfd`fN+^kWL$t9RM#f9h%-b9fkg+J6)=fG+S@%4fR6P{nTi_l68U z#lxJlUu7hz#`#}syAC>#36OTxlH<7-FAw3&%z|@r`~$<9wW;XsHc<=@xgv);eltxSP{lK56a(Fj+hz zlwKqEk}4tNWyw2P^l6-3x13G4lH3|1rU?g%ZM^o^$JEkMq>hZE<-qU61*oTMK1)6T z@TDu1Ig*A}r70jv|MC)ofA?dnflKSJi8$CYH60bg6(75g>#%MXVvINrjw(iw3!7?7 zzsA*9Z`yyTTclDr2dVrGNno)cHgF}5j~}tKb0AyRCv@8keeva6=+l9mQ3!PUNhA=U zry7rc)rN%$j7?H_s{7nqv;dr9LqMZ&>eSB*%e7M>4Nr2wQ_~2=<`VT%oKp69AHC62 zXWotL-Yll_ZLRQ$&>x)3S+8OuOUJ@Y;Nx(pvAI5#X#|yUO|s}%Xj0v%?Up2*fs!cs z&(A7C)`bQcY>pLO)h4H(%y0mIU9wlvm0Vdq?Q4%#+9s#8MhsP_gD``f!bV|C|KTo@ z1<6gm49ss;6Z_RPyFTY7WbUA0)wl=fG+%6?_(6eBDl3vL9L-nbl zZVU2?p1Yp$a>$&@f~KWH;-x5-A`vDq3)@Asl8}Qo1GvWQ|f$D%O1YOB?L0^4V2ievJ#(bb;{Pa+AETI}QrIQ$ND)4Ge9s(vb` zVE}(gFaN&x$FRQozVM52gCo4lT{LCdtZLck}|? zkEf#~p1Iio#hGi}EAk;bmH)P}vEe`!G?wbKF{*HL!)py^3LAT!JWw!Gn1!Bf&3Z>d z?g)vv=T%8(o4o%BXP{*X`IIC__ZOUUvBvFZrLT2y1F}1Nq}& zXqZvoSPi$Q;upkb6A4dKdhPb85RRa;QiUke)Z~6)ae5C`;!ceCBWC*BpmwPPAf~FT z0z;2cG6d=5Wu6A<Kuf%hzDruaDs@dLMzA7 zI7f0M9E#0ZF(osJR`ry@;}i>j4S{=+!veEE2G5u?hT#x|{lGK4vqw|X{V?d$i4f@} z>MQi?XA$t0NL-UmmYC(_XZt+m^z&ygfb}e>6WqfQt)Ztce981{*)?4tOZ`9jlzZ2a zJABH0>jrjt+haZNWdCq*P*O*_ldIu@q|kZ?LJk^LRrFQDY0Foiloz4`ysKlAsSIck zB*Ka+*Z}?l-Q0F>I>V+vL{czl*-Hp+-GKtGoajjoGPqpYk#c2b(&t%8p09%R$-Eb7 zP%1wNOiJn6U&G$WJVDI^PS>O3>9^%c{ET-~(x_As>r(xvEd2o43|;2J;T6>}qLcri z2+EuuSWs`%3)M(_IKuw|^R<+_8<`LsG>J)5te-mc=H-h<=Hw056un<$4~_DDJsgv zdJJstHzE{{_Kc2|pkwcf%<}2zj7&Un!l77{;!|#qNujlehi%IA^w$Yrl51l-vAhhV5*LEk{y(I>WmKE{wmpnXaCa?G+@V0x0EHHJm*DPJ+=8@4O0nX> zrMSDh7bxxy#ohnuIeXu;?|AR|^p25F&mcgaBSCu9V6H3W` zeupAjcvAEpecBbq20v!70AYCw8G>y!isAaWvj@V&Jou9P=6-;Z?6*-Tts~)0v|+l* zTKMs#Wv#(>q5=n^iU6n^k?>$Q5qGr4N`?nn))LF_el0KBU3$VY?`+%r(#$$saj|Um)=|h2$(A99d zs1)(?^4;cGj6lS0lg28!dd;&x|L1t;M)C&|<-HD6ROMt2!u1EH+amluEaIR0y!n0o zA6vhZQklvfKStsulTv6nn1z(881+r$8=Tr0IVaG?Zt_+z-(O^J zcA!XdN>GfoTd#FUD;PdH2m9x^mqM-BJhZ!SqX(Y%^)da0Mk^#XQ9cY%cTv2ZD1n6H z|5}_4&oIFOo8XA}`K68h_$v1V5WjR{K(2L9rHK3bA4P)QE5t3Vv^o__S&hFS+X6nd zzE6Y%{U#GK48~l5MzMzP)!_}7S=}ZT{Xr8wSt@nX@CJ#JA6(3nL-}O2sn^Ye z*f0Ak<;PJZ{6rQFVc%N;O5Z({FqyH^qGKX86F5B4{j)dgO}1rQMzslYf1~%g=s#$9 zu~I8n@h^Zp%%ohVeySDlMA&kbxe{hm%WP5#3_2`pCTxFfn%$_|74ckd_kxZJw%=|I zd-@@YJq&3XRG4bPfJ>5{O61QgV*=pXZ{^xshNcgb>|Q}tm%}IiHxezE+hU&w2M4c8 zF8RkJpYtCG#4e_89oz4{w=Oj^&HxY4j--CMcl4(niXg5 zgOgs8GP|f=I#1@!G9ND~yrxnE$kf!-mMz>ndrnRcyW5|K#WpJIms~ohb(op|I$Zn+ zV#ht}9am*X|3=WTDr>YF)P%AP>UwXkPkQmwDxx)WEbOy&fZ5XP1h#q@CJD-Eq`C^; z?*f6rc>G_Dp)Gw3sT9+Cn6?uMD4tk-kEXLj6pr{F0F)g&tH%{xbtNvKDLGLPs(TM^ ztjOZc&k-=xF!f8!lkml%80@P?M^KbMn*C@s0F6%bCRHw^ z2Ja84+}7fF&ER+?fUdRr!c7ckoK3U!amJvP1{GzRLdiCtxstiLu5ofh>=WkzvoCq_{VL1KDrbhAlNuYzZtfy+n`U zo3iM>s8o83R4832qMLio43^g}tM~9?My_k)0>}hUQ{K z903DPJ$#l#c@CJq9y&B$HxHZ&oAfUMgQiN#ylGZz>dQy=inD?ZOi=9vvSETw1#1UA&vu z`H~?VS@{*`OU#$G#{rawlFy%wH<&oo*=k4?!f<|e6&ZsOrgIbw?NfZwJs;WCN5eR9 zw9-X_eSyZn*TOEmsL{+8G83OY-S%Ua0ko%*^*%xi<0kh!P3`sY0Bah@U~%wJQ9bre zVBe4pZmm#FN_WC!KWa5u7OGk!7}Jx`+{#1Ad=VDx5K#?Z-$|Y%*c*z;ML|wZZUGsc z@yZT|sdnU$7IZ5n@ax~=5R173cy`Bh-uPX!K5C-P%OmLojSb}8+>Bk7Y47#A>b;A0yVjQ*?eyM`qDAiT2imRSA&lnmpCKe)Q)>0Zi)?%$PV zqD;JczNcG8aV-d$s&8=W6)jGnNOQQ;kp?n}eDb(C2Z{oMa)a-ibV|zJbfa5lXzXL1 zK>#4jY0-VKn5Bj!5U3^??CAe2XVhK9lT%W5V)6RYk1D45&Rh67kt|lR{$<1X^%Z11 zY4sfIM7&h(x*m@2DkC-O*MW+2i)tNsj;*M(Mm`CFs2p3- z@lF3~3Y;SUz*Iw83bs-FKYHXfTJ^Qxjjp$gFArE=vp&y9!QfVVk9u+;H*Ck-8KXX| z)$2!hWW1C*D6ZZ%d|J7o>MAjOA|)4dcG(_SWQB^=-jqv<-d8HE@s&5|l9095)$1Uamv(^go7%+bkDC$@M#GhqnE`vg%b< z@%59(U#VxsnE~d7{!>#^P@elmuI0ku1onySq}T0e(UWB5;n;HxM@!$Ci%)KW_OEgd z{&Q66F}C5e{rkcnO-52397`}jOKxxvs2PU$i-g_EE?Wmym(L?IzslGcM8S6c=1?~9 zC!=g!FrH08d;d88H|2+=I_#QQYX&PE;b<5ybPDxoh!1It47B1uowH|IONKekd0 zb3INPYxzLnFYmkIql-K*6crC3@5+cT30hvU`yV7e!fNbN7kc(xTE0TB16UO1QXAdN zJdgAtffOF?`-2HF=O|&^dyNw*?m&D}=sAb6pCjo($~%w+>;^*4ifDolDjCigZ==K1 zT#jLLC!%t6c&-~QMjM=f%SE4vO(mE>fsg}Fk?=I(E>mGE_!y&Q4g7xd_QFOI7=Xq~ zzLCOPxZ}v6AS4kbSB5}t()M*6#5=?h@}%Lt9lS#$k9jpL`_FDm9+&Bps!0=EU&gmi z;Ov6|U|)1={e2hGYV~GvuPn9u4bk3Aard z!ZBf;@}+UsZn@;mi;=2Ym9&y4Pl$)2=kw#wZtVu6nNo+5iVqxSF2CVr>klHt&8kp5 zOoGJ|iUlI!pYwEMxYB^x7{wumBUX+U3(WJJS+QAN_(``WHJ;yE&x%_G8XS=^DTuo| zpWZrcKTB-6S|j0E{De-{-%_sPaw)<>LE1NGm6S{wYf#W~_H|5}K#;5D*xJ(m)KG?C z$oZpi$`w)yhPR+{qTpI2!mV~ZjIa=KoqDn;DU&ROtnZ8h281AG^;73iIo&`Y6^#vD zVsV7>q{UaDpJY3V947ceacPJ0xX{6{d#T}3cY^l3Hn{j}=~<^b+o`)f{F?45EiRG} zb14SC>b~RUbU14cQ!h`8ZAtaVNT+DUYx1lZ^6Ryt?N47cd^jWVa*kl8=EaTfPIE7u z`46snVFx&LiyxjF@v|oE>$XD|T|M52tm>pLYp>T85-Hu7^Ad6w^t(KO-g*p1l}>*s zt-1oB&H=d-;3Upk)B*3S+Wyr@^43}QW13az7aae2K}J@xb4-95*>1 zAsLB^0(sbdVH1SIw?L8K6Cgb~Cf~TrKHRY(h9eRh&x!34P^NiV2tuMtAxdEkjqTa; zW`$>|2?zta#uy4y*iwh)qIIGS;zn?;E`Ih^!qS5yyuQAwjDkbuX(X4V8vH7@KZ#6X z@zM5VM$xdZnW{GKpXBuaa$@`{4upM8A?N|H9FHpHYeu6L@oTGgwSsxLKJIKA_So!r zZY4sGpCHhN0jFcs=kzPBue{u{D>}X^OohJXhs|g-zbxPYQI!2k8J$EY_kDGtjwwQz zmpAKuWM!RKvxbrK46Qq5k84Mse_*`EZgoN+u>L1cwX4_dsk5-#7Q$FMm65v-duS+h z>==$I3OB2NC#&zjR)qmCqK~30OYt{T@{j*|P970O{r1|k<+B7B5AR#5&LyIXrjint zgU_?;*#?ylO~zyHYlqv1gOJq+(Tt17mzwQ`$9pn=g7TNVS%;4ziN$|xdnYfGFJ0|z zPw9(w(ar>Te`Ln9M^sM&h7b2f^M7Q<`%2HZSf^D<&s$-$rq0edFHZrFlq(NySax1I zsRrT!MpTARXksy1Z5vQQ$@ao0DoV;uyo~b@GR@MM)n}R|w@uTgvCLmZlC%1&?oAGO z{~}fV_ehjt6g;*DR35iiTM!X`e|boKb{JkbxaII(M>}nPd8+3WXfQ1)q22x`ll4!j za)*byvCbZ7#B(kcgAT=P+KzFKdYQ+!B3kNm*B){lnRs=Wrd)3x=QWRlL{JQV)ekV? z*A?_1v9Rym$~Rp|7}Ak=oGJU1f=NF_hM{n8#kB1@vIf2QVzAPE2=9-Tr27feiGHW^ zVez_#Su_Dc9Wk27#Ic6SiQeOcESUww`1lixK&}f`o~t=4(t-tD-%K2||A0<^$x^VU zq1auX_RjjZF!Pieqmlxo*uFGTDxCrrfS2V1U1>L4RF$j|H}-1ZlK5Tnrj`RB^tBYIrWv!1Xkkc#wCS}YqM&#ojNmewAJzGH2SiwG9-+ruF_DyFS|_9 z>rtvd4zZh+CnAk^I7+$%!qyNHM8dwx{c$PY;ngd_Ivyt>YbCv%x{@4%WBfxRWLw^k zVEhv^W>0h+m!N10*;W($q~|?2M1t<{St*gck!kM0E_H4Q4Oo#zWdQPV3kWdSiCUJy zDB?9n{_d_l$%HYXc@n@N)=gRkHE(<8IT_#!dSOM{Kg#x6=Xx9(%)Y;x)@-UhCL>ls+@)zu(98 zhlHa`^eLT`DxEhLhg2f4s>ATt4#tWBXy}&Fvts$h{2e5|f&xLQefGLYTYG_@N)^2- zQ*>NBoB;ZopOeE?4D{V|vf5(LY2eanfXG2Z&`>179|(*!yY}w!704#4ov~eS_b;we zemyeVVKS43T9R%I86W1q-zIXPtV}R2F80saK-o;{uPH6(GP&+_`ROqJ_s~^mw*=5+krK zVH&-=6v5ImxRYISTGp6rcU006D#O3~TkHH`GSRoq00!)UNJL2Jd@#bOm`>6;sP>B5 z_!R6v*$yEW@rBKY@{l^5d@;v6bSz`2XkGPz8 zRrnYc(+K;rZO+jf)4C?}b<|?^)o}EAp=ODI*HupaV|6Mt^=Gwz*y#Fa^g&C)*Wcs4Xe2p6RsgzX>?Zh8Z*TUxV>KPAKn?3)rBq zGzMxF+LpDWUR+$*{&Xnn$-LbQm+%4BYqc8oLzRD+3in|rNQSFzH>oUe572$o(PB^4 z?H&DMcSRUK2K&tYmTPC%0-h$R))G@47aD+cmj9HP{HGHW$8T^V_%rO}XX#=!W`C#F ziFC(99&E;_O*5e`4h+WTj!+g1K0T|yyK8<1xR^=2R|qEK=RJ+>2-_-_t+BDoW7|><=!|(WrDX8Z0xNMI?Kp7vSzF{O2i2oX zS9wsxj6#!GxPQdz7Z*_Zm%Z7FE8>;Jz%%@0@bk6IO`FSmb9m$MFtD^ZF&ScBvCV*S z>!eicig@FKu`Epx3aX*3N1J-*-5{d;SR$ujb3{=icfN&OGKZE*@w9UBuX)@x&V8l| zYMn3w23+)f^iI^&Pj5ib{SfK{f$uQZOxO9$l(7gz3{BQTkQOX?UDrZ2>rpC{!Ed+t z^eBDE2OuC6QseCJQ=ckvwj6g_X%LHjz3RfOyxC)4zV5{z#n z4vN;6pyb|Hc&9G~xknlXep{>4e{q_MS&7O@D{QP;~F-K;%E+J@yU4j5@gASNX}c)Ws?(alM`f94Y3O`24nEL2?rCz zWuBu3hJKKN1ClC((rPEVut${hwp(bMQheY;)Wh?xO@jmn8jw>IYi-1Y5rf&$7~Eh( z6s26rU1FqnJ_phyLSUatCjxxEocbV>9pZo_;T|@wq)oT|tvyr4ZFXZNifIa$Hu!C| zD@^fkXB?&!nPZx*+$7N65ehUa9B0|LP&#H8hwD6zVJwx>RgW*N4>Lu52)C`h&(hz0 zvU|HM*k9?}ZkKmv7RBO^;xKz%SCxwjd+LE@oX ztA$BN8lEk5|DrwqM`rgQQ+ANMR@S^7$n26_F^#luZ~lT<osK-2X>NW+n!(&D8{UdLy(AeYAA z6aN*cA828D(D-vw73$I~-A?kb(r z$WrHAk`F%UZdtd}pK^2u+!)`KNz6NH;VS&E!S3JY6GQ;Hm_OW!*NxBVddyOEW#t)L zVdpRWkKI?Zo8cLEZcEoKyBkf1Wy>iaU~Ws=@5FAa+iy$QSTg-Pc5OZVV0~_mm#c)_ z)HplaSFSQ{Hp-vx*#tbFec!x)BXS&a6M)uh;;6MPx~VhXz5Yt3=|lC(*MKLC_ORKP zpSHi=E@F(H_^bw?jQg)(Ia-mhm@5XndAJ+*2WU|W)u>Lp`x!DPoL+mjp#?m6I`(dD zZ8hz*PJaJtG46XmbvnfnK!WmRejf|+GQ#4InfcH~G~4QpP}q8tY9wt`*-+fFdYix6 z;{$CtH1dwl^T$M^>9g&}d|vA@&k?@S zEz57^4*sc#`G>9DE)tIGGDKT@{XihF{UWswmU|5tIL zPt_(hRB5Q2o!7i0f*(iRV5;e^;^2w6QyW{VgZv@ z;TcG!`Z1W0aGrE6W60J#O!`!EZ8|RbmSSsifA3R>!K5b-3a@tAFJ+LPrH_jnV3%RX z*}-LHq3A9SiI_Q-rZG3kOaQ9D#>nLaFrwcYeu^^{2P4TAhD9*!?OoSN+%Ie(tcm8h z%DRqzL4|s4s2C9V-HZbt=P2+TeEX@Gb%W60;WC=AG%=S8ApT#byA_W5KL46JvKqZd zx$=`I;X>%Z6h)3Isb**5m0Db^{H^_kWVq5UwxO=qj9koU!)8LXyl`pzER>mwIp-CU zqW)qcZcYxmDcRD#`Uz(STwn3kEJ-B-S%REfsOeD!p2Wl#Np)xF*wL*z_dpnKgHRR-D@ z^Y8oK%cz9m{RBdm3xmQ*0->V2WFfJz^9{J63p7Tyk!pKBQh-|lk@0=MEz;V4U6Hg- z2r8S|xScvu(=2SE@-AddUBaJTeBAE4b2&exf>CGDj)99)bV_>i$g9`1O3lc18UO?S z#3N7kp>*Dinr2>CtrPW7DyJ4|DHZ1V7`h<0CK2|NYCI{{PeN`Lqy$)xM}Yf8>M2Q z-a(CzNI{vkYMQ?sEMOE?wly2+X}bkW%DapwD(49&kxgGx?Tt^MP3=U$yg8$x2gyB3 zO}!__!-MC^yS8#HaGPjdZ>I!wIziHa_j*pu^_sQV)%7>?!p{?=Ton1_4>a2kzYDm= zY!l!SYdARBzbI1`vT7^G@S(9xNAXy*O-%IUIB&rKP^E~2@!n;mULw%S$I3B~Fv~(? zH7YKqtaAscK%gZZB5;^$hiY9$D_*wSrFHIzJ)r`a2xYi6) zopap()(S*v82g$4cE@6PChRYl1p4}3Pm7`3pG(91XfxsX)G9JW0KYi92oHxpL=SK) z{)4gST{i`WO?}e(Z%2OX7YsH>%WU2_zcGq=z3lBeYfT@-SP=*J2ygsq*XiIAWh_SF zw1FXT-P^F{`FOq+?~lP8il(0!w_Fduw{C4SicfS!4l>CrjGK5{5JLSe$tmA^fB$~W zKahH1n9%y&DIP%Ofqn_voxp@PJvXJtpIcIOKQhVxJ&XO%2?eXt!3^srTM(jf+&*PgB2v>^va+4m)k z?>Zmx&92q2JRUY&oy9DEp`EQozfU`K&3u7dxjVjDLo<4G`MtVbW*cN+aebcDiXZS8 z-o9$iytY-Oc3VmGf-n|i|BSUekxb1wck(j6D$Vfixan9gea+zH?#-XJqLqH8;dfQ5 z*G1||1eH%`jKljob*o0z&T!F3oR%9us-D)H<$Ck0z1%ex_IC1@J9-X_de89;#kkOo zFBu}ASG_JA%UN9rGLL^Zwq<}?DMPR4H{?mg0fP)tG#3>vEC~L?S1L^fl*cyXB*g23&3b%xW%wc z$#%}BSqYIy)OOdnbnw+N71D(|}SR|yUuz=cf zJ3=q=NFO3^fFcq`%gS|N-5juYx;B?FG4Wkd%=ZWBGh&>azF;tbn_NnQqR2?&fp2T_ zU{9RtyH3s~Sw#d!j-Aybb3+<5?>;a92PJc7;uL|;jzSH2k&4u?u(6Ws(k*Pn#Je}*5@ku4=I^2pwZ^+Z-XM^8 zRuHaGATT^s&K5@k#d=v6Q+%kwa$kyK>^g_*nkcHq{tIVEqtFSvFa zcTLy^qH((Mej>*TekG0Y&I+?OpZuFN@}j3*Ov7F1wK9hC<`pqORNJwrSEQntN?#Uq zJ3%36NK6cIMZtmr!xnPp*4nqj5+EUaxy#N>$nIiWMEhLJ+h7Im&{bZ3Wjk)|n&HP( zZP+?1{{}x?bwMYfk7z$>^==VA@3Sa(_~W4?UzJ4RtPpl1tf@K z;qp?x9U+BCG8nr`E{`>qQ;(7Q8#JAIm?nL=l3pBCrYzo8pJV_{Vd{PM-}3PmNIM?P z95b61Isjt5^-?*h8>eskk2L2$O1(eeP~QtMAr?PAHp*i4bA)wghfujlU4>ce_OmKM zoyK-~;kfhiXL0-QZYk|3mPfeH`uyE%62UZisz>d*?(0Rb`BugN(}(Wz@}tHU7GuCp zi=Ca1P9Y zW*YU}ZsCW#LA8@Pyk2V2EiZYL`!Q3;m(ph~nj{U0?O%)bN5{adSmdh->95z z=rJi8F$y-&X01#&(%yQmIaF(nE;cOLc&>z*)nXM2 zNUN>2Px|?Pj1{mEe<=m+ebGjp*%_+uwQDAnplZZ3YCB{pJZL97;ZEg~E@+3e+~t#CZx|S$d*wj5yNSkr5M_{&vCW$OaeD$)5-hy zH2)a}|1I(V*IT>+_<_Q#j|5X^_>(I>_F1%{h_w@mj37$`K4qaYv+IC)N!KJFx}AQ| zN}whM_K^bt4&94FzT6}OrgJ6E3g>b(h^UELa{G-j5Q{C0j5!cgk}$CpM7Nt@>;&;H z6Axq7mpH^f6c6fP)c>lc?a$nf{FNM9|^9i>SdCB+@0NsGwwO@=!ioHPb?*;kmOfXcKa02qN2;%L<(vKD9nec5qt zL9hL^+T!~r3W{K)<@UTKR!#^>++`~o9NsUo(O7!w^${RR^##GmND^1Ga^JMRAPhdc z=Dbna42hGrE$_VMp?iD@f{-0|VTGoeKUC3_($lHz_x{GWF{={=Wda7R=;eDq9xQq> zEAK-OB58`RTXOJsk}SvvywTxoQ}on+reRJCI~^ney#3W}ad1dw?gXWXKpc)8(lqsEC;Q%?od0}gz z_E|tg&Miu4K-U7;s(pHiYeiB}ubbBOqnX05LAf}K_jRyYP7`o|@+GlQ`97JH7e&!Q zC*XZqjDB{94L-K`x(zg@n-_iEh1zs0lai|cvIhYwP@fay6OF#;?oOr=14l)frUaTK zaO*1geX%N%24jl);wv)vjiQtMtp$J=fRxpP@elmsLEz}j=;?zm1X!=!Xnc_1tfo?H zaBMrm?upF_+_1`~clHeHxBL(s8uXKDD55ExNRJpWbYoE?@(ti?=Aa7Ptk{Q$Sug44 zDB#xgSCzxvU_^|L2?$&Ne#uw_=1+D6KYf}doMCT@@ed1iN9|nM>>n+vYoo(;n>VGR zV2L`cB)hPIu8D5EC?;j&biYv)3m+EQRMPzx9-yNQw})Xwnc`E$p*rW==@XBNG0AeW zFc107D(Kd2Z;xZ(347Js$!6Er_Z*AiE1eRT=onQLbInBE{n4P?J(ca60FW>Fs0&Gy z3(4pQFk8FJR8aTD+CInryx^KRAc5}M%VN9ANn)3zpNG!Np6$1Cff-EpciRQWZh0Lf zs2r`>2w*JifvbZIgtpr*U=tNsWInIhN0DCIsyktBd`-rR%)n3tZh5>BWxab7li;DO zN?F_D>+*5N_<7upa^ut^v*jY-~Zj6TQoz&AgJ%_&1m;m8V zy({iR230tJN^oVRv+wbRQOEMl%IAlZ7fk3Hm(#HFd3bnuug6Ww&#!UmuHP%IT_r!) zX_p=4!IUQ5_#Nss+_X8aXZW0$z7Y{=rAZKW+oN{yTiW!}7rrV|>daVfev?Z+sQ&jI z(0&hnp`P^Mt-oI9zxk1*3bK76x= zxg0^pWwJ-LkeI{#MyPc^c-!%)Vth>8-1Epaq5#d5 zE&@3>efnt^^)%>)h3ii^s7`rWEqg1N3y}7j4-Uq#xEM0p!|HM&RZV8%9N_#;n+(E? zbR!hi5sNZo#^6Yh_*vjld%;|H(N2bwH38S0qJTv3^Jxi)_<5U@a9D#i@zAbd=R>m5 z598>y(9Z-TNyX5qsdBMu3+a#<3m&ISD=K}#IeY4`nG%;ZW3x`ryA(yl2@q&J(1=DUuhO8E?IY8B^z z;9;P)JVRXyV8!|zZDaCAh`@`h2}uY>`fa;Ef=`NEil3cWM{eVJ6j?W4nt zP!y3(bZX|u32W^y?2rW+-iTojYkgyZi|7|?Pr)P2z!_GxzPP8l&c&Sdi#hj_UTxwq z+&EKtc{o`gR15}m=xWfLIE>8+gQwu5(Lhv+ohU!zFhb_Jm>onxCkcfH71!lsX^A$F zG6=gLjHha1xJqgI&{H$#rN-`6w<|a75-)_Gv z9(LLbM8ZF&GEoKz9lhFqz5W1D+`}7HW>+yL_>&MxkZmu8i}u=$+USpGG4p%p(#V%u zOQnpixjmFVnRi_N9`W|(&MH(xqab}YVf5G2$k0H}Su{o}bE}|FA=n-$47}DKhdqBb z$RO?xlf*^b28G;9-nC*tU+hI3~3 zr3)TEq6Sy(vx{Q{W36FwMTV{IO->Ys)&k8jN0Z8&OVgE2^vbmla4GjK~~OPj<@V>DP0G%k0hWk*E$f)PWKY9CHr76Tza^u(Q7 zJJ>82mH5g%3KEgcSW7x7JR~6nj;~Pze#ZwGzlh?a>O!mLr)Ce6{^utF*DaLh z_3rS5ms1d{q5^C!sqW=r)1}-*Eh)U>H&R7eTYv;0ClKgU&SF{XycR-Jm;*VRdgP;q zF0D}zP60ej#|9^Q7YApPY@A3`RcNJru!A)&v${N`}-vF z@`|B-EKl}ZYtay|&wq<=7vtp#PhCUe3=6_8!UUk72hw+a-ea(}qCsM7z z>Hd-=O~{Ybatz(n+XAV&x;i;E-8A5}mS|>wLOA9IPD}$FGSwBM2l}Vh`(nj7xitGi z7+5ycgAXtpY6at*6;2iwggnq^K~9cFMnM)H(fuEa)Pay#86^lZI0McbfQX$IceCGW zleyCFNafJ5?hV}%Zr}auL&9Ij2)p#ZZc*iI-snH!Cp3<*%DAhcChP%19fCBG3qygF zBDE$CN6+U*tb@UY4vR#Rl%JuZzd_46qI@@5%sfFOiFpVJ91s_OEf0@{I+q(5PP!6` zVip^LGKepUhW8#0*JjW74{F4qn_9rl?a!y@ zey4oN6XPn6aB)TGxP|~!F>r3uhBw0#K5j;gR9rGZw390F_w5l96R#83P9?DgLI}Jy zaSbuQb_t(y=^JHwJzL`xSg^)O67w&`5q4%}njkbef)Vz3uKL12a2^0EX)&_ogaqO7 zC-3GZ3ng0TtOq~l@BlpE!*EhglN(@P@kG?C6HltJmiGjse?P{9kwuqMPI80kirj4R07x=}Hl@lnt zATY6yH(Ec54@^J|ClM%vr{g^pCwTv)5V*5eXl9eiOV07lvbD^(2%VNryBLU;T<)`A?fRP$)dr7Y;Pdb-NPMXuRB z_Ce{C@tcQ4i11xq6Z!%)c#V1slNKG%Flp~PfS<~#!!7W1^X;8=zlUTm8j^9$ZG?D) zcB@k%L~hShdVM6N#hegzNFW(-IK>Ue{A=%G6+- zYb*5jJ{dzaZSJzsPr{|Y%~dq&DLi9sMrgpTQRuCGt{ADQIL)Y^{RKSyz|FVA@beqf z)AQlUddnDh$rxV*MUhKv#cw{hAag2jl!SR5x>N;^jJhSq_ueE*#doCv>r>(yk`=&Z zI4wCM!@V~7vxoNx##R|6L?5IbcLZhar$F~!dDM{zN_2r)&PDjEM94@Ji>u{n*I36Z zqw9WeJpzrvX0Bj3)qUQA*M|jD!_V0@axy3-u>(C~ z{5Q_we;9~m7N{=Uqx^gB{}kP9fO?5W{E#^k*-SCtL-O^!x)4}iC`z5VmpxtabKqZf zMK24cbSB{gf!XkO6{5GQ2Fl9(td8L+89=S9 z0KzLS8Y7Y-P|;xmf>3HpIJh6NFc4wsV5lWv=lSKtb8?>m#&agnJ-m^0-6#Z1;76$j zT5K9vB-FKCmbt7XWE9op5x6aA^ylE0U=jw@XBov#w0*-MfQELqXbv^FkB>-&>Vcnh zN@g-m(B^2#3@%U5*g5fs%l-y-2ujoinzWh6Z;kcVxAAP4=dK)jftg1jxw1u;H7W$R zM#XuS<;2>Q+mdx2PH1dN?;}upz85g2I0AyY3%|M2n_CibiD>n)2BDK!$ewmFA4I_b zeo+T}+xOLXhi5jk22E0&+5!XK-*<+C>h zONb@V4-}b38uL|TeK+{pokiV?*tqI zU+hF^__^~C&HkY~93DU97xl)@o_nMOcmYRv1_m1%s@w3Iu^j2e#tJ%jk8JJ^?6JTj z5sSo!%4_f6BN48+T$%rI4r);loA_)8(IDZ*)xC!XFGJ&&?<|1Jimdq(?i2&fuMCyyAKhys!mg7`%|W{y>yhM@|>n?|L#w z$ocL*hTHM^Z5dW^{7BSv^rPl?pT0-h91`~I3@rxMeSq!))t*$`$@fu?h-{zM87!4x zjD}TBftQxgSm!ZmVB4u^Rd0k;mhx;gAlytT1FMiUlMmTw7qz6yWXodgSKEdsnq7&! zJ&&YL#?pxeoM2wb#2_8dab0We?ufUTmd^mIW>gc0cw>Q^1yvNpmgHHJfv7Wf%C0@X z7SCidn`43gJ7exKh0m0@W~gl+j63DSpn1`pvNs}6PkT&+D%7)!pEKG-p$KQC51|8Yhf zmKSX?{@-NSe}1^b;$P+d@}8ANZ${ayk1^rdaCWJ@j6vSu287Q zp=z4*F>@2Vw9-|>v{g*$13@kYNQ9 zsyAXVu1lT5!i}yKABu9}9I)}rxN_IXi{*((!?wT}?`XNN0h$a;99!*lilM8A<=FEJ z6;HKD3WIbk=WP6YHP@AKsdpCAGi-q{DANU21WV@-aCv~*Z?y;|&L>xp6c`q8_BZA+F>OjN)Ep{5y zJk%LK!t`F*IC=PaBW8a2Ya1)^Z&bKV0bXpZzC)5kh4N8pUt~n^G8apE_L+a`9q7lm zq9QL&JZzIq&i9acM?E4OU8}_pxRn%muaBv6t}#E{?W{!AzID2b@EOk@pLwgSTb-9P z5E34~KF^+ne0{sk4LoEUY()-VIu=e#isaf+;w8UKrOF@L*sVf3#SgkzQC$!JnxV|G zBd*!trZ~R(;o0aJy*J!n@xYxTPVB90&Hhip`MRo>hS=WiJXVf!;gBn ztPf$BpiP>cN2-0ZvQz~dcSA~$bWqqV6vdK<0B_^t?r@&r=V~4d8Y@Ypu9z?Q55YXI0L))Uf~c z;pK>Md$W8KmWc5U1~vA-Jvw~oJK9g$v|8-a{{H=e2%-jXuOk7gOWUXK#MRlYOz0Ev zZBPVh(ijs^=t5ESWYJh~&fMa^mK|em*HD}+cOT<4qq}l|^G_dY5HyHb{xdJNgeqz0}0uLqiW0=u?ZojuM{f z8ZMV^JG3U~CEz%0IT#EcF4itBziLZ(AUNl*THvi(n64_)EseRY3#+K$at1A@Fv>p} zF|ey!Lz~XuJTfrWOw25@D(LtG!=x^sgk^1^JT~A%jNQ;H|Hw#V>5g+UL z=&zUrE&2&TjYT+}*Y`E=<3? z7U#ZGQApqYhP8%6yrv5|V}0#sz2n1vqp^!`ku@D9_pCM|tDsN!zTbYpp)NTq*3EgA zyFfak{)2B-zE>Hx(z?g)sIGi&Hhk1tAL=RBy8OtBuMl-5^b`dhKH1ZZ<#p=9dkfr$~%B4%i~ zP)7Q;q$Ua%B+Ti&L2J)M@LR84_UycGaa`BS^^N1UD#C03hH-%gGDI-Jm31nS+(zosGl)S;z3{zNE$bS>N#a{Ig;E^KDN4 za*G#DdBBrK`H^?dXEeg}*klk5pmGi2oFge_U6#T!Iu_riOb)|6XmG=y_q~1ZFMRug z2di1K@%k>SS3+aatgS~k+Wz=8(lho`@M+Lg-?l0zrJh|M83y9tJt5j7_ER4~N&^=A zb@uZNF53P#6@(lV8F3wxs|i8#slv-2Pj4%Yoqiwo%*wQ3-My=RX%kw{iee@ENdBdo zh@afxESXne>nMTbkyw$}2YL2)5M~00@I~hvwR_l%XvVwddXkD4rj}~EmON4q%>5lt zLk3K&I|&nifA$7K9k1u#XgZ?)td>fYwq9r3TIa)J&otvNXJR5!k5oT@%yL6(Y-snQ zcK3QrFrDm3ZpfJ_Go~Tey99A*A?Q*f-vnBHz3+qOa zeBZb4;Hmi)JLSPe%N@}qFr1Sc+_i8F6*1bI#CO62P}k>Fv-#ViOmM^m!WUk=6GuE??9KEGaW9 zN2^4fjekXt>T}FSVqG1Yr_cM!`e7Ve99eFMW%nBDtyn6lCtoFGa1CAk;FshwkU&bV zHFqWAp6H@YdaF5Y9$%lJF(8QE&wV=SCQ0cgV3k9ra4KAfLWC4h(TYrXp@O3O87aSF?0<0|$s(DuwKP`ttWS~LVu z(2fx1dqW>!%udDo#iAy1T>La174X&*#Eyr~5zm5VfSXJ%_8nt3H;A26Ju<5tn}%=% z`eCEDThL6gcwJh?gN+|ngT2o{&6|`|TY6NIJaK{pbSgA43T5E#dD@=WA0txJ8OwJG za}rH>xxaB#|8QGRl`**5=2Kh+t?p;;YUR=F=5G7KrwZoRuyFYJ{yl2&s=$c;3R&u& zr{%+0my7efr8aN+`f?-N+o_kw%=3-Rmw2Oq`+~>wDW&s6v4FVI<<=U7SN8vpwzmL^ zvu(0KlK{bC@Zb#Y5FkkKf#B}JA$V|i0?Z%*g1fuBdl(#o2e;rZ!JRw#@7?{s{kQh3 z-MzI{P&G5WFjY)ZoNRbI+h_Vc5AF^bm{uE z*A6{=)QmsAiR_)mH`^xnVt(ZYP(zVW)^zNd|L<}-YjQaN;>&pRYH(bY;Deda6mxwEVb zG$$d6_+d+eh~7v#hL4)elIS@i2#&M&^$t<+&88l7b-(6hd-g3Clc7c77`!|rz_M8h9F}F zM=8ygHa!FzH=>=|Ut-xslMwyac;NqMsrViYyZ^hK7LiDeKlN?Q$8=qyH)oHDbkm&HL4$R#38hwYi?%Xg8}3q>mrA|>6%4#j!CW?9bHd)`z9`q7>$Jq z_!&Gr+#!py7^t!nQ?9FN4AhlIWmVRvGr?6=y0$oP**ag}&b09XWa7Zm+DjFEOEu3@ zVJgrX_xnOuVu~}_9R^y&!5sb@-yz`G;_$v$=i+>(`%R4aF=%Yd zG$p2ESBvH5wkyrSh=v9Lw}Pie-)^h-NW)gM$*NgTosrqwr!o3ooyP4z=2*={Ymn$j zG@yvGN22=%oHm{ZrXA(9G?Z2g(WW94lS3jj;C0fKvlzlDooxnz`eyCeE{K7JwS76h zErcPch?7wJG7Ey_3$|F21t*NnfWU{%NJ3tN_t%Qt8M^DS^`FP41}z;mWrP}RKfaCD zCR){ZHmumYY|yi8+6I*cd2s22Wu_T^kH zDIde{F1LYiC4Oc}a8J(;e~}}?F}cxfUj-V43z90bWMx6~&%SlOyF_eXw84ITg+FoV zMPQq2!A5`=L`pB-u$(bfE4P=id08vSVAAx}v~j8)RRyHe={m{Huh$uq1=x!SL)Mdc zqXNRi-RIu>JX!G$G4c-lL-4iT*ySy#7fcYAoW^EO^z8RM0uS+fd@4pkdA?h&rKZVC zI~~9^pOJ>Arx!q;>Q}Y!@nbufmfAJD$&0r@;)w3Q?}JARmKOknr)mxquyvR(x{cn;LF$q zfGjl_%6K>}_mk_U)w&B(hBo&yO}sCe^UyMS$wo&`5c1Gqw~>@f_`b>T=TPsM{B&Mn`?X9;t(6#$=39;w*IQ^<~wL> z#1IP4qJ+kxcK0Rzy38|mamLsVV6BTMH-XKE7~hj94YwI3o`H*BcaiV%Qj#p^|VxT*s^6 zUg0yi@!h}Lo$S&bccVX!a#YS{@xGcz)ed(|FG-tJ%iJbJY@0aY_ zsm~8=R_MGZzDlM5UXgJq+?l1tlDod!%v^C2egBcDfSjp?DyWl+|HS>Ezc2A!$}Azu ziw(k4O%{alefjHnu>t1c|V_G|L?8x5rJx;K}oTe9(;_@|Prg zj^v^=0PsznuN2CjAsMZ7A=A99X;cvR1^cEA^jD>$1bBkr%w9Ns$hcXJZ*BcSS4=kw zQ(xC$xOZ9|gzTT6<$U)G^H?kfD!hPsiPNFa40=T^@_+Ru zkws7KjWi03?G?Ku8_V8lD_PUgz6k_aBWk`rmXE@>6x#{nbCuKORhiHC zIb3eeW0$t$n8;4##51MyA&zLz;KqJtPd4JXc3(_bM!tiRo4{51)2nUyM!Cwd7} zgHhjL2M+!0r(a|G+B8llBl=J5z~iu*oHHU_M>ZFYqBSbl+~fKL;e(<|w7Rk%xtq%B zqwe}h$nTsPfa^(D09=Wr2cM$k=~XW*K~W=Ti;Z2vbZ!>-LA3J10?TV^h)YDB#%%mRB=XKEHUU_~+10!QusZ7ez439Da_O~8W z2rHvAY8sgiNI!&wiXQ#GrmG3_t&v;Xp09P!6LxT_5wTV9C`CW8IT8Q15C!!*QfT@6 zBcTFTY<@KX_^a1h*C(8OI=mmBx2;+qUk@#IBn~fnBN{`0daa#K`3XZ1BP+(`(VZyJ+Ipr!iHbd+!{Obm>zsx)80A-H`vqbulh4sWV}C%b}J)& zA)}Ee;q-lL830915Y6=JV9?qO1dXB_HRI4>HhG^pjOzeCZ)Rp=HF0pI9X@wLcMw%@ zcXh}nn8X6fg$VTI3M_ni06dDgzsc#%H5kbby%&$(?5|?8NBh6aU+PnREew3IrJ%DZwA+8}!!9-_*{bTy=C#4fE? z&g8XGa1ao9*sKt9$^jNMn?qjxCKQkQT8yvgvfDB@CbPq)Fcr1=7kgM?(d=;ATV*#z zwYfoO66Z0r&AtpdGYhz}HbFfB!oV8eqvUl`*RiS0*=2W@1i|a^`|6#S$OYX(Ee^?N zc~z`i%Os~&SD7x)e4e#Vm8i0BJgtIy0~X(A%D>EZAtl6=_VnZ~6k31cK|?3AV)o)+ zv8#Af)8*_kH6DXn6^j6p;3XB4c#}O~!i7z5OTi4zQgY#0gOj7|!k%~4%?PNhcEN0-=oyiR%-uQ_iW^< z_l6NVHm}H+0H~scl_8e({(HUpemnh+xJAv%&Fw9wX0e<7{I;9h=A{DX&Ca;iRO0!H zS(Wlxq6!$-%!O2(PV5&OGCsz_NcCeAd~BUi9d>%xPq@gsE&~@&>0wQ?yG6$4#=*W@4K(|^-HkwS z-}}SLpBO`PguSNzt$K<-2x2;xO(>gsx|dBD--#EdM*Lii=yNE}0yv5dJlea9-Im!z z^!v~<^#BY#S7Y(a5-x9k!x0)uA&JSoaqI$M1%)eZfm!LrA0EzB@Q3qZ;|Pb2#lVHB zi(B38;e2G|eyvssqIHi8fW+RfJp-Kt>VGG`{Z~b@oR8mc2|Np$P+CAK>c{5Kg))F8Bw6wo2=D+WBQ6lWz@Rv@@eNkHBT zYXAwzi5rp;^{pyCy`Z@kxI_=+`RUrou`oT^;4~i^ij1&k%b42>2{)4^WEq9|P)5~c z=sB!Y`2pD6@>KGu*%a&LOLkWC8s*meebAEV>6RFIb=Xo* zop(f??W;p5j-U|K#&{HzlbLvHiXIv8xWl^H9mS=bc#iPL>h&PMkz#Fc5hH||;aYOg}B)E>X1q`9np^lWE{_<2m<0CD?w z=++&6?qdT;dw%R?WJfkFgPP~yWA)BQz6GO2I%MpylbOWvwS%u1F$9I@7?3P`dIq(z zh+$-`g{v21^4bN%uX^hu#YHQ3K6`o2M4@UoG%mj*d&(HqP7Oo9cLZ(DHF9wteMc>D zgm&Zsa;B*1U=%(wVz3wCl0i@t4t`LU{j7240y5MtLvOHFa_8*Ab8jvA;W60!tdNa- z(9J-9@p3x1J=DcQP>?+}S?*OR32p(0>NBUOh`=q@ksA*^Kuxn0AUsb>bZ z4Bbi1>tM6D|I9~s*;fw)X)D+yrGLj$e?O6frRZb2!HZV9e^2rM{J_7F`j2cy!>d8{ zmml6dB9Y+XBm`|0p#D^?^p{4sj73KD!Un}-1;vf`2Bo}Si(eK)s-L>UvNNqKHQCAO zj+VvLNe&G+tBEbv=SUg0cu$c0!-Ok6G`x`Ln*`4M5e1)rBEkxsrWRgC;!0rmR3%&3 z!4Lu`Qb$bF=f+sqMpb{qBS&^H02xHDH68Va(prt#-K#U&YXI3nRIRCabKAB&KumsB zjcBF3!LjqLKhOjs6}$^L`q&D<2N9X6Vtx8Vb4I*P4S9nm&a1+YfaiQ;qXH0T2lDVgyN8pe#P*SF%9OZKGSU!rG62w65&;_ zx4i{jxQ9~Sfh2)xW~ZK;W%5k@!lZd@v|Jx0j2$kk!ogYKpD3;*qH-d15y~pd-lfIC z)ow0|njM*xlZQo2>-&V2N;`yVP4}W+V8;df9e50p1LP6_`v=5o%;xms`lSjI!^8a)$YArSKzlW2OG> zrHa0gpKX{5D?P4qb7CkmOs`O-2|{&u3r~9lqrc>WJJUbX4TYHL@6%1; zPr5A|yhQxl-qh~HpM#Uhx=j2p9qefgF_ta>-)ioA89RWufpVjH zU``y&AYf|!Vf6!DVD5Pq+S_KnUpdT8G78gGMQm`6Z1G{qoEzJ|xsEVSa;38I?BF2& zE2_b&&E}PFsj2=-g}O=zFI0yB-?ky*D~#3A7K@KM(v=7j(#{-f=B=V2I1EKrx3H*z zHi<|KyQeJptNCNAh?&pgkYP+>uLKnLFM?8i2GcH;r@I$1&0Ln&`rfR6 zJ!vrf#nf@?LoPS_RJGbAaG)+YP2wDKc!2d5g;xAbd|Mso1Dg-11Grf z!nP+D0f?@a>1pHCkSmtoPh~YLV&MxQ@er4nF;F>~q;)N-s-2#u+G<+S>@e(!gcgXJ zClYX9;pyz?QzAgWBo6lZpLBXs8wj?m8D$44vQ822RTjQ=Q#fZxuNkTSLH>`V7~m)S z+HfY{2w|wNc&y>w3}o}W{h%-4$6D#@!OE8TOxgMLpxEs7sBLS|5wkd3$yIJ+HF2k& z4`gMQG5QF(^qM`k2D*u^X~{2l`~v?P=c$PT1dTMep0vhLwexfL^9@9d&3EO{-3{N# zZ|?|{^n9G2%3M<(z)?6Zu&C;q%JjQlq=eODBb<6Ti%C!v<~nBv?1qH|xv{_9l_ z-{4X7!)lnB$T!4hA{xCjqHs!>QDUGo@DRpes}B-nAO+r(rYw7_-#Eg~WNAo@Oji|2 z$xLu|Q{Br7$1^(f)>a>FN~npv(FxaDCfn}gAppD;JH}W-$|bdw#)|iRYYkQ=I^8=) z#vDikT;Mm#q~`cgoSdAV<$-leUG6RD#>?JhAumyjq8!j=WO!^5I~ekH6cXq@V{(j) zY!tKTq9R&4mH6_iBjuw$FZd7-ompgJv*{ecWrI;*tP0uAPSJ|@&EgaD*KeQ15vteh zjplVED7?Ha()aH6BD1S#1?Ogjx++HUT4kjnYpxz2T$Jf9#kvAoProPr9>05UqFa%1 z?evw=f}3#A`7j0)85}pBp7ts>qV%;5-?s|A*K@?uXUHDKT+v5fs{|+*utuV`rorMy z2#_s{w%nHM2Bekq5s|V`0eP>gDa7u{!w-3 z>`5Z;Rc!v8z9pLEwytM?cVOD=#0a&}%2l9ohx0e)@T!Y$8}<&>g;~bH290$_l9ht(-)9yo^@3}YefqAqDTUHFhk{!jSl+Z`D?2@Q@!!=T)%GKhFemmX}Yc z3gkznYv2EASo%wP{hNh}{^gTTA`bYUmZSfCwUGR)hx_Bg+ZA4`e}c%H!++2u$2d15 zXkv0j#`H<+zvx1gQyG|n<|*0Fxcyz1 zp?W@3t|u zn%Jd}#C%PVBTO|Oi;bZt+T1Xqm26RkgVAC@e^sdKJBI_@#_k@y!EppV>bDlLZ{`Ep z2b_p0CQC!fxM%o;q*ZAY-G;AN8B5V!BkCRmc&iFzS4iRFW{rmWOxad)+E1 zvU{?D1jtuC;41QK+S+!n+TzqKz$I)UXHKVc)<9ArocWC3HI-Q*B?t*gU2Yc$4q&7b z;ODIV@B{vA23oHm(YpG@RNZqtV!; zf3`N~=xTZEpA`(i0cju&Qa}Nilm=6u2hf1@;5e9=1f5&0GBo5X=E@_hvO)`lN$! z=RY@wjT^=&e`m+Fpn#yqmYDpdMIwfs!xDh`t#I|pDBB2|z%$^Q5(!4^tP#=MD%F_c-( zw}hJhLc)tIJ?a(4dpXmy2d%N#ZT68h1&KG4V&o=uoKZNg3F6-5y+^hd^?q@r8o7k)3-T@dwNI)t4E9@GeR_fY%el)2j8_u<_=+-V5iNPrd_F~ z8z${rmxqpq6B%jST0K}`UCD5%fnWThW)xmW0`Z909TSXC7%*#3u&RwNhq)kvj5H$2 zU={4-4Zij@S!$L&^(TW{tUhHLevYL4cj7@$x{PGQ)Gpez_#5=GiKGSb$FeowO=OS1 zBsA=a_05Xu+&u*?C^B4CH}2dPxJWtjMj>`gT=1cdo5SWogmsw3K zqyg}aE|!`%4oer9=CEF`6Bjq z>o~DE`~62B(>aFxxuw_s%?qF+D-CB8|eUecph&K@3<-}Sgd4UNdJC(TPj9RocU4V zb^IriiC-&jpG|DzsPBi%{bn>yKI<2)vW@%H$1+#*IJ^#%c=GPGlfXFnu31RWc;N|` zEPvw48yCf6is@{Ryp%{u`lL_p-Y2G?0#03tpn&wzNKt9`^L~aD`@%BL zlQZa}*OLOTh5F%&eY`1H&meh@%vq@B+?KgAQB9 z9b!9}HkIt#$6Ay1T8zPBPxf;8Et2ziTc2whjNUele5RKijbCnio@A_*-eCifX%1nZmJa*1@mqWU&uC zNNq7|U%ezp?%%hNNum4+o9p&bZB_vT#zwj{7Gh_|(6zOeX0L;z_g>Q`Bexd4iinKMGeOd3_q~pkxSK$TQ1&rfdw*+sKoeMMlspArr_fJ;}GpuA_=hLfc zre=>@4n0_hzkvF2wbI1Ljjr^bAN}A+g9g&%s`WyU)z$`2OG`(GS6zOW);^wyHZ;4d zq0SaaCIhG15r&?t&{lHD9LrrO#c64L6*@wNA(@eH`r5MFSH+LcHo;5QzIx?Jf#*%N z*n+{hBu&?9HpK6A>9y~;S$2EiV#x3w_PnZTAWiM>P!~*T4D$D=f^nHPm+uu}p2`+i z?p?>T4apzNaR)V>ZILSX?R5bI?7Y|C-gitCwmb=~imN0ojBE!q?3@tfe4j9(qyqEi z$Xz^GAW>LBP4@NW>V_eX1Ck*PGx5tht+b3~DX@>o zrIz!f5!@hQoY1!9eAhV~R!YHqU8L7#WD^^@W}D{_%I{Lyh1QL*R8vs zhnqg@h$ON1j9q1J+1MJVVB5uED~gZzZOTv8BKS;bV?H(CkN3P6usxztwfq;R%%PZ0 zhrOQq$mf<(nLNRJFM(^$lpLg9yn8E}c6YaE(l{P-c69fH3C{{|EP89b(0UL8y;!q# zg^01C`uDy#897lneThHrCr?USo7TOGVZa9W_`$08XI65alC~4Ynw8GI>!E8+n!G7D zX{xjR`-FLC%IC{YZzjLT<6^y4;rLFU=MO_-ALF`uF_GK;#C-=-Or{8bD$Iv>P_I{7 zFQVe^=R|ew)=0Fnyfn0br2*@Mf3{68w_8(iwRKKaE!bXK34rUWia%SHXhR?&Cngs$F z^ULH(#8eOX9w%>ZCbVEau+vNC{IrLAbr4sGa&hr_b`LH9H`zYZ!cpjJ#K}IRHPsE#S;oYF6m9ism#>vMoOdy5qBnr#}hi$2BL837jj6%@f2?#41Lqi)QJ65jx zU7uD~8Y0{{PUeyRPA&W^we!Du{QX4s7f>1~;U?1Ia`v6G^0go$o$9?I!fIjgE7&{$ zTxpB40|hh+gEAuz@;L+r2oz5lMCDP>-O5Fy4pw%9Q-+OYu!{T?N|B)84$K2gf~3Y^ z@B}Kcfd@9vn5i@7=*H&|V=8orCzK&*`401XvyjmIHu4mmzy2h^9g&iZdYvn%oHY-5 zue_8|#2!m-)oj8grzcMLsXX;E+0T+QI!hMZ(p*nR8(s!ygFX|OonddVpV;%E{Z?1k z^}WIB(~|K1OHa7m@vQVyp6qTP%+ajnU|sPKMxU-qP;kuVc5Hv8s4RH}fMRhmXo6K<7bgFf0Bv&Gcq-rgsG5&_)R zdH*bc<-|ANZr5^0OCasflcH%z(doW7a3`!dEpz0Z01Rt9KOM}%@OtI|46if$-A<|A zo@ZtZSaT#48{mYHGtfU6dX4UkW5FbZZk;Q0wXR=gOzQRVo;uBC_B7JE?sl)bVZ^9O zc>t(&=K7kEUA)NjaiKzkmSxGBtBgkn56?LJvxDbE@1#vRf@rqPWKFGC3=Y0^Ps&cJ zj-Be>D4T2E;Lt@LSlM>`^$@`@-u4H&m&X#PSyfe4$=8j)vt9TOn$&oN<4tbqNZDk9 z166%>@w7-{pGhBONUOSbTre6Q6I{q+5U)mwySoBEl3-(mAn`9oY)ggTh? zmoa{uaU7H0tv{kK@O@B0!U76;-nj7r^8$guZ~(Sw2$Fdd%zMs;&qygwVmTm5vfh=Q z1-Pascc~XRrW#pPzlFhXpU<7KgBe*$kbF#1GvVC&)X2ZkHTK$&XhUxw)!Tn2}zxnis0ezl3NmP{lkND^G35n!xRVcyNyx5`){jK35 zGW>xAg1-YyZ|tI=4v50eA)^i?3YHoluFx|qngk`{mXuX}eLwShGstDxf_O$F_pIBQ z{75XT2wRL8wa+SB8dc2E!r?7nw#@VoI}Rf9sJX3mVLXIp;D<0Op@dNictuodF{9n# z1-WnJyx{fp?K2V558U6Plgh>?yN!2pM`D6(-1$Eez#*)Ns97P{ux_S6MO$x^rs95> zhSB|)(yeOJd5)jBaYgM+#luog7Z0pV#IJ<+24rG3~?(}95_P&(r zc)p8%I34+PyLQmeNY^v#ma`@$<}39}4-4iI(~Ew9UnoA%MhHL$kx-V%q?B+Q0Wk>4 zR#sOA9*@dpP_RHM?l3e_W^1Re<{s$`=HaQ)vs2S*(yP2IX=G&pOB2GzJZ7~y>&c^$YuEGK|O z2ulK(|FQjNy+%M3uf8?e9{=+xyQ|ktgZ);{O8N$%XyJ z9HDP+Pa8Fm`WN&{b2!{V6fb^WkiwfJ=yxB%5q_lQ>}i)7ZX-$il4?^vi+|QdG})y+ z<4_4J?B_G7GBgm4K9itQ^nFo%B)ceSwAn?O{yDtmfU;+x8 zBRadl`B=hBoUiBfVhzvoym1~sX*XfJ^r-c6w|6p9eLE$SPTn5G9?l8~_34HbWytu^ z4f4ms4k9{d>1_1uO4Y;mK!x$C2<}0Y>oN#jXjZ^)k483D=L5sp&Q@!mI59cj1ri)Pr2K(+pyyTlDfwoyd4`W!7^wrB8yMu&$h4J`K6)NVFCi`P~= z{Am5|&ia=a5R}9p( zKASzh0^D1v@(q$>D4-s*`@oxUk z-X1L4&f9=?073~li5YsS_Xg?Zns;^7ym2%%tdt24JHtS~;B^1@r*`4amPvpqYei*j z8sH3O00Po@FOF4{+Z0yl*e&uL{553Ty#As83aU0p{l~)l9~(^afEUjl_dxnu%zu*X z|5C$YPu;KoM6%mh981h!2ZTfh*Tur_-2h>WzR>uqf6+i$o zY`Pv4WNbb$|7ix3hRZ}1kbv6w5`m-xWnrV_aW{RMXhIa7N?j!42ycxB&mF%%PPTW) zP{6!T9*UD9cT!&m6SRJw<0ha{AIUf7;OyKS?9Svq;-ANz{XA|>^%RVUNEb^5XSIDI#>v6ZDO>Ak|8j5fXuCg4 z-pgfKbwl4YpA`;0+fvod7&g=hWF*PX2tzKXLJ|k^zI&TJB)6#X^l*f8d$*VW6$NYk zF42x`Sd&aLb|tfcZ9aiR$jKi z9J@M^xy-Bs$g|f>IJmZrFtdZ+V)>srxy6BsUdj*v_v{RQkF`Uc%PfJ}uD(w-s!=u> ziLPTVvM*nO$6zK;`JQXyunZYRn(w z(AqtRJa=WXo*2dstoE-+Y=*-oUz67|+(%dUP64X8s2G?Uh}@mo@KwAsa`u+@etz&C z#LB|)P6>Nb02j`_W^k4B;Y3RblPgY*Th5~y2Z%W3dXuhnS98ZVt%1Gsk<94MmSUL( z>~ROP3Jp4!V0Zm?W^BqF*`cLHQ(p6~zy=Mx29oM}hH$kD3n$hhv9VIa2c!NpVD+mN zyp76>Ld;OK!(0pbi%R<(fV1=0IQ&nKy;J_gtKxaP!_R-Iy#L`T%tGXd@voTudny+1 zX48O<$7)9yY?cC0wEn#%{<481I%^04h+}P1Utyy9juE(2(pDuaMq(@$f)X9++Tg;X zQ>Xb(o0|Y<#)V0T0FV1f;oR!-ISCVC2&acav%CGy@KBgxWS#b%+@7`>ZpFLL!=#Mc z^2#)+$a8ANpG?aMHeIqE$Om}|sGzUnO!x!c+qiHrEXh44mfmm?MNAz%kyQDm}g?k?49XkWav5f>iHp^dMr}WSQYbq(Mtw9VQ;a7gY#9I z09Rrg(N{@k0TL7xXm5=MP<{&r##OoSW&66g-eG1I(lV*h=0=D2C*4!V{+5Ep`={IO zJ(wS{^N(}K*R1A`*t)rQ*(~7W%sNJv-Z{VCKS_NW1RMME7BkEg`+a0awWnSA4uc_E z8cAL%I$OMzoO}+ zfeu9`xCDtmhalSML?ENqNnYK`Qu*eS=m}6FWQ@fD;4;c!Y=<^9F7L0=nnr4st5p zeh+%IosfS%F>bRC{3jlfGR%be5$Hxy{;oRTaQ%VencH3)MSn?>zxVysFjMh(Zsl0st#U3KCGT#RiZ*OCjmZ7li}1fN#SE29Vay zEY+<;+>uZ|6Hr)@_UI*;ZRwUYEJ{yNvJx5Vp1!DWRcM<@!k0>UeFj2JZFpO}hT-yq z5FQhibyt(ixyy)7fW#Ck#zX+>0pP4hlqe}t?UDNmv!8%}R57KAI~PZ&@F&|zeDEGz zjFknHKQD2cIML0%GE?_qOxRK4SRhTR>fYHWI0(fdPdf7=%gBfwfItv?rSAzP?EWV1#LV;eZQv`5na2_yN_-xkLd^)$2j{Qg+f_&@IDmD z$pJ_W@x%;WTgVH738eF7vnnBdN7wIG(!$+#i0x3ZK4U9^G;ZxO-HSHn0|>~jZn1E;uy(3#i4!P!ylox<*4rXyx} zZv2%hxu#cojT+4%$2PSN`us&QT+*w+k4D@lIZLO8xeW(YtJ%7gb2dkhC~%q%ZW#mI z_w+bR*ZU?M&;d>yH%HRNH7(3}UnSc`pTR6y#PjP^6EGj0-xwn`N#rHUTGkK}OsPs6 z?+uKdm)inI!Bc{D0UV$uML|-Pt~l=0ViTn;Sl*2Hf;|L(&R!{vE`}>Xf?36XI-t+Q zWaRy(GC<`M_`8t)*D(EG5svOUuk08F)Eo%Gru$5yO|dPOy2dkGq7+4xVtDu|KLM7& zcWBW@aiQGm?nu$u2|QRvu&9pUQEB9t4|BCan<38&!U|R!nUqkO&C#3+XIashJhZZ0 z&`#0&1l$aQ-CbuQ+hE`Ptz|X}#`Ki2einTuDnhI&-JXr3>My0ML_T|tOeGc6V+O~e z7%?2olrQ$XAL^Rla*>)!&u{t%=P#Lt-&fTZ;!u(?Y?L2ZaN5c5j0}SPk#$DtU+c&m zWYnIx6LFl7&E1XMEro{nCui9UsC-;=R>8+T<_r2dna%o^0J%VUa}r91!(+JK4vkce z0%#KhISz+(lod4*qqja-M0_Ob(6p>*b$8xbJ<@#j_6JY9qUgYW*qE0A8Wg2LwTNC*owoI&n%>`QFem#7Xv*U4(ScO z?3%^%$h;DR_cOTOokwtob=KchxhD~laotf7%OIUEt?}hJSA@u~e0%ZO5rpXVqh5ti zklKAZ=2WS@$MZXnXg1kHYC$Zj@wX<6aSVp^xpDo|095g?JIrte}+(8+7yQ~s|a^nVcM{+P1Al9JfT2gh4qe*746o3*DTn)&rXJ3^93988ZW zZ5Z?PPUCe6Zv2BO=(`2M-Xe--Ix-6JyjsK%y1Z^kRdmVO_=h0CA2+28ifVI7!iX#L zv@5mx7Qy{rIy zDmLarfgl7;#feo;0GZhl=BlPV7^ZO=$3TMLhA9gLMJs2S~B? zj9+K_q}J=lE6E9$ll8QYM008!0$h+BuR;JcT0}LlZE$W~OkQ48CsxpE04_T2GHDq3 zUFkUjM1(ybY-q2=x}W#5DSUkDvNdB9e4hiu+?TDpFh`5;dh6E{Pul%Mu5alRyL*?( zGANa7hoA?$Rbf?zwakvT1Uo_9(L#XMebS1lb2_&bbXwZU0) zEk#7D9Vj_&;z?75hj_(61DpXl^=o}(mK&1=DG@o&Z|L{n6=O&{26dU+DiJ>f+f6>% zvFOb0g}v&U49z;VE}Rk6sBjBGmNuN}9If{ssEX5w!2_-HwVjTtU+qu|_iS(q7zP12 zp~!jQCSCCm_Ca_(YF<6&&BJxdK}3R`9Y$bVQ`^tFTG;$r;?9nSZ8Mkunq%=bBYoP~ zhu$EvzP4wU%I9oy1zfx*{Fbu+OY!j!q(1e+p}&2H5jqXZKg&)hPPG|8CXUCoWongs zAHo#^2b)|j>D2K z`nB|$k_nQs(KVm(B!l!5isgrucC$y?%Ek6FuDDG$q6iKeee-ujC64x;tJg*JEK5w9 z-iMDpF^niqj;rlXDP`3^O2+L9rGMy8(_3brJ;lNXk)U!?NtK_@7l4`~T(zI6u3+=617p8M&pXag8sP74vctU|G^ingUXXSCJy2lz)84wPU~A zo{tysa%KhKQTANI5OcO8^rXX^dez4=2RiBXJbo~A(tcI*j42Bn_re^$&)u_eAMi+p z6cm3BExo$Ad(xjNjkiA5tois4{9AC3fCYpPO1+eUjdBj#U{z>H%ONQPD;g@6*Ovwq zB1Wp9+Hb;~mLyYHyEDjJHL*#y3l=7eStltHhfRX`GGkag?D$6($s#;;KC=p{Nt^um zs!9|6(JQ}uf3a=&6V7@09d8BYF$U+YnJ$rdpZMyY2*sQ0Zu8@G9>WKr@v+nKFRq?v z-<#aF2c|mgPqHzrPLGu^YC5qt!Mwoiw$%+EDl*F?OnOfLALGOFVsbFczxi-J1u3Wt zfC>^R$`KtvBtUJapcW4ikk?@~-P^LqoPZ%60EHF*3c>n`)iFs7Zb#{ra0(<24)}ju zCU2OLNzOjU89tDWq7NVdUzY7J^n78ZCK-a0*VSrms){cuG2P(kCNdUFB(|OTRNU~X zm{AHvQbqmKJU0^_?o4a>&m)cfW!g~8g92p+potAotPJQNYnc&wpN@NMHMk?>vsfnKb_)3BZkcN^bbgmy!j#a({95mSDtSx*l#P zUQq*GO(Mi&@mPGPT;4?aJZ{tg)qJX2j_#8wN3zyKs(B(SUEBESUar&aTB+pO^px!F z*>6Zt)7jWbb%A#R>ZAG7I7|rd!=d0#YSgn{D8Pd4klCMZU?c=<0iaz+uh+DvxJ&DY zkdAy=7B97jZMg9@=uwTaESJePu4*? zKllfYLSB6m+a>9x&0`vb$SwtKU5x*7VkW>LbKnvdpyG5`?}qsGW7Bk>+VdiuJI1^) zGqq7Y!9d;<+m4hDIKQ;g?%8($jsgXJ+K3u+2(L*XkPzGi@BjkS`UT;G!XRJKCluob z`-y)GPULd>rM{!wdE&nG>rWo8_}g~sKQ~za^H+XRzruuV+KYGFkk=zqUoIk1f_$-| zqZcQ6RWGG@_I&{y)I>pJt!okDs^+W}kzYYUi(8CUDalA@?HbQzLvvXXqhU4SyO=)X zUnhUyS>$8Y%3u7pAnJ{$hX7TZ$QyDHDboX2a#hu`(Zf2y(APtIa+h`?eX@PAOk*2& z!bGwhOm9%YTq04>K5bqwEsGqeCu4VfQeI&f^dXZkou$y4SjOr9q3x~Xs@%4BafOAX zu;>(6v^0oxgLH#|(v8yHuxJpJ?iL9}q`N^$X{Eax>E<^%d+&SP`|PuS_kKV3{yrQF`n^^XN)=KyZCzD3O1a{^th?X)pZkok)8RDJY^+aW%_aks> zf^Cdo4nnfeg9Ulrzg9CBVSQ5O-0+yCTp#Gb2{Vv3e$4p%8EHEDgq$FQBto8|8A)$iSj}##oB1dVSrFiD)A3aLY+nX8%>t4$onAuXg9^ zWzIbp?|qiq3DVl(tUC7AB(`;0c;@GedO}+by#(3Qc|DxinUOnoUAG;tRX8>H2{Cyp z|O;F$4f)c~2j2(=s4SsgjZiuU1qoA^LDNd}IuAnBI?ZbE{rO&un z>t&u@>jjj`01Qb)$W^~<`wiGKmwyCOppVKIcm$q_ns_X++w)LrOU{8B#s`wZZ9&1( zrxBE2g}z1!p?9@koT`X;kn4Cp^ZmsDXVqy`&b0UXiTBk#l-?x%^3LySz`uWUWp<;M ziHwsj?f+E_`$bvvV7>XPz9q$dzQ4GIFg?Q3wYCY7Iof)4k2G!yCA~&xdJv2j<5ah+ z8+4X(X;kY?$PFdXs08@M$_#~Vet;d3%1=ER+S-Bvl!$<>Ad~se=&eq-U z)syVFq{~aHqg^kA9xsM5m&HlT`sNCgJ|;d}$uKgDu+@;_x-cPQDCj)jI{F$N8bcG3 z_QXv1TgUEseg+ueB-_u!4TI5mQr~4^PEsO z#QU;n&9FYKpGE{utgT8a`6n7E#ydvb_qr`OpAe{5!M{@9v`v?O(O`|U=MzabKRGOA ziUo58P7cl#jQxS(F3nr8#+%yT{me>`K7`X@dMR_mMI-Bi-#QYt)8Hf#2I^xeLW2iBNeDkhC5CuIl=x42&YCvGEwzWX{<)OoU{mPN&saXlT@T1U`;1>Pe=KZhk zX<9+thxJwuu=N+mrnkB03R)zh#AaI6!wn4?jv`AbnpG^F^ze^BZV2=DmX%x;7r{q& zBU2M;1FX}|%NAz3vO)s-ZhAVVP}$p|$l_GI>B*8d+|fvFflw$Ixo`|rFSBYIDt|K@ z;gJSVkFm|uun|khSQBTH+X8z>5^6Uwb&?~- zx4vcK7WmQT>optWDH0CHYJbkJRgg`1|K9h#U56kg@37nXwrDhVU^cXY^sU7CyC`u& zyyboV5!|Jy=0Ys*7Qxl0>meBGq+nog^+w@4ym7=;OB|>ZR_wHK%s@c|vD4OE;_M49 z)b~OQ0D4ayva-a{>Guu>618-hLFqXY*5;=xQXfPA)D-+OAQa6u^;<4yy0S4x>%+(| z9%t|;FeeFwv65l|lML$oz9doWmw1bIuuXST)kLc@R)~hH-h>lXi-KBJ4H)0y2FjXq zFKJ~!&&n}L;ggbv#*wqC^{prS(5JTzI-uG*dFEhNnOOp;>pw#=*Z0`7tYa`|>WRqP z*PHUSC~~l1$hn7&@3ks>o(u`FzE6L^BG`*5O~H2$ZBNZjX06S?LoHI5^~nA-BNTut zaWxtHHth8E$>Bn~ouem9{K$yi;c8@{nfyxPYj*4p382&M)GmL{0yuB!gT%g-lBRNi z%f90A#8i@Ft4uTjj7lEu`+6=u{1EOl&yh>-)#~o6Ut9I3v2M1$>;y5r_^0+b__5;6 zPRbeoG2y?O-mlnOM{%?H<$SK|$zQNmW>x}+;eLi(4TX!2TutO+t!q1LRHgncRQ=#f zW{byoW(MMfn1LDn#(|LypGfnQHb0P#{U{Gbr@r@dD<}5DxU9D3Gs_oj$ixQGXCGf~ z)Z$XdwP$%HJn6w*P;1FSRecwN22&}sBX{G&7o^L7_nPv%zNwp_R-VX(QBo80M?Dh$ zW<#^PDbI=)1sRn-TpooMCPto}9Yl*P6ZwkEoNH;Ih{0-#yO9D|ZODF1MdR}K)!XGS zVCr|KzcW8e@6FEJk!}}?xJ%Hb**GPzIlfQGoQ3dp`XO=msU2PSDVH{sz-xa~E={N* zB6noPO$a1ex?(CWHz8jEG%>?OXu#GxB2|?Wj=I3N&KS8TOfynyId8Ini7A6PhV#C~~`_`(sHRQ2UP{643L@~Hsd0j8py zATJ6TJ1fO2w!J;WejBAc3}{$gqd@~^u5KZHbI*ECg%($Ew9ItQJ@L&FL!a{SqxotW zbl6=Bkxze8SsRBpP3bXjUX*Bdb&85HJUT>6OS=<{o=(x#>Hz)2Uk9Xud9e)|0xY0g zfdx>t7QXG=B7#0+?&MC7VrW^oS9Ai@HlA+%Wcpo(jSE9bzTJH0&jsyXUd~@MRXCQU z&$aImGQu~jU(NKduV?T5YmXv_3in?cbpDL26u~!jVz_82=`XkVS2E|)2kCl4mj(NhFq)YSbF1ncv|GMTDQ{@IyHxtt~6e6%teWY5i+|fY~5SJ+uKZT@Bbf zIkZ|E9+EZtKsLiE z=DtbYhR*eL&-Cz`;y`L%f(;jWr;AZmOC&nFBOWV5*!>g}TNFh1Psiy`(;r?-mpBD_ z6d4lkiEYpi3driO@RwNZ=?Ickbl4%xX0as_b} zA`n)qs+rlv!Mr1Sj5W%^$8y13C@3(_9$?C(*>}Z*=QPxU5g{~zP@I}F_Ae4DdC2if zPxAJ}I?%MU61IcZSh;$-*M*mB{e8ifp|tewv9Ux-e;XTDr#MIeY`%?bWG@1{*MvkG z1(u11P9Kejb$h;}J>SqLf}LVtwCm)^x>9!^@4h+N!Sv&Fi=cnAP?_1ck8TW>V#9OK z{I6E}3uhJn7c{Qy7oKMS0~7FOz5&p`z1D0(UeUXu5Y)({3e1dSv57YSoVEw;5mo+B zatd6W3K_pq>q_}dG>EpOS(F$qD)*=EyCA7eictCD`*2*0^hxE`cfTU`Xv~*d8d{^` zf_V!?;5b%PWEKqDEHnZ~j5tC=G``FnbN2|`vj8uRES^DVjljW2t(NHAH-fKlJrj~U z2IqAqNx2j%xl7#gztw$Gk|jEn@J|?ddrYz25J8r6P|%dg$$0*=IQJAXHsj(itsPfO>tb9)YwNTv z5=Lw5^lGOD&WgE)s2p=fzE!Ab@%#Bbrs|qCH+oq2et=dQ%i?c@G!`d~?MC?Dx49B- zNV15AOefro2@DIvpZ0I!7}JzF&%&VbwX~>WMbjwO(_$s@2jkh0wFOZ)VC#We?Ee1s z?AiX0jZ4IbJF|mfvSbzSlD%13!raN|*2fnE6yNES5xJ>Jh=fT#XB8U0D*$$ub)ba6 z&c3@4g$fm3L?4dcZDdGxlA4LRe3Z(VB5wOnb%LO98}U%54emghjtZBX(J&NP@$5L-;D&nQC+Tu|S}iO+@Z7qAaY< z2ZoLz3z}$gvn(h32+=`!=X`xbM>Uj)IJ>8m`mKe33=6jfj6K9-m`{wPnDjl|ZEvoP z35gp&OYjP->*Q}M`3GD3zw_4aeH@o~;mC_q@8oDYvIpmq=X-+D%K<0K3orx>dgZ9& zL=@$8D?E?uxGGBpg;JiF2X4Yq7*F4A@uD)T=u4IxRB8`Hvz$Y$DlqI3)?3!8q zTH&0qr9=M|N{6}PJADiZ`5|S8^5$Y%*SoNL)88935v(a|OFk!{J&zLi9Bi;2vSv~B zcl3;#Vv7eqNqnPV&szk zFb2shBf+RsyempvTqbXeI1MUr1lw+vzLNgz-l zg7z-3>wXuRv^78Itv=rrrS>4gOKmcL{4fNFtQ+~O%7J|8$KSWUN*-OJHy+|QjkzsB zPGlJ*2@-ZzQnFBF`?ZXUxxuD7t6;Qp3oRY)^_8sVF^f2`&w$NAtn^-Z$_pHpnqiLe zIdQ4_K1g_Vp6V&Vv-C61iDc47N427VB!|Be#sB&1Ci+LSirTc7LEU$G{K)!3kF?(| z*wrcZ5~kJe7WPeAith!IWgl+1H~MkX@Z1vLp2oOk!4Nt{~R zXspA2E_1fRM~gHFwgOhc?o&E{FwG-&t|1$HT^P)palZ?L5z+R#Fr69HtI#oO5YIJf z7krhXAopTV38^arsG!Qy`$F4>5Efl!{9x@GvG(V@@iL8P#Bp8WWnj^3R3)p4RwPjE` z^~$Hv2AP7ssS05xEYb}7btmPHU~{0iHVx1!0ae$ zzJO8nR%KIB+wri(e5d0B^EBds;^r1ii(CYmV-1x&(k;pTC@%IvhoQ8Dw*GGa9yUpT z*I?dkpK6QU2nCy@*w-#-+QNCqn%5kd9e0k;h=Q?HcaG2M){Z}2;|qGsUe~7uUH++G z?-w=ae{MJbaS_mINUJO>i>|Th%8))J&Kf|fu`21Xb45J;^fe=DPqrtRZy{(?DG%FI ze9k~n!(NonWS%TXf{!=;ee)|T-DXQoF*l5Um}x3GTjl(~p#v*uLH5#6L9?1DcNr@n zh+ij~E9YqN!QnYdg)Dnw2~2(iRtQn4+bl3t9)vvqv!J5efAlO|8mtlY1=>oA5Thf zT@-EApw*1p-(Mz3rih-it-c!zMloEYnMm^hV=Ut}${{oo6(>4{eK7#B+8~V9)N;@S zY%Ex~Ob!~uBKBdQEuFwfMZQeX@Kq5WC;(<~btp*M1)3GK;t^o2{AfuC>%;E!drv}NmzteTile~q3d7487|5r{1GH> zZZ8FJsij0@Syvqogux!J4oec}FbV;E!9JBI2{~V^yrapL64l2Z)kjZ9%A=~%ccOX* z{WudYq}d+|9|wb4sX%2T7$fqn=^L9USw#ED%$$uoBC5rD9e3Z=vA&uS)TO4(v;&gQQ& z!!LyUAGrAc(OYkzykFGqs@!zNk6GLNtskTn_S)LcOW@uXCfLVKWTK<-pj)vINk_kO zYZ11+tv8X7pwjwdp7V*AzH_*?9Kcw5Os+LO)<;~}nVq*DX-^Ww9(PNz?!P1Hu`gF1Uqg_+76;Qf5L5_e_L%+SQO2q7Qd|0RF(HP)TE-j<_F9VN@4|Q_iSfzaM6E*A zTA{>QnDgzz4v0brmc~~>bif{h=&l;a1PF^h<)yM_>eRV7)Y2JQpHV1T6avXF8 zR5Wq_-O3qEbX@+Wd-`J5nu|dg#UHopf`rJgT)oqB$vsXQ)e!>nk&E{AdU4r$+;x^O z6Wyq4_U@hiEP|%VGxwwOcfB5+L$>DI3tt=f2!zCTJl;l4YUce-~YZG z!<+e5G?SV&e|ZloH-!#gO9#em@+V;yE>4r-{yE%@{C=B$k@;U`hF|}b!3nZD!{Vgg zd;1@bwpv8FedcU$M8${k*Gn_V*y@W2YmCEGf4V?oZX$T{l&q6)8XUrvIZC zKuUGmn_7$)ihqw_Re)yLS*C!U)(mA%^gVV=F8+bQl`bmYymE|1+F2zIwIB_7p8stu zRLbScN@_Zns=JS8U;~nsReEX9X6I%!1m3J>NE>k|Z!lmj&0Q^rkdt3sx4Q9SJ`93C zaB_BK8xz!O%yn>4_{Qt9$Tef(+}H|=!bfz(R(BuTYK^g>V)DYJ%{e& zLvEwt5kQP9^d%`}g8MH?uO==rXT9`JxEE!n_*>v_W@j?Jb4Bn{gD&-9&2>R8)7=un z@aDSQtxROkX>S26E9rz@S5(`x?c-|~9KOc;m)f6+GM}1{9$wFlH%SfYrFT*rZh3Vq zo$pAv?vwYrVp$ztjG&U`Xar;BV+$Ayx~dy%Hww(d^PL16*C5zaRDQkP?<&bF8T3gQ zMzcSLb6py@f87gPvEh)g%5NC$!;t?|ND|G2&g$AQ;%!>Q{Gu8B2TU2@W_a^(i$D3Z zdi9qKEkNAoYD&DhSL#1ej~Nw$ikoxo^z2c4L72SbO27A=keTHZh&?5*IDq0R# z0HxLH@D7gJa4=)d`O3kFP|EO5+8O3up=*nruO81h>CJ?hzronu&zK=2DL8Zv-xqA;~7?tM?1ljzM*&w z3WHJ4o@ycBF@(uSEa6gT;4auhrs@y^dRxDnYgHD%4A{WgU}0kHg-jwuzgaa}Uy`V& zTkO5R{Ps`rL*<%j70!A+78+>kEhMyerG}mUs45xk`WXeRh7bmo z1dg(TUk8m)BBSiG@h&yd*rEKzFn;~ekAFiEoQx8DA4~2RmO|+FO48Qop?>rW1v?U?7?tYRZETsVVSqGXVxZ9f_|2 z_kaJc|Ia#iI*ftA_AHGpjs73eiT^u)+!O&U=B^kA=|6H~(E+O6#_RJ&yCFrM_l{?o zLF7?zL}Y?b@S;jhWxb`Y*ugwi>akMOx9hKhFz*>%ocy$#v~4FoygZ)qj@NGud_T7A zkBXc9qS8ug37v81`rP|kdA!n^?Q_G~%jeP7jh7X}`ReqBQzzgiBIZ*y?+?Gii`D(O=TXd?$g*ziU?w|C$8J`wv;V9zC+^gn%g8=^`RT9|beSPfR?T00t z@FP85$4z$2P~kt<{eSkGE8s#h8~--?zcYjmS%fi0l`7{Q9a<%)!|zYK6HU|1zmXd_ zy&5Tub=>&T$x=CKpq+*kMeB1)B;Zsq>Aq8+A8Ppp$$4v>R=dU_5LRtSgPk93MbjxJ`5%QM1Y`ztroj;ch^2e(aEby8CidxK z{7)$V9~ej|(v6e8HeaUvr^{uWV0UoC#ie6Dd0$_Zcwc+{zD8eO8aQo^!rn>0jiXQZ zP}{EE5hmuf$$0E)qLkLOJyrW2JPbJ%XuiRhP)_eFk9^g4fwqRPeiTH04JYlcu$<6H zjAMDx)fR&LULle5t0SJ|R~iUfHz0RAuOR9dB>9iX_`mx&GM+&*MD>dkOfdiMa%h1~6j!@J9(OOV&UcW8cwhX{ z(T4@Mz+t#p|M+9DFYR&AfVeh&$)+gLA-Q=JwG?Llj7xk(&w<_F#J<-Y~5MY2=!3$qt~;U%C3akC^4-ncyGZ1z z<)6v?L{Ue%>2Dcv3&nryRoP0blUfWL==n7zye-UD`bu~-d6G`$+0K`0#n^Y$@GLRF zFtRw5H);PXuHb>WVGPW2rC%EUMxzmp!v$=oYY)}n_-5TQ60kc6;4RvM z@Wgo-bt4kzQSjanafqKC@1+0GM#EFfD5Bhox5O7gz;I#lvhT(I?cuf2gUIF)SOAA8 zpc;J?oon_(UJB=#j_^D`k_C>a5ELC}-Tvktr*RkF+Gz2+7?u~@YWJ99$l#i#CbF*y zSk&;x&kAj@$3}|{m!{o!6u-me8EPCi;Ebn z4y}eb5&ePj?c33w;YS`WS-`51q>`z%JC0UpSzoulH)48H5CN>D8~Dkv06hAGMn2W! zk56L9%U_{G(%GtJ5$Qwrce)HNBH2S05JQ`l=<$r+0B5F~%xPVTN;@|DPg@W#r=8$Evf4vu>2q}4#!5$)7 zVL>d<{mq*D!1(&=5*!k%i=VxfU2VVe9BG%jDIYBTUa!DT9wTf0ff|0B_j-P=Nh@^d z3@V+$t~9Huis{qyq#5ZDJPIp3~TzJp0-#wC>|vd>OC zhs=Y}t3U&d_UUYpau>#^W;kClOhS82uR!@CJ>LCz`|(brve03S#b{Bp%kaq?=ap1v zxs~2j^u<&{gisgdW@lM?S{9Yy{afp8?lWmZ?&6wc&Xcx-1)2}mrfMreq)>Da4(OMo zG8%30ti|d6%A-lIn!$e0X!3X-WvGP;ggng~w$Gf1;`HmjxF9%=t==Xh!*Mp!q+B6$`_KCQ7A^;amD>DgQyl$HIHwCIj~A~kreN%Q%=iqu6(uk zp&TDTv{obm;NA7shXjS3+`fkcz9~o zCVjt$9!he6UJE}B&2frg5sE7X>EJAGI)wyEi6G3_Q~~D?`lD!gg)b_VLaJGw6^X_+ z9*w`cuVQ8hCGJZ0-+6g?b~spKEI(Fe9=&ed8hF=uZ?RLY!Q&L;kl(FbHQ*WL$pg7Yvh|@lrHQUlTjuB7AndIbIg|@YRp(^$gL>5PTzHWvv-}x79=W zqm2<|n`uVXEXieLy)khO7=acq0;v-{X)^`zmk!`Bl__>6^l%x7!qLO=ef_dWVYiCOUOJ6|g9RXJdTI6jL#*J>He9Bi3KqTj{$rq zbUYr?|2oeFZXgEO))#{$KAFGqlm7*W3|;`zhS`3Dw4bF}v)FI%2|RH--cIe}GU*|z zUP*HgXbh$8JIYcsu%W1nTOwKHdB>0oW> z#+IMkiU;5Qk*_XYShLQUuTx(`<~XdnxAD2L3j`hG&M4ZwvyIQMRuGnKP&FqiEFaNh z;u|Btlz82bEDl))nUsRhEFiItTNJ!DqEc_kKTRJy;IR<+4cV?sM>qu z?zj^3A-c!ez*jyr>@9UCKT$Q>`|{f|RZ|T{FfA}}q6gkn^cPL>q>scb!uiwPQ7CT^ ztZJusjEjI|N*_V?q_Dzjig9bAG9FwxKeX@nL`SrME?!M*=GElG7$)ZEUB4AH^f%P* zs0rJEaBZea92KnMbi}RDHgw+j?~~6usaPg}gBW*2z|^Ykj9JW3?pRINX)b}Frq&>M z!wlkey)-{O{z%}S<#oD5=(?O}YSiR&+xM7XJCsSK%Hz~-4Pch=&sKG1p~r!k_ZUAt zFOO1tFSqpSY_{2NZ!U=9LxWh^KytseR)1WK%0lIg7nA4Nfvjir_hLYj`SH>4zUufE z{5hEX%@WN*Z!`eBzj^1Ef3=GeoopJ+&Y;2Wh+{F74V7gbUbx%v`9)=+UK-;KTNT`? zyMYfVyIPhc>H>eL+*e+>Dd3P7nmMDAbA7kn^^_@l8w_Vi{;Zt&-21nSjD8chT2X3o zF1K5fGY8lphlgw+ywLvkDWT({TIj!=!!E!W$7@$hzuDG^?e-8LVk!0Z9clR52xGf- zf;O{07$jUWfmjr2cW`#N4cp&5Ht>`tcQs-#3PyfI{6Ol4RkB%s)~PoFSAVYG*dK~z z3@Bg_2D#v~G>^T`CMsJ9+D+0oRpS`FHk6xNep_rkH_c7%8e%w>Rk7;&4+UGGbio)ggEUMWdOU(9@zaIT^`?7 zRuaFZ48SFIgXD6kK#UU-}h(}Fnz!BOT9>)FoZUP8n4!xo0+bN2M#mNDa zz4u0(k@$CC9BxkuAfbs1?!uRz|FH_D|8*5E7NeE3fpwSIE_~&RIB9U+sXrzO!R1Ff z-k!QK&rW(Ommiv@ongcevY(f~{1NTAJ1gdTc{sAvaK0V=R``se%!3RrUN%&qSsDX< zNaClmu-F+r)ZiWHh`N;-4 z66pZsgz@@fMdUFQ^;s34mg`ciUF%(*4&AFgLTXI9%SSey=R1x6;%?Vcdi@}=&`^am zYuPj-+3j`G%L6O@E@GNuE45hR2q!Y5mum`D(&|qXh_-k+B5lIb^YS4-3v>Gn+B&rPB7K53;;`<^r!P>bbN@yT$tUBE&CTv)w%r=84R zf$`NKLI5%*Sp-|diTJn6APTQMgaN&M0q1Rna@Q7A`aHh;=j9&&Oi6=OWzXZH<*VP*D({{c>K@gHit>!c7rE9hXck=PAbzSnU+vb+V-)D;&hgB$qcS^Wpk;P7se= zfteV6|M1v+7tp2Y+K%+xM z#*D$HmddVqwNdK}RRFQ<`xqf=L(QYt1ouT`3u)CwN@l7S3Ny9lWW_4A5<4gF1$_e< zNw2oRYzNv~QEovtK(Ni%rt95F{67}f$kp%6x@TLC*SVJBth72~J14R0`_8(@oBO7p z0fpi*VWwgdS7%uQ&Q7a9y5-(E_dvD1DKU>#3UHh8D!D0ldbH!!0g2sD3#;$mau=c# z5Ab+YQUJPr=46qS<+1bdQ!T^tJ5hbrl!r(ExH$(PT1hkr>818MqfCDas=px%LlndT zf#l?M*&lkJ3K66QLEd?DG!udP261CD)Kx@am`AgISY6+r>fU2e(HMrHVXt!7FDu}; z%?CfAYlh{$@xDHv2|X|E6HcZ>BQ&9h^3;Ol_dUS(C(RsrJhJeXPcJGNVX`QGFtMhW zK+louALObBDTf@xH`xj?5tsJojb8PF7rU*Tj_bqyCfJhGfP@;if>iR!>FZ=s*pKDc zYz+*3g8N~SpWHLW7mJ;DrsWEHG_si$vgd%0Mgc3l0W9%jSnwvyW*ej#ak$EY91gwF zJy34U2~S9iJ+SWhNv8?RAFsv)ST~mdkmXU%_OWmhb9t4$t+1{?d_o;p^V3jKEVx*w z-YrsfWBu-gGA6lTo+NX781cLDN?BEl(HyU#y3s~x6{@}z#B01KIef|=sSc2X_?MT7 zcRaXX{ZJrxnY~T>jEsidybFH%dqANi1?h8gcxj995+l6G{)yNdfz2tt0=6-(xS|Gv z_?gD*EBCejOg|h0XG~J~)?63y+c{RGm8)4Z| z6PK0Ljvk;l?mN-Ua^liksEaoVjJ*jXAM|f*>Nm^kAi2@ylk)YX|0b$ttlkJzjA!;8 z*f)SL%8I><&KywH50>(SWP<|av`zs~0k)G|hqb}yT90Hipj4*7MKuiI6>D)XtRexA zlnp?`4|`43Z_=B>H~3k%QD!qWkhS5&fL7*7t&^o;WF8F?+8-GkY8PzQ9mwhk&79E? zOg-cyCEu#&l~z*DUQFIcl^ zI#H8BAu_Fx2=Oa6Z2ut2M8D>b*>bjSwbBGfloPcB6tZ};H@{T+ExFtzwl7@&zD)KU za0hkY*C+?9kS>)Xcbn?hk`T75Xgd;tE1Oy=@0g|?ifMv;mMAx(-+c76 zKL<4mM`%XD8*E9sG!P1JV)r~17i%L3^40sj09b+u=)Ev}+&< zcY?%B!`Owbe%2R#5ipYIjlx=`8#$Km>SQ5YrM+HbcINu3QuJivi48^S5Ngn>hs(6b z?Cy^j)}52p_Dg`>DcJhFMZCgDVS#lZ)5)T)&XFAfjjFrExO=!!lUmDcAY&PJDys`3JX@gWri|xJ6GV#CsNyIhGshh%Y^Q39|j~ zeY_+3+ct%@bAhCbG3rXP8|m?~2{UMpNod9XsIw<`2bIm*C2czOi}jijH0xZ7pNqWF zMe!8?O#QK!QW^ZZvOcE8VKWEUjFZkpPpoEiyN}J^P&=3J<9fEqlf6>fyV~Hwh|;=beM^<-ZG)3|rN^ z9S-wEZW!e1XqTC`7-6?>Ob`2!jpgqmn=xsaNp$7g_Aa>B6 zaQhtd)6bnlS&v$3Y@>R?AruaJKF1P*ro}uiTRSc2Y-L``T*T3a$1Z7e6F%-(Hb-`K z=>{UsjpB@eYn3e#*Y>m&6~6GHcqKp##Pd9Z}8hTdHxwhp*XfkV#S1&eD_47_cd3f;6-rLcTk~OMAtjK150uIp~*;ueprL+TLvX_{DS{9 zPZaN9Vd1UFlNMi;guxKL#8535Zy|^hXNsfFqd2h)g#+8%^<3hnniIQ1We@}f`J zH$IiR>m%8;3(BJVpYG+VKRZSleH1}12}$vPSZnf)#Mm8$v3v?~^3>5Y=$l?DHPJ*W zm>{!gXc@<#D&BB1k8XU9qBSxGW|T`<_s8eo8($m>moSyc!@aG6(jp~Fiy%C3Oc}>u z50ay|A&W0qsszWUkuQXqDg4`FUP z@Aihip~Ll?Sx?AMaRnN)>zd7yZnZvm(ZgFm1Ao`6az3e7?obzDWl>E(3~171zt~T| zE?94QfZjaib%by(J$vzpP}|54?)nGJZrsq*L-jVyWhk5-E}oKaJMBXhp6=Zhj<}Nt z9SFQ;P*7+D2bi`r-ucOtqD$$88)uWVJ@Pqyk0&98!||}04aN2ZIQx%vU)(a!^R3E{ zrG}$qE)$Af)&Xvg4NND8HcniMywVb3&=o40lt!DJuhl~|b7bh(BLIdAE<*KDO<$ng z5jvUmyHVm|h2np!Tsz8kWXndksN~JSHjkPRu^5U4)KxnfsJO&ZL#U|m=~nOD7C7Oi zS4e=?R5)dWJQBx;}K4risC5eO_Pc!n)?DA zjcX|aQ1Prri^PEVu3uJBKmUCDYC>>D537p(jv+sgx)nLHmrAEbS~kayP9f>E4Y+fA zAM*@t?m(^?E?TPEIt~!X%CKgr#+{Ee)gx3d_j3*>mRl!91$RT})7Z&H#+zz!rlYOu z4}bLYHC2}0Sh?f3Bh5V0_-&k&-c*6^D%*v@A1@PRh<;$51(yduB#w(JX{_1;XyqUN zZQ1!G@26MzPTTAe=mJ5X*4(ZCu}H<;Sm7}9?q@i{%;oMUR))17@iXTZgMDtKPGYX! z=UY67skblf={F}_wkbRh2BZ|%c-N;xEE*n@Jk%0R1kDAR)6@#nekrVDn=hvILGj39 z${o!tbQI(N0iW+j;&f>b@|n9S=%FsSB0^dbdK|c(d+A*-U3=(nfkw zW&ROm4QmE5f&=t1hP*72o4a4Y`j1Z^{aeZOzZ7}RI`4S+GMyo-)?`5R*&x_%0p(Dw zzzky%Yy?Pu!|8JJOH5zi(`Y<~gE!Qm1Uh_62GSx?hb3;f4bc~eY>V}9$Q0aiYINN< z!GHE1oswq^(ZTb$1kL~(-$m!gLNf@eqW7Jo0X4giKJ+k|2al<8fgQ%_{YD z0$40WFn2s31sue=8r;nj@v|R7x}U%40KqspXkkI~cgh86<_%vf@MpVNZ%M-poUXsM zX;rgErwM%E`2@;t>-gtq#aTNC3z~sVPX#xG&sN`+dE`tkj6aE}VoVc38MX$!K09?{ zZPtaGT{p)MMo0X3g_RX>wU@DT5Yl z({dmjF545xSp*kV{f!ZzOL<;9lb7}*F? z{7heu>7yo+cu&#(o<)P(L&ZVg()Zo*baf&q$Qot@wyPvIUSO`{UZm~%0a5sS*RcV= zQnbhQ*+?TqIG4e_MEx2x4rQ^flrENzzf%y%MRrXC?Q<3*mRWB z<7Fzpqukiu?*hH5mtwO2B~;vCl*uA`tAW6%4@%TFx$5y)|FT8rXjol2-_+l-#U9Pe z%i}c%_SX0BZy(-}1PQ?MOzU#8&Q>hjO{2U)5|7|*G5qu0-BGMG4(NlUbJivNGKVvh zGa)%10ugK;?Nl);eJG#b+i|DM3}xF*EQ18q8RY@iY3kYB!0UYIP%RDIAG8fS zvlPz0GsN!c+b=={(%n4+Lb~ZL3zS2vXO5{S4(GkDL=&Jp%2mUiwMws(L}3kYMOR6= zaSp@ap6Dx7b?M1yPBgFJd-7k?cTi0QwE?1Di-3RZ3d-<7 zg&-w~2cw8}u{Op#tKQq7zL54*bM?w3;^za~MRqCK1{yjbRzWUefq*2%9Z^`ta z+eh)cpHD#~wX_^4orSMYH+nasQA+AXMK_g#t`hi?eh|tX>04O>V?7k>qTbjj@8tF2 z)3&}|S&)YUo?$q*)(AI|-6fzO6>l*hY+&<3R3+HXfkcmVr!5fmd2uI`&_euye>`CBY{LG`6z%I7MCbkARBDBDD^ZvH~ z>|$=Z*Yg|I`5i1v@aXWS(`Ko0{liBMy%o^gQ1Hq0Thhb9DqBOiURrd^kMP1QPzBfd zwDRROVXoJmS|Bx=2hi7@W1>yDZG3u3abLTpY#@QhVl-p?AQaC)xQNY&la|B!86y7# zDoz{*j<&6@0ro5r9ns#;b_yn#|M!nibM-^1RFY+T3zC0XvqN zO&oZs>wnMkUcSahg13Mo8meTl&2(52rJk>UcB^)Y4Q&#w-Dsm{9(1hr?|H5-NfuN4*At@zngJzTxKY=TvVfcSUX`#r}~OxVUJ zLpz~AS}~Q*^BubhnSoA$s!dnSsuw*wR$=+#lXd^jxp>Ns zYR!(3%hTrbc2Hf43v5-U!8niHCQZHDU9{s`Q!`5#Br>%@QEqt*K*3P>+Ci0&p{)`@ zE3gu%SzKf}J4(simTK{wb0sXJ68*xd2c^>{R~LKRlhx|=pGCmaGuLcsC|_M~Z8!t^ z`-dH(8uWk^PTPjZbQ~th{JT~d&E^-lJo+;&n%Fb;3TZ2Un+zD z*54?Jfm160W#*5zyT5n;4o(Cwc#b|?x<;Q8iroyeQe|9p2mJay=zW7z_nE-OC-lrQ z4!YSIW0I|E?(1e;)E`fOL>LELKlW`q==@nK>1LHb-v`ZP`M0KUXyu4r^n#8}dY)+) z!*RhB=V{lyE~d{NW2WhrTFS#=C~^|JK`-)pxm{jv{P@I3ga<#8p=;$5t-DZ~^uR zE}7BKK#b!AVdx#D3RftlMzDU%ohj6;*C>;m_$#jsu4UgKHlIRA{PcVU;O#f+j34A<~c z#^1{m8kPZpr-tj*4`!AO((AX5h3JJpKBd#j$H{X`Oi~f z9*H{lw$y%5szRDqgN}g8W=;*|?Rgd6x8iy2Y)Gf(H~M08ZA~;~#ytMFrqgQI{blp- z5-1BN3vUTer2B(FTkMCK>%-69`46!D2U0aY>j=?aEC?;k3XQvW`fYoXHqdLW&Jwiv zVxeq_&aQ*zwrGC(g%n}aUNTy4EI6Jur_ckCG~>BGno^}$uUbxewfIM}N9T2U_*4_f z`ANtrKOKCvxm%cJQSs>JYFnOBibHZ_#C*@JrW3GIHZCP;^=C%3om2U{TUc$rdR<>0 z$GS8=-Ib{%Xt{W@`Z^!ltt@g$11fd$j^03nl=JURr9@aJFmJO4#U1||8l-C{dhJ?X zrk3=@^6>TVA3wf+a0T?1kBoZmySQhlR*7h`iEpMH(BI5=51nG_i$L-HMLJT83MogC zm89=E#x$9tQ3!fy)s%;o@SYngSzL7HEPP)=$CYR$BH^}RxT!Mj?da=1t9AOs3~Ef% zpipHw1TA)(51}8{`5?bLGb@f)ASQz8wbddGzm$o$Xb4$_pxF-KvU=D}JXmkDN3{>W z#&qXyK1QOzyGVwf*wYuFZ?*?$See=i<@_A+g% zv%AFzgIoOdsP5dzKCa-SbC+!$&%^KQngI_>UX8HA^T<4kwpU7PXL7FA7_LrvUnxI5 zx=}2=Ja+;fbf7m&YuyU)PPhF^{DC(uXqBh!jjB{-+U(PI9^pp$4v;_SA-e4NY)#M! zzAB2F?A$?MPQBE>J_pvm|0$heCq&;pvi7Zu(v-*kw}yHDqIy!RDzV+PI};Da`lb#i zybMd1($+3&TpxNmmu$TN$;ZUe)Tu3Ill>m(YnSufaqz;b(O^(YRvP1-VT?ALDSN{{ zXZ8P4_7+f4r+wcz;-CYF42>dE5(0vv0@5X-v?!o72uOo;!_Y_y0@5u?H`3iD-9tz> zNDuJ8ey-lnKKHx#{eRzc_Uz7K$35)Kb$#Pgzbv&%T;bk{(TY5mt$@0d5L5+Cd7)!<+r5@d<`d>`lKj5`O3y>>gH((U=zrIt51_9dL+E8{3&Vq<$ssSWD#Bqtf zrz8HF0A?oomYqqrP>2Regm`d+v~ij;fo+6Hyn)YH$C$E4P9Tz^QfJS_u%=aqL22{#Et-NeX; z!32-#1+{AK4!P_(?{;yuUjl)R7*3Ha2tJ7T%hyzbK>-N9axx3(&j8Y$*Y8rSAx zN54myvO>m#S}Iunm%JZ~4VLXQYX=aaxL%6daNNMeg||z`@jTS9M6|U$BF5A%hvhee zb&tt{-xh+TkMDPSD1~&#v1gbl5M-yqaKg6K>=z47C(8}lC@sWmjt1iLL&;`Y@cFjf z2r&k_E_jwsFV@}=%IjtSboe|Oo}b7chbLm*;`r241BG7bF=^lq7PY#nuatX!iIW@f znDjdr&!#+GG_x7)cr>PczQK6j}WwWUy&<&M|3)IeDD- zYE3ho9Y3e7y33GuGMkA2R;zh=Yk6tRt850$uaM4Y zY!SKag{S4nG4!DNw$;kOQ@^HiqGJp#dZ+vDn#o-5*BUR!!9pJdEEo05bd<80zaoZN zodc-rN9N^#yc&_a1GgTJ4oj_FLosXs0VO@pXQH?(1^RsB)0;kJD#|A)?o;J&$abgZ(hV z8bxnDdS#!NZyenyE^jbW*wn0u!;_m}uGgz8W^o(^#+wrMJe`1RD2eUvY@#_YlbJ`T zDo5K@v!3)*zuoMcZe=hg>*6lPlV1i=`F^2sfCpE!l#lu}{1+2O8bH;?i6GRitT%=ih`A}rIRN zBs}sBW$rHOGncHGShoRX`Gj zx2{=#&8kOR!2(xJ>8?J4Y!up_T=*AbEyI=9G{DmA|=JpzRCc2E1sqDu{J#_OxcjaAr`5< zIM;B*E)`Bi%d=%GG}0MYFUDuJ_~1~2x)Qk?{S>)%)$_1Q-&-nSxXM|w-u9u{6rTYw zC44nJ%!Y_fFg^WzJY$=L$t|!qpzyrHW?e2IsJo?ihI;dC+8d<6hXP+?4_L5B=9< zGhr^El^1E7CyDDt(MY_2JA<~byfhV%uGx=B^DK+y71ks;gQ-@}$sl$`PI0D(|X3?i<{ef zBi>TkOgQrH_Hm(%o@(z#b;3gjA$d{+}<+V=2TO)C3HUWzrzJm!%Lk*kH-gp#W z%~Uue^_JOC*k2ht&!(xG)PFEaE&n8=t+iuEYV^lQt@X&>AX=ZB#6YGAW1rk^T%%6* zJGi69{PpZ*9@fRUD2w#waBy4Stp81uj@uRFY_Qau)CbsJA&bpke-VzxBgJc_CjC1u z$OgO1wYo0=kDVj`QklyxQn}1r>$OXm*&0|$RQ7Muad(RS*9!?)4>?V6b(J+UMiKwL zs|yqMV)c1pQDE)KDp4UT30_1IEewPg0^u)22+O6RL0EoIevEVr zPfR7!yPsc`$YY%x`akf&Df=3R#80}X?jjWTcqeZ-G+hd5;ba=NX*+_Tj3n8zQ;FaIwCU8^*vFrb?6A$yvC zs+|M%n}Wy`Lh~KtfJb3FfW9f=_qsn(lITB6M7R+7ve&u3S#caKHi{(F{pmj;Opd3Y z3AmI6oqQnT{07Ja?F1DoGO53BWop#kH->G~co}1S*Op+h)NDty@7WhB5~<;h0KgeU z+ha}2F@PJ$C*Nc8iI6FvUTBys3rn?J0T}N9PSCQUq!sQ=BQbC(IWn&&OopfJ?VZ~5 zE2Ic_%g=f5=>wSY+fplHF(2pk)VFArbERBC&jRU*d}Kyhf9I$BAKk)ukFec^F>oV4 zj;KDrFvGfbzSUtz)QC${wosaTj7^L@g`|l<@skvFiq@AA9w9r{<6wx(qgc7OCn-KT z{Gmu+5XAwb+s+m`Fs>&*Wy|y{C`}abCDsmZ;wvXw!$mowt4T;oT-ApK_AmL?cw;}R zXjv{TiDcJcQ&P3?8p^RYc{1u_bv8-u`Yz;j6sP#uFTs11s(liuhk!ey=mDqvzNN3g z+<3Io0}_&$N_|gL9<104M*U_|CA&KPd#MR~3?@E)UwN&CSWkfz=_)zTCjTET07=&a zgZr$qv1T8&tQof}Q1lj&s_*>x&e6Ngm`gGm0_SMUWx!7hB#nVO@?y)RZPWf{lX`*H z=2Pvf%9sD^C4rPQ|E&`?MZ0aV3(T@Fa{Q(jg|rahRy7eYv_Etgfx+qI7z{;vc`-vL z+Sn5f0I0*f^qu0+y8ymW4oK_p)@#UG<`)^%khE^VR5vSDh8oYk4)TSW_OlEq1J0M^ ztqM>pp?JW?tWV;04EFN$d0{sJBz6h|tcaSMRNGbt2lrjP@ZSD-V$w(n1zUoJqbKghw2)?|>(a@GRT#QapuT&-Mb#>j!V|lP+ZyVEK~mVn;sh5( zU9Cjig(Jn5y1o8dGMEE=ZDx3p67cRkGBvBv@{kmOoIF!z|B7F$N4eA;Te7$SA%k&q zkgPG9^BdxVehrY*wyup9_Lm#c2`#XdX*c1_7mfi)>a{b25#7qSJ0O^&3?oT4LEx9V z`^(Q=ch9&qFwExa$nRqbqG+5XmTD3(qBN+`V#W1r4tKrR!{qhxA#s6DhGKT~%RLtn zXZ7<&P#foicsD|T9+W2AWo-^9$zBLpj{j)cj5f*%1yv4v;c+AyhGX(=m916S5%Ct8 zHw-{9y$82y-b>b_3uNZy_aBB!kHuS~6buJ4lGau_@AnK8)C%~TajOg76$=2S2$SMc zZaipAUg5aC_D}}tEII<3SkK^o!%(ErgM89YRwDIUXDVuY%E4t@SZ6M9xW@^YPn3>2 zG|p(Z@>f12^hR}Iv6e5s8QFc zo=p38R8zMvNv9i)eyzKr*Nk$lcO+!H`YxC5!L_|tT4R&YbzRSSUHlBYf2EOra(+7p zucEea4M0>62ooO~Xhbr0m$qk^jD`1OuOjhb$n78s`em_zhF{1V#eO`KlQMV)EOi{f z)QkR$ZNfbj+FuQlv;OYb{{z$c--Dd{+I&Yhc%Uo(hQ>VuiF6V5d!4Ysz8eD@C_f4H zqaFp=mdeV&7b5!wE95KT3)1D&*lKg|32{URkj^kdom-{OYAaZ zWfm!#G85R^uFAOp=;&9>#%0DMtT1Q(j+O3(6`Eu$hw-`81Af+U)BhfI?|zg{ke>&m z;;T3WGq=`x{aO4nF+B!*hV0Yf$mQ)qm*4QDFJ!@E8UUYiG6ZE85mZ`~UXkaDy=>gg zDKo{bZ!-{z4OnZ+WcYNVpo@qGIb)+mrDL3o*y`8Joad3av0#4x&QOMUX79D0e3(bt<{MISjRQ^D(2-o6a=WS!mNJ$~s)%v%Gu8YYx<9gt_ z`rWa&yJEPw)?KQd$|X7L_mu?Q>+^6qD+5pX7p0tLH_EA*xy+}9uHDlXdrgGT|1;!x zH;-6XLp081{|dn{V9zq&M;iBm^_|)EWE}MdSnP4D>2ae;BDiJZ%HyrI|Cy*J$ncyz zHR$3Q-`bI$HXYW-%d?(l)kog=rHDc#8PHW#&Wq3npZqk47tDBwpbzS<{lx78l zq`tAv`=bof%8StMR-O;XvNCGZ5a;iyItY6k!*;2ooutPQ!#8q8*X|M48Q4o;3k2zU_Y`;r=Hg(GSGSo za}q;0p~7G*OQV9kC4@$FKyZV&bSlwerCE!}b2)q5Muwo(c~m!&zBpEN6`N4S8fO*R zi6G_&R@vL~$(|*Fe-!fjF$qH6rI>42a8)eaeou^t@b8=kePVvqXFyJ*#Jn|G6vTa$ z46AN7=uuHD-E1V-2_Wo^{9&g|_Im;#hCv)Il4G3Ooe$HcUb+NR?yBs#WrX9++1Sz3 zWZ!3olYD-dwEFGV@qUW?LTe@XPH~iKObd>-seZ zaGkvE)!qV!qn0mFAF|CRWuxwObFukkM`EAxEj^Fp-Xwp@Cj@>w$l|5s;%sZ!o9IrM z)5w)7gS65nhn-toKSUq^}+ZQHlOnfo{lH1-vC~dCl32-UmBw)u`!+O znx8x^mn@W%4dwWQAMLc#&2i4F2z0BH7c2CC zmW>45-7~<}VUcytj9(tQRh>OkaobojGUNaxQ-reQl+haYSI-+C^f&S%Q$y$r*xy?w$F2vN=ro6 zCu&xNFG@}M#kMatZ__&-Jucp4I*IPL=|jep@S)?SEe@0dWETrPG%Nws`VL?b3-?jr z?3Wk`M`|40X^6w`F+gAB<)05%AUrqqw1(YN=i8iU`$5POSC-^=_*QsCqxWo$@$U7X zr|iZ#r~4^+W~^F!q>Y=0%|CZK*gN8Er*4Dt-#Pq7*q12zdxoP{Iyoh9l1@48N?*IH z=~j7uc5)P5XFCCGPwHR65crl1oM~t-C5D7H8{WYx&Q3o0ll?`g%3QW&cw=g$yASPtj&Dp9p==iLHdb6v``%RnOtE5{Z$W;o%8IMRpUAt zy)f}E*gPTuM%w}Q^EbfjZZPPH)gzxMCp&2)V|`*4e)QlDQ3SmMhb z1Dqi94362q&_AM8T_nw30MB0{WJsgAItQ zD4=Kn2^US8JKYGI2Ix-^ic zvhe`SLiF{jz@Ums8X1!$*H^jlDz3M%&931%XkLpSbW|yRtXTUM)@uc zU0v~svQkjTHg4XiDAmlp7(eUOJXr9%V41~=_fC_- zItP9$Go%o=Z|tMnthKB%n$G~+^jSmx8nyD`LX24ifQNmwWKOSIxI?KWV27XsKZ(5G z%`FNBquVa-^;&h7#7qMzrE&en#7vE?)WjpnkKY#w@2?C>VoiLzNSKcTvVE!SN4E6> zV3Z`&IkD1*?7X$j`K~emk;O)iq)W1W6N?7{79;K!F6++|du_y{{))W#Yv@blhDx{; zYz9sKt9mzr4LM#4P7J=T@fG8n508%SMzCw-z}{D4FP@#9o^+%H3m6XGa=MIQH<~ER zhfJ02K62!3s}+VrS)U->Q!&|Goq&GwXo$B2Sin`Wr&F96M-MNbDlen&YPqlskUaLq zn*Xq0l`NxxN(d4Tw-CEc^d=MR!;|IUq{h^;Uw;w>CkqBV%^ zE5{db$0|&w?#+VlBDdw>if<#{O+M}yQX~8}a9q(^+@2=jVpDz=$!79id>&O{n@jlG z5pZyQ?U#>it;r_bcELw3g0lEPd?@B)GMr+Hf{67uzk7qYwyy{jVDpOws6?rMUiFY} zc&a*3V!0?Y-|tfv%caFeBjc;z41{_H{l%^JDH~p)6h%zFn^kt4qCdyu^31wF-G2f; zI|F$Y^C3XaPCh(wJ}6wy7f)qvi%2RZ=VnR>4s z5C(Pqy-6IH6Lui@5!aBzp#MQI*Ig36%U8%XS^dlOdu~D|9I1G|R}T%YdwN$|cu}7= zlhgkpTR(5g8+Wmjw|^mW_X8$YBG_OY*j%0`iD1!l+cyIIC{#C0C`m?@FwSKu$!7<9 z`qP-5a&?(D(bTcY;tR5}C^0v!k+KFC6!0`#t&PY>u&G72<9x6AZ7YvBzTfYpwSIK! z0{qcO;J1C@wg?=^AE#N6jMC4+1cv1}@su&{&4)?mU?u8{USW1;Q8u*Q)hR}F&x8^T zG(PSrU}PoW8Bu_Vl>gZ$!k|oI2$oZWscTD_kq}V}6y8NQ+}{qA=1GWONCVA29lD(#q(_ zuko%_lRjW)I0>PmRzV#D-wg!5hQ>c>4*SvemM&tcg%+SsOU!J>?#{EcObV#aj=qO% z9%h)OlQuEagzPFaFDAzTh2RlDC8+MayUjp}t8iiF)-e9Dt_rHipg$ZVpO=0nczFE@ z6auH)L2BJL0-&zlt~*ml@piM=O|6?T&j+mEo)~xku%#KlH+=$&ns)R(7&Y9Lewd{; zemam_4eH3skj#kPEc)h8RsWr}qDhwe<9I7)t@Ul&f3L`YKf2Is_fE(HrdL+*pVg4R z-X_9;;K~~qKh1Ctd5WGtDbv~h%N4<)(|qL%=+Hl2^TMmQaqx<^Y6GV(b8@B+0}tuZIsoo{o7iVuk|w zBFoHhVxro}L)e24GM@;QAFk`iIzJf}4|fyjujcr>utR7YxKtNexwb)=hegZmo}ukUu_~rKrGG=y!r7^@PujQw)os8 zEQZT00t9=t1ErBd6sW`*Yys}MV=?iL8;|zzn&Y5wB?Ld7B$k$|@TN-nqP7JAQ)2}+ zdZo;24Ro@0u9s!#6@Z?RY~>M{;Kf*mp;Feo81@)Gwm80uQ7{V$(GM>%IZ&wKhERZ|A0@R`I#D8A)Aw0{(l}a^6IbcQS;j^Ip6%QI9{db2L^=p zXCM{v7NmY=Nw5m>Q#b+?Czh~2l&Qsc`m_HC*^NZj(&BH))!k7kVR9FO-J(%*SWbg- z+a3W{HZU`u%Pjr@NUZvZ5>M=w4d{Ll(v=%FWkI76g&_n^E4TLLlDZ9$3K14W$-6*g zU3qrAiZvngXr6fPnO z!G0gyip0X*DZVz$aC&K`)O1$B6?nPQkwPp=Iax@*?ai9Az1)=qx*%@a!8q=dAXBN) zn;5UDn;XUd1yz9;gujmOfzWIAhHM7Towu7lK`&ZvARhk1Em_c%PxLY zQYV1-j5EZZPW!k;$unRXf7nu+`49qGeY;E27sbYRt8R;`!tee@|Gr89FW|M5`0?4} z(77BM_DH5V-{%Z(1(E~&z$qY&k7wU-AsI5XAYlq9&wa#)D?)wMb=k$?s;Vn^4N!c~K;!Gz-7t;N7`>oluJjB1+_hMs6! z=;I6%XgX3{&Pl-ZIx-*wg968Sr|3UG7=PCd+>+aZ$lk5aQQF@N&_63Wgf1YUg>YRv z2b-8SL>ENvgkq*zBUPqJKFbh=37H@MP6|6hc1d0AlpeEKv|leChMLkBLEr$1A-vAJ z5Tz6FwGS)13YapVX?8!LA+K;fKQkCLIhirRy$}S&c+HWME>Zrq5ccbjYudu|wYn=W z_yIp_IM@VZv}HRE04~;!I@{+0`4pmK2|itECMap1&oLED^`96_u)2g}-NaPbepl#r zKuY$NXXBm~T_f8k&eDf@D`vKP6~ zEncnnIIJ@d44@#{CioX9F*uYVFPi5Q52A;jaxw~@qYH7i_DZ+_oLDC)597J3FY0|o z{=BR|>YmOE>O9`2QCll&e-Vtg$waOHE9;5l8FfxZLaAlRV%9BG++5J(Max|xV~)6R zZh-{gtP2A-YGAqNVk41kr;_x<-t>#8)K+=olc4fk-Q@F({{3&MyH8@) zJko8n!g>}cMI(pPvomx&QI=p}DX`nt-pf)4+D!Sv6770~h%u0ej+I_UpM$g=Mo?0u ziaj3L*G%*g9V3Dl}w9Mx1qWyUwj><((tP^ss= z(Rn|ffHN}k)4O|JWV-ARvU$HamFr6kSg#K=LW2ghu&l&?D=CQ@Pz466`YFc`*Lhd} zyPO&f4-!zcXxMz)*x!rE@7IaSM7`Kj)V^MRzvbIF9zxIEtz=M^(us&VF(3^706wkP zvcWRuxbCWj`aKa)D;~UjuK|jDLC75d`F{tz5p^O6pD@s*5-~NU`#~Q|1?e0GR?E*{{>UoqeokuyWlm&^SP7e1U^-aMiRjm_ z1OT3vQEr?8gK#bi#0Y~)jUf=MI&qA-l{@|r3D7(-c@20P=z;U2Rf)561C7a%;!l6v zrIvm%ZO&iXu5PsZb~iCN-(eueHT@-tK9%U>slDYFrbMj%9B?5ow`5bRKtX|zyye&3Z&y8#1bWe=_=p&l-q5;`Y{yU?}}46WbJi4mwsU2+q7+6WMP7)Gi(a*d<;^*>Xw%5?2*`#~CY_ z5~Rj;*#*h3<#IP}GY_0O4vSf_+Y0Fcq0)}`kNoHbe)ftUp~XV4ZxQ#6)`O)!mo3_y z6Vo04j~3v4F#Y!k1s|%-nFb%p8kbXTE-y0-s2OK6zLl~V_UM=UK>MU*pHg3=L+o7s zbG8}30%o54mG+@XinPGgj_F6pO?k7*ZEr$WD~+3TCLPU6*mbjjDb6s{lG$;guw-kh zYr3=XW>=cJZEsHBRk-KnVRF8!8Ng*8!on8KNBFuy!f7=4ZX@ojjS?#A6V}XCT|L_e zPEJJ?N|y$D?WomysS-ymt)Iof{i%lxmQlX1Kqlq|HeYBtB;sT^lfk^DDeiWrc3-CR z@qaG=nlb(pe<7T-L9ENF73k=d*SiTcaJa~)QejG0 zSf9z|B=|-LwtlLpaY?qRKdQ)QG>&Q&O!3&B zcGQUKlgVCCOt`%86ZmCox|br$9V_Z9UtQV83vU{%QvAdzkeV z_hfiT&3eiBj&30~>0_<9w=BkbjlKjRJWPMwDt|;Cp;tmKIGEtCxsPx zoIg}dws++54)Lv4z+c}z4010T=L0m$U=jpIE;U1P0|$$|KPmXc91!JWk2&I$Pm53* z=)q-af7J1XZll6-B7doye~W(-l)g|CfW4Ev11M7Z#{*toG1DJ%V5Gxu2iD-*&{W5> zTG7MOO4yX}W{fd6L?gTeqzER9T|(I}QVy=AMXEC^q)UZ@l!k{z6n|*YxZKG$#arLU zLrP^2`G3oPEKXZ3hC^)8&~6!kf<4)*S#k2=+)rH%*3eLQ+J0<(TM8savJ%@~ftuD% znkZ|w;o#SIV+NnUxHhEAg8A17iGQOt$VahD1)e?%%+Dy{4_!0U<{HE=5ERzF~8XH%T0{9BF9Q3Nj`Ex)G zX+K(v!52iES4+AXpX3lVNgq>A*a#L=ipHW{W(z=!@dqC3mBue)<;KL0`CudE`S@oe z1*9DyMhX9+9sC*b|KEtw4>+lo-VyIHz5a-an5p|7&|mvY=b(7M40#Sdum=Bh zmxD{HG}KT6qN28;i-;kd_{rTIu4#b`OTeeSypRv5pf}aVS{F=#<8JoX4f|7GI0Z%| z!Z8v-f)Cu28XT+4rt749i;Qx#!UXxTFNJar$568N!%rTcpuxJ zQHPLU_z5SsVYJ1_JL#EQ<1M#%jJN>QA34oIi-6>d z1eFlyC7AgK#0ice(OC@m*q;IU)UF>f*eE;tfbFzVddyKTV79{H&!Ad8`VP7E3S>3+ zH|weRkOdsn?bRU%~fIb2il5CRfF`x?Gc{*pmAhU%(SMdx45leOP zXjFs3)dnougSu2?s^;#5U0EQYcuYTEuj;lxJJ-8K4pm@`Q|yi2vUz$C*uJjd@<$Nu ztR!MDc%Ftb$NjZuxL`KQvv<{VpQ0PJdLpEvVdyU42K&7KjycN@lDnD9hpk&aP;f4p z#63Np;i@UIS;Nt*@`C46-U=KM1VKQmf|GaYis?;r0e{@mKLBq0Ds8^ zV1uWAkj%9$_Ckk&8W$UCQ&;X7<`roqdP>Ws!AO?pfd3qj8Xjk0Sv_ zI{n@GU82i)58>~xSTr$IN{@DbQMkGK8O%0E3l~e?Tf5boglP)H#z2a&0x6qnRB%1? zzyUxtlUnT*RDlNgF$DXJk=SoK z9^nT3x_R%rH@$3~;pVZ98{dZXFx(wuSM_@0;}jKbr#wR1frE<%#NT{z0v#AiV{Mmz zLYdaR%1QQu8}G=^UH6$&%c^DJo*KlRKu;LZy(k0a^bz`SNtf@MM+M)`FjY$kL8W#x ziLhqy=1@J_xW2Ok0H#}yA6Z-a3wV#_106&VN_bHzh_=zdu*W5Gy^+AA58D*Trj$l0 zVY4AtNPULRhUR&$NMV7Mvemj}1u)QY8qxYWXEViJaRri9hFFmG zNvz_e0z&(BqDSr>U%U01;+HzruOUGfT#Ox1ASEUL9bx?&fglkLZ9)v~$N$j!63Kt1 z!ZnBWBtcIR5F#a%U=HcN_WFf;J!sLmjWGSrs8I1Q5QYj2SC4?jMRXactNxl`@r9+~ z*iLJj0^V}|xdf;_p`ETq1P4}BByD0yB`hcC#$D@8DZOIOu(9#F?~0{n~a zo`f3RTPj*F^?W{GNdd67fI@GSeRva78&L+W=&$lL-ObJ$F#CY4XbGA6{U)*!5k+>3 zS)T&q2*jSWnv_m50GgnKOVJly7o=J0vJ66*o`qmsI2Nvy6JRyJCy|Jl{|;n#4jDq+ zlj_qyv1t9^H})AQzCWJ4>3u9UB&(W_ZB}ElIB+}I?ZrsG%o`%s^Rt5*7Mf>k!R4Mi z&}IP5%?Z8CJ9M?HAeJNq#8OPz#qnM{6=Rwp5tbu%9D&4}FKZI+>WqxpUU#RYp*{sE zuN_<)dDg)^=%F(xssXv&@sWj$`=+@M`Guhbt|VueDD7cBfHIC;TF&->Z?NN+vs@MS zX?sniHdlt5lLAJY#Ss1JPuIkSx+YdwEgLZf=IFa_%&ouBUt9I2QT#=&{`a&u=G=WB zp)pO*{Sp$xayI2XJ!4Bw8@r^sr%IX=JU81NBbr7QdlJm72)z3>1na^xlC4~-iNkh{ zh{2N}=>EEx-Ok|_OU=_Hb@%h{sgAf?9{{iGV#iIr7MHV&aQ-A?phlD~4{4uURFHYS z)H;4Ujw#abbotWaV#kiZ-?3lw%)86JnE^QYu!c;W!S-*)hg_qBUt%QdOt%Ya1X z8>3l%xAq?f(6pVM4EhM-6EgiIvhr}Hs5a_xB~L-s-@_Jha3JK=UpLPqjJj31M+R=}x{=Gi z&!#(2K5>;ZwRTausE*`tdGV`!$fGl(bcvjy*2q-M4sZPd5(Q%Y(sc$63dy`5{sbG! zQy?zh(S7egLpnst_OqVXllNN_Z^QAMV@Ggt(@h%N78$SJ_;{x}bXpC=@jVyTx)*S1 z0QoBS+N%iA(1HQsT?G5jT*-fxul~Pl|b4FV{R zu|;%wl4?N1lK`?rtP3?$F91Xno_9^`4Dmw&EF(q6T9{rk3m_9hHk!+f4RYZUmX8Db z0?2sdL?vZH?SSc*1#j9UjBZK%z$FpMS_6p$VI6E0QXnb& zR?XRQCLx1ZZxWTD?UVYyuCEwpTnT_kJ`#F*DAP0^27xS=7sJUgp-ZC_YiJ;7`T#Ux zBHi3`SLnB}OA?&-KWS#ulx+d!;IkMynEW6>@jy0k#Qq|pqe0LG^L=?WkeAORYumw! znZ8o5?&dZJ8F;>l-W>-23>Qj9P0-i@ub9Oj#RDlgntewbfvnJ(7j(0`Tk8%T!M0{@)Z`25`3T#PzqM$OEg-n0sH zuePdK*{CxvXjB~xzTVCKWc}0dpq@~o>L9{<`d#deO>2vU_tUNIbkzxg_Qhj{ne$9v zc0WIO$DTD@{yNu7ueo&SL=C-}%}4xIk?QqPPC>jR?-_q}u)m{;Q>nVkgWXaKRgKkM z>8jcpy#+~v4gPiVi@FGEAvcT*m@xRizgQ2du?G#23ud=s3f~PIgVDa!V|7C@HeN$1>uEA0TV53Zl2H zmeV5jE`+3|OnDb6a-O~zPt?e+0!%?Crw_=1(K-_3D!jnK+2g!!q|t#%qrzXm3Q~f) zkQa4QT#nFz!+QWVjhs5$FICD;e?6{axpx9wy3sLJyG5nn&Fh&8l`wHq*!M(mcF7|W zh+;OhQY zw5)e~u3KaaC4n2}3LGN^#R-7NC`fbAQF}1tJf}tWadk_@^qVf}gGUy#jnTyG$LeWu zG*1F6OUA7=jPy?~R*V+!DVt3g_bSh7R@mo9qmL{Se67!- zV$$et-XdPz-&R+3!8a{hd%a8FbE27j^#N~!QCCe_WTTOZ2OejJ5ouDA52ejW;?`21 z*WQ%Miem3HcJVPWeu%%%QC9KLYrKe&Z~YYC$QBGT_JK(Lz@_oVQk-=-u<{nfVpt!kF9m1a$=+{SZBcEvZH6xD6e&Sbd>;PD~W zav%5VWn(_EcTKU3Id6VhTt#93`^EfyRo%OB;7n^-rDOlc&HeR;L{ZH3F$pC@Qt4udYynQAZHIw$APh16#y_zPYL4r3LXOVTyxyCVm-oW zSEcPPr<<3K=k;dqGQ}WKeEtXH=wgr;v&ftt>Q=uM4-~C#d95Q+#B*T>hfvn8v5t*# zrym{X=SjP{K!dqJu5J~vu3hc0jaT|{A5Fruxu}?N{Q`x6$kpXKm#OL)sKUIYa>_=# z8oDQ6O$v-`F21P>T(`eO%Xy_mykVqB#U#bnn6?~9uMABGz7FlfX#?@(l@a_16%Fi@ChKwz-@9zd| zf^c+?vu~8{#NT^H?m7YMcj>@TnOQv8whh3f&_+`9J;$y7trhv>$$a!^2*me3p>I)tN@e0_EPrA-a$%=@MFp3}#a(#(sP_9iE8hA8IO6Zka}U{v zsJ(f-!SA$6J8?^(^f6qaSS}Af=dW3OSV@`lu?2)q$M9z)DZ(YP&8wIghq@q+_~+C? zwQZ{aABY}$rJ-}0v(rjc+230JGF-!Coms%>vE%N|?QcBY!4_2!WeI-U2^rM37eS4O%U==oD@|$@lLX3~AAyFeCNq2&vV3UVjd+@^{ zWjYnx5l{?Qb6iPhcJ(W-(sHv8oiYb2cLd(^9q#pK$>E+(po`iPJew0N=vkhxvjYx% z!;<~y0`Yqb@2cGDGs>K@vkT(xkwKKG71-;QobNo=eD1mZ;}ub+O>p4xj)13L#vT+% z#H*6vhcRS$@KO2l>9VYAGyn$pm*QWrXD#Qq-0Z*%oUMLs->4ce+@=>}qG&A>f!4lL z__|dqD@Dv)%X9LfgKW;8W?E9afqtv})UXZjrqQ~wEB8Z+43fF)yJv|WSHHGXCTW5s z=*U9zD#P7->T@;ddG^A+rFIMylR3z^Uj}7W*a6fDHB0UowFI-3uGIF&qF%N`j{Fh+ z?=Md^9^6J950zdTM9h4#I5&O}djLi3B^(cYe$JEs#u&Ooc9WGqE)%i#&@#`55XLE6qrRp~&X;SW^iB;D+B83_7oA~A?DDKSVb3rdJN zP>(9r%2%Xv#Z|;*3p^sQv;~(g^N3QU^VH5w$w@=rBwKLv;)k7*QY&>(Da2O|=Fx{L z)Ki6-SY|CJ789i)RHK&I)l89h_83eq`lD4}(888!mPTHbk&%O)PPf~uK9e{O6aHhf z28VW?40LlB1^Rz}gU|&SbeeB~S;VSj)G;Hl1nQ}nXh2immAK1E*T@h$(s};{xl(Z# zpQyl||PJxX&>b6~A)5##(HJxJn zNI;=ZJ8+M)xq0Ma;g`HYX~F!_+nl$Wywj49ZOo#aF$P9oP~di21V{@(fH&Jop1-{f zP!pyC>!H<=H|p)KmJek_*Z2+{=jdZ){o)iwAuVHVQ>!b$JUtbzRn*}uy7&p@G2Oq@)w z!jG4ka?|d~{<*la7VJjVx``RZU73%oO!MdD?(EyW-hHU8?W4+f&S~mGT3;%e zj6k}4s{j!Y?g`)uxdLaEg45N^y-$KeBDAV$>Jr+Zh&-R9IvHsx=$RuJdd|$U5?mVs z$A_{bzIIPm?r{~El8_YZtbgTDE|?M}U#a3=<&ULpHUq_-Gjxx7$sx6F>UE^|KVtbVAz4P|qWT&$m%oA*56gmj}tXHn5 zdxZ-S;L)?j;=%t}>eGj_d5trA$G1=HnPjREUkq0--^-n~bUiY9Ff=v$a1WdTzQ=aj zH@{4hN-nYQ{CE`=coGG)JpSP9S{>pFQz@eGmcv9GEyYsHk%13CpGU z9S}U8cJV4VHI&)(GB@s^zjFSZ*4nk;7>F6dSlCiTMp>9&9llFy(A|D z=QcaQnE&j(!{(j9F+A(D9uOqWnrfXP*Jd-wHE>YXH57WFJ|b~+PyAl++-c?*!5qcR z;Px3sZZ^nqqr>H7J3Un~G7+rScz@Ys@Pwi=v?QY2WZq>=%DGFOs4inW&UoI|KW*ok zD}IxH0KW5*=qm}s_+iG%>`HOY2jY(aV(Q?JcNa=IFUh~hITJx;&k1X4{tnI~+c=tlqLZ16 zIsRSy?NPmk9l9QdQK)1uGYTF(Ezx4;%xcoB=GLZj@VwA`X%_yI+2om73!)zzIv3k2ruK3stXtAc z;Fv6w@~+0Sxy45hZ0krE#g#{)r{5Z<%m67GM@?V)heu`_f3yI(BbwwCFSS#QJ?SIH z9};=?21yI1X%^VwiM75CaGk%D-i~LmK7w&A=0^iV>`jNIq@Q5LxRWq9zstH{e|sr$ zEJ_tX3a{*RkG4PcI5DUJx%^8F3Q;WLh<2vpJDh7!yydHtSzntb@wt(agDxj2`eDN~DjWD}x>=tm+0__E?a2n3c>P7y|Hj;P4OGbt7=&V$! zbZo&xkW%qDM-so!8pc!Qrh%-MRPY?HTjOsic6m2mr8(NFlE+*IOU{A*f&0i0(t{n~ zSm2!Swz*6k!8Pqgrrx@M2BC8OtXYZZc*J_J^cg`s;$TL+g|){~gO0q*c*!W$Y4Kl8 z<7cGM{e%u5Lc{q&ODFN5=^CIp#eW1ZMk>-~+(LHFnk_gyDMmyaCMeOxqFuqGtc|>9 z1~M7X_>oQ3+ks}O@be7YM*UsO?njKPqEm=H2VG7=hXF9*42%aAXM!AAI+gp(lz};x zimR?wh!h9Cw4MT>sIe;t>aokPhWS@qCi|8uFp{QYnXHa0)&qv3@&c|yxPF~;8aS*f zAe&7=w^rj%#i1Qxs^{q#X5A@Dvyw@f@w8AYcGO0126pc{(138v?-D|qSx1f6ohL5G zJY+Fb8larX%U6ukH_2B+WftB4!L(^q5qD5*QzF=$GFZIF2mZ{WPApmOo-0V2mj>R% z+>^M+EUr2a`qGoSyNISn->TV*R(ms-jvZj7?dsqi12e4glJLDWBi?)GJC(T+V?-#? zn~rPwqoM=R{My~?0PtQ0M~6~Q3@rG1tC^J>xvr;1Lq3O&xkOJ&VZ`2#opKTQ5B+D#YB3YkOC=HYO zPlEuAhn6}qkFJxM=FeLC*E>&XH{( zxxbIk@A3G3|G2Na(|Nw%@8f+OuRWeG)ek+5YHp6AP*X%eBbE)r5uOfZsNdAwC;=U5 z)uIJI%DjtN+qY27`yNd=>t~Yt6w8QH_FpJ&2QRjau&IaS#49<%M>Tsx#=an@iMPlT zfTB-z(aVV^6f)P+XH4bSUGt9?NODk#75?;1dIQbAYpS2*^$&L#zv|@~5Co)8^Tcem z%brEmdE%_+|GL1IRz1sY0gh4G#U2i!qg+m&)xliA=YpyU);v-IL6TFMQi@IP+M?~M9XUWrgS4VIKW>= z`1F8;+s|RurH%GKaJWlLbH2n@Xn6cI?VyzNWY1@$@KM!CuD$`3z`5IaGtH5HQtrxLM+gVsq1fCADQOoD~!_tzc5v>`MuJ7~Q$ zvDh1B)bh;P9BLc0r@*A;Hzn{xD5?%+OcwFCJf_>(VrXDKTy`mA&kHhgSMY~wpylN5 z&XatvcFD3B^{Hza-{W;U1MuEQC^qgosizdm9%XV-Q*pzKlT!nZ1;KtYKPR@l&eQfk z2Gpn1eQ+e^3)>bEXW3DnnF!xAMymY#pJ+c;`e7lhr?Z<2;s53gpN+U7qWG=y0oka< zRs@k%ammf&>B^UdUSb=jFke}pm>Su?u zenOwkvjSf2H11rk1Ig#|I9v1`THVKaPYBA^K9SlR*W!9OPyGAOsRe&v5AqUATon#? z+UsBp?Z(PPTaSSEgAoU}*mh<{mGC;Q!l|MGro~lXUPq^WzSPzx6SV8(`#w|OtaBkw zpRbvNZ)Ndg_&|E{#QEVzEw10+QFN|nAG$zT=UBEDp8?az2QsnwQ3>aAndr{@iB#EL zaw10=g-E>^%*MAj$U0__GH+yFgYxx49@7&-&fmYJJzvXwIyhUT$-3BEB;sz(i|u2O z`*88BL$Jh_)AoxlzsP0pyCR`O(+DPUdB&;9TzMEj#@ViA}MXuk>=ufX968?4Maj50*~GLg52HH znEEza{+t=S5S9oa9U~A>=cTwDM;RN>&{sW>UhgmxF%tAMX?8McE7)Ay*;(eMw^UsAeLp4)Tt=} z=~}zvYMt3!ojbB1u;{Eu*Pox1o;uSeycw%atI0fgeD}tOKx)8N<Fev(Md=P4TpN@w(&02LxNpN+4ukmj8Xf$Ki7L$=SdeQoz zZ3tl0SXEsoKlsHAbIo0()GaGF2Iwdzg*nX|8w9Zv4y6{!X0b8eb(ZTE_Lk+00UU zPHkRxpRlCSP~1tAB~(OYQWjKeW>e1fn{{vp(Zkn)fV~y!C-(J$9V8CWAMpITY2hJItt<-e_H=(5bX`jD zggmiCmjlb+YVlm}Q@}wFyd8oBSR?=vZ3*$1>?RU_TB9rx1wF!B6M%}d#TnZ!Lw}%- z_!obqbNX% z5YB_}HPLl|T_{7vsx?rPafNat3Ix}nId&mBTCV_qta=>$;xwqB%FJz8sSt?CD0jd^ zbGZ%?(+_~*W)A(ar4k^3+_oYCZVp9-R#~?E&xT~hhYE>mpbM4sq{O+FX8=eZ?_Fw3 zFt>b0YOE9c3F4meP$^gEZx-<>@{?6JJ?>_FIOWs!_*mfL`tkmwD+}x?zdLbIoq|u1 zk=d!k*_h^CiO4{zf&~gpyP?)AUV9ozU!_K5Jfc`nsx1hSf+#>hi~?@tKTv3BEMWLB zwOVoK9A)%F*cQ!|F0QgOUUdEz&i@R`I}E)2hJqcCOA5{Sgl*C>fb0We=ec=>@3 z$0ZHgAu`Kktt)vF+1E;7hAYc;hy~8AnlhjerK0j?o8j`(xDTMopAK3>Lzd{tTAqMa zUTps9EGR2^)aoAotmGPOIU@OIKdj$poql`U4zSH)0CGJ&Y69B8#E>g)o|HGH2v7r7 zhXcu1ctFHtLdZ3uP52x#wTxq!GJ#`^XIgacIP^0sT=8lWdssntrS#A8ZDQ{j(J9 zR~gPP0 zqlDrvlUFWGTOTs=Hhfi&-xKaJCv^RcVc@6mU7L+xArLt#dClA;$7w-$)u#7 ziLa2);yYFOnoOY3B*gOae4Y!t4+2rH7RR2!ET3*HDeEDyW*l`O9OO77gej%45xf`(06p;3=^??@5yP(eQi8R~Q zq(R=vq;tCe+=atXKduPrdj0F3#U%zsdrhP6sVhQxw?ZTI_DLCV&RXxigNU|~eLaEK zx8%ow*pX*t{>{T4Qee^G$-I}UU(gWPHu~gziHA8Q+kb1zg`)<`kdPc%hC$0YfYJwC zB`S(I*|{CWl)|rcw)37Qpe4=&5(kW+i^$TChDb~d8gzncK+g?AV_ppugw}xZ7Ce&) zb3USX8@-}`D#NryRTREKszvKUI$f6rQY5>-r^wqca*P~gYtQGvOvh?H*ZzC*H(8tS z@7W+bw^%0HtJX!JB;Mz3WhEB|pF0iE%;x?c0Erq7 z)*u^b4TuCFhpZ@NODM?g2IxX1DC0X^+Y+qJ)AVwV{@G(N=>lwEKt7dM0iSp-wZ-cc1M>7UKWQUhqrd7$CL&J6gj53fdw`4Rys)%rFmps+e&xWY0KC_)S2c545Y-EofF<1!QB1~B zo~tW2c5d-38Xy8TJ$dAMHU&+dJYK|Hiz*jEE7-QKPe`VcvaW33lv zN5W~`xo@ya<@8QQJ^%Gqm_Z=M{^pcgK|F88xs4rX9r>m9Fupxs!r;q~>fin`IXWuL zrSKj9@cM;aUgdIwNMd4ozq`*|{#FG3Y2N*tf5Bkk_6%kMLSA?eca=r`+nYn?dlz)l zt=k&>|MQK;w^7{waC<^3@95<;i?!Ow2xE3}yLfdn;y>m_&ES2HE@y(?Tq&6s;)n3O z59TH!sVZzOA3l=e29hHFrlz#~LKh`)2wW`pk6yvEnOF~6U=)7NgfM)I83_VmqQ`u6 z<`1(1T9AxO`(;=3Guc)Oenl%p$_F}L;DpP-vQhP5Zw<9j>10(iRtHaRIgRH-V2JRN4* z{uOVoi^3GZbMJL5SW;vCJwNvn-Cq0xBU9`46LF&C+QO;)i1 z@onXbhvPZi#jCK&$i9ut$XT|f_;zgZlKXc-^ zBAPt^O3nPhFH^5oY4Dv~mkRL9jM#w73XVs=HP{PhLLHO1!aB!{bfA+i@&K?)fo~cB^)59><~F|TA+SriR@}Aa%N%)zM9=hk0JO(*M=2iI%7dip znRvJIZ=^1+9UY)@qU`dUR^}3Ve0ra26laQOKgdQhP|$=`KX1V>TS}uiM-qr84SeX? z^yfmcxBgnMy7$=kp2Zr=Ki~g<@)r`gy(aE?CH?ZZ`D2g&;WGq|A+?~WmxpgtmhQnn zlRh{MQUgMaK`Yb%zBBzNZy>LT!L%KE8}~0<_J8_v^oKx_Vb{tXU%p;UCWSAw+o^7Z zLjJn`=4ubTX9MIt+8oMu3I-(oxp}yQh@`p-dl>MLRI}9EiG^AKT6!R_ixW>)xNCXa zfm8ED9!<*qd2dfl0rCL?Zq=#aTNoG^lU7ML9{%EIYOh$bi##$){)wbP z1_o@yCPkJNsu`V$DkD73YZE!uitn2F;q97byj@l-W9}F*7m!g^VN-8`@9n_An6w(d z8P1C5?YM(L4n4YYb!_=}*j)_Zo9tqhR9X^2Uffn(5<02Tp_IpjVqI~8p#Mv1TpWcl z?=<%O5!?OeJF@hJNx*s5ti)FF;v{T-{!w?>$w{;?m%i`Taq3gBEh%u%L(RRu1S?0ZcoBQskA~3{1zm+Zogi@UF>Zabu4z5@SI%Rr!P}PRs zvU1D61JMa@w~FKcyXJ94W_xbQf9sE3-wv|JjPsGy@;Ar%kbujzisQe&%K}cvU20k! zUlj!y6(Hn4Kd-S{F01Eu^MA)}UF5g_;XnRgUSv5@F6Zl2@**xL4WQ2Hl1mm;_^}SA z58yR>a8qE~I?OWm{3_sDaZWQkfL~-@>n1Jd__@bZ#(+K2_Fhe7xrpo%M2_w%j{o19 z=ImfpfT%cIXpblAiXnwmu)`Xy4J+71A4Mouas1bJ2_yaZPlA~tbYLoqSqLxRGi)gG z68=f(6-3Y9NL{;=AbMWERa=qjbWWk8)54{8%(G21t;@eNg^pdx!H`77BGgX&7dheG1&9E|iQ z3~MlWq$)9)-HA{21wZXk$3Lnf38>F~yFEA(YF?$4f`notEW2NodEJl9UlWA*^xd|WsP@#M|^;gm&61oQF&W0 zCqFLPUT)js-ca6zU$xn&@hq+f>p|D`)iaO7SMA?h3h4NH>JV={9uRwFClX6;gk93V z?p`?z!BQQzQ?MD#JZMkn7|_58+#2eeamRj(%8_7By-|my2n`D^jvykEO%bxo|5LdeYx;w6OjtgEa=Yql^$WpPeYDE2xe07P=(PfdQeQKCz5yv}rOIR@G8>%ik zcO(se=@`B}SR}YK6xZp!yZph+5AOft?6-Wei!Zk9ADEA@LmA3DmNVP(pV*Mh5!jRF zJ}z7`ncyL25F)CQASqrl)A6lvtp9dP=WlC?G_QCRkQUXwRiYv(Zlg4;99~GYic7u>*dab%S^plxGyODX{ zhZL&J0J%pt>J!+Nm zlEECFeHcnjc#7;Q@pg)l*&X?W+H}X7_qheE@6Ck11?3bE{e0?zDtYE87RRRDb1iiU z+u^vSg@{4Yc`ETbJ9y?CGD*&*Bh3|hKtpcOvTjk8Vl#`Vf#e{2xG#gp)AoUbTnRNM2ko^vCHJCiZ6DPJ)erB+w?ONg zh4{~j_SDKED;G1RRF8X?Mqo@@G{VH2`WZ#Pzu^r=B=x=?KW+#*MCHW0Bkw#Nq*J76 zcv2hv;FA7C(Z;7@AKo}_>ge!#K3*_8WA~h7wv38cJtr0?>dryKCAGrg1z=O%s zeMOl|F)FYtri^#`tw)wf56U~BiwrNS@h-gU^+G7$twG(;cF>bh-~2+2LO`U`y;rAI zanQAO>ii~}gP1lnZ!#Yc`=SAcT1tbtL?vjqk$lcj5k(DfO8rner~SS-I2vbukrR5( z9rySg4T16JYOfJwOuAUAI;Jf~dNdY*M1ER~Of+>$2Yf9L30Bv4A8iVFWzq<^(3})$ z@tIhg$Fjk(5{{f93kH3cENDgDy=Fm27B#a08J27`7dljG04gY$)qSKYI-X#`+Mes{q*@PPSap?h zR}j#H-9+0JQK|6=+OoQ4RnibxCRQ3HX-O}|`z+aakkPo~$Vk{>KDtZ|ENBy49@t7B zdt-&>j}60zRhOONDfKN=3(#{hpX@p)qrLH2Gl3VN2Wd6V!zrH39MI-Eo85808)m61 z!pz&VIljtz9~3cdsBhK|TJY1qs5R7s=`Nu=k31Axs+!P`x&>?IdKryHT>H{$l)E4K z>{6_{?{e@m9V@g4@zrYRz224EcRPPLWH1s60jJUVvfkf z2GxQyM-Fbb**}&X2>9-`YG*;xX=4oI^#Nn6wL+FbN9?nq6s@{Ozpcv74(iwGWs?VV zKD$12%Bro;A4|^=;gcngXmV-S--#On*uz8mKT0%E^I83gTn@AE4{gg85XO-$i4uZp zY{)LF(`b3~SVHeGG+a1pybFu=)CI#3YsdiAIqwRuudsqEj{M~?|pvUiG{Z-#AF3ueS#N;N4{L|p`^qYZ$2<*!=+u4leoDPLx!hMu!= zrMguD@Zn4&bLWFV0Khg&Cr=sE3uBsbP*`6d8wt`mdKppc-2IhTi&R=e!#*QH7Z)4E$ z$s5W4wN&+BdPY*pD<2XZceUS(cUC%{oWMlA7a^OFsi8HUFI3i z^=+4FzcN_l)aKK1C&XBRbnTX7n^etU(APd3B6Go`%)Uuow&zs;Bs2=Cd}EPMh&WH> zGS#1{l2Yde4SiHSuc09&RruRFy6vZ1FF}VhT{rX$D}o+N(4Iyu%BX`l?b|EnjUF6A zfcs<7g<6oryKtADjP33-Vv%BHO@}6yT{1C3W8b8X5l^W}oGORrSD8cKD#OD4&RE{G zfI;NJs5g}$Kwih8#kmuDESQaLha3y0bVa<=^GmOv|BTgfg$6x;%Zb6+pMxVmDlSN) z*0oFJJKe7^%x0ceo!r#{xB(@Ju_9M;%zx5*T(n>(AaqBSGW=I`V}83Vh^fJTp1{@& zW%1lw;OgHr27f7rRwKtOuX_t_KrZI&UlM?2R09%7;OZ%8oKQk&ZH)kVRtt^GT927*mBS)!4DJN?4D2fK-Wiiy-p4ZjoY z1`<<&?yG*>*vnVR0@n<39uVEltNc+v`*XU-aZMg$fRRsb!MrbhIZJYHMx&rYGX)bzHy$CWp|_ue}g+&XO{ z4n1C}H?G$DLEDwc48U9rttECdDfs!boa1en>P)b zL6+pYPN)4&*kTmeSt;phTw*D?eA7Q6Q?T1#jym>7@&nDoGYu6U_dh@1_3cw&Njqxo zL2wRF#(sp`S)~%VI@jTDiuXM3+oD`scj<8Nrxd+u_zl&WsdZcr{S(sbwJ+@|p*&M} zuIkYNEvHuKULm#HRx| z+wlEvXzYJ7%$-kh)#gc^w^N}S@wP;02v(b-b>BNXJzN7Iabj=S^Vxg`AMq4i;*r^% zf6!-;Cx0~IoPGcFjuOc_YLGB@p&e0(?e9Yd_zd_$fpza2Pi&}l2XF>O)SZ`-o!mm( z(d6*avSICu5-OLJHUSZ@+Qx^%CqWrk@m_3y;?;O>yc4w?HadO{G^OtOx-H=3Z7)%V z8ME!Uq1c#EMSz}pje|b!uN$$K5EyTBKLX9udZXX5_NAEyF$;Bitli_X@RaAfU$GNz zzrw@G#58j)1zGB(X%ZI+ljd1eqrU?BT5CXM?D^D64XAW>(9rxoL?X&3)b0D*vqo0N zhuRuU!$M9O#Vx^pSv0Q*2%C9Td-w6*h;Ngh4S+62KN!|9(md#q8E)USH@m?zFT4)N z`C#B8#jZoM6E|ly9jPW!n_n1ACLv9@^!idLiV&ghJO-Dm!QWh=$?oZ(N>TFkRr_%S zLklCm`5eRA4dsriUuL?`hD34>H1i&R7o|N%;KAcl@n*#c2iBeR*rqhG_l{aHO#Hma z7{Gb7e?PhiMhdW!t9!V8;bc=lP*&O?KWy){Z$+wOLI&J76QU*W zwcG?)CJvT<#kd^{<2|>d7Ta!^g}|y>3_>T!^6rz30~#JjFVmy;WOKk8V@^)lDWdi= zI^n}7jebTy&mx!btM~eypg}yTINJgPoNl}9e3R^u?~jw4=$%j_9a;QI&|GUb zs3rVFmS2BzF|~7?((dtyFqm}4YOQou)3?m;+=Z!+wgDaZLBQx0aCMWWf)-g-Nn|^G z)7!r%XHK*lxeK!fq5eSieh)zc*Q2Tl!d)&jnXza0?>*_zVBC$mgojkV`NwD;4;8mR zbIaRORVV1159u-Y$(k@_+Hy^glQ8B|%RoPmltNA9QQf6GNF5_5uWp2y2bWwmm^$Yo zA+z%3_}Y$x@2)UgWo@xce!e!l?=ui3!1mP3KYgFBb=Wz~0C%a zDpczQ7z;7FuuX;O=)f}!!9;U2E!zX9D20%e0cqv9@QGw~!*r3WbwPCLU-blo2{r;Y zo#hjx^=m-8+^>Vi_Yvr5=T{1q%>L@#7>z@=)1PFEr6!*S+d?ty$2-$%is@ccZej&NyQNB+{FaCpXh z7c;d4F&h|&7?Y6Ecm~5#CW+%_9kYXoLp0cdqNt0q8_-8CG+Gx^)sTV@Pmc{lGIzb% z5r{h(>6PQD;lw9vmu^Nj@fk~E3zOq|&R!`;d+EX^_FrHBta|r*?{q*+V})_14c^7_ zq6gGJnu$W!x7}#1Mhw_AU-q!X-eIER_GNzy0SQSd05#|iGz~>qF8f^&ynrJZ*T}pP zt-W!EdF1_5szu43wJqTj$i&FoWrWu+a?2poYWBL3%S&n zvWWwpUkYZY3SyvN*tC^v8o-ARBiv!}j=RU1eo~t}wn^&L*ojMS7)@Kl*o6ghI3te1;I&NDM~ywc$(uWSNW6Fmi@)<3MQRO zZ4L!t0UK1b`I^knZ#Ns{pOH{7@bVj>erwcA8U#bUaOXd=sA}?E-KAn0MD?Nc_?UHA zjTxrRIxR*E;yp4wk0Yu#nLhkB`yqE@7f*@pY&%T=$1Nh>{UuQuvE&@1YdF;W+1UfG zazwJ1Y$LYVhs7BjD*epCzM14Y)l~+{s|Uz3*C_70C2{d2F-6CAQtiH5y!1cGysGbV z?C_s;iEg$T>lAr3 zai0{aj5kXs-npe?%c-YBer+Fyof${F8$9K z@jC|RQA^RyEB^lb<1IcYg*sh9lPCA<9k5*+G*eA(L`FtVP~u=vBN_=BtC1Aii`Clj zfKM|SGdVsuSZ@_c9~rnIOA1B+dmn`H8@jG{UwIjCP<86A-u7d9xWag5a`fq`m*hv~ z28;c|v|2%qoxXfBM+&AJaRW=FRdlG6#F!ko8cIP&M;E0MCjF?rlCT(tKN6G+M1N5hz>69?^OZ+z_4l67BHGwCQnBz3iB-Z^gGwE#0vxZKW)BppmJjS=SZ7}U+*JPCII`ErY*57-+>|Yo=^5lHxkYV{G+FumJ{+$G{QMYi) z`wn%R0bIdp2%8>DKU3?!7DsX!;59N-n9=xBaMgdLGnac70p6UVl~tn9*$9lghS1f%ZOfJ|UBV9+4uL-^n0K#aw-713DH%tbar@-ovI zw%Lg*2$SIs*lfqpv>@gwQrEG*TQFI1lH}K74P9JvQWEvE&l_vp46ki@4=69&I5~@x z%G{^MuH};{$k1V_n=e&Xc(3KoFt3q^UUtro(g4TWgS%uA$&m44Fk4i}R&oW|WhGA; zYjWmoREwmx>`2xSM)3gU#h$knoPWKmoCK*HIkz|GtEFh}B_dDnviSD-<*_6^sn>E_ zaH^3wTp>3@Q-)=*8_Xm=59fv3av6(j2H3~H_H=ibertc-0Y>|6&VzpR_WY{3`flI6 z5H`R@Dv>l-GyhY^j@`EFty2O#$=yG|^FMSeTvxJufgiK}GH@47j1GRz@q70lcsArX zD^TyQq&Qo&N%cWU2p^28IWK(IiH4h(m-j9hc}!DeFxf<)uC4#_`n5$4bgE{f$9Qw^ zJZZ1=JTmiLI=(T_V5D^MZ(a*^Pr^<-{Vp1bGS7;C)6&I ztyg`+`KNF(r29nkut;7(ZpG#;e8j{8W$Qd?YNDKHiO>5#fjN5b-Mb@!QmziMH#B`_ z5T&nMw@!MT(i9UOf{~0Pcx{Vm%eL+O(x2ISAO2raPfzbd=sJnvqt6})ZYJ=uB3H9- z+O%oY(=)X^8twHQ#W2Nflm4}9*G`SK?oMR-%CSI@>?I_ctv9}}i=0|m&l*A-zGSd} z6_k}7=-)8#d;oU85l~Do(-c2-hPjQJp3>1Z+@&F}B_S+k26*JnSpP?3z#K*s1;o@Iemv&^2#{slomLmUaln|7_*sD~q{iM$Y}-Pe0E^4Mw@R z2ktSxzFF-23#uz-Si`}VyaO}6?_=AaQ2pW-Bz{gQK%7}KNa@w@<6eJ`FxR2~HjG~j z=t+ho_oe|=LUNT5@ofOCb({BOR;5~}RdxphjD_?`3BXwliHV6x_wPT936H6PT#nG# z8ui4J-Qv$uL129cu*K2}k1@d=`uWd5fgvXStPAmQrwfFPGJmk?a$WZO7?=GE^MQnn zdxT4PXL%}J^2V{ZHdVM_PgyFicO@lgMs-8J(Wz69AenUH_U+qW!1-LyhpQ=)r3%@- z^F(^P#ziW0=Vy|VW%^$R6R95oDE?@-@MBJOY;`2@*Tir zf5qj+8hDtvXAa+vHehi`&HUW_6|6a97!mp^^c4u{lU^H?L&Vkg2nt5Q4BF>8r4@5( z;`%zolh~!Ye%Y@4{TG-AB;*tn#sK^ADqx(}@8Yf)T`JRkL5Tl;zC4ZzFQ0|vg7MI& z=g}m#YH{kq>(ZQZiv`FR)49k`*Pw&LnkQx%x{vH$3W=Cy1sSvGR8 z+-+zGDrD(MZDNM@U2BWCf zIVT9ex5)-Y?uawU`bbgxa$wYI8j!Yjg0#C`8>bQ6BCjv>9@q{B=S84VD92)yS?LNuKf9dm_9!}=RV8Y#ZmhWHS*Hzebl~=(OW_W7R>Vp0{ZEUOnbJ8p`>3XiU z@gCyD4dphFo!IaA{fh#c9BTF$Hy-X~4I*vN*$)D-TB*?yv9)UoV3_#iNh4F~>oQmD zd+UTRt`TOe>Tk>7cNlO4;q#*>F6lH3Lp|W-jU}Y$EDPo$T1%N+Bhkjpk)NweMBu zOtuEXzaMa>upP262gVQXo!h}a3IMffy)HO!?fl2Po6MICCMjoR`BYa^cIuZeU*5n# zurs+Ksw!J?0w?wj6P98KyZ}7bk8<my%f}H#`47T_VzW!SXRdzWl!lxUjO2I(AGMX>s zy$W~TO)CTtJq^yiR=~c}ndA)I8h_24XG-qumdhd{DQ?zN&;nz+0rO55|x5l$X?*^g7u1HMA?R)#$qu~EQ4lI3-s%^;^W<9za)8ou;1$I8x z$xNJvbaen^XbVRTcIiFiMoc%{S#cP|DTHUGkU-1^Bx&CZ3^HWgc1pT-;adA5)i7<~ z-R%qv4BX>7b~cRJaj->KC@UG-xBMg`U)n>eODO*tO(ubtcqo7YD`ubX*gXES$2mya zJ?Hf4(|fHd21o+<_3z)}JUE#xa<1hhNWkr*z4e(N={r}m)o8ci_|4D+W^k^HV`O0K z@0iy_zlG|9FF9ez$cIqHPGqZP1Ncz~1TO+$8XQUsMZxfFkA88g55q$12QbgAGdr0o z+q{%#MqWz3ef#!ySlFO#3|yiD$cJr11GCaIfPVHJK)&C?>f91(7@WG(1|7sRE$VkU z!w?7eSM^WSc4|F6eJv$Z?bwyI?9wwMHMau-I&FP`l}aHoaJVNU%?GypMI@L6yTuYH z$ydk@>{D8SsR;WSB4_GV)XW;}8wM~3pLSkpncoh~2)UW3fwp!~ynaE8>3Xo$??4da z5KQhpNo587a*qrh9enEGfL`|5-ns*d(f_@(K1|zIVHWS4?AT7P`f;;>foHsSdP$5D z9837+ZD2l}fatG+7)qOd z{fY13HkLwUtk>2Vmu{H3#r~H;W;NY(vi-eEeL7gC{kCyXQc(K6qqGA8hYmF)RjMVB zc_|vy7A5d%y!tWuMQ?o)Gyloz>=Ega4jraff&TugBmE%d-)iW)Z_63S>4Ph@zL_pC z&g2U3LM?!pZFHRdg*d6*aRJKN7v~H}c4fp&cdnT{SjkLxz1Lj$bb_g*iD<>yEqo*^ z0MDpRH0r>@31Ud%$&=HPQMVE#*XdrXqO58Mp!NHMW}H0v@zDVatsJ}9CP32lu>4E4 z>p{aHAs2zyg_&OcZNkE8B4L)c4uKiS7<9z@x8eDVXfRq7;658m5jC+b?C~!@c~Ns% za}`b|N{A-TxqRrmKC-@#nHAiG-~$hf>0W9}dmQJ`-{=suyL<;PuaGPmDGh6%#)-!- z)tNSHgv*i?jFEAtDV=qGbjPy@k$54N$QTs1bicXbC*Mti$l5u2CCzgXqJX#ZT*oJM zJ4JA+%+;!;5YhEnYtkq-@QnThRPSsDfGTF*)CJDQ5^{6v>v`} zS6-i>!v)mhCmzYavAWX~isYl~vw@6WMJtE!fUM5Av}YDTAm1h%7W>+}4z`2CBa%OU ziEX;Db87M_e=265d&8}Ph}tJ=rMF&!qKt%rzE7QpO~QIdUK-{UJfE{e4fG)jHKqRW z+ET4D_mcaFCy2OMX%{$R@!)=)BfB_q)XJSB>gRW4Jqw{Wee`oIV9<{BT7zgi5-g$w zu05TdK&W-r7CG+;FP61Cc|hHBpR1&MNKCK2p{5pwL#L4?Ls($nV5e9cNa{T>nY|&) zCZbyy;u{bax_<~1mvOL(`}o87eSuIJ@O>v4Z;K|bv*O8P)sI^vw!0fJBEw{$M0Gdkkcjp7>=v} z&`E<(di+?v+Yg){AjJ#F_Oy6RSr*t5<#ioWaR5%r-uKHeP)!pE`SiY`k3^>N9t3ym zoEnaTb8vR_2+^+5C=Ap2z_74$E@cq?xM6P>R~$bWYAY;c1E_=5nde$@6$bDUJqHfD zgz@QQTwVJY!{99BGk77|kT&y~D-I{$e5Z1wXEO+xj3d8H?@z6S#Pr{{{$VU)KEO%E zBp{8|1>8(sOCRy(#p(@bS-|&s* zwV3jZUB7OpPW^-r8`GXx*Mm&dX7)~B-N3~{;e)lCFAngVW1?zlxpAsVRUVNsF^5e| zqTd5UB?TH(=qFbmNQZidji&*F*u%0{&u44~2$4D~={y7>+oKGi%UxW^v9Y0!Ho;M0AJj_R~QrK!K01Qlr$)-VWYA@Y2`@p zH;}p1{t=COJUunmFaIG#?NA8AW%K81K$W~#hWE}l)_d`n)mtjt?0jM zvAmpW!R;ez-&A8-I%QV%$jh#~`ha%E*&PV4cK_>S^P25HU;yz}e*Ox(&D!rporVk| z^wnR32YBPrhxmBjn&S|Fxc_MCOy#2(%n)$N`Jqqyv_SZo*_{3+$C8?wdV~E9#_(o% zg*4x4`?HPT`p0+UcH=#h#`bnymHTerxf2DqcqN{wH+)5AdLMEZ!2}V`J2KA3z^lm) z<9(_2k|CM<>X*z_^!WPIomA8V>1jRddtg1*E9uS-f`H6**aIVXY!P=K@4HGcD*cDK#ouk#t=F+uA?847yn?Vaa=Bse==qwMp z;qDDKPAOQRzMlKttNa_nD|#W3t5cPC4f7fdGT#7}!2W|>_BmIoQj887xdH5=K+q&K zY;3zi!*)MJ!jWlQ)b@yi!YwFcI@I5oez^DOCuyJv%sUA3F$x}ki&?@FEA;H&e&o<% z*E|$nlW*~<4VBbZT};K05_l;a&r~=vsXpd2!y249^Ta$Ww1#!&^Tq;ysEt#A=_{>o zz(8@|Rgc@pr4iV+ zeWjv5Gz?KG=HBLT)=5GfWNu%QQNLfaZ!kF@OGH`%u386_zKZ1C&}!vUe#i~Jh^XGN z(+tz5F$E6A>(Ud7ie%rGmE8-MyP}<+R$4BVS5c)}42A(JJbtKG@=MEe$YQqKBv?hJ zF51Sck!I9Z>z?;JIL)j;I3x}_LG>D=rP)zUSy|ai2QqF-Mfwq9WdE8y4!^6dy4Pdc z$r;kBU(oz)04#oUm6p?OQUXSNzkTy2dGjSLOgO_27$Z!&%%bJ|22~D3vtmcXF0iC}%cw)5qr2nb%~gcS{v5G%LuZjOOBzs@=H)MxiKoA@7?I9S^`tdnJY@s1dF$jr~tw(8EDS+ zkp~N7&V@2Fh-xsb8&|lq{q2VoBV(|gUr}7OyV3eKoL|oc617nfWXj;L@h<|o@&*d@ z7^_p0MEhFua#LbX37dQj`Me*w#C{Ny^KE}?+OTch_URZ3 z0uNwdfl;Ovq4EYH41o<&U^nhGb`SmNDQkIDZU-0SJa%d%-kqLy(+M$e%1WW!wC4p` z8%&nht`ZIwvAq4|%jqzYv^N6~`$M+zgAB_Js*m?w(w^AsIB*i`Z#6D5Y&;`?^FDRP z&;X24P5LAIjM?-uoexu9riSa-@m*)|K$y>j&79{XEPh4v|ARfY`1f` z|9~7Ni};E2=g+$}Is+!PuW3 zKAP%(temnaVDp$T)H{E=SK;$kIEX@Runa?2^=Vh(;6-s$g2DLYps*hZ$U7_&4sRfG z@TD?b?!Z19rZ;tI=Er|Ptpg7T;pr?qQdPLB2>tZ`r2 zS5Wb+^4DK~$%Y-#UVNn$LiW)i91e$}W|cJS+lEp|uf(4u#=21JzOEfT(Ja?h8Gfl_ z@_h0Zl+*kb3Kbc!o@q)58=nz=tlH@S$<|k_MO6_8ed@}jToF{xp1MAw{!QJ7Z>*)> z+$AW~tbOHi>l?tPlpmX}lvu?|1ISB3B1}EqNG-S{aF)oeAid! z{`Ix!v7;kZ&MU9{bvRdz2$Cmxu}|LHfvGBD;S-4)c>M6u$z|Lu=hGT2xFSuSs@-w}~N zb^+hc{KtP&4Fc!uezeH=V!UF7H280QWS2$yuku>B&Y$P`@2&d9ox*!NHMVkc%6)wo zc>HUz2TXQ4jWXZhKJOW%U|CI`!5d&NQ1pR$shw%XLc19R;qCYD-(T&b2>EphdlT$| zx6a+MfBde6DZuxBZ?pa+sD)bsN5F|LX3p=1!v)4yD-xDC>~o8`DvSk5_Jb-mIa#32 z`>oT$^(!b0`vB6hqF zxqE|T@+{gL>gMgY;uJ7hB;bBq`&6?oy?6-~s){4`+fUGO-{NbN@eVz9{@rcK4LvcE>-t&?^ucGRqm@%uQ3<$v_wK)O zOduS87Vhxl3Jd=o+Ff?r6Uf7^tvT4aa<}Kt-w(xnRu#^70in=q8}Z@Pm4pkz@xmwi zo2lgwR=F<&G2GWn>on!cD}SwS{Y}_`SE~wVMRhot+oMtTD@8X5M^Gkl-6qMa+*c0W zxAOEJ`juDyqNb}mkuq6TI7e{+A;k*V(&4}QZ`f=Fk^ZZX96IoVZ$jBzuB;%v|MtgK z^~Jwh;VRs>>Sd4+0WM#X5czMv$>RM~d63*D7Zm-?eE+?JS^C=SPm$d2`w{NAlGyg& z!$8JtdQwMIlTpnG_Xm5-vmiziMU?+Bm+Zd}+;>ZuZDj3=UB^CV0A2scWwJ{Wzkqs? z0=nKt@(1Ng>ty~$kF-J8KMs`^$LmO9_Jgixyj3F`vvfC*{JN@)#Ep384L$tgW(|^S zluHHB;;DgmU9|&>x$MfB^L~rzB?rfT8}0U&hVXNL>~ zQ7<`}!cc&x3V$f&s*ZZi8?tRTt(Y>@xJ@q(-bkD!UKO{q7)W?f<1<;>J!=7n^xJ<)sA?63q0=?Lb;If zXix2JsKtZAJ8R0Qa4#sy@J~w+7qjb9ty*_e^7~a@ zy=R(G&$~{CeqGl?h%P0aaE;#=!L*f$|4QID+`dbvK{em@_g~LX=}Y(LzFn0dsLSGX zEYWKBYsy|%C#b8zvisEK3Udg6--i{IHaoVm^nJ(4h+4GTLi?1@R$*abGv?>YAJWY4 zY~|vbdZ?a$MolZzO7~q-NU9!dU-zd@IAzQi#E99paut7bI;)T-T!GD6pXJaW6t9^g z3v+q7wYM_%gdfoD?(V)F7`OwCW4eWDpA-a=`6Dwp zXHM0Xa=LqWu>lbn@S)04(tkz{n80;r-|8W7)d2-1l&)++B9=VRQgUAsng$aa$LQ-} z7^6HnIr&ymQ4J=Mvt3UT%nGi4E*mQU1eF5DMVHq-wQiHuN;A6^86E9CzLr3n6PNrY zc1ISkv(W$^O5A^ajYZR~J#^Tq=S+@Hov}joS;ODIN1kiVf9g7J6}In4sH7Im{9>st zd>2YFxVD&<<1zmZCJ6Mr3l^=2NopgPpxb4JD5Wtm5Hf*q`C{dc?I?2?i_o3d25K5(S zgV4_7x_GhlL-29=Um-EfR%CR(O_gh%CtLP95+IJyP1|t-lD29L7ELZHRKvl7rhXOd z)z#IfAp`<-)oMIl^n44o0QHwp&J>E@iJy5uDFq64)Q=~VYx4Hz5!4freUq&#l_UOg z9_SE932K8^&dut^QdNVPCw?8|t5ZZGd`TCnbQ!OFJ^)J4DbW1YFNYU%dfmxf2c@S^ zpPoi}S(X}u=TL_BrkF}4YLICUk69bGT4?L`UNYYZC_bu!DzoNGAvQzKyD+%HWwR( zseB*IIi6G)=1D$@xmnKXiFpY1V2qtKYl?pt`Tx=Z><^I|+$(Ipn(NOk1xEGZiXe%3 z1zPAx2+68Dp;E4sUgQu8RD+f*emQT-Tfpugw&y~I7plb=51az>8OpV)#O;&673laT zT&;act%a%`Ie`~3eWM2>(Zk1HzLRdQY`0*2gB6Z@zt-hak?$(zQ*>R>(}z%8ie^D5 z;m(36+Qsac>9`G7necGX-Z=eL-mh}w`_t3YkEpvnZs!-4^q1NwLvdFh2?Q(?9xLU& zZb&ulK+(+Bn!I?(11W$O(cRM1@*V~56RFabG+1^-_-OL;b2?d}(9Gq1puPpztBL=R zP18EyqboT7ft@yV_(t5|RyY>y?w_Q#ynQrq13-3vB?+}L-> z@=ac5Ee{etEJS%!|7wTW61v%&U-ml~(Qca{-b0c3?x$<{Hzkf~LXg~U7rT((ydDF= zX4b`1S=<7$U}VjSC^D@Uc2QJ={W;e0)nxv7*-u?29qXw*OwA(xrG2-3&xyD1-ra?i ziT9T@m(eo{hYt_$;Hg=Ag^GDQ)RNsneb@$5#PpNHs?Ro6b86YyXl*#>G9HT9#u%s0 zy-awwt^>QVW>J*i&4-PNI>*|>qSa2VHq=@lP|M`FZyy%W!W5xEzD>}7WW??*)H9dd z+bO|{%Md>G;_9PbNC)f$C>(5;Px7;ZB(C|gOqSMS9!)5Ab6-3by!I_rK*028e_BrYi6+}m zh1fl|Uyo$N#M0vG0I1PaIDh`BzRt(Ya{(fjjl|C&1Nu{v{+xG53KXkI`qH+NlLXMp z9I#ve=X;YWfKlo{QS#*!Fh{Z8eF86(umxFGa*dAb`r6}Qqy6}Fi6#;xgx^}TF-c!m zsYcCW87Kw?BcTMUg|9cBtxpW;$~gz6|C^f+>PHGgnmo*6Efv$&Nr|}{CY>smJ-r=O z;n080$XQc|Vch?CZ`^EFClB0NtQm9-f{L`Ltwl&^=x`Dg-3z-~8ly-wL7nll1 zFAe!`DBpbx=@gd1DSEbO$^|FA|;~|vPV{A?>$1x!- z=llGA&+$Kw|8sO4_g%($o#*HLeBR^re!X9BA)uN&C^8h;%6F5YKA#y=;7efBST`=59C z%LZoIjgxWvIQs?woUf3PyAD2excjGVZX^4_^))694x&MSepVxf&i>26=!kdlGd96z z1R9fy;J!a@QS2-n2k(FPGVaeuD31&f0=@ud{!tT{KFt23BME7e0y44F{LAnwEAt~> z;jb>v>4K32E`JkC19qWRb_62sD)d6rF<~MXYe*yNOr zlfc+~#(47N$r@oypN>!lmB8E0B(OE9L%|z`%R<~HJ#EYAHef3auK~0C1CY+XJR}j} zT#b56?rZqTAjh=-*`O+P>#!LL(%=QGzCL>Hj>lQuS#eS7)oy1f`=MvX4L|Kz-~A-% z!fmQ&oMe$vH9hqtO~es;E}@_0v*m+U3M~+A(Lmx^C<9)aCNmp`ri`dTL1@Wn=69%1 zQqFj`PAK?z=ImIQn3I!J^LW1hyAn}gvg^e?iL!*j%8W<=4$3#R5EG67aqg1iQHK}F z@{z3}OQxkt{5pSc#NX?OGl1fapRk#d>d7%%^1QqrUP>JqYZE7)37T3~m zeA}zjcxm%>K)|g`*|9vuaOOojXdm$^?z0_4XJaZK^bdZw?0?ycHPVW6QpBC!4hEIIGZYlQ?Q~knB5Qi1Hzd|-$4d*d9tqAY&-b)>j2A@8mHZ)F#U_A z{L4;8%phQ-i~WCNq$oB5K*$GKlA4=1W|@SA)uG?=tH7PQcQ*_7kcA1^D?mtl9LoL+ zr#v$5waS%3v!4`%pH z&l=$T_pEdhA4suZT!Yqm4yg5?A!y_>QW9lFnjZQi zz8|bI@V8f>{BM%-aW9Y%ipL1w11_TTXc$k>iq6_OO2UT@nOTn~*gro?_Yl-SD)xO{ zAg<4Bji2!x4-1?rLzs3ACt^p(HX1>%;8c%KNJvN&g#w;Y)5qeFo2tO9dfBPzte&2c zLEFzuGfd1Q;^YnaBpB*{8@6>2qe4v^6@3@<0iLr?n)#qg@BbD42->W1M(7cX&`K|0PTa&hnRLXc~^@8&~@n0 zYjf36)7_^E&rQa=H?4o=Ejf$ZGexe02TSp(5}}6hnD&izo#5wJcEa`!BB&T!3hW-r zM3HbRg}03NWeC3r*|z9ie8`t&*EVzh_Dys9g)#YI%a;KG6y^*_V+SyWu84^}u+RX~ zgyPU6fn?ymQC^4y?&GL*P{tPi$>5LV^)cOd*tRnucHATj!QceB-2}?R8!rb5AAxY; zTsFk@H1V>-U}Iubb4;`8>OPmbuHFs=Ug1>*1%>9t{O~#GU#bCLbiZeT*!_T21~_va zj*FdMMI;5Clia}1=9<|=2scy#-B*8bK5LdB`03HORC#kf4I0;9gEC+#g*+Zrfw$`D49E>;(cYk}djXaO z1`v~7u9a?<{oS%ep^zHUgR4=V{}M>LJb{ErhteQs6NE2b1knO7AIEJN`T|pOmW1X-r*ho0H`XQ`c(8IOSV9=ofy&%>kEnOqo)ebn%TpAu^-b|3C*qMSWqD|$` zSjRyV1MNrWkz&5EN~XSc;rn=qqO*fjdn{A?T|Pd^*G$tY!!>{pn$|^VMjVCT9vmbC z&=TVe%@l7yvFQRvYJsw8kZASDM5N<|e6u$tyQl7Zixts#GLfD__Ct+y(;peNCJtBa2e}~ti$8rA&bTebtnE};{W;t2x;RcodO90n zm{4}8fb|O?o_#3USmfY0|KxHXL=e*MQn8#>{j&h`7RlmUjP?;Z_ta(4_Mwxz(Boj2@ol_hqE^`_lUN#@yTgA#0;6_XH$=T_y=cECWC;MOR)2F zUFx#1wR1Ey8;NkBC!p;H&{f&Dw^B^IFA*`fWvwC%(PYO-I(PRBM4zx~!$Z$Z5At*o ztjYkdJO%p64Pe7Rm=Szm?vN)iAy6bjQAyGm)&>D23!pHv&2%sIG$k>Qk~EBu=!>#6 zZJfU zG^#qiIY#$x=2p-&>%=l>n3Ho(UEib?hBh&fvx_z8v?@`?gmLT70fC>Zp;=45pQMf9 zQexWV#%*2QlZIQ!w}u0Ard= z{mjfT`k9AY2+nh8j57UFzh8JO*L7V!sO8l=pjJS{a>olhSe#^m717fzbIsSxyz}u; z^I{+Bv2t!?JJmYiY!@pCxjpJF$BL`+ttT&5^yAWk(t#gz3-pYVsXy*O2cS5HdUDLd z^?f6i`GSg3dQi5glCpf7a&yv4$dPhY>_n0CoVW>4<+Y|B?h&}HU9<*4Pt}IfT)?q$ z&lIc1NYnm&|2_E}F++Cwdce464F5hgwfFTji5>4DV;`Bu_KnHn!0xa%;8q$HlCd?_ z7I00;g}?gDQP+|k?l{9g%)i#`<3#q2ziD(65t*84G zp5QU=2u`Jv{Cg83@8^-3RuN!mPL?L z8M+#P{bzryebYR_?SViJ2yLe=hB%mhIv(L`F2*ZM(YXUY_M8den{RpMhks-Z$;bxN zSv_|gy3~9*XLaCuEwR_AYxgR2J_iZmn>w;JmR$NxC=iG+$g7SRdIZWZO9qwzL0>kH zY?qgl)0%px?-7ED)`=?b}Gej~-!_;t$mY*NAQ*O?L;yZmm_y z=g%Y?&09~jrAZ8e~$#d25iCi2$=BB&QDWY`WqSSo_GNKzq7llkndD zmo5ApbPaJ>@-p0cL@x)#(BDAQMV(%l#IvK?dp1rSKfY(Pay|U~f}1YYl2YM2Ib2@u zPuE3NZ8X+m8Z&|nw4yNwKa`ywYyF5`)Sx1JTVm9atUhUJhEDKnC?j$Dw!7Cm!~>Oy zR8`-dmniucI>8^A=r6E~>(PTvpI;#T$VkGeqEAsX2iOLs+c{BecjFi6v?@-Fy$xbC zo_D!!)E6w*UF+%re8cNg5hPn!Wqd-Gw%elYrL}c+3wer!smQr}bXb5tv*{K#s`3FK z{3(~*c>Aqh8d$o5Pmj1NzL!-oNk5TQ(|J8h-ggPSDmElMEbNT3va$kjP+X=-<;H4t zj%dAp@!~dFoWZB~m*RwS;ZCat(fW1RvB8p(LI6b--l=f6eoZ1c^H5!{owoqTO{ED_ zcfRohtUEfWWtS{F1JMoX$@gxSJFN(ivAAbSi%oHn+@isc+jMhO3PZ3BvtZfJ)D$o|7UtOv0v`4{^x6nOB5KnKns-?g-)Jh_22) za#H2KkA^-{5Fv(~f~Ka7@ikREvi$Do30t~ccEf`;ulhDAIha=yRl5 z8Dtn*vbzVMKYz+nl%2e#yo}(;X}*caVa6R4?6*~*8w?Q$h@FNCh~e26AIO)g*Hb~*=!i^wrTun!-FvncZ>LAU%UVj6lULH} zBG!?MhBC{NbMnG#2c&JkeCW1Fzg&s_P% zAemt)QXKRWW}OuekH*=J&*$X5@PON>!=qTK2D^`Z#$eHrRS6Y)b8n7u_c4IsF)MY! zc+N-#k9@va;*&2B^y%nrC6ERcVs-~EJs zar3Nxs*700gA=@wE(7Sa(`` z{pq$D?m@+NDU=YRDDYIPX;stWdA*(6T!77PKx#7Q?!AT-^vHTDw6sPUWk3dVe*JEQ ztM%$_)>)>m;*UVt@uDEV2I4ZK0z_<(qXdtm>pC7UR;p21=~)N5y&ccXm*|L=An7dW zs}t+*D{Nv8>ZpD9)fh_h=O8soP)dt3k`<%~s(0r6j+$1Pj!|+mng|!tAtIzkRJV z%!PbVAEzEzI$o*e)4e&p1>Z#V44xyKXNlsCp;B=2u>D>sdl?9oF)Mmry_=XakTfw$!hyE? zf-yvm=M{;_a_Isdr!I#mNQk^j!w(4zJo=5oyL-ctiEpPEilA2zkO z1Ql9hHy3pPQduyrBbGrkug8zpc`GS#@b*fy6qN&FTKr{pLo2XXo(SXjO5 z7IIIdM`#I|CcPbTqOLtW!4zmGm=f{BAxB$`e^kVATb(Ka?e8cZj7ah3`YfUqvc5XC zT=heX4S7@xgbb=CBlvJz34?_~%S-i{_3gwTu7TdXBe!1kn=Yf(&z^-FC4}>Taj9ma z!n^x<&wif;;h}>MY!Ic5zDdx}e&O$b5~_0?tHtekWlO|UOB_up;_s#r-Ho{s^d3V& z@{ZcY$}3<&UO`=Gc}|}pX6DF5u?%!kl+%6F%NFI-F5lCfqJ@2_XlcL`2Eqfi>33`2 zfU@0*d9#cCqgaqe@zS#gNsg%S-Lp(h#ew)b6Qf#?Hi&fUJ3E3<#Ig1E%k?6otLuOV zNkTnGEI*~Y&^_IUOhGUAsH*}Qup7zJ@{atz57N#cy-KSG&WrqM9Fg z*5u>zy5n+l&u<>|CD(#J(FSYLg>ulQ>|u#Ts#NlVuH~b3Pxp%NFK3G2d^66P-<1@Y z2=U!zc|GW15X*nkO=Iv-(00ZT>boV`^#o_mpF4L4^dJ-f@2p0jr4AOcMEa_s{t=WN z03j+u#{kL?Uokz!*5*dtN0?!Q-y6ra@g5$q{2QipNFea!fKlJqaLbIQY@~xO8KVej z*J#vMF~1QrRV;TE6XH%xYnY_&NSqkJKr3=z31ZIi3}@|jW?5A#rMHvKRJmhlgl4zU zBS!*00)-V!OVx1NQt$u)Fual009xy@rm}r(NM-UkBosv_oA&DtD3-mpF}ssJ8q1;E z`l$%ij(SY0W`+7QnnqQ7JBxVxOZWh$o4YCGo4Q=-8Wstes+a?`A+Ay`(hQB6rMjx% zT?fcg6+k_*sd*PsQJbm2F%fT!HfH25V}GFMLFh-pCHSKs1zDD~0-Hbus*BAPF3!HXs zzyO3AC_~OWTabRE-><*Z>1GSo5$hUO?m{hoB=2_>(yz6)L2?pn`H1YnG|i`Q38s&OJ1d zTeY2``W3RL-lMQ9Sz_wWgo-&+{`@Nl#H6Z^C58zYAJD{?zcviKM zhmfxhLNYDTLcwG2X z{24q##sh8U5yD~_5e;%0G`dDE$EwsQoI9p9CchT`!z7rV8Kv42B?ct0_`s6_zc@ARG5zRUMjo^e_Q<7+8rk-LK#Y7(;_jy)tRFR}?#M{@e)K?!)}^5WpzIhBXJqsW_uM{_#Yk-_Wkol0 z8-b+<1QyEO>u(;XpQQCP>I*xoUgFfhk2d7oUCCxn2te6t12_UeF{)t9Q5VMrh(P`z zij=q5p=z?O(EKSwf*#$b*T@9TYlzAXRGZKBa8AlXvFq8VGDxA72C5B(RUbA&`QdJ& zv#ZQGLKDJFW>Tri5nz``ea}SnMZKZD-0z3LDAiZ}(Gjs2N;!Q!=W)QU=RrrT7XE)p zxZHYA50lkuom--;E>7f-VQ*4sdY3Be$GIjRTwQ>S=GFf2u>a>WD+-4|*wqi}n&KMw z=Ps29>H-rMU|BZDLvZwD9(GU3JciUJ<1Ze{+z?_ zkBl*Ddtn7b<#0)uhE50#d^gS>fJ2NNQ`KgJf3lT|d67Uq4JjLR%=!ZXMGCYZjFCdl z8t5=R87Kivt_oA9!4QK91;LdYkh;%{C{A`OI?`neOj*BZP0dwOKog0g2=IWI^j9=I2cdIv?qnP7io~CuLzpETd!?_TNglJEf6-f6d}#M5U(6o;Pk> z2vr4PkVai{g`8FaVEI&|kiWahmkb2|u?36txb~6-9Vs1WI5jYJSI9DqNp9#y>fWu^*Uyr2L`fG zzVVTjHK2G2HWq~ti7|(`mfVg6evyA zJzQcOM6PMt+g2FTNa%8s0WqPFUxQf+>^il-f(pUrbaW^@? z0Qb-zs=x;6o=-G%}nR$Ki>4Hl!8wA`v`;n*!i%xf24<8vr0Csj;ryi`t&=q)11 z$g-X#Eki(qWE@BO{LOYq!CXjOx{oeAkkb?z$xG-SP5DRqq&fH*(0WkXy9cr(^3+7H z`_k`vs^yxOb?m)4s)YFjWmOZ0;%>`y%TXDo<;H34BXas3rqHUBR>BD=65>V*Vw}!o za^zRX4F%cuTL;`oRIKM<>%fXZroE+W|Frl@gJte|AOG3&jveCm+OJqbuUZx}& zxOu2c!n$}bPf%W_)K?=jTb;NliHcMf-GZkSAZyO?d211>jM9x5P2nOqV{HsNJujiJ zWcis1r1~w;yb>-ZER2lEIYMNCP{FQ{t3BI+KT9eC#qoYTvh*t2p7S55a|*hm1bB)0ln*t&f@0;$Z9>a z=_k@40<%XbV?p~f$?1J2NB&Z_pWWD;>faxze)BcQOv=|f#{J@fdaw1K%cD?K7e5{A zscDK3vy>-2QXn59w;AQ}?$f0r1_nMgQh8(j)C>4HcfFt0A7^-k!rgyI=5)f`W|I1; zD<`-^-U^JiQ4XvNE#7$119Ni*vSclmmy}D;nh11g0ooE6YNbHKbfOxJJ|GAg8C)K?7e)5(8IBv*Pz7U%p1L3dnCBBsdOQJ9=Ul1 zMN(nDC5v*;Y^<9W&Qj< zN)K80tJ-#HOGrq(!E&DBOm?Im(^h5;cV@w)-y3(q$9|oZ>}a^QmXq8IXB?9#+W^Ql&`HC&R$cDHSzqWcq9R zB(IwRvCX83w0NF`T?G;Edt`!ES8GX;bH1}x^CgPPlff_~Z7NlK2@U0|#^RRTRf5ZF z$gH+506g9AYwSG~uM0z$+J&%HGCRDzKi}uyUvWJ~U}a-dh0GgOA?<`YHPnbaT6*Q} zmA;_h;EYSU26MwTy~vmrKA3s3Ds9BnMroLX&@1KL#w<%hlEc+rbGW!bO~OkGttjpGKS60!SJ zI`p;V9?6A7wyr9gC3?i7Yu~Q8Izy>ZWtxieBVItldC&}0$>#h9ddrqR#W6+#MW#93 zy1|Sk8Z_IJHUFMp^G@?P&BK2e-OnPGMz12nH-m&xm}Pr)I%RiE&t|QMK)bvZ%$G zYYX{}K&p7%9%crom`-$dR^+wAir@{P_dkKMte_K&bz1rcQAvL~Hb~Vtt?x!hFNzg3p=%`29FD@l~WX_syG8`GLn=mf!U`GDfoH{HL{F4_Cy4Jr1l*F`t9I{@zCaoLnQRibCgPj!Mk_ znC^y(*}p(;6;KsOUj2rltPFp7ar8WR?PWM7G!V_sruBwzEX3J?j_crPWCan6w5t&^ zKeH}~dvey@VeRxj@(`vbCk{OG2vYXEWMx?epG_ix~=zdY-z=f-fL*SFTUaf8<+*H z+Q!Vv2zH#Lzf&WRJir@~nu98Ad*b@wpdgt8X=-$*E(i|jE?7oj# z8c;-O%YIeZ&mvuv53|@l!$imN=CVvqcJ_Q2;J14(8vUkHZ=6aL23I-K1d~6Bt#sT= zYbBRr;$Qv%i72XX70I_&snfH2)?b_NKQ+wKMYfWpc0=M>tCG3r2 z`i6>3FiNo##N~#kV z4-fJ9qoRJZ<$5!x!{cT0tl^tbu&&2F4Ab}OLmFB;XJ17QbY5jv&o$TP*&y4OGtbk6 zPQRBDfUzNYQ}S4ii0i_sF-~<^#B=r*5c5QE(k{cuG-hNBv<7`tn&mcDav|Z{H$WN5cP?zqoIOp*cWXXM9MuACT-Zqug)XhGY&)go~&aA zH>Y)M!A&fK@?&5>s|vr8Y5b6WKT#Z376?TSJ%{yl~RZIGq2N?0K+7~oh`n4?u_rFj~!4UPEL?o`L> z0lG}T8SOVlT7Z5eW_9)o2WGZbQJc|}z#MD`wYc5Zu_=R*XyJXvWe@PWE4-b6JGH3q z5aIX~y%=3GI0RMrR!`3Nt0K+o~H7POCAPO+11Y*QuFwsWc{*^0

$yYUaN^Ddv8n$y`TOU3mP&$Y zIkR``7R2jet&-;9y%@T3#$}mJ@PJrJ1Shdi7vK!A{%6#H3_e2s@QA2QyiD zTEsdBvR%m!FWwDV{nno1#BJmlQE6;2*Mvu*Mmo4$#p^I#f%5cexnRJkr1I?*`M0FP z7&jDhWQGHEyeXTG(em2P^wlk-*Pc;*$5F(NQn8OyAYqYCaa-UHOs&G~E_O>Nu;ohAP8l7X z0FMypmMjyDGJYM5SW~{A%PhE3XUObXYBP*%m*ePnzq?Q zpodrKfh}8xUaq_zi*b=_1gB_(9X^>iEqse7s|uKT6@#jLm4jaDW>&bO<4m%j72{y< z@U7!751keV`>~PH?bg@)#UnDEnjdsDaoom39*&C>bVv^?EsAJ6lW-ZDnfX{XP8AHU zoBA*!(~`Rj>Fqwb<`E0y=gT5f3aVlBYyYfp2pLV#%t7deO{}(WUGGtw-CS5?$l9P; zB{(=>5Eq*MJ+^rCp6r=*jjNbYWbki$UrW(D7!S^`Co6b}jY8YrHjl!wQU==2%uJ(3bSp5xzx+TybWMPD@nALdJ{<)DANT zLD!mwImBG74`SHFMJBgUG-$|9Sszp(aCC(8n$A#Rl$;manD+IMT$ko9b;ITB;j1^+ zdP~+-jq}H2i_YLrjE#9n(AghXz0#?wGz{{>#aD(bfzjy{zRm{w^d<#_mvMOVNRs$k zx_oJy3s5*JJp0k$LYoW4;4VQw1(f#01QL)8;*BpBOk~5L^}C(g4(y9r-_Ei36e3O; zvVo=El-I9%uM#e|OlFt31;=E5I*0c#)-4vvMQ?q-;wjlW8>>d%K!g#n5ZW@KB47eW z&fjv_8g_oe--Rj%{@8XJ<#%z<3^=`flRq&E^@gO5flhy1!t3!}F!$;4Vl7L*CI3`v zg(BhRq$!uZ8f56tCwd?DBTfU4HC8M0W{y<~o7)8>_$(4xPQVVxBuX)>&+l19)FZTGVXL) z6C>6D37^&tFmcTvzy`lqj!FsOvG4c!c9ei+WoHrm~4`_q8_y669L)I0pe zFRc{pDmmhaN*LW%j%uh(eTV7|r#Iv(ArFdFR8-O{&z+JsZD)5o9((CL5fM?hk3!cc zc?sIA9;bD;wsOr#@LFK-W7 zZ0L1I=1?nz6whvjUL-Q3aTts27Ry^&TCxK~C*u;Y!O+c#>&~iYV5OI#m-;=D%1$Mw zurCh7MC~UqL-s_*wQ3=-{>tBw2E(pN?L2oGe+ASK1msHbyiz?q(S|2b*L+8C?6yn+Acw*Y*4+hChO% z(ocA8x$U_HDOB7upWAb>4l%Lj<$#yGYoxgMc6VGp)|`IVc^DPJx3N4t43=Kx_2a&nJT&N*n^Inkm`b5E&I+6fN37rtDt9 z7#Z(*djUcU?z;4|hyG6gf4ajRcJx0HvWSa#Eyn^fStjB{*9|T>1eWh{h6dU@g!l0? z#-^UKCVO0$;lEodf}T_ztXUoG?a<`=_3$o=o0+$Dq%+ z-Do&r3F(Y*?qZ&qWxSOzH-yg^yZQ~m&iE#iGTf~-;~XPSd9VwkPPYf|C19SK*#x!p zMLL=FenRSfnK_P!@&<+_O-QmdHXw?8j|2yFm@NE>NtCE;NuxrShO>8(7jOLt5H{Sc zIk7CFjwjAzdYxIH#!VfkM@RWOM?MUCz4q5 zL9>eEf_5sS14NqLVyR}J@?N1rwg@z6PSsC2aD+y={1pgTr14L2%}QymD!_><3xbfs z#5k*6k>AULQ}C4`N}Ebtr49`c+a1q7MWU~@*3Y19>7A)`4(}NcH}{B@60${P;^Z0^ z@=K=DYZa+0E>Woa6@~3RoF8=fQRtt0#Qk_gRgpk2mF-}_3o4AShVE`UF0eane$!xe zR7?gJND~oUP=WJ~NcO)_rr3!PV0FT0>(gQ64gy$nlNCJj6b)EQRx4OpL4$ z_+G=%Isqb~+t~^F?nUa<<6)JfBAC1>4ONF-&05)!ec!K4LkP6qT~Ukg=$u|)HokF# z;H}WtK%I0)b_8`K56YYOmu^8nQ_Hq$WSR!XlC$#_jB|_g^_KM-D`)lq->MlAZeHGM z$mtG2NRgA_C@0?EQW32{4U^G_#2C9*lys3P0>b+Tj zy3NPcaPmgLI5^eW4dxne-co39OtlANcl$JKNeYt40$-(QWird*CkAXAP7i@^8|)!b5e7Y3KACC29dlO zr5Z3a2TpZ;N5CSmFpd`W+?`(plBO2JG%(@I@0oA+TX)v43}ISa|29prn$@fJNWt@pP`AtDtLSoLDVz9LOM!#+3kdRAuUouRv@u9&pHD|vj4 zDCSmzd+1E)8|)QUvlU`7>5}U$!f$VI(AVuQ9)Lryt8F@-#Rs#(E)b=)OpKgDEx|cz zD4)ZpUJ5M7L2xNPuyyx}XPa)JvK+y<>>C7Z)=${O zHa&mRMD*KY{O@;?YLhk?`tRv~UlS$b5$GCNE?ze5ob$$Z!JkRq`Im6YM|&>@$q) z{NR0+FhpUq^|L=>)s2is@OV}b*R5s9k+%WNv!`SaFz}M^628nAhBC>Z@pJk4DUI9? zReEnNS{D}>&}0%H+&odp9&78e(H3#8g)0W-0_w=xo-SLT^$O26_!;&Y1>N4@=!W^oGB^sk@*vI!SBBC6J9Jp7PI zKg~&VL2*-NREES?5~9%_=+hvL3%Msro}NALKg;lbCj0sa4FYj#%=MOA`LoTv>wde5 z`51_ym#@pbg6J_#tYX6A{0%ebVK3g#k&o$WX1q?6+{vGRnAswnz@FW8tU%O%rh6Ll zfQQ6(QydYgjv}qI@2yHWh2Na&r=Kjwyq@ul!FrE}NeFDhO9>5-;^{ZagLj$X$bO0; z6}xfAP$=|#zgagJwG79H=zh;&ZX?AIyU$N7z+IK}$60BfEy7nMI#U^I}pP7X+X^RK;e~e#EhHJgl`t)r@vrW4vrHy--r8!WrTvM!5;V-=xs) z3qHRdPrv7=YuD-xi>|q;QvZH#e{NO3Y?oD2gGQf$Ki3!Hp$HC~MfFbnUw@T_$W;wK zEjrHOg3B&fSMajL#&<}5I|vLCIP~T<2Ww1sw5}{?aTfaz1(WtO?&OtG-jL7ni*^52 zbRbiLV9wQ*Th;|7k6_TRdO!Z^uuyjq4Ek454ga+N9jASuOx!Hygzx-_SZYzx`uBw zEWWp#4b!iyfCt4GB`vM`!whma;6pEs*g&`VKQAEHjVto$M)!9kt6#pnb(I^*aMh89 zch7fRg>GaOgi9{}MB=xvI>H20*ZW^3W%rXFg=HQpy}a~)7%}g#sK2cgLsKG1j8VXD zdUYH=?S*cu()P#i^cepP$Nz(i|9tqTr{T)+eKMC_P{_t4KdSWo|Jt>;Z}t@{e8#=e zjt~28M8ozl46)WZ`Rfb(pMT^BPq=a+r{SYru7^PONa@(&Nb3LjReyV>Bh2t1YhR^( z_LGjO!qncxKlXO;5A$JSZDaHG9hzvT{RDuvHk3XHhu_}(b&qbX2$>2TfTr@EEfjKl z4fBAUP*?v^W6wUn$H);2WG*`X>l)r={IpxSQ}E35zTkm-!Ih zqF>&MYt3O;M|(@di+kQGBUxc%aoXWu7Q|HuF&|+UxPLtwTc6>2Y=8VL`Tib*?ML|K zvwij8Gld=+djG!X;h|ii4 z1MuwMFDEeWwBU*mm{^_KzRvc&+8+i8y-?oJV~5+r!M$`uZjk+S&dVL&FM}BvjDNdP z);)X3^2xS8<(C!t^=w;(D zf}W96G&}C%4<+DkH?C#<) zVY$D^qtLuQfkDT1IA9E5;>N^&U+4dCP0ddC{JS~&Pkx3mqUq8OkGPXF*nW$@?x6qT zL%~E6{TJWBNy-H-{CBhGWa@!-(L$heE#R&LuEl}C25JZbon=1LxuS}sDnT<^7NR#vE!qEKoSPJv)q?Vp|*#rv8A9h9{_0#TEW zqfckmoi$r}UI%D&bX$AyeJRMh@HRm#{lKzqAyV~wCG*oo<>Fa(Sj#&u#``~;z9U&8% z=(qm&28*BlM*t@&1^YPjtGL-;->(rb9ks3130rva_oi_zC$zG<%qB_6%$hF!l{=@p z{8joj#d-mIBZFS}qHu;xeuk`n&Zr*xj*fbbP=Z(N>JfhUS=sz^vWB;f#cO!S8wAE{ z1h1}~WE~B=v%(xb`0>*CU4Ffx=b^61$Gp895qn({)h?awdg$mgR1Jx}j)iKWPzv{e zN{|>{!2`SkvAqQY&*DVm!ee+x`xzgN&)Pef2?x%2cNLF2@5&!&vUNLZQ}TM&>1FtX z0~x4_Bb$dg?P29;HR&h4Q+%3<%84Y5KZk6Q%mAO!LVy5H6{2m6_ErWJDv)#PR$Rl6 zX6R9gX502; z-CnJtGbxs)&ELM!01{A~M19N;o+o{~=!3wmxzQy(or@dR1)u zD=3#z6z=#12{U#RALUcu@5rkb79N}Y5|j6CZhpsC((f%zaU(r}+mO^;cNRs;p%pC9$SsYE;OTb3!Ae z_ticTBW=~f;#_vehhY!)k8&i2wawlOn@l6(PP^*xUBv#9{?JoVGoHM&Ji&dW!&FAK z_I9g(c}6)`WPiB6G`zB9!(AEo#a}1Ed3TXSKJHBOlV(t5{BdMZIEWK>d3$yH-;@Nf zIzw+3o9{06*SwZu+6L+l9FHg#^z{wj-f-~Sa%`^N4IZ#TDn-9$UnJ+_OF2=?4=3eg zF^8!q&vWL=pcjnc%$E))^D^R*^SqCJSs+H3@vZzL(erb%9pQ5HS@VRCrzTPG$$k$3 z3%D0}0)4#6v$Un7!A^H+-fqHcWUek`q?`JCz}KuhWsl6xyxOC|PEjAEGm*|a*YE14 zn$k|zo7_s)`>vlXn!501ivMIO-?r(9LAJv`-ychoi-5H@x;Dnzdg9*eQ|sFi{{c35 zu+-2G00j@o#!vKfV0!s*u1@f3Wp$Bj_GdNV)`JvqMh+u%~}WB>=)+&rLd8Z zJFbtu=i}~Ibt6%%yws#bk=Fb^x$q^KN^YfJ72D+TyJ5zKm&I=$J$f8A-f4vM7SotI z_o}EgJ-cCw$lmto(DjUf>Q*zh#(_Xv|Mjs05puYvg3MoDB}(fTxRy5EqweZ9er;`O zlB+V_q0G(gUgw#u9sKtW-Q_AtCKs3dUYUI@uq}bmZ0f)cJ{%vOg!amZM#saz!c^bc zE2DBspW&G(lMc0J1n3Q3|N8N|8JlM%`ypAi6KKL`MoHr}0?Nc;n z7V6b^9mY@H^P_Ake?xVD+HXLNttSoz`w~oh3s}3!Bx(PdIz5B9aK>Z)R{h6z+eF>B zM1Fr;{=XK;^)y*vQLZo{{aScuKvAgU{FljE9eF8?BsCMKz8j2n?tgni{>VwMq^M>K*Sl~{y9pR0+E6QL!ksdC#`_G_*x}|!gqRa&3Lvbg)QZ%32&dbbv zT#oITc+Y8w95i1zXorFhs3)ko@{;FN?@nR!+6&K9k-}5`@O+Stg_Pb1ao2~_VhksI zW{b<>yDTftc4N@Il!vcZU7=0!_{hC)%TWBi#;$JUIUE~-6$t@`#~ zN;$#AKHfj}?H~|;X%E^kpV%ojEH%V6p*`r+s6y_Hwl@$um%-}yEsvj_aqfMkLJcnW z!L)W2FIeBpdSz~o#(V-c%Cv%rxmvQ*R%hD<%uJ>WA1BJ7B&0}3A>+;P?0Rug~+eiPOXNBbm>^p_m``1|> zf!$!dk@Y7W2?OrHMVvdlD!&h2kyyOpZzSlglO>F&%ck$SS3|kymHoMBet1`G{yCmZ zR-t|+rSYplY{SRhj>Pu86y~KmOf8edvFS>Q1M4P<6g~FKdMCenjB>Da+GLd)E*`J% z#z^R$@$B%PA1lx}bTkq* zlF5k3@pWe3Tds@DRzvud6=uH0dy{Gs05+g_5$EK+);+^h&ef))SuF)0V)h zL+2G`jyz#T`?;+r{H+M$=MGP3Q1?*74=J6DH+Y3@9o86jZ~{Hwqiz4*67wfgb7Poh zHmX~4hbvDgGYm1E501V>r(JB9bsY!S%lcqJGOxf=x1AMDYIm32pstRNadc@pL7<8_ z14`==;HBQAg{{y`5prVM0!t|MIh%sK+F3M5NyTnOIyB7R1GO5_gv~ihJv(?$ZLQT` zN2ew*|Iqkr)4%L9nHYkM{grP6#?+ROxK6;iF+>2a{Z`Z5&wE8>Aw2V1X=>)JXO@P| zdD~F%)(PTiQhhn%KcY4P!aF~19?FjN=J!mtA9w^!xIwzVeG58|)wpsb04q%xrtK;AFFBWUp zOSOWZzmAeu&u;2zlS}4mZY74efBkXBjM6q0W(Ea?RQnFl$Q<{BXIGmc-r2(2HUzFaWbE@ zNNc7dI>IL@zKzt~2mn;$X6Jos_MeXleQ4GbYs!XCO0{5{?v1{yx%KodNS_hf-D|6u z%L2#FH1bZCR0Mzx>bLf7Ez&1i5*FR-m7q#W&iBxd*f zd6x1RaqHSMj~i`XtG;+0eJ#&QT@(T&IWpwLY1_O|AX92-p|mZ`J#Ok`Bfr*}@xR!6 z>!>K#=xtaK1u+m2P)S9l1Vp3-1`9~$V8)tEJbXq^ zAN6k6KW~(&qb={%sksTSe)&a=3@RjRxxd5xng(3V4qT!5>z)W^@Fw!)a0-%#V1rr5 z{xjaPVsv%Vi>hk4Jc7d1=$-BC^iyp7klP znQL#yLS#>^&M|xa9xjBd1I2#>w|Gk;XN8pusc=z!O9x%#T}u707E!^29sa3SC1Dz> z2LvV3g-jfDZdNMR3Ur)=K#anWJf@~0yORvLHw1F;=b$qTjGqbsFqfEyD(=sBvXnf> z7kNMNX?qxS{PtgAIv`>lxy!7hno7I=>fRHzENYvp-E;~No^Lj+cl^%$arkka;f4qi zzU9AoAwav!CU+fE(cy<6SQpD$@59^JR>a0#JfzaopLnjao_9Rn&Ox$ca`v5`$q30M z7x+QG4~c~=>4%w0$ItTab8K$W`xMKbOgV@kPB(6VM1$7er|x2uJlnd{WllXe3{^Zt zQLV@QGj#X}5wR<^ZOzX2p9RO6+Re^G2`ka^b!;;w{kEHMHds7Z;Q?ZnW8rAAW25NJ z%5Cc=wm{g$_oxte)OUm(LytfHWp`Uf6oU?b&(~eEapmpf-90uk+`waq##9%$?7AIO zaOAx~Prw*!QIhBl_XT4C0fYP0cZP4%(Gn5kJ6If-nRBn^_f2^A{6jiW494d@YXc4a zSDe1sC}+)Bv#DsYlf`!-Sn*>s6vpq-9p3Uf!bSLXaN&x3?<`-ULg8wLB>M25f=2Cv zfb3sze2^Fp;sT{e*$%%AzqQ59i`&l%DxVmeEu}1(4Y|%}^}kEwIGlR-K$JS9zJ}81 zi8lu$$MY_OG&OSfUq-v2E+Hi2;82|Rmn!uRMv0B~)l&fo(iuKvN&WncGC&`t{<6zx^j z?(ZpQ+g#y&4%zw&y7_AKL{5dJh*c0i0)M*+Y=czPnf4nYS(PV^9%sj*#R{o@%;L3! zQiqyyrZ9?<5Y{T;Wrb0p`Di?D=%E5$s*w?HJ%J>v!c#}K!Wl}K{KI_Gbvza#Cu1DhFDlvV% zEZx*kFv&OeGTwms)Li)Vwx}viX2^d>pftmWP>l2e*+}lH*4ywuyJ&y)XgP(gydH(5 z_3~ha_k=9-kQU0*D$Waz>1PKblpjXqsc`Wb2jiq1eg?@a7V2Ieo1i`1at96fgga+s zl@C*wgrIsryK)vo+~?2;(nB{6%Qe5UIg`dYaokbHx#kS|^YxdrxhGe!AA&Rce zDE9y%(;B9sRLilHNA`LqyP1hPtkd3nb;g_Oof#SX?4`kXa+~hQaSI?7hq>}zf@Bo0 zLJC`a?J8nr(m&jgDNJp_V>Jxe3E~AJNnZ+(xdWR4VO)akKD_L%@m@B8yR&h3ge~*S&(!U+fl;bR8(rC z#!}s3Zw?uog%OtR7l)*skgVb1MU`vqJ>4t)B{GZww5Hs~3GrWOAEdC$KA0)e2C%U;FCt<9swYR*o^z)mgR zxt1dYbd_!Fi4~@8?UOs2=;df5CxqF>lNWkVjbU*d7a}=XMFgOKN@yY&_6sN(xu53( zA)`uaARqFO`R(euYKTaFs4^i-ZzW!Qd}QH-JbcJ`uCp*>Uqj6DIO_b;S|-3gu~foW z97F>V{2)#F*XV~Y31AvCn(MX|GuK>tuZE|c)cX2tZ_-k)TZ@CV!k}ik&``DL)mGLK zFH`rOl$3S{oFm`(-9KZ030p?z*`6#>quM}IKadLWiGAUCU)Ys%hs7N|Dpw-`y&kr| z;r%t8QE+uHHG2|%CnT-0qXy%Bg8Do0vi} z=u+KPO(kT<=fN%_bCY9@(N9f|?RWJv$ZMoU;k3&6O`_Cyu%7l%Ntt8+$n0PxuL0M?Sl$A-dSZ zfD8-1fUc3yf#GW5@Ph3LXG<5x?Kn+rAHKNQN0gdneI>e#-S)xjxy!}zBVOfs6KU&F z0cS4L+j>8~pW6QElJV4F<^8E|mG@g0W?x~8+IP}iNqF@>je$v{!&G_{3V94gA}Jvq z|AIFtd88_GYHN%pHN8_U1=o)A4be|c2Cn>-pSb}cWwOn6NIBX}>hw80ULLR2m2tEI zh_lHNZpI75lDUbmDU0;zQdD{F9=GFD*XU5^?qr;DLhHqNg)}*`P8{zGHOjV@YuKel zHDAtJp-QT|zOuI*GB7-r7F-vwWB+*C`XF_eRB^^-({to*>O1$= zXf#p!I z&4Jp_Y74~^o+8a6BtOL)~$a!-~v~ih6CaRlH-V_opUft6H0Z)ZEUbcppZr ze-17VuQWZUFcFI{zig86=bnj(I{}`qY3v?uQ0KfrEgM;V105nF$ZG!=o%axERQayC za<=CHR!!oOOwj7NnQ4DB$Y^*9%VpJ--a?@P|5j)jG~F8Ocb_u^oJMoG1*jnrZ0>rL zZF8U(OA`|-HxtH>X?8TYk9Vw*+Kx4W%Tr2MK+1EqhVi9Pe9}qr)Y9HX2oy!oQz_Sd zH0QSs69X=$NL{a*<>~;{pu>!}Fajo%KHWHTH!!;FyHIP20?~StGElvx$!SHDj)ce}m~?#nb+%eNe?V z4k(3WiNvqHppOu->RKLdV6nR|BKU_h%9?hoT>0cpYL6=4-HegIy^oA5!I(s&xXcz3r-FhFHece zJm0-fMYIWOnmtNSe~}BDAl~mY6lTq%QF5=R<(0)889x%Ox?4g{_S!z~L}Hi_ zI1{j9<~%^SzSuz(>b#&LzoMgA#cO3Ym|ap#ns(&1W7yfYAPXM+0^R7@8!V1 z;(}vaui*c*gw#_tszX~cE%-)$ZmwinXsx8VXZ*Flw~^H4!O@|g^AHb2DnzepWXB0| zJXG2zbUxQYnOKc@=;syo#L0eL+ES)46?&iQm}=(lz@Rd`UQMvlE{(pyjU4WH9ssb3 z@_j-cm4smA3Gs(ju~Um96|p9hNViGKgpb?1L&w3NaeVK?Mm6I5Vn61+-4XhoE==iQ zvqUPJdSJ71Q_o?e0l~12{Sp#7xhx4Lii8%<9nK8lEu6V?9AE>T4w3+~I ztoQtW*~mMQ^ZyWx%7X=<552A~p%LeHGCVIfPc((I^d<|ClyF(s96p01E-(hbuE+Vm z5kPPH7UnJa2j#vU3ps}eu;Q%BqHb-{c8r1$KlZhnt2*dqPnorVx}h!KC%iv5UKwc# z=mK3dk~Z+VXnMXnCMhcRnUG^bnHlz|VR1%DTg>5f46uD72-~O2&5_2+{${Z-KaW~t zy?#_rKcVIB{2@i@yDJGe-5-|EZos0;3LyZUi!f*|2YTb}4~0n)7i4kh<))6}BU zcss6j0Sl#9&xN$clB~=s=c&5C8JCnw2KjjHj3|qFvm(u;XJM$@F<srSSN=FnWQO7W?3ynHb&<;;zWnMmY+3OJzvp?UfrJ@=q_aI znFOER1AN5EqQLW&9AoAJq3R?$+(s3T$P*m3?sm*f*LesAo{bT3nyVQp{i)&;yO?x@ znGiTMqoAXE_O@^-Vfjyx($Mdd^)5d*h&c#{O#mscj)J`$p3%e4NQeIeshd+@!0SoD zFbE``oM0NLb@=xg-RKirrczFMg+g-KCqIW8u@xY+?o<~nA1cf08R>a1igu?k78IZF zw7uT&VgEro3^|S7gnPzHM1fUSw9l z%wDX&#uP}4Wctd4Nx!L@F+O2#Axj1s@^5q9iA;FW$jT$VZuxrSM0}k2fOIz=KD6{k zNdmsvT^Mr{zsGP7*mA7i=e@6R$=NRh()BYL-*HMd7q}vl zf`^BP;f_j#(}Qw><*&QwN=!z4IOgJqVb#>kFpNrkGO59B(kC;{tRpo+ewJ>|t~(HbI9}uIqnlxO;>ab9C1^F?&1Jx%3@Vu~Gx2 zBcAO~8!ksP`I&p?t;iUb=I7c-N88A60FtwSxgT25J@8 zoCSg!_J_6Wn$zdc6a}37$jxJH@ECPscO@`f`L_3*R>PopjosbOVG>0ZXpnqwYP%ql zoS8Ns;W=HuVXaI6|F1_XhXkQ|ijt!(k&pco-(k+fj%m)z2a%L3K;m&2Kodxp-y{fG z;4un3e|3gGq2xvlH{-K<+SOoo-&0QxI8ApN7Uj|TJPQnb6jry342D>wbc;ejIh-G> ze7eLlPuF#E8m|CX-JM=)vj08Z>iMXYOnyekCj-S9d!Sy-lX=AZNslvfS$kK8{&Yf750C)W9Tot0hfJSFuN3IwkXfn z9oRKc8)>9H0?ZtW#N+5>cSqCW7Y(dOm`o5;MLQ>F^n>iw zpzeMj(?fI#-(~km55TZeIds47v_7WQ%BW};>G?3CQ<5sfed^*2OIts*%Bj1A^x}k! zV$E3EC|Fr#*@;6!C^wd7-w7?u3s3dZy_%C)VCuYkeswsk*~cwQ`VChPA)xj=wekH=<>hRU@`p)7Gb78#&DDtR!`5 z?>WFmdCk4RtX3tR-@{>GzE`J+M2E}3a{@c3vpV{=oSZ{d`?m4w&&PXvT&hZ*2gFgq z*{wH_0)TGw6o^4OPGRv5C&ry4GW7;dl{|wI?hq|w9-2NcRkKjbR#a+k*9QtRwT*^% zi-k;G?z3kfNw?0o-@D#nS?9)^7oB#??cQI*=a_$JA@nb?*GUqeK-BzC{X4lo)%*(7 z3=*f~#+*ZDId|RS`{NEI1ahE$xJA+yOT|0!D|501C8nM1PKKh3hbi%+W+8dT<-Kpu z?zkoUGkx5akK0jC-O^u&mb5drB+I>vFz*01BU`hTYZ2msgX6c5?hy2F9pAhQ< z;6-tRH8=4qc1VYBW(){(wFR}11fKTyvu)+QX`01+ifYe#Q`=mvLU_KvQ9fD@u{F<- zW(Ile%l8W;`tW4-AcdopA|FI-;KHxTG4=)TwTvmtEbKhzt zmF9o+*~KMo)Tnza(4@S&zhOTzi>34O4r9nQF-k|v6+bUSkwIsUh3|E7zPbcw-GX$B zK%gR%O<2}dm~4A{z)gE|W(Om=Ytf}XJY)1aKCC|ozH{FK%`<_^@VT|B-?3+dJ)LwK@I4SQg02jL9bt9tv*<2B3_VFr$zgSL3a>o z0(DrIu5?4=oyx>Lk5NiwDJeE33*%0l)hjbWNjbU6rg38Qdm64DeK**AEFTrTJoARFbBodW=2;+U z5=PaPlWbnN%Li-iu)6pyItfdXNn)3YI(uHzop|V|Vc2qwk{R%aZY}5a8RorQn>;Ki zl#^3LA_TYEd7od5Jy@2l&3m0kUq6rzrN^}8JT%T|UpwpNUOD;%%vSn>W`ALt&`{PJ zvlY+2jgO8<{!G}gr#kv1!c2dNphel^2t#@xr5*?4FdQ^6Q`220Xi0nt0eYMNPDFeH z=}4N(y~7V@hj)XPIZdSZx}(aF$ll>MNH|yqpEuWeOj2|&!AM*R+;;~m`b-^M@_s!ZcE+6H0X&_* z9IX6qtIXZ0orn`#=Poi$bp&x0i|S*lZ>vz5Ej?}Wk{M0AjHwP+f<__dr1N+XU0Cjr z*G~by?o!iK9qn_|Z>qGeU#N0lxJ0_?=EeH~?rX7$;z_(Hb%@}@A@(e+rw;%F!}4|< z-^Fk#xB}fX?+_W3+r4w>+wx-*_laA!n^(Iegmh3{c)60 zi~v{~`I^5n4)JbGG$QT)uO_-5=nlziP&G5-f{*S$^bsiB(?kqtmx6FGY-nF2Ve~hW5e`=-Akl0OF^LB5dKQ z8QFb2Z?pr44Z45U3wkkp6nJ$#%o5I;P9tYc#Vz>XiLD{Y+<9f9m8I>eLvT(KcXyhM z3H^$($VsLueODm6$yTR;2k7O|y_nfd?lg(9>i*=&N-J5({_hQdBSYv#tKmX#xg_*e z=yk3#{ef73EQGFAU+Z^4;#bH%|NCTf{}g={;Q$$-%AadfXR&S^kM#(Wi!wjDg?j z?bW8uE&c3#ZGm3eyYcz11I%o^5|J;t6t1-cxbM64&SQo*tMlD%z4!rc`m#m)>vw8V znVnnBj7>Gde1?b>GN0jsiU&*0ly3dw6#jnZS~(=@0uglu(3bb?9wCWMcO(Ql_$Z8C@w1haDL7;zl4#LASqm%Z6@!&xGnkEMzDDlj24e2ef3d)4ik)?=6UBsdb?RZBCQsV+cRw^P%vZzAo9nDev2!m z8Y{}GYm0>r0>a0P8FI%KGu9vPz1-UY^8+X+5)o0BeM?K65NoeZ*Z&|(HSa)Eb#FLY zu2G6)*Z+IF3BB3T`cCm!PRhnEWG=cM_GQ# zw5c`Px}z>-`jx&X>2*HJefL8zqIJTfUr|PdD{{M!7A97ouFMl3n6r123{`Tj$W?8& z${(2P<|kQjRBK*X%N;xCSk14oYyUSH6gotsCO7~UO>91VOEi}I57!UpCR#F4``iRs zUY2NSJX|aEFDMIkl~-Uhfjz{!*6NkSK4GWHYjLW3B;WdaaEhhLN)#IMaxL-3!}=`S zWex0^*%kI2A$y(cd#|lMyU_pP0X>|^WZq7+ot#hamWotPxBr~k@{(YBs^k5YaY6f2 z@y;_W-HFep%9~OkmyD5hq?lWr>)$R|R1)`cj6VFG+QyiIf3ci*sK$2#|4obeaN@Lp zKw1UnqjKo!(BxDU7%#rc0n!5=Rx`KGR)1OWgKaVII;Np;;=*ICB zMFjs$92iA{mx!hh@w6J#R%ZwGj95l|qJPmxrCC>_%~WEnVDak!!#|D;pIxnk#scMV#47EmSQ-UsRb4q zz6Y~mwTqLC>$qQ&UE*b%+qzde65mYpEa_;FiHp%Fjn*hb|mmkz%|PE0a?iaB!L zir@kfo3QQ}lXfYiFKM9i>+c2GE89#cH39cG*z*kP;ggyftXrX~CE(0;VCYY&V@f;x! z@H0fd`7REE8;mh7V8PR!zbqJ)H$u1N^K3VM^h#v2=Vdxvn7^Of6G`D=Bfj!ubVL^7 zo&=3;{lK^f#rlfJr>kh?GlKDUJ-h^Uv4Fbxs$0jV`Ixf#^K8GijaKK*V4SDSsHUP{ zGrhoRTQjR*M%>9z)!GreAv!!%TIrLJWAdhH)3-gS9(;+;@aMp@hbbqSBTc-pB~Ye- zHI8pDV}r}46`^VC(=Lp zLG3|SA+I+!yqBkiE4mMtRyW@P0glQSxSVJD z#!YNbx|J=SDMPotbI>eQQ_(k4M*mx%;#RNkCrSpP3LSd zePNi~SfVQ}>Dd?Z@;(!N;5Lld?$y$`k)E=F&S0_cW}dpm6wV`*t<7)$25*g^mY7R% zQZA^it6%vZK>&WonBg$V%VB2OcnE$S*qG=4{yRh#W@k)|la1AC;S-7Sd|!9lDQ(v7 zdR?ZQcx%flu-F)G%2<7Bn5?_h%fs!Z5S-$SGZ@S=M2Al`0u`?mj9X|(SFu(~p^i3B z^QJdMMbM(=>b!Go0Qkm;H5Pxi*;Z?GDwC8>ciG*e7vNRLee|Zu5!7=Vye9tq_ahL) zx!_8rWf^ZqTkC~~_DpxuXZKf-awMzv270D?b(~psSN%BX^k< zJ9CrC{#)>3Lf5%8cjbcs^(-;>ooyXEvJ9@xpORua&1tecCUsBaDMa-snF5!>Wus)( zr4WrAR_t7aee$`L@qKG5GGeE(8_7=(%K4lJQ{|pqt~ur7}%%n28=Ph5ht$S)Z}y_^nLCU-}0rO|H?Qy5Z{#b_WO)nF*tnZ1|-?{9x3L=$mh zZPPV%@u!`oS9PCX>e!g?(blSdu4Qlw>LSX8xb`EYxdWudve)w#c#9j}fw*I6PlqQy ztpB8NYD}wk*VF1}rWrspxQ6M%*Fl?c|>N5ug`bC?egV`e2%! zVA}7=zeP(?&x#WfTkZZ9JwlTPSBOw+{Xy7Vb}P7ygfWNc)i-LcPZo(oOjzNCp`n1HY++7^5 z%P1<5XIcGubIrD^0C5z$lHUIRx#rQizAmTxO6U3pmm*TGFp!9FO)i1xY8<=M-tF1L zb}A;s37Eo_v4-R zSm6%VFytrs&L02uC6aqlMi z&Vw|K=wgC->^!(kc+?DroTRsbnpyeLHjFY{r6wv9&Zu3vQoy8nBkHg>o|roW7NXN8 zRC=**$MXxjhy8AmS<3wAbGEQo zT^%F!;OuZI>{gCpCVmBpT9I9M-SgE$F!MK5`$AxDW|)`Pxk+)|>lP8ld)KG7L@xBw z3>`S^{Tl}+Qop+tdOKE@|9L`d9{d!``T|HBJxLV0lQ@g(&su&I?7TI#60^(lJSwmK znE>b3SnDs@@5kICJviSsBgi9mu6nub$rDTI!z5WJ9;`RtDam}@p>*#%NU-(JCCaGl z5Gu>rDiou7JtcGZi49Qh?%v2-{uUrs?^}2#Pf^}dQnRza%(h9&^cknAipIT6!W;jH z_$*}Lq6IS?MBwn>q7Cn+vi%VNWy8T&3qEo@L-m94RMHJD{*m9nM?`*^P-%xHW z$V(MlFlL5ZILe>K1n=Np8XvYTyCmTe_fl9PX&{+SR#8Vo{!%yxWUbhLPTdQsT)jsRJW&zCs+Gt;pqZW{_qEyXL$Ax`hmNK7%b#@jujS%hZYW1^cN2}4@Ct8 z@M(9kg+fDz8bdvjR+21kea*pespQVQse#;f@}PgxDE<(xucu3}$ZNDPD`t8}Z$saL z;*&pwi%JEd8;HeShEW~3`Z<>K_c1$E_zg@QSdEcxmHT4QYX(Z5hocvR8s<-;G?|EL z9Sw1T9UcjKU+%E1qP4TUl;&*^zZCVU(Y1KhJ;TtaaMda8${TeTGAj!%%!;BXUfQi{ z)xK=I)YSpQ5FNqJyem;)ZQUxLQ24B}Wv`kjBH=j~O-4Y7G(^HyT%TzEbPIA?-w7J5 zW*_CZi>SPytMBRm;|ySd2}u@YOoe&Hi>pm?6wkYytB>*;ew4v%!}yU17`=JEF(qSD z`ZQkgMO~7Cs3*~r)bGjxC`w|m=yEhWtqqo-u;=~{R72xSU zL5(4j1N(jDSZhh72u`C6kSFc3sWwguvd_UW0`71;M)`wgPPO+FdWon@@X~{s>%yj# zYO_!ZI}eV#IE@~)=zf>H&xh)DrG6)t2=eY?oAF4`XnwAkQ-+p>uFxJfjDB(Z<}ASI z^;l|YO*YWgQ!UPghB9UcIUx6Bl`S!U4=VBWz;r-p~C0q4zM%x;g0;f12qajw3to=2ji1$)MZqjir0I2 zTt+~>2FIE1XfW|d%He0G0DyYib*DhtJU{yN;RC;)&uAVt5%udhj(z*aR?}|DLUw9n_BLnl=t$w zA&IBgSD!pBmfWqQ(;_By(l@eTx={tkTtsxKywoLP359Q>B+4b6;y=#%ml-o| zqrM?7!OG~6PN|WCRsb7>dU_>_P<&`w0#`KXb_cIXHL%KZo9y*4qB%hx=B`&00vnC3 z_(#=zU}x^Sk<5eMIx%!Q14T=Ea9mdT3@@Ye@}sZaCwzhq(O1J$2lsGIJ~O;i9JDbN zpJCeB^tSoi(xvU>7UQAGR8blTlJ!b%dpiOdy-N9<>1Bt>rKiQ5GHnvESm9Ifpox>L zEbGnkoITE~^_@u%>(e^CHCpZVvVD49cOD-EP{)Y5g-+CwmEzZY7c!cyv&u?>fn6>OnQKtlsR{9tJ@1>of6QtxY!@ zzpQkla$hSUOesYGaOumA zaPAp4)IjBNb{TJQS`y<8;3Lp3Re3_xn=!WF2N;-%V3362DeUBj;wk?~#zUlB0m4Eb z1fhs{k25Zc^}alzjhI(SW+V3oBKEM2uCi`(DNu(U&SI`pJ>b=Ars$q}TI1DaS0Xv} zt`xnf3@08X!)=ZZnr_TsY$sk%@CQuZ^=E+P#RPn&+$aB$``o#wq2Zg+#-ls#df8+u1(O0_<$qI#}2u4kM$tcsCIt^pY z5+$F!ayF$I^)FzcFnYGI(e5~vAAdGr@@EMAM3~Bkuq+ow61GBr`_tqAL=OvBTqQOk zBXL^Yx_g~h{QV?_q#X&z!Iq5sXT+DP5H|`uuR70V27kDJmV-oCJe<#?kJaCgsCh}eXSdoq5_O}*^x4_>&FI)vQG@jRG zq2pZ~D}$9-U#X|?(59^!ZjySdOw2;=urTg5weFnD!@b%R)AHH4sF>WL)1{C&DCRgZ zH3Hm@>g>9fc_?Lqn=z}a(st?DN-5%CXk`(bE*{%QD5)LsS;mg452|7^7Te^L%w5Ck z7G@7Gx`r{VQMiBpQ(IzCtt_mW!T*eVcM*$0K6CEE1ZPRG%KS;EtkC#QjJIFO%Qj_^ zfz8ct?EPl5l0JQW)AD|mEsV$pb7lBSj)j_4uG;opB`vy!4sc)7srmLk34JyEE@nm0 z$Wz8~1+&;IIN_6k{har+bEd5to^iU|mqHRq19?$@s%XK2S1)m=*u1asRF)ityF!>b zivDyn#klK`UDid6eUpiokA|bO!|cdH>D+=bM^aI_p*e4q`K{fXoZn`+egi>-)G$2w z;b|V3*F3;J=aiZcf2qp(!z9T@)lqq(Ew7JuF;rI6_kqumP9!p0hi-ONAjQjYd`P3H<1};?a*0 zWCz*cjhGt@5yxp=-Rgq`;r(J}cY|jZFHPN($e?&S7-zI2?Z)NRiW?){?=>_Ihpi`& zuKJ2=va0PlvcIzDPm7y^r2SL*R3u|{mCAht)sDOmet1^Gbh9Sd6oCXNZ{Gs{H=Cyx zGj|R{uKvEv*2Pd&)BeW?f)a;zfy=4NICcBBPL0T@({GGDUeo@bbNDM)Hy806@{U{` z!_^5xh2p0Ps)w7q(%I`d+CTi{brnRa6x|@rAC&Su|vNoMbXAmLZjJ)$osEPjsNkK{90~D=$BoQ*EBLR zdj0+e8vXI1>HvWnIB?Kc&Ifx?cn;Fq6%7EuYCgRUjY`V+-(l+IX6~xWb*;wp18tD- zys_JHDG=_>Q-OQ4{~zuJK_y;*^z}XO$s27-83~>BhMbqQV%Ep@1s!5CmNccha{EWq zieRa3Ub4vgx@c@qmx(&rs+%==PI$ z{CKqi5LA629Zgq_uLGxH>k93H!d9uFcMJi?sQKgvXE7?o;t2(Mz$n7jRc~+(j#35{ z8>}4difgJj7z4UzWa{-qQ;z}usl4!@X$Qg5cwxRx*DV-F*unAa!5f0YbMBc_GZMU% z&=a_LZoF2wk;~)+F&CMtMX6iHETp%(iMVH`++DSWyUIK2Tnf*u#BdPHgUKkqx_5L0 zR|C~nxNsz@I` zX)>!p(sayyG0}v-JA1CR+-4*_6@QHM+YP|tDy6|&j~3OG0c&kqFrL*34mMkLGAnt{ z+Wn@q+DEu2m(tCN@`{4y*vGQP0lFrWFuUnB{;Bt*X&>xcp3CToNt`otzE3-4~b6pIc z2996=Jr%&Js-(Ty_`8=(aCdjb{rBCFehaJtT?Z{4==bs|mSIX~cIt;Rx~!tVREFyf zU9yfqa=IGX=vB=g|FVqb`MqYvu=3r_30?^uDFOn4uW3Q6*l#QuK1&!n1jGYuv>mPjQ1}1 zu9*FhX~>JeGzUN&_mOZIZ%8a;rRU`debAdDWWF)=g?4%;hKK~XA7L0xTh92r&)SY%Xu!`un-5IVNNGSQ8bdt~`qiMj@l;=k%KgwPf4Y%tUYi z?^o#_ZU>ey({JB)6CHx)krsLuEYitc9$i1?^~a-{0cQ7R4_RK%3&P#zAPBeF{CyiD z>pjp2{rSMeDkt@x*16CJMIE-?H)kT_fxzFXR+--}FeE57TE0tW}y1qhu+%n;(qbC+gI0?!SHx3)i}p6?Gi)hV9wU?bCwroXZ5r;PnXTijGt zU#=1>-N*jWS)I_1#KO~sKQ9G2*Si$4ekBeV&gSuB1jDiCXt&fQcmXyS~ zQQqOfc6e~_$=?`^8XR`V@JiuNx z^?m#0iLj;Vg6`MC)3< z&Rn8f)Zkl)O)Ttr=*)$kTKN>cVK;7TDxRrfyz5hI3YUp$lCVjJsa!{*mR!e!uKsAI zYI|pA$PwB>!jFwpKQ=k4?TOhMlK2I(-5@IN2@)uH(buqDGj%d5IBUl&Nt)m9%+01- zJR$pLvs=HHvD|m!W2eTFN!wcVh~;!=*1b5wGo60Jx6q{^dSYBAm^{&K$JhOWwCb5Q zt#O#y8UB@fQ}g*6XLzxdM@K)aAPpTRvBbW+Gw6rOXn|5MPJv>p1tX8fptKj9w*g0; zr;9yBOpV|I%6se;fD5EqpT_pMWb$>_u9YyY8um9#T%++W{qCtFWqN)o$y2xV?MfBB z$<)65#uZ72rC~0!`tmUehprrRi;m2P*E&*lRy1r9I*-`IVGqO#EMsy!!=8Ccs*Pp^ z;sH-9v9@;hKJl>8HQCXvaS9o*lQ7vQ;wK~HBa_UBAF5tcl1Hmavl1Q?bQ!^iCVD$? zMo?=7Ni{ux+meac8iJLS%VS`UK_nVK-f%k0#lgn%0_T>bJ{*OZf5cI*=U}qVMf%V6 zIWHr2>lW8N>5<#M*GDPGal9;eN^N6Ri*BGwzs4$vwdW&s&_|unS*MA;$ASZG&hRhX zGwjHq< zp0>YyDuAD*f59nCjD@DUbzNcN>AEXz<#Sis=cPwis-AzHr{n2=x{7tq9uzZ7FX=Q) zyT0aB<0_$-fX(1)G6{X28kAO?bt5;wize4ufIr|taevDo>s0)z)V&`fP-FyD?#9Ol zR5!xJV3|wtLxzW;x`5H33MoIiifEN}63=#Zw3_NQYWxt48&Y4~7`TM@ryr8Q#mL+R z&r@3(& Sa5@b{l%{$pzHNE}!t-x^7~;+YkcL&(7*pD?dW9#bddz0uDJ5-mj9H-j zYueWBClX+Ir8|5Hf{#R~OMA!QE z`FUsT%1X$_K(uqZzRIi{RUn^YGi_a`mD-+RlL>h|XD3Y!qbCj3G5F)ZXIWwNq&mw` zv@ANi$!u<(6FQv=C9!XYHt;Uv=M{8mtm{BSzEi940<+uQb?CiEn3! z?SUly5ubrKqX8P9!BXQl97=OuKBYL)F{L_TlTUUVDQ+9zHL=Jd!QlR!MX!PCo+EE+ z5#=7bQcxU@$v8)7=ig%nN^9p0b9_t6Hv^dcshz@%M8w6wn0OjSKf)~$=FX-&-wx$m z!57cx*qFQK*uj;;kpY`zEa4Y#*ugC1#=Vobh=)DU*Zj$>911DBU=UIi&R0)Bd{#8K zc^Xw!9DcMm2jqdFB!T4-)euhep#DMZww2~7zG75@g(Mri{t71)T_Ah9oaDem#oNc3 zyW+^|&fo?A6&8pizsXWy2*dbE=SSSXGhc-T{$p(R>tMi9Fd=%}^cqlN5a%c-bELz! z!{V&N%Uu1S?A=-~_fD_P*s_(tQ80faY=z9|kyWn&? z=zl9%ZRr{=kV^G@7croNKx$CDl4&;OH%K~#9U^yT>>ynnZkdMVw_GhKW)AxkMxivb zT!PzZk>@Yy08>}>u>H3uE+cru?-Wd-WM&(%pqMYg?mg7ZPU5|KliEiR!%ajCmuj*R zi%^AcM%a|_Yls-4+Z`K*wPcyDFhT`~dIF`Lyt_X8AD<9T24bKzz72~z4Eo_S@PGe@ z7>b~reJ1#A3Wh+@Acn0#^P3n3bHF-7Hb}NXZ#@w%_qw)wqjX>mI!%dLJ|kMPorO&> zC0SqziP+)q#}^C{n;U2#(g~#Yl@lB>hQ~$z_TkL3pP|#yJ09b;4Ra9I!fsvs=J!A2 zReBlx?!iH^30S=514#d*f-^fY+>rHJB1{%;#(GLRGTS|doJ~y*84#g=w(BiZxh6{SMVV({R)YxAKTx&%M0HZq@bn-qXJy^Ary&qHY4Iz!Dz; z88wlPNI12IFrv|N6#SKo@J<+Su)&WvknDuc(7ygYJpcYnUU<+7aD6*@cB5(I0hmh# z?cn++A5KAJDeu((X~exV)y3o*F-!&kRE}&~zK%NqT>=;g0arACo3*M+5mMXAPeXtdgPN!o0ha7{s4vx=p9{5P&1ym_r zUmEhXFGwSs=O!t3eY0^S9L_0-f-rJ(M=sD$m@gz97Z`y@#P8%DD6gzje)DI+a2&98 zY*!47FgwB`+L2b(6(w*azwG+LR@FTQM4kR5WR}VhY|-f&Q}_?L0wjTlQS3Y1mQe2j z0A9{CMh4IUcWx&L=K?YwOL%S;%ttub;N~Mxnx}~td`V}f@y}FbQv#PVw`trQULQo_ zeCKew!LI#!NDpV;{s#%kEudjf_l>7K5TJEcMx~#CjyKH%-l+O91^iE_vfV1!5qYdV z1|J)1qae86Gzo&>jl?DbPM!3HoK=eV6|(0X%v}ufwJWgFL)Qz$dcWkH!;dIR19=Tpga29qj9xtkao~oWFweoq5A#>t#NpJf zl>)k&?ku9~wIv}t2orK#@e$;k!%qZ91NEzeE~=f0w*kqc2$ybOjHQ_QU=4hRik+pf z2K;On1awcyJUDIk@8|+?PdJqaap5X3+@QI%P2(eo>z5IrJYX#cv%9bV@d@zO_rP%p zd4$>^{*?hn;u>Vt9i5_rh@>(>aMaFq;+vgY1pWo5^! z`}gC8gJJ}koLlbogH+4H9LXK|ngh>u{uWtb6A*Hd5c7WxL}KQG=vFs8bnHm%<}AQd z!m&4?wj1!&_BSPH-&-sMhv59^HYY$hAh>aI4fg~i=C)R=f|)_+W*f_OVR8QspSxtD zy!PP8rhj05LL{NbRtLeK4_yYgy4#R*AzTzmqLIyx@_=15t}}u!qV6Nu1u4kzpF;`j zJD~qq8)p=l=dh;3f;Hda>;??vgxqh3SzsgvGyr^zd(!w0za}|Y6FOBTiG=JpDD+17 zE^mB{IY0?%eXGfhECh-DFLrUkpD`E&Te(+!B@tnTI5?o3x9~`9&$J}o7-(Gsw>()k zAF|~fT{}J(`f-CX<$fIo+{O=i(}2MYdC(iU4>IH_W-p3QXB(KB5I`-M5f&F}aXL2Uh}s-SVZt2Ns~dKcyp2kNGWjZo|xi zaE2DX?E(yY4IsrG3HhzoJN~{l=-j78o0<}nWI-)3rq$f|*LX0S3P|MhN-WTzbySdv zazlkEp&^{W$t?&xrz1>`KcJy?J)rl91$4}g;Fd;{;(w!PB4S6V+E+GX6SR;1g5tHm zrU+{@U=e2Kbbo#Z7&QXhstWuOG>ryFSw0R%5d`AM^U+6wE;C|gpFkdeR}=e@CS8b= zPq6Pc%xB1i_vhsJf0qGl@dh|(&@xPJ{pP~|(j~kTT=rCUehk>Q7zm_(WghFumb~ZJh))G02oIbi1^77@&kSMhm_-{q=gIyTV$013}3Cwg& z+7ACKjeal^!0YKr{l?p`Hc)E%a&$lo@ zg%35QG=4q&un5DQX$bPNJ^-aZC;)Le3MnWJmM_S4XBG zr)NaR%f=zH;SaNrQ$v=jE#bfu5|)a9@u45lKUh55#8p~kKhH|Yet>g<- zoVOvS;0R1a>$P_w@QZXfMBoFc2N*H6q_P`)nj_$Z_1Fap$lJMlk}{(mC< z|NBTErGcB%xN(w|b)>6hAL23)J9xn~aYrgh&cNv!-8!~Xd?4Ek4oQbf!#8i)AO)+~ zy1s%VQRaY@dX>9TxVMM%LrO5571%mu6NQvN9+F(}pmmHR`0yarQIw`Bl8MAW?#ejS z>xz73GNdB@EglEVnl^YCZ`R<_Ftw%j@M$A_lL4%(L8SW}{+q<$o9ySU@!u2yi`xw6 z&{n<{8H^v?ZGhYmx3xF${3@jN|2$a@_PWKz*#k%*NJ`-CnmWC$44WZC(sop~Pp=~`G2(qEMA^1?t z4m!ejM9cxd;({mc?Q=-7+>|t;2|ieZK#vjfqe!FBVy{gHYxX$|#qduFGMu1cx zl93PVW`kjBK1EOqB&h!ZasOw4xL+CXx0AY2vf#~s(W$6X;QSaiw)x4ppnAYiO3I80 z4ToR(kXbev41j;TN+=kHC{oSYTYv3iyAZ+W+TJ4bD_>3t43>NkicTx}b(u2j`=dJ&3+wmTzHK zA1|&3`1iRku+aa--g}30-M|0CC8bD`P!u90L?I(HBa*$cwaCuiBuNMD>bkDa_5I$T|Xxe$%~kYELC22Nef8Va6DzIBQmMl{K|L&YI6MAuFHy6-=ofY6?J zwdlQHn7oNh_M>Vd@22=Oa0wa>^(?4{G0Hpdhe&mZHb=?dg7RTSDU}y+w&H$pga3E~ zNq`Qc1LUWB13spp;X0KZ9m>!dl*M#T;5By`1ZmvP$1ncLvGGDR%qe;ixsrGASXkww z&2Jqq+k<_Y$<0eNELexNo-=*lACGyboq|G%F8|0_=q zb9}4<;;*CWhcO@9f)Z_1~GEl4Ays$6}|0tdW8DFcV!aqv0=c^Dh#STjb zK3fG4QXt$L(Hc9uC0T>j93vK=RQYDjY#7EN75Nu-__biZ*prF%kEM zhma9cKnj4{NQDe(rZa}s6s5_gwpb?l|B9@S4DNr5hajb`<82a41qbq3LP!DRO{>7b z_vs#DKB&H}>;3F8xO-^l_BRz%Qyaqj#LAYzrDE8_CM<}L-{ybTz7xhHri8h~(~yz1KXIrb=UMJMmd z2mvPxkx?X%7@L=y3=TXM)|{1RnxvG;n_y|L4x+5~zj0MwDQ=J-ogz6+}Gt$V|?DS@k;Xs1eO!b^k|A><*N2)!XPR$+=0a;96E_ zrT_f)p6bBI98rG=*LU0zArrXuAmEI)rTN!Gx&0_c03&#P&j9}V8UH_)VfM5DQ4)Rt zOs+2@phi?B2!Af4u=;{Pie7NM&|0}iUo8B5ay3G=pi4UMx^ui8m%PWB|^fk5p$qysfar|_La!K z4E%>PQ<(vP44BYSw06ba$MqXvCMzN%Jckc&Uk3N_=V0qT2To-zb_)&L33l+mKbV81bHW)3+v@$)7EXE4Eupq2!!* zHu&|#sXQ(wn83qsYbeH6HRGkk8B{IgK=7@@EzXCnDu3&Vn?rkifN9_)b1+lh9)tV> z^xgcS;v#{$6v@3;cVv+C@8NbGURwBov?Gw)%?rbYrW`Jy)@~Cgtm0+6zXR=C{VUxbSW%v9u5r z`dXgAuIJpG%9;9F9i24anqtc&%C)@|*1o)lioFmOiSURA6(I%{;Vd+i+>X(BI}oI&i{FsQYcM!{T@>8+F06NVXSFhdc>A|~Tgp@JC;D@P_j>2u zbNb(443=WheMqn8vdCGWrfUcU8p6DRDfF8!yp}f@-bo+)&7VFP zdm?LBtvBE-=M)_X(_4GAP%bFLZYvuHucEWI>*;i~ZkqZ~*5iDOg>QYtJM~0cnP285!0hB*vAaf(^Up$aRYQZZ%0Bf9H~Y(>WVv0HSl|3R;ow3wU0+1w8Op%(Ddy$W zgJZTcV}m(E?yeS#qth!LG6}Nf%*E@qYfCX)SMgP?=ZVnpf=xR$=jT1_*ohYXDwkS) zB~D!|nzfmA%`RF_YF>e@K6Iv7fU!uRcg(~x>627U`{!uU>oIx{^LlKCTc$U<_RIE1 zh|LQsMQpV-VtT-#1EVWGKZbeJ9}#i3 zKi}-r4>9}ttW9WkR!+YgPtlD%u23vO4>~+z-}F+7XMy{Dv1-;o0ZpZTi3|AZ?)>bV zFd?N0E9GKn0*H~xrqK`dZ(r%7F@~lttjOf4;o=M2)eZU(Agkj}+?!36oNp$zH5NoT z7~j=aiL8RLbx+Aq=B1P64{_@4c0CC7NRMo{NxSm=MtdJ5!x3-v&|oppn`Z)mg4CZOuGwyXI= z)B1Ls860PCbW`RcYji()1H#xg{SntS%X5=5mbBPQDyFHHBP7CwGe$M)AAP6CQA8kV z2oUexBm#=n>f_Hd@m1Ty`EkZlMFF;yP`y2L<%d5UtTVY{8}tIL#$}8{>l+MA#t<=Z zS?(Gs7Xxw@&hj@&xTIuO-D2uV^RudH(-)wQxLg+~bLe}@k9o^`$3WdO%tYlc|H?7I(tKf(1e)G>+ zveF*(*<{AD5v6md5Z4HKaPLd@5%@L4d7N*myS1XfyV7#(TacZ|qeo?k?_6tD-EQ?` z*6(eIg5lB%TsbA|;uY;;yml3<>06W5a}LBGRCkwEJ<`(B!d8E^yTUZHa8)sIIIv0x zM$s&9z$hALYtUp3-AK#0abX>2j8xR;BdtF8;Xs_*h&ZRDdUET!#b6+-eR9f(O@?hh z9XoO+@WWnHl}=0-hPqohGB2xQvv7RA=GoW-H#&d)b zWH{8h)@`DVY$|XQQQYM49*f6|O{kX%X|{wGn7mfZ!5G@LgZcS|rrcUR zB5YvjML8SZiKtu}-=4Fb&%`QIg=y;UG|Ou4v8B0}C?YEs8J{f=UhN4+{ldPtzr^)c z-VK%YpK>#XH7}}t%F#II>{%9T7^n%am@l`=iD6O|OvEQdPn&gW#*~-it6r(RV)RH? z38pLsw4ZBp9&Xisl@=;{#&-jl%i39m%byc79!`0s$cNmP~%C)2M<7k^2#eTy5WN7$= z?yr{qJY*8X2&QfAY-;1zVu%x+bw8iD+Wegiow0Qc+hg1WUUi3bb&;=0XG4G8m%62B zr{a|s{=GE{52mpGg-SveT}xe0BE zrptPdVuqkZf8J!WYkD0Ub#mcKr)Fho(IQ18Zj9ZOv#L8%@X{+c(Yz z4`7Lt@oTN*L-*gV1aTXB9P+mxx~&9Sn=uwA7xW0sQ1R7k!v0W0>z zQXXTQC3Ub(H5M|zMo!;vP6UmuF8~85>Tzpz^^;tZb_#fORquD%Bqz~h98u_u6sabYZEF!+ z8xiJ{ihX(2!G(4!$!3Mr6_p9Z?z<%?u*wMg)+!Ka6g_P<`bDFnl5-{PF+g?NQ=o&T zTF5(Ju9L&U!tARs4P+y%SU8R7U=4n&X-Gg^6VmI1m?9U-&N1yj@>rn&0)2H88XhJU zJ12C<;4L5OMX_0%-H*IY4@9;BrKpvNVT4KDGk^OlfP@mWg)3?Nac6fsc!$b80%FY~ zIOSkPg;=Z)k+#vR9%Qo^vPp$_tGW<|ooZ>%7(eT}yV;HTMCL*jf{_b0<<`d`kqTT2 zJ1M4mmu_d!QFyS7+-Rcfd5JgXjVtF92P&m<=?!~00tRb$&E>3T@|Z9&jGyI8Z**ho z0{OEaE`MIk8IKMad_iX=uVm6H(~NFC$`EonWNVmmXzn{!O43|4T`{}L!YALdiPi+- z&SyV9ksJIV_btN-o_njX!W5c{C+iBIMM4Ep-DVp0BWfs4{EQp+1ql|XN^dCXd&`1r zM8d)bHY7Zo5(@~y4RT0j2DP&30|Vyll9UrA2Lo=~bc|{mvrNc1nDlM%0Ir*uy#B~} z4wFD==TP?d;~=wL{eo7mA6&#T`oW_${m1zP0&x~p1&5SK`W!u&oLnrF8-!^hCE{py}Qa3?9bkF6hyQD1_ukr5w%#a$iOv-uzWL7-&@25#{G$yA(0s*ukhG!7H{YRBxztg> zH0ZEvt6H!aGV5#-u-&4Auro|Q_G2BUfZhC;AGJKuEDk0VesuF ze%U8-VJ(g-7&R!^-JbOb&@ZykA{oF5Su1;7U~Vuq6W;Y5%dpR8wY)1LB3LQlO36@ zl1M;qwR5Ce$=$e_bWr>IHyLXoGR_QER-`RQWV|is_cSCSrOD-gm9gpg2<5F0$Qmj? z22R=OQrsk11i=51iT3kge;zW;U;-Y#vj>LZd?-!u8f&T(`b?Z*Y<8v7$k1kK(NnPO}uk zn}=~^nDQ0G*h&nQxi^oCtk*NXufE&Zo|)a5;Y2%`C6k6sZFV7ykOfi|octV^$5x%3 z>}6;Rivwm79_7}z%4q(xq!M}tEY##DC>>3Hp5)Km5bNx`lshAwa&l4L`;KhilK0I! z?>ZcXsb|KGZ0j?_Ik!F*8v`bcwhR}jr+$;o(D~^w$!Sm4j;wf3k8;yhiY}sNePdZ`PQ+r;nfC6)V^U#g0xm76_++(!_iasGq!%= z)Xds5W_-zqyTQI^+vT>g2B|r%{auj zYZW!LY$}O(%N~WpBb@g86Bt{BSw3^%T#D*fMirBVod`PFMOg6Ic9wl`Ar32fa|fKU z2A8dNxApEQHudz%WZY_QSC0h8;nayx5O8vhT?P{aMnkS+G_H+1867Y)6?;s=yJZ1=Tcc}pPF(D|5gP16 zmkk>E@ynZ{geN&lPA3qGv+R?=P+5dcDIz{n9(pewr%`eduiaSmOKF2$!TS$DIXIs` zbR4=T)AU^hav55wZBD=+CW=W1A?-*Lpt=C0ltPGxJHL{7+rWHNh!RWZeau2#?kBtr zyn3I%_vd*}W|zCt<(2IaXAD)~-* zKV#($&RwB+()L~q2HEbCL*_h2NI~t#(rcV9fg9|67Ai+~5i6l4#=BF;Iu^Lr;KX@x zajZVYV71YQ=sEX*Ka=*;p$a!F-8FkGYm(m0-c`|iB@Z|kJk1+jRT!s3+&nxgOC2$u z=_Ic9ZI0{>2@k{66;YBR2xY5)LzyH7&;Z9)dw^7kQ%Y07KMuZ!NE^LI@*~zQ6pOmb z^`Yofo}BRIk239xXfJ_`SYCYt?=eeZ*W7Ky)11?Vsf9A4rwK}@+I4^3VP%>f{yN!y zP9jRqa6DZUo8+%8h~Kl-=L;^+C#iD5%Ovfr*Z2u+VZ{F3H?;0s*#J1Xw$@8A^xSH@ zPSIoxd5pD~qa7oYoZzG8y}R7FN}a zne}bYm{oT5?W}0-t{o6a_s0FN?sdU#06V>^pSk!MwhB!bnsvKt#n%GoVS*VNlw3VyDa41YF$}zhdJCoa=lp zeE%u>i{B(n6@Kfgt5VONovWW^?JeFc>Z>;~nO3P?-MOmL*A=wRSvsqQ#$DK^Q zp1iWB(V{`|!5mhdX;pV*c^vL1G=CpF&wcE8`^i|T2fpx5kj7jCnROJEL{-Tc_pRiW zr@>@>jhkD;6Op94A z8jDj6$Np~|L)pa%EvoK0{M%`ge*EJE7X^HOq5Zr0q|-7H1FZu;qIze7QqvDx;a~Xbi$r1jkoVUyA<@F7#$FqQ8-sadvGni~zRM zH!4pJ$}rwA#_m(oO0gwLZ7-CX|&=7xi)$*0XFn+%ENlbKQ zQEtES!e2Qv>ALG|%Z+qPQk<$kwJgmnZr4X!k)1n^`V>Eu=gHK&A_98{9?uvC4v`JZ zhTHKn9aeVoF+5L(*F{HhRkpd+RCqi^{pTD5i*pvy=|BWdeV4Hho*W+E8PAW^C_2w< zR^_RGl(26+&}q)WoYC`|;+JrU@yXzS6yb-8UbS$ElX{?6fvb+9g2>=*wZ4Iu+~Spv zTh0OpC&M|;S)vurcNWnNkctypv`%6$`S8+SRlE1ra_MvF4u2=i-75h%$FuA zwdwqCX-*zj#b^j^Xdid8Ho9`wrF*IZk7?;~eoYl!Mn5{iMTL)V4So7s9eC<|ID7LH zDaE$#pL8@O>`G;$8|yg5)wXwi$RDWkB-ohf)mm3BxfxlwU4X;8-DpS7@eLa}eDcxZ zllKmvhBGq49x(e!@Iaa9vHMRXQamg>Fb!@CFaok-E>MGgktJ_R^f6W6URE}uB*jLo zFfF;hN$vg-Cxse&;|VbL&{_S*Byr`Hr-pK>e`)gKNRQWJl!9!J%Le}W*6XW7M5`K1seCOWMU z-{tDLg>NJp!VdV>3Agq2vI#rhv|4b~fsuLRfMsRXPMQsS~=E#MLfLFS7?sf4cF%9X%g8`Y6Bga}<@7y`yKhFY06ohwpg^ z(0M+1Wwi5!+lTjl3`J${@bVKTh9)8tk14;p(zrzPgz^8l@q$W4PbpisUF9mPX_DzQ z)z`hpXRXJZOG8DqrUO&$i(&bSX-I$LPD*kmakxhE8t-#_`HLbB_gz0p{FE%PRU39H zxZhf=pJdyu{E|7NI$_Z=Sb6lB<h>QAiz<61e&mx!jn4CL^?W?hPjC+mi?<&*+ zmEuTIT_63Fu?`(yzH5iqe0XN=JBXKveq-?@&aF*1B}~aAj~{1#eOqcSBS=1U|;{#Sw9vd}M-bagSQ?PQzs<`=MW4R^e_ zAN}C)i&3bL;>XT2&9(;)r6s+hI#)oaQy;=hmY$D;!F<7Q^gOc`W-AoLW?i@aacnOC zI?9p9JN%$e*TDSmjBvM^xw=G(%nGv-3$jv2)>21pg$O$db3Kl?dShG`5_zgN$}&cf zipujE8cQb@B9Z}pHLhXSty|7}mh_K5PJe;})~4G?2A#F}H72--LGvM!C(Kc3z2{Rw zZ;qavttWxIazb~=YA5FO!bCx^hVQx`(eXaSi>y+)>o{CFduR2b*ykcb+r)Fpzk3{R zG2URT&nEOlq_P-H`oeuj>E0qn56Mzlplww;WvKl11BXY_&uj0&wevWL&7=vGX|=0| zW3@Ztn*-W|%x4hf$}9NyuHFDIR8;re!=TP?m#W{WTjHQ3S2|76@@$O;&g589X%OuWYAL;D}Ev-Xn#@YnShOv-GK;Y>!XJ6 znJ+|tbFFun?-d)os4b2zT0e;=&=lHG8o8w>WXno#SeelGvb*W3>9hPCw&LLq{`=8~ z5djrc#bQw%m@pAxADWK({^$U`rW}JIFHcX;ivd8(#=$?4k1FID$0=lL$xIOZ)O!24 zm#O^33k?nJwYh;!w|mbjK72e$w`Zz$r^RFL){~iN8Bvo);LHhce}Z?WuCEFQN90%M zqW_0>4N}3Y%aj=CmwSTx9xgfwPxjrZyzR`M=Vts(Fm7UiqS5}tyf6su)^ZQ`&T;kT ze+VA_%KiG}OYxV%TO-VOrClw(Yv@wxKS3~}7%>xG;2p}l$~7y~W7V#;+Dn?BrmPf1 zyf8&dEJfCD1(0Tgv)ohnqXW;V3JzUuOKnUmeQ`;tAjBg-uRhuzbZ8E3v%ew)uoBY= zyUJVF$?I=jxWjaK_2(bk2i-H9fO2x%(s=VK1IzB#BJXapY?6XdS{Tn0Nxv_sNc`|R zW}>xdEERJ%oAeIb@!}S`I4R!{yW(Niy!^m~|G0mWV2DVyOg5^0v^PJ=)_un7p&XgL zekcI&=LcrM^qTjMFOs>9$&|@%qk7sjFQ{NE z6(7=ccc+|fAMgkk9D`Ry6x?Rm zcX0h?$04>|UNNyTs}#^2m1zWkc`SCmQDsJ^F>3Tl=3u zj}6}N55xq7f)9Z4rBBpUaZ6i6R%Xo`oGY2zV}*Io72JC}mW1DEJY9Q^K~q$>W#h{x zLmewLz4V-}@nun=qhykV_L2>eh{eMb5j~R5qsY$7BRDb|Yd9NtmQ%=|< z(!~qxkG0tCi4(22_U@Oz)Qf@A${=ad6UW5ZU+*-LdQ(%DcKv&;1)Ig-sf4!Y)lXS2 zJr|~UjCN?v{UY|XidnH5^}z}`uLf)he>a3VP>A?P5-@39w|>TW;}Oek97H1aI1mv%-2P|v;seI)t-p3}Kj4+E@ zPuabnnv%b4@}whF3Lcy*GjA~~{GvXQ-&;eNu5Y#V&nK?P}g9DHHldMm9YL0fJwN5;7=z~rd za7##d3V0ADJ^B7_T0;UNhDZpf@^ojWa1LO19MKw7#A%nIq!cW;_}|ryC-Y#xGpMbb z1NJVD7b_LOiap{EPSdPABB)i^Wa8y&mC1n=)F>c<1I<0O{;wxvXZ?Gw7A4N^Yy~l9 zx(+i^886CgpB|6bh;qQ$ePOGo$KYus)h80Ew^g~KWn;F!FcSYbEnJjyeRwVR0mJZN zFF*u6>Hg&%#|x1TU~8 z*2#CeVLHY7bIIjPovtW7Vu{f)w-O>gpi{Nc*wqAdF`0kMZcD6;c7)rD)=9-fox%y_ z3A14}FyRLXGc?K<0MjVrwZh5Hx z_0A4LomEhbYdhl(0|OZ`;~Q$o-;U#jAs6upHL$PGgLTxe!T!RSnPm#oO@PCu5fH?U zNPlu1pJ$9xx@s!)S<9wEGSU=mYXR~Um;2tS4P6wFiO?u8=4ZR_h-p_*0s2y%0 z|5`<`o!_ZIgIMGm^ei+Jh?IAfk!zsCcrGi{>}z&6YY<_@PP35bDU6Um58 zY>Q}Q=Zfm)q3!WMN7s$m9!!$M9azjM+n5d5G;K=N-VI5Nl}h^9qZlGh9jLQlxH0)yPn z%dD5-C{*;DE?lwI^G=V`Ruh>GnVt^ZrEYuLOsd0vDFb@h8nQnZfl+S8#!JVA2L@h| zNm1#c;A}&n!+zwN3n+o|BX4btY_d{ri1XsKI-q{@-x^Ui_w?A00ds)GUxB#jLAq4U zW{RsOEfSsiX8JGZT3T8f?63l{b{Mrn3zZC^;82Q6hj7}gOqZ?)<}}APtXHnrc)HQSP1Qe)LZ{kbt=>N6IGHt; zFrTwLfd7b3?WB8BP;#q{N7mC_etyaFu!KzJpV=9SzxdwZ@i>&Ce!D}1!if#uO6
tP`;RUg>t>OJ7irR?1lWiCi-%5RW|94j*j_W&Y?f4c9~FUY^)%IMxC?=5y!(1kJHsf zMEvM^^dqWoO<}xfZddQMbqYAbea<}Ji{iO-0ExD*yj8Rp zF`0O!Ju>!3{||mr%LYi9zO@!#bZ<;uvuBsUJsmT4JoWy-Hkz_yqJP(*$-xF1s$uk- zThj4Zqx!MVjb;_?wrAD%0dwtP98++14Rr-MSvSv}`qJpJA*_q3DeQ2cfi}GRV!ztQ zfDLt{u2=NGw^#eHZ0?OyrxZv|geOSHk;cq_SWLQ9@Fz=|!u^%0?K@MjD9W+&>lr!T zxOKu8qns{HLFIhYX+P$Hni0kVLjvMt`Sj?P`A;38ugczI8}0}R2{~Kn?{P0{LTb&? zB5V_}5gBIsKbXaXqBG$_CBTQ8xS@le>p?Ci@cmy5Z2}Cf>R$|v5J{94h66VAW-Q0! zgvoTS_>pE#&}Qa^ZB@KQlhiYRU>O$Twd!!$@WxI4iS2mlbRN|9^BY!RpDTS>S*>P$ z=0lj6m+$aFHyj-<9Rq_DA+w&er6sGI(6okz<9{f@>(?=;6rzE#oHiR?d81mb@#8C9 z`dBu-RqIopn0q#M-%(n#{svf^FKMYR__w^E)Ih52X?N6y9Np8 zeSLzuFAOm)CXAX*d?(P(NmsgGHL1Gh#FxYC{K0#y69w8p3I)ebp#ivg*)&HR>jxrg5sPZNOli@OvwKE|A9?G|g9yRfR!|HG>u zJ5GFNcx)_z$Kh0Go{9FC{F{2xzq0jJS$F$t0Rw!WjENSsde9t7UvLpy4`GO=&-&Gh zfr3+ppFbTBS)7Ca`kfU>Ytso!eV7;W>vj~TEd0m*V3-~zMp3)8`$a&WiT)dto3mZP2N9pbOe~(5^~rt*uOVwI(St(>_jB zD-Q8(Z%JjDi}F>G1S5KQbv2_|w5#2`QB(FeW0&cUXf@)-PJbFVW02YegT;UJu+?ncHU{6zZ!QBt){dV?ET5s#{edi94 z^KsNi2y_$8 zP(?+T-__?%i7wK%8|<+SrcuffcR<5xLnF36k4MeGz_9ef52DkPXJ-!LAz;vbV*SvT zxIdy&Jn{=w)GuV3@(CJ!o84m?0C;XpVb8URNZlxT_wK27*2v_q`N>+mN75v~>-=`h z=$d1ne|Ir~kHimBm$T}<)!j^J4mEnGv-_&(R9-xSpR*o%Ri1=|&JN}CI=jVKklB5= zGgvw(Qo&8iW<61j5f8ese*8tNR&ajh8pTw6xJ)*N$v3v^WF?cNAVV2!9)43M{vtXp z4NVL1g1l(o$t;$qIvAGB>fT`z`dG=+V4`!a4}TV4{N9=%=XsIJ|Pu0`-Ky7B|fm@5Y2W7*EVuOXCm zzL8j0*%-qs-nu_kS6BZne5bj&dHTVNgWv*$+eY#OoXW3<{?7h7I{QKuSy;4#XF;HV zV|K4)!VL7!M?yx%6DI#o=jDwob;Tn0tHr%K-w@Ar%CT24AG01uUoh<<>KiI3F{q6e z)+ig%S53VWTp?V4k#sW}u11mk=Mc)3vtPOFovnju6tier{9X-|I!f@c^y#o^wZz6I zkC6VslUAsv)16VdMq}^%VP9tEO+AbRh0J(1KjB`K1rzvAST?v71`6dPNW z_{T{|Ae+C2g zuHNQa$v2YCX217iKf3gblVBE1(G`!@g&_2y_syR_en^D7@=4Hr#5mN3S zZz&u6^rG4rfwd@C(2jm;Xp@^t1`+Oycv2Dql!3i?9uP+z1; zCmyOZ%o6hlu@PjdDf2==vHnLu`Cq&h<*iSqI`mz0FFXWA>TbI-xhbTJTh7R)|Mtg> z>P_)4zmORMczRR+Js+2tip{bNCfLXX*od0Cdh}hr?%1Uz<4qp<10^5=E1Vn{PBT8# zP!eYp5B7!kdim49))^Dvu8cQ7IC1K%;4h5^z*;^;gaj@KMI*=K4)BWktN!=JGD6A3 z3;#^`FKi1Gb=-_Z+?DA4z?i-0u`vkIV=^h5(QsH0nF|_qyVqyPX1Vm+uky*U!|y+K z{NBkxeU8ngX0gnt<>)qR15O*zK$Zzs(_lY0=)0Ko(v6V04g0~BFexz(8M}?oRBJwxy;$mmrv4|`L{Ns-JLjX8${f|n z)TKXf6g|TeZm|U;nl8R}VVNC<66F|mex>>m;NVRn=~i~Pq3gC^;`zh4@F%T3{rdHy z1?kx0eZ_1&H_dFBcdq3d@S{sT4~#hz{}p)usS=@vNG20Gv>T4`7fs{1xJBNxine7 z?^z00cdz4q(aq`GY~nH4AuZ_1k3BOZR}4w1uAT>BH@PM6)9gZAi2NE*n-DN;56L z8pJ<dfE^MQ}%v*>U|nj3OxO{8mlk)qiP>J;G3BjYSn2k<2HW_eMvdO zCUyo1wZ`QO?D7TXX)}3~w`f(1*pmrODz0!?8Y@*kr$3b35s*#g4F#gxpVP*&8*hm5 zrcPf`A!Hi3*?a2Ogd+@&`BeH1Bs&Hxf!4q%x z)woQkcxM;=hxU?y4YNfyZw5m-Aekm%IwV0b(0=r|Amj`V#aqtMy1lIa=qURSQ$l3F zwPnrpvVj}X(5`TZp3HsL=}4m53dR?00Is1zgO~RuLpbl8X67Jxmj6R z4K{tyHyKL)f-U#e^|a3(T#ppO!NtR?Fc|{K4*r3Pnp(KlpUG8I2SjV#*y3Fy;7;QL zrFa#d578jzw*OYlXLOM&g6>);e&ly|#KU$L@mMa9rvHxr(nPE8S5CneA1k=Aa8*3rCKxV*YK4%d9=6zP05E3@5?>1VGb)WxXj)*_QRE~C>FT-^1|C;>A6Qd z(+}k6GC^Rxe^fbuc!-hJG9M!l z_&)VN!1wo$`#{X(*3w9H#1eapg^B?93uG2ZVnJ_w`UW<@*C<4tp;p*w%W?o;4`Vc9 zU=kQhzZ-dh>v_Mi@d}^K4Lv zAB|Vi&VL#C$ks&F6D4K!Fivy+^5ScW*jb9VOvw%cQc%IY*@Qt?aDL5b zYB&^+8fde-Kc&uJzVx4dmK%JVs_i=e@?^W5o}S*4gO^cMbL<>ajt}K=AcdoWpYZx` z{X{L{x;ohdymB-yvPJZ#jA#y1^?5%QhhbdPx0z+m0gF@c_q#vjDM#2MJ3%(TKIzzJmKcz_e`7LzE608XI!2Trg@QsMw( z0=a)rJ@2jJX-*TW6LLbmf4#5fsYt{QE1$x0f&9|0GO4mBnN(`u5EFSO}Jlyd^0i4qE_lr7ab1gx$7I3iz^DIvwKgk?2&b8K_=T9DK8H@$0IV^IojHG|*z zN49Tpn7U_d#=P<@-r1rv6N)irc6HN`<|$g5t&B1Y_Cd!OWHtPeCP^(woAOz-m?!>x z@w-=0iwwvz|DdothwULi6bVsL z_jtJ!JuU+3{RHAKF~i>PBtZKZDDcLnrqYk5JwnS_8+7GWb#F+NPdB84 ztU`xveu%>>>eLa73wl9YNc$8LaxTz8B@#!!=Ip)`SyP5oPW~N&AdJtgSC2F$ssIKH zC&>7iyp?ZZZQ+UWH4;lBz{8|gi7GwL!kV3Qby%ogg>EGAedWv1XD#>SClOzAYT+^4 zp#k@Ym#obXwWg>RM<$hMN}GSjWPS-r^9$hjK&9Crl@tJ1qI8ng1(L|BqW>X@oGS;) zEa}3C!SQpaIzmeNxWq>5Ls9_p{N~zS7i+@r1r7@s789Wm-!uDZOR7YF4MTl~fqdc_ zL{cS{D9}+fKR@%!zZNCpfkzv3%YQB^LyD1r*4V})KR*l#07~THe%ZGON?fZ(=k-nk z+AU4`9CA?q;~qS@c1(NF?aM(oZZVvesXCQ*+0T#QA|CETkHBl&1>bd=MO)-gMB=sk zRr=!Pu3&2F5exCF4@sv`_T-e%+*Oe{nIF~BPF~FVWPW2Zh*WvrOL`#G&y3H8S-tcv zmU!o4ndT!FvzhJ&-zduT+fU}zHf_ z@S7ravt{^7uXu8V*H{nEu!i*haEj1$?el|>V?nB&Y9rOB%r2_H`2$2Nt#xwzt5Z}3 zIbqBt%&&UROWnFny&M7qVWG0j4>edYF+VTe?53{G71|h_zAo~(g7Lw&{KS@@mp@)J zTkLE_nXi|t-7dSqME>GvZS#9HUxc#J6*+JJ07yI8Vb2KCYv)1s3WU{gS8$SNr4 z5UZSHru!F)e0Pr`j{}OFOUGx&G*~*LU1umP_F|ljeq1Z2q(WYYiPd7%3y<9mvFk`3 zu;Qd-W}c@KuHqCmZ=^?vhwcY+WgBa>@$kmmZUBubiXxS!*u?|_m4)lMcCCL`H)%fd zyJ-5aa(tiu8qfou#+|IJJ20Si2h6uu2NFHl@&2`N|gGEM(%@Fc2O# z3TzO{qo-b&3@;pv$ZgshXjBREo4l2y$d+c*@=U7F&Ht7rK3n}~VeQf16A3A8&`AI3 zE*;LFVD*>O?YzFd!#OrVn~kN$6S!98RxefE52Uxy<}w)#(vz!ui>VIMdlK*rnlIkV zgA8utY-k??>5VkYA<}!~^jMxQ`8=uk+7G%Qm?lvZ1>eYM}(qQUD zf`R0i=!zLH!@&1i9Q$yfq94ue%U$s)Z7-)Zq!T~@Dcm5iVJ^8%0ri}f>P(?;DZb@4}7RFATU&a@d9ZZZi#a%`*|*0 z^eP#NFD24&*c2NP{L;LO*Q8-n3~3%lnl9pofP554*Ql2T5~XGF)dBbS7sXjqeQi{w z&bso##%yJ|>D>$UW6thcza%Hvs2bC^^tV)PKT>3Qqc4yKr1w%at&>G6JP1(EW>!^L z$;p^H12CYSMFRIuq#~ZMcl;OCMaApOJ@0>fvgMJl$BB^`2xK+7Z_)<~fUcV;Z*MF& zLzV3l8|CMJa|wKu9|HmsfC{FfqbuD|H*R)JHYC7NF{)*P=A>V?kg_@fz`C6LUxL{p z_Dj#ROv2eI0K{TluYtDZI%Xd>w2#nrIDDMZXTM_e*OWI6yNwWPC$}kwvVNAb6|s}xrs&R@WlN$_lW_Rh;dFkJlx>&(KBra?5)fLSl1 zrVcYmeLa=O_)=_mEoV&QQcJcv(@zP~Cg1khrTw&_SN2?}n526#GBs6vcde2O$ki&S zXw+0yi331~I=Z(p7R3R>Uj?wkA<$+8Ddp_>3qHCADD!eGHSjiKo&V;IOD%hriQ>wg z=kF)lQ&dNxdy0`a^S`7XyCEBnC?neMTABAq!zw#ngbOGOO;TIP;r@eoXt}b41rO}6 z|4q4N?R{t!wV-=2?Hta^2BZ#?y;ieKNFn5s$wX>m*s;GmjBm3cl%huWV}!z*6H_zf z6cqfwe*Ma5KBwlra@_Zo(fnbUOI3t6y3QbCS*N`NLzgTRB3}H+UhYxuiqce$nk~NL z@ZL;%nJh9mJ@Thhh1KvvrPaby%}xAKqhL#K<2vrewI)(uu}^p&48%hX5s}3^&Fnkb zf)n}JpUJmTn#Y`xE+7>Df$vV*px9?rHFkHlmrG7y-<^5177q))_F%0Yl02B%c3eFK zv>#8~cXum6kyFgV!ovBIZJ!?$#uJkB9zw@9bv8g_qz3X|Cv4G3!iHx9oMuEe#!v4n zXBW7mX|=?E7hE2{atazqlicsku(0;2{rpd2Z;LY3eEw;WNt^tc9=~S4SHo4Fi0YFC zJAtlW{=2UCmyG@BEwtiAJ6`$WazuD|xFlUMtnFZg5Q$KL-E&8|8~b(0-8j5xd|dXW z9)*D23qz9|$<2|k>O`7+WYjOsyTms?n%H{05P&oD>jsB`{rW9P=JG^+BqeK7sHhjd zs5DiaF8UC^Y8|KU@O~8f@UeZVzj<!AB(si+r<_7}dcKpESqh$RUBK)0_yfO3gp;Fpt!5p&L!TTd?;%F!_e z1qC_!KQtfxf;_bWpPblY*c00WX(GAvUuGWRHe`J|#*0u9^jAE|^a(IYRY4RCD}KH= z_?~QqiJBdXxh*ig2Hgg&Cw|djA3nm7;32C_M0WbjoEL589Xs&|(4bz;GZ-BqgO1!$ zKCexbmbjjJo*Z1@20Ah{rG68B=(Ap|m2?sk6KjX&trVoQqMPxLqLUzs{_*-j9CG+=k}0* zrpTq5?>J&jWg9UUF9uoo|0lov`ofwmb~V=SE@ODm`2ha?41 z>k386D+(1Ibcf#Md;m9qeMZ}@wO^gE}?pYIG?0R}H{01F6PTnJ zlL6Y|!Ygd0;{n1_2L2BJt2^ms{HHtN;`Bp06JYrOt1E|FK?bAynN1 z+k48uf)vYU*xiRFJL6Y`{1SY?%@G1U$#>#^hgR%;H3|Ihok@36R6laAZdHRQ($M@! z$GOjObzbCJ_PQE%yZiL;A9hn(2@ZhLLow|vMRglq`}eT9~K@K-3pgo7(^;eX83 zF*wL)oc2_-&LnRp{;e&+_Hbf5S57HAmRoq3CF8mEvF;zO2|Z16c-BLH%8PYxUek)% z4yet}+K!D!zVIY`Z{{>`X`_Gz>ZD~65%n@sCoSeWKQ}fu{z#OMcr<+XZzQLO)j#?d z?)Pu5^w>K6Cv7`qb#~sfS?uS3mMB6C9UwXAW&VG&dGGT)CEGcJ<~0!HXP)(RiF0Y5CYo`1gZGXNWofwIx5RQBZ>9A`Gf|J2*3~v!< zwM5Itk}ycv9RHrM>ED9f&7-p=N_f6(bC}(x7iOlXKWkq?8hlvzbo&n~^v}q)rG(&{ z%8Z}u!~7{U@BL_D*e#MA>m^Po+t$Y}+#gX+m_uT?!kJ6-S+VLf*c6<;p`TrG{mzL0 zi>CLZ*F+UzVK<)jZlYHUetmzkMWs6mrLb)pyV&jH8vLi^8zKX zH>~ky0APDfR6&&-_8MPz{@)th-$K%^+DuK4k1cG->7s5X>*v{p)A=#Xls_ za6PHlOZM`&1S)^N3X2ZU#qT;eCR9)9{aE|DNI5m z2G0~IYUYt$tupQ2pTvDzrt$3|7-|9u=6jg0$7Mk`m__S2!=MSnQ;JfY6!i`LKfCIG zE8-d1FMs0tMUp7M#e9!yPv;x=aQ-xoF9R+92kQR_?0&*5i0X%Bj_W_*-?ySZf?6zG zz&sk9T*%C@|2tcwJD&%g-K94ksmnVM(KYD_F?L}W%8|AX4dJhsE8ZU1W~e@!RQr)` z{^9EZ*>x9z^PCT#$EPpL6st`886!JlATYeq^(UxJK6MZU{(C$h@gQez{7X}P)<691 z+mG-avco@dNX`I?DfhRtbq|kFnq0W5#q*Ew5z?#B;Tx-aRu^w(bcIA=h!F*}K7d+B zcSA<9G80hD-^Tj!gXHkpj~2(M$aipM8YBBi))!4XKdy^2TVVQZ6e9wB{k>_d^|0K& z`A?SGZfir!^JQ3>uXYPpDJ@_p3-n)iN`3UG|0I&Xpn`>@4rnrv1+QzoG4?-SLJD6T zCwnvAKa$Bj& zBNJ50xDV=xPQtO09cfH1lNRn|#88olS;pm!-^#7Ei=?Nq{-T=pw6o4G!61XjFT*D9 z*?!Z%q!pF9lJ-lzPw#Dk7KdF}SRJ^3e%kYljMBi&L(>|v%8z~wRJuz%hQEPv?L6h5 zdwlvM68P%?t5cc}Z42usDMTTvAAZyR&aGk{8iEL;kZ5h~Wdo;!+uon!x(!T$C) ze{9Mq-FiY-c%rOeA@Nq9Zl0n@pFQnMYdx$>**m4gzLCvdA$$LZ>&2zs{f_qa7c}8E*2e5s z_xjH(-@Ti!-we%JXG1@K&WTXRnzzUMI&Lnd>jjEl zNzs>3kbY6_vOD*ZIl9-}$UqcOKflLMdGkpM`RQ^TN-&0)X6RP;QxA8z6LkW zWuwm^PI|sobTjgb+3N-M+(?-ipo7vgis#sXDHNZbH;_8Sx$1TiOlHbQFry591BLiB zWqc%W*VX`NygQH!YL=G4u3j&hM;AJ^_?;5jUhMOyVI$TDMCboXm%ix1Qi;O!R*09H z-t73H1)W?+slytgU$?mR^AC19`W6(oHrUZmk4NKwuYG=W!6D+NAzPj)vI6Ct_g{6K z`(0i3W2EK1>)@j+hvy1zraMOblaI&mkNDfJ0$kzv>l-uZQ7ZN~2CRI)H8h-dnKg!# z^f4-6{v;w|sezszq&)_hkcMLt`!}p75sGc;6v?)Xu)B-Zh| zi$l_G%znjT`eN}~{;&DxV|LPBF&Ia?IQ6QzUxl^yWyV96vh+p2T=F`mLFjdo01#gM z?q{6(Q%A{u$Mc7BuwA(>^)zIHPyOc~2rmKG8N{HJ0%nnj zOBC!F)YaaDlCQ*zH7!rqVV=Mi4Qd9ScC5KaNoQj_oKv>=0f++U26he(j;92G>>y?s zVDldXK}Nb(s_?EgPTF@4VU0i%PQ7N2=Ppr7iFo15w~DO2Mz9Hp*HeD(?5IZ&m#%8& z;cmrY$I4huSCO?r4~POX-w4@kse23A*fXAA0AC(cMb1XGn-gIYOkgm>*Cd) z-Ju0W)xske?8$sKiz^8k!)Jm#2NzrKnrv0|IDiclz816p?hdRO+9_jRt9*!*>-$1_ zBY2>8q8je~=tFB9dJb8eWG`>&VBP!5T-7*CchE>o(Egp%ElZz~&J2E=SRlC}oV2JS zNY|kI-iE_Bx5TT>*iq7A3dH2o@(qw}4WW7i(RLR*7)FqE)m%{V6&=dsEE&8eJ#M8} z#62Ki`i3hES=Q6^XETP{7>&g_1Lgu*?@F>O-#PDB*(d&6}X^B@|h4k5uD7b{7Gn@dg5#zQcWRyz1%x5Q&ji z4f^>KS2Lxs!`$B%?4Nb)wkCYfD}Q1?DBJi84mPk4eEDhz!zd3htB#j+Uutn;c{Y+~gh!_w z4!Z`=J8*Zkl4r%pDPXViwWyXDDD{G#Xs@Tsx z0zFWgXB#iW(Z)J072SX}z59}q9v2m(sds$*GAj-oE7lPW9pHi1PyA1YF?WAd1?g%K z1kPsH)8dwtLMt^_ORRV=LGHa&w$sK!d*gfdnk)PObLuDG)D7j-d>xJn_rrwkbWq+u zHZs@_SDU$GTsBleJjTQ-hAh{Ol)J10(Bd1_y3IS9mig$bwi}k+(kiIbSxoLq8*nL# zZGS>6Z?mk=xJ#&_W`j@0(%tEbvxo4?h;&Vi-LL~6%ftg~c|?P;8@>J2&mz1~$R9hi z@Fz}ugd}$^VM2R9&-+IUpy7`A&M0H-4aTFpsl04=(E+a zmlY0p`pNur)~1IJnUYKll4SO~nfRT>V^!T}Oomir^$FeLG?s>31mh&*_zReEB`f71 zqSQW+ZIXShip^Q%W&1R1gar0|!4LMxUXF6)fSO3jG{8lzmw~r|tcYIVp zDE*PXgOyFG}B6~b}vhVKdbCr8PIwYWsg^gthM)6Cy9Gh{cyvF2(=X<#?k$@&}901BU6 zEog3y=DXA5>dXsZt@b{?JhXWYU5(>Xd0(>-{oKD1kW(5+{fB)8VIv8)bizMgl&;6v8Fvy z9atob8@X%$Ux1uDh{u+dM>y_RF5}}=XtB!AQ})q~zBW?c#Y$j2+|&waKSeeCMr($7 za$kp}oNFefPkCsZu|$UGgq%D-U$WZ!JwvlZO8bIxi(@Y?KK#3g^)#ev|uiZ~{j*?g)gbJrOq8(LUz9{E`I02D>Vm+K z&!8nzIdpVy(+YNqgvAG##-%JfUhp6$$Y)=%c1v?=!KR1VsSZDraXYjQC!M)u$^ci5*fY%_#ai~ z*tz3j^UrjA{L#mf{0m|c$91-)u@Pr?n~#bfN}D5@&|!9pzOA4XDTkoG;I`+o&7!rs zN#PR}&q-8K#gxR)QS)jAjqlZMQ^&tkr;lXU7w2Dal7#a1IUtyb zVtNX5ApTN|eecN@R?MOcijyQCxERaVyu>Z6{M0m;+{0>XL&Bx-tzO;Ec;&e{zgx57 z8`{uc@(-dsCGU%cr=Xx=s^l_Hqs1z$QA|^DgQ%1vX|)N~ZxSHqdQnn=lS4yze$JWW zs{NK#NlEF<&T?GG=yYXh$F&vEAw5LK8MD1y+eNh@t0oS3K;_{6qdfpx%DtG)pV=iUY>QXztx_W*7Q=hl5r>$bPrCKx!^wJ0)9 zo4NnT|LC8=3VdS|-L19EVLv9W)i1`Xk=2az!pEYgN`>MNo8>-(3+z~NcaH1Xo|b`+ z-`L9oSqjjx?$YPVg?|~edHp`3bwvFW*6?r!_Tg|`y7={NvDfc~{Y+qYjyvODlaRDdS7tZ9} zmtB1OR=Yy44u|KPmN#a~GF{t!Xq+PH8|h3BS&KyBt#V>F12GApy_6zxNu3+!tS;)j9tXq z)m#etE&|aJK*2(Vea|Hy$jqkqUsdrGD%R<%+Gi|b3bAjwa2g+Hw;C)7#;4asFZs|_a$!QuxDv$!c7t_(jpLx8!z-Z|&^QJO}NmT}@IO-zbfw#C7@gtDeACmT4WOr0?divzMEE`>o|2P+Wr|Z%Ij-Df7w| zSs!BSqke5meH3;$yI_|hGgQm*X@$Q~b8Dpe?PMpdPX)600m->?^}{*SM=~_DhKZ*5i|~c7xM#+`hW`;(=J*Xvfe3$Ww%@R*hNc>#Nt5Mc{H^Ytw4-P=hn$>JrfVI1PYXQ z&m4ZVGGHZ0>QIbS*_}_!`kGf?VkquE{^fr7}qehMprjJ%nguRnh&0p;eR;&1s^1VVk(# zK}K9GKGIXtQ|;k>7)*Yqkq%g#J9iFg45UYHtL?QS+xhWbGUcRfwafS(Ih6Q9?^=Oygr_1@Kfi)WeWv;SrzgupB@aRI(g7v1#Jmo$ zxMTpBvDH0zlh&qdp0Zhu&Vy$m-u?%+MG1{OT(;CV$sL_*-sDoCP zRD+Ak-1V)^*CpV0wQ@~HJ6sHKHjx)bIgO538G9(WeQO4#^#Rq-=nF-ZzcNiXxPnR5 zE?AhwH>$OzmftsirO)H)EJI3%Cj{z;-*8cG)DW%nAFYm3KchTDc4%(ND`ghgAibD9wdJ@FV%)*72RK8&SkJPQh^Kd{exkc z-m5LoE9#m6xdHQ_-{4a3eWe>Akbwq5-teowvIEDIY(3qg26L6G^)uKMRp@A?%slR7tkDQskp8iP}yL@zJS#W0b{4CGRJYWT~ zdF}$~g6*_VvdYb3t5^35R0pR(Mk~-*NH_s$bSXkt;sj)PaT7Evx1BYPF)^gp=)7^K zi*Tc9n4iS-cEGrv^1e)_WP?QYSx*)T*Xg}oA0MPGCl66uqTD~aUDM{buDDA`!A}*Y zq_vFSQbk{4{oo!Gjeu)e_r%mdnbHG;gi7WDx`aeun#Ys;7Azj( zRjcreg80F&A_&O@7@vvto?Dvadn7a+XOVUuH?SEnq zS=8WPa1{Y76?n+y3HFDAJfDx?{`NjsJE_Rv{ANTDK2P#A!n_m(XY1U;Ku#>EzX>@p z^&PBw#wJ)`HTXDqa4Pjk{HXH4Uxb50UR70r|@n()SZx zSPZD~`lIA~=1L@uq2I>@)8nE7SqE{Z&BTV}i#FjbUBZG(YcS~xKh?l|R#HwCD$jlT zWF)J-4riu8aSpM7JqZC+6!9yELP1^dtr?{6-FYasn~!0Kb897BB2?ek(6&e1-n8#R z_k6tpDCI=m)N^3Thz(jCuV0Sl7O;L3l}j!*^qGLSJjdxxT8o+oRd`R^NWZVStid8C z)As7Q`(Q^0kAii4y7`W%`Vi!avz>Pp{q0SXbeoYX1&%Ym;f(FyFFcasJo<)KJP_9p zOngcbBa@BYhd@mK24rr2;@!AgY>7SXbVWsG`A*6KKri#q?>z*B2pXThku&cAFa%4x zqn&rq&g_djZKCSm?K(u+6V}HY7rWSV`E-%D(u-H;r9bu9aGS+7q|2UX_@+5a>+RKZ zfpPX1+glQ%mt*hwu1lMpA$22U3<6A=-uz#5>o_`_;u zn183+LcjZ#7Mu+QDTy8wMOk_Iu6|h#7`bZD2t{H)kg_V*jtf}{OJ;EN?1Ex?1&r+; z0Vx>nOHJf%2eV)tAb%(&<(Zyu_(dMozL+0^@_`Lp6TV7eJ&ia>Pejn5(e|{a-%TPwZpV6zjjU+S(!}~Sten>d z&Q0!{nG}w@@o^OPKeZ35(TM;#8 zTN>XmeBjG=cj-&gwdmv8G3bp`V2@rVB9{h3O0F`%Nuq_=aME3B>8@|*;>>21RZRc# zC)ZgeZ^EobCx>ks3&5Hif)yL*sX<^>2SNxXcy6iUbwresJ1R-+DGZjNyY6&4lPSnA zB~WmbDj%XOE$^^V^sdPdjNpHDm-^6H5rDc(cP&+kH4OTN9Ab?Hv#U9h4&}F0biAV3)L3y(CR^+m4t3{sN2^-Hx2xQ z>QPb-t{`T-t5>GTg4sySX0v-&`Qag<5UsbDqES)E;h~|*m+3YrVicb?oV#2W>22vM zKH9!XQ&9DIAyVl^m+6&qp%iyYNms|#*}u_|TUP9uAQ)%3bKf#ljFa*fnG+TiJO|2y zy2+-{{52*M+2@a$oL^ua|D5!}=x`{B7}GadG&kab(Fo$!evj(Be@@AMtQvzOZvg=H zS`eq=D;A-ni9zqLrlJcEF5$&YbH=W~Xt4!6G`G#%J?V8>m9H>jfJK*L?6sFfKJ#tH zEM(>t`&U56Mf&N}CyKdS86&v{iiZ1=dIDbQ1W+pPlYa=q1`U;CdhDOo~vtHo-? z1^|vCu|({k{b8R1)L&#*f12v(#Ln3)J?xJ3B2oZ5R@_xyM_Jj)cZy=4{mZ~XPe!q$ zzX*5ZoztBq4-kJAEj~nT{JITkr1>l-iynj#DHA#$`{R!LzBvydX?*hkHdFSv$MVHl zG@Kz$(JQg=2Ejrh*2HVQqwv`^{p|br#$eI|>;C&Nu0mIwKf07gZ)T9)+pChMQA9-4 z((L)qiJnA{1j;&kVMXe2D<4|{VEvzpUSdaD5^*y}2(d>SsFP+1j zXr>D@J~et^R%z%mr;@O*8G~8NoL}E&i!`k7VZkIx$;wed!{{W{k%%j{){}eU%-uj@D^0HHG-@ugLOYU1 zRsD!D6xFUyMt5Ff4NbW;>b!8d%o9-xCKL%E-Znz&CLMn1%EwCzsYc1N=mPz|Ivyx4 z^gDuCk@hu>Fd1xrOV}6Yznk4BXeK!NZY#f_0;?(w+ARhY^S(g1j8EOT=3EAnRVfZm zv%n&AEo3qt$KQqvz1cPMae1Z#WWecOgZhZK{zhG5?DO5EJd3nh!ZT9!`?g)*s^=2w3$n`t>Q}9$j zk@eGxBjUX3v|;jlX^86V*|Tczz=l!>U`N^!Mfq^PDo9F*1#rPd$UbcW8{ww^o!D!X`TUjok7r=7`XLpaoZa7fdrY-i7&rn-wnuY@e_CABrG8%yY_sZm1O_k^cv7=jUfl)i%WzyMj=9s8zKC1M#2&4C0=F z`E`9AmsHv;@DEJ3;6EIT^QA2wo&?U82S_>DS(%RbwmA{y+@cFB zgLlrs1;cf~JH&<*iITQi=r9Fc!^&9oXrL!1rFIs zaQ!JxB4fLE8Xg+E0pyv;V))`o;tC-O<9kX36zsB+HTb0ERc1osWalT-M#Z@hWDW|- zpw-00#JK>3!%}Nd1!2b<0dp;lLJJg_`zsA%Tzr=pJh@Q zW-QyDiXfPln^A}N%-Ulm(KvJzVg7dDy<*xw@rx2BqiT5f+~@rDSA|i$c4S1(3}gUQ z(SbV5V`ar6Qq+k|*lx)S9c2k-;!R5EJE{RIdQPhxMmoo1GuJt9!=ajU%_);Sxu#3a zq&w{#Q)z|?B$nd5o_)E|Z?8z%xj}RQdsZ?9F~AQ?p;j&zjuV*DW@?sTVpE20woqL$ zX%oHC?T7AWJKx=Gs+6Rar45iQkM-1T-(BUM;B~I5v0rW_C0H;41_HKz9oN>fJZ8ex ztoA~Ae=)J#7UG6k0mQjn4-HBJq%qUUl~^**UB*(ah@cU%SK@6v zP@~U0mqQR)L-XVSm6T*|y}6Q@HTH!&&8B?z3$gP1pK2n++mI?ajXj7`L|jZpl=uhZaWS@^U{@SnG6O{!6q?I~Of%*F}lR zea#Aj;HW$Q{%1}aC9l`+G&L2G%&kT!-sBnjAKTS^S4Q4Fl+vfMiuEA1Pwc}F8_c<; z!$lN33{eKJ1)t&|v%Q59ahf}}?IQ7PrtV4=z1z*5%$Q6<&1-(!tc4*?m>&)~8=|G8 zMgyqU#^+H;gGL^G{)x0U2RcdsV6MPuOrhloR@A=eUK6&6ms61KFg3Gcw3pYm?C7i1 zc)R6cwT7D>s2HV1=&fQ(T0!@(Z8JG*ir)&itGGkv*9W-K2{>B6{m zQDHkVnJVjIoQC+`3L2QLy#Q913G1a-aT(YKDLDIaJz)#u}w|C{gQG|^QKYj(-ER_Kg69bL}0bDcMx4%OhPUPYfX!C$~rQ1nwdn2}KT zyyIuylz@n*XBcbo^`fE`dL~Z{7rE1v;7;EmX>N)lh7r9 z!STMv?zJRraF}?2%Q7LBpPk?IAR5~urk^)c;>&}5v^20QmVpJ&Y?obIO-yW;5FPVv z7r&FP^4~&b3dh2&N5icwl|8${_??bejJ^ObaoB^7BuNZ3!#>^~Z!tXD^&wwO+$6c&w==eWsTzP0}Re#n{mJa>s`ZXNknD?|14AdD27 zOYKm>@L2K&FF&p#0T)#?9M8t|gRfgUL2A}{)3v07qMHJd71htA^7ZRzyYkXB&ctlI zyne048UG0ubW_O-gqXk%*D~-i6}$|xAlg>a2No|VENmuc7HS^7F4HhA(X(HT?3qZj zPzb$;nE)icYRDBzU=(7`RkL<-$>eZ8B_=*v8LfiHU9+WKQZVHmsFdt~&)ngtG=pqL zFdi&X4v?9#t|7D^X4A5ziMKyI2jF$maJPXm(9nexDCGh=I}~rL1IWpmm}vSo;UOW3 z-pt|$TjPQmj8&%ZF3L;U+ZQ%v?}0fN=nAnWMDK0H!<@+*UPbdq3lPR@KmbIG5sSvk z_Yb^kL6Kh94aAu~S%SVLS+nGex}qCD*ordKIHXW6tLyw6Q! z@pRgfLbV2u<(X4z777O5aUhO9z(E2r?n#r377hgBt*hcO`Y_*Vl{wzW^>xCy{A1?XU{DOjY=il8Cd0K+x3(rI>-gkLV5zEFU4eG0BnfIf$XiJ zp^fnJ``B11Z)e2-MR3P*b{1hWkzQ^9_myb30|$hz@sV=E!+-045|bBk3vrs~M=C1F zM%828)u>{I(McMyZfS#^p$JTG1pP!)^d8Pc&qCBG!q-TOaQNRHFx@MH!KyenTwOvp zQ>!fR)GMgA6l!NPs;8Lf6{;`B$H&|3p2fw!;|vl;8dt4yXNOYIuBly(WA^nTkqi4^ z9hUc-OVm)qdvw@ch$?4*{Or=6?s zPxga#IVzWq=nL!G6Q)?+c@gYyfWndIyUR^WSL05u*K}=ZV(b=`xaDXwi;B`f^WIr~ zb)8^)Svlej4=BC~VX*P>qSY6#O$GH3=V!=xm?VzYzN^$Y_RKohovbn4R=1R@02ck{arSc!9t9h#d8u9#hgWH4tk#*;1 zBLAy91b~19)(oVi()a$r+w*9cR_Z3An#*S34>rpZkdI`pMN9&xc*8o#&R)h;s^ zt|s-L1Qpq3*FF1!Fqp|JxhnRaudKLDEsXin)q!BfI+8ig<6)+j%|J{$COMZ@E%e+B zRcsjnAQ}4z1DH(7W8vdV=KcdULu&0c`n?Z4+~%k_fpp>o*r)cu1eaRQb>hv9ysYx) z7`Toi!2k^z>6-s4r{8T)Qlyh@$kB?)NNWma^#tpgGhkY}k_;s&4}6vNkj$h}lw+oy zaS5Od4_GPHa9f_d$$->C@T~TNYB-++S`r%ehZ&txiD)h}=`R738UsF@a3(<$gG4TN z9Y#P@M^i=NP^D(T$0zK?IXpsu-;jpHB)UkHA$1wCT)=qSRNO7Bfw2puC|(YMzmHmm zc7>JzULJ_ZRKA#;gPy?9@HSB|OWX|6!iRm8oX6Mz)@f|$LWXkK?@>R5ig_k9EQ~^W z!{izY&Z(n8;b9jVPLX1>Fi$V)U=qQX!twRA4U}$p^ZVIC?^)Q%>+<${^{N_dPO-N| z!KL8jVn$;_PsGdhCGiA;qECXLYSt!|TS7ug_JBy1`sX2raw1uqf%oC=dhCs*Wk+{X z_rwPPP@O_4`E>Hf{;l?sWPLa)nn|+j?$t<(75b!Nd}3twin=Csz$?_V4(T%N4o<B~h}QC>`h!RFq}m^)?Jv! z+bi7Md=rAyxntOkv4xz+{RNZ?Ep!7zx`1}c(~-bVZxDi2I8TsA#Xr?C;%^%+u(7q;MhU1|NlMbr_!W?AL}xk5_RFpw^nG>b`K0NP9xu9;S0jkN%& z&CFmC@5b`TGIu$E_E7Z*eCihlm6nI!+LS_@2M*~P1=ye|uK>sE3zI2&MLmcb`SYW8rhb>11;! z#Kf=@`@!qp%u2pG7Wi2uAy6g+ZLA->(jl}bE5(NpJ?<5DY89@cYF|to_WNb3 zyF~K4jm;C>rLfZ%z%##wd++Q7i|#Oy6sw03e(e|M~M1@}YWL4o(|53h*u zw^RkIGIOftpkrfW`|Q5)fljR&wi0MsRxif3agK9a5zeL`78YJ@*sH6pwV!CMBRT!# z2wG}Ql0)%^yfIlK-<)k7Beb>l2qrmB@T|vW3$BzZiwAH&=+rORQ$nW;d3bW|Rg_@b zCyV3@If03kZbM*HMV)C{K$3?FKgpJZ=OMXlO?jmYBXl^SqE>D_x31DD@$gy{dsk=y6Z9{Cv@vs;?AB zqa6=sLkx867a@O zdz`-R#9bEML1^3ifOd5|C>@}u$3{tm>JxAdXc8v2d&%xip@)a-6aE6jZDL{Pk{KBp z(SX^hfnlD0?A4yTLF}hKuA@{Mc7Yy64^ZSW2VL`LQ(%zNtFA4^g~NZuD!d4M*X!11nq>5xXVooz3OI z+S+Tr#?2piv4~`+nzBL8I0$4sfhKHXquvVTy#A8tg7d6UkM+6~`92RVBgP@nQff0X zrFPA(TZ}914eO#$Dp{v~?TY-(8~-x&qq0}-4^{36ZJK=mpqh~7yDXNL(J76N!?*Y`59A}r-TP^r z=gyyDwssRZld|KY=Hez`uUty>r%L@>pt#>Z0;WtdZd!C~rW=n~jhN)Lq zSH(gXZmvX#qji05P-;Z=?((uy|TUE3G;M*Q1OD~xgzfiJ9vi`1i(V1 zW0<(YQc}MgTz@>VgDt(zOzjcvP>a66)NMYZC9;*DIhvJSqP$NUNgAAtwFwo`u-5yX z^b)7Jbbk_6s+QQA2Kr29x>qYvVxi%PsyzaDH}nW)q)mg{ubOWO2b^$pz@)NbhSMGYd$$60`wJTtI+QI;E@r0sQtlmnio;B0d7ovfE73bg1^>1l-K!hZK+zK}Mo@_J~nreT2TBF$C zz8SeEJS5lTo$uo=5#xA$)pj?hfhwa;=eRuZcax>Yx~D*SxM!n(JH?L>^8@-}MU$)B z@5`8JVgYmknpXd|aEZ((`{c{_^5i212aQR=|59Ck(Yg2ZC9!0lGE67`KCS z(4ATc(mwg{zknB%TnqbVLo)#_nth-B{=FvmG0BSFaV)Y18Q6v zx!{T$7iu%DCL876FFlorOI0cdQZ*jf3b8fGUwyl}gTB5WR{3-F4MJt%U21ZsEs- zu3%>T!rvdCmOB&L(EmVAPBTC>gbVjIE-m{wN{ks0duX!nRb2gb?G&RO>X^g+NI@V{ z4{k^hDim}aWMI|k-~G=oaSZoS;r8NIuuip(D!q4RUb_DH*_LeL&Op&D720q{%sL;{km4qtQwa0=y@U!Bv1ArePeU zJhP`%N+$m}**YpxmX(hbVYk4SFD+x&_>|hBN|N>g+QWxq`o4PbZOF!XyQtzhy=K>F zsqLibD6FrZB_o@<)#uYJ&N6mPK+p#^u;@S~0}=>ag1pWp9xmY!=S z8x^y$FsL-6(}5)@E)e>>fbl`I0cw@>^Ka1G&}bNH`TzH|M@1bR;dguc9`LkD_iE!% z4}m2#&i%>5uXny&X>%%qu^}7AhMm(hW3#pc;JdnIqVQkQf*iGu^fB@HE(N*xX7WW| zwtj)5t$wptG<1=s5M#|pS9hAKeYU$=F2v}FfMhG`R3X6#29hc6^4&NY24`+re}^Ep zL{}Ti)->S+1e$?Y_MO&_N$k03IY3fD3+jcI2{iU@0U zx3P{6#kJkJAoq>w8&o2Os zr8BI$@R$u=4V;pMA*kfFCyF;M$Mq|T?utjZ5c7^`EO)Bb(%s#>V22!XD7_n~&4fw4 zt7vJzFvI{Yxc=zT$BdwbHz4Jr1aTHMY;*6&HgNt+<^A)^GjJPIatVU_j}9s7mWK@& zy1wq<_USegd!3z)j}Kw6^O*9zyHHp$k40wt4{r763ml!0?(qrv&Y^fKFTb&V`a(VE z`F>J{nmvE^vsCO(w)cdoL}pU&sXAT0^c=&X{ZZA9tY#vX%iJ=0>DB0TyzA`7#ILS zGBT0K5Ny-ye|<&DAbFvW5c~3AfjJ!x**&LWe8Ncl6K)9Q%+TsGg2jFUuLm<6Tb4;A@1c^Zh~Lo6vV{i^Ep4aNlu?T>cADF@1x=*n1Pn$d%D4n zM3JKDFiGz=S{h)XY|)N$tc!NWW$}`pm?}k92^+g;f4i)M?T^CKjJ&+>`2JSY$}AY- z@$X_J#9iJkiKA4qUq8Rn;#s*p?(t#SY|R754l~i5&}s4@!&k@E#B6$BQ~Yu^`~Ob9 zxY$VY{V;+92fjwL$Mb zn-~hkb9ggat0I`IXERUBtpMiyGP3WXHVxq)M4fB{_{W#$^_Q0+f6L0sR)V|3q{RmS zd30e1sv(q1yOOiwp8|gs#<( zbvr1~ea(QYiSxc5!gA;QjZMe6Xj|-!+@`Cq2X8r6eX+EJ_I=&;=XTr?GlrcLJJx}V|pC*N2Sw=V8(Oo?&C?v zt=Sf5<-X|1{VYmbFqn`9FN9#YW*!P9}TW@g94%q$&!r$uO@DIeZXe6DU*gn{VIz$4omEOr>q zpRW#lpY^YiDSQ-;4z6iLN-Q>Xf}uV#=Csw>oqWO^yaCsFJT~}o#`l*57|sVi@}3RW zJJzUFEU7SHd?$et@nHu?D}oS{^(yNrGpBX>w+b3}a<4vk^3>zGLrvD|8=S)Ni{oQ< z`UJfY#w~=H`>XYIEca77ZS=9F5j%m_gV^5N$9VAi|b`rj#H<~wj_O`we=vri#6Yjl^oVWqe{K6vrAmH^O=%Wbuq zh98HW0STHOcuu2KnRV1XV43Gb_Ykw&=@ZIL<;YU$VnkSl+9pB*vXrUs=S(wQpfVOe z|0VookAQKRCReH%O`@6eBtxE^_Ve9l0>_mh`pLNWejo*hj~q}B_M zm;PQHAO{>rB3fjaS;>AkEhdRSSM;BuQex$3l1wuInC0`nIM#to#AX1x`PmgYh6`-~ z50s89Ui5N0Ox0B?J3=X|V>ubLUZaK(Lo7`uUdqB)tBS4MaU9q!p1^(Kw3$_qv?hX6 zNTTxIf_MVIEGkY4jCDZTnXMCRmUJu@l1~Mc6(I_>=(N7YO9W z)}q>yP=A?|08^yQbK@KWY)3~JHS^7-$4{!U(oKo-t~J=ns|A>frt$5mLU`i^ovCmX zG;tK*Scbd~eJvW3q}XVg?Ns|a!JG2(Uq`Dv^Xb0;;vm88hWX~( zy2xVdeU*6Y*eGU9{e01X@P>4Bhro4+e&b~{PZuQhB7mlqhV5{v!%PnsH(f0Vn9{ln z2ATU{ohelh#(-pa!nDS%2RDP4NNT#}vZ$yg?#oCan-uAe!5w{xxcHQ$O-nQDYIi9+ z_7L$TcgLgl=E0Xo5)7bYDtWk|40@*Km~Tqkr&7_2o1C6uXNGJpINkuTC4cnbN5ZWL zah|l3p<3rT6c%}j=?f=ubcJ~IAS0aEXy?Ez8H41&1t)BiYxGB7CC7_GYzfhka_1j( zUjd4wdsV)EmTkABoORXYZ%SgIZ@uCM{85;g3$(kvV}YFcVsNE=0L7sM^a(}$zw||Wj5_rzTn6V-fdbga9})3dtkB+QWy&ST*;9;t z@Y%VSr||r*>t3pLwZ}ct_g{K(B;3~lJWI?U$HO*P<{XXxkx zKYe2I6=Rx^JSbTkYo)(DJJ4~!#HTvU*c>f75QmT(Cv`8Thm6j71x3q#3`3UXw`swU z53txq#xmW3=n6Z#LHUf=V1BeLuIzInJ~D)92fm7wi`T2ji#mnP96X|ZAuZ@{r36fg!icv8F!iJHXpajjW#abcG9e|D?bEv z`f4h_#q9Ch2<~FH;^OU7zW8-eMBqkJzpv@WvNL=md2xA%vyS#pRISM9fCjri^vW#> zUHSlI0xL(?ERP-NFtByOX9*tt3a9n7Clh>#K2?N;g-PJO`$H2VUxDHnTyFz)&enRI zpW%+et18vUyf}sAEa6;)8zQc}kNhTWMpWX+K;i;FzZCB41SJVcXwuoPvg(J_f=5wf=0poUaUft8QLXLTO-yQ;BTeS5uP$>*!rk~N;R^g~Ka*wj%1P1Xv`HskQbMc@cC z3okD*yl_GO#mqrbkN%GqU?@D^yhsaHKlWYarwJ~cLck4scu!43E7f&>NA~vZ&I8N9 zlMhn@0|ooTJakL}%1ndiQ2t!;yWeAJ0(9z!w0-sK!>+rgi(^z}gfAV5l?ruhFlA?S zH@FL+wi-&}A&+I0UuK>6XyDQ(wUWM7uy6lSC-T-kPo?oEuo+ zvS5ev7`KVD#%Y6i6G2@IJ$}EbZWFF`j9S!F{I7&ra#!Pkx>kuBW~h+UhQY#?)LvU`|m)m`|p1ok8H{wm0dC_ zE0VHFM%jCY$O_qHk5Gzal#Hj9ky*)JC9)+lvI@!GWPi`Y>Z;fEe*Ip*&p*%0>$=M8 z@jQ=nKlgKP=XP#q1x;?7q|09+pQ-iRNTuHU>oZW9M+|)Xo&Z$g|_HB<#Qk$Wz8LgviXAkSp6cc%eJ5$J7!DQZ~mkg->A}xU{oZ3&IZ_*1> zF=)?{-UkpSfoe-=Wz6JN7#`2RL9zNH?*=t!=d*&;4lZukr^|TcY#6v*k1Hi<_Y#ZO zn8P!OpBX@Ic{D|8wi}9uR#?SSfk7dK`ufVafzxJCB%oEK$Q0To^a*C!=x$}WECK+z zEte0$vM(E|P8c9g#B6Yx_mWq`hN#=I^=P2*PLg;$tU9QIMXlLiQ-ys$4kz|6jp)C;-MH!I)i2tI_aOdjCH}D1J-Lq#63AHbBarUZ-km8600pbH zlnX96aymP4T^N@>=CTueVp5XbMx$!rP2l$;M7?l!-JYSf=3Pn*68DdezBTsZYwJ>xj`w=Wi}}ks0xBy`9naAAT{kz!OCXB1MXJH>O?L&3;CkQ)|2U!mppVlB z^vde$YI^xN0e*$#9O$;w0PnXW?#UJmXXw1Es~D)KG`cDwLG^Cw0%{h~PAhM>fH9~?>!?r`_4Vg1C-h5HDf6>eV0;LhZL>O=EboVLHL7d)A8I6g`w5D!Iws{ zG7`YzHzRLcg;|8ChRbw0n>W^4ukg4tGf_o-=e0aLYqOcC(VCaZbQK}Hmtp%3f314u zsjWeO+5*mm*2l1gIb?J2)mbI_?D&pUKSqb2t!Thbw{v+03Lk@61^qr46bPpciO5e` z2iYv;e7+3Ne1Uh}Ia*l+grx)^4N6JZt(I@YER7`0(&*~Fe-0hL8_pnHg$y+eqjU>x z5-51mR#2fYT|#pU015fl#5d6R=_U-q^bD-K27uosds?rR*pA>qh7EPs0AP~Sp}a8A}JKxW6XISNn`PF3N+ zow~XFL&%XAcyK(We%+zy^*BiP=vZex(p*&gp_XUQ0ROaD6NC2AJi}8M>iu4gyGa;Q zTT^3RQCo*??)(pT+y61N1mKJgJ%$%kGd=t$&XY&F%J8~4X{1W1e_<*l6L6Xe5=EY0 zWSXVi9>oI;GV)E{gx}g$HW$;|#J!$ErLuQ#Ttj&IFn<5=gW?f8>Fn}1-5tCm{IaVg z_AVw)2=E_WXBR);_{Iz71pZg#&nnes*`D=C!#}P?@|}9cuAF-rVk5Rw!ynmk12}r!3&XABt_scx6lbB#7Y;I=WE%(3~1I< zu24`*OBVU|QQ+b)72{q7PMx~7UUFmbxASxaKqnv<+TK{8hPu;^#F8{qeCy7gcYe4} zFFCLc({U|5f=Fjt#SQ&mLN(3Oqq}tteSp`?Lzm5182puAToZ-MnZVQN)-wLb0q^;h zR~VS}hdG+B!mY&xo11jRgCWj^Pr%+w75rOI0^DEG&3m-~PMlvUadJS6?VFV${eaH6 zn>{QmekpwEl9rS4D%_*&gSR~qStDB0o(j(j@mTH(WW)kYcm(r89KRhF5N>KN&y+qf zDusT?=l*rSfc0&`RUp{V`24!5hwQBWs1xl19BDOti*OM_0AR_O3W13|y}&l8+%H?d z-U-Ybk>1!4Xfz;VF@g8*+e+JPZMYE7Tq1)F!1bSg6phAx7Q?fSA+)c3iKJv$$v`KxoFuM45QZA4RAs`$l7|MFWuv$rIFMa(7o&bF~|=#Gb7@SuT0 zw!rWJ7YW86UiP1u_x}WGYAqzgCZ*!Bkwt<|mc!3vm7 z`3|#ST_>4MG0lW9^z+(L)zCW$&uo%X1b^j*k``(mgyk2y-Q+>Ws)SKjSI45sU0Bg2 z%@XE!DOSi`%ZXo#GtBRl^hbg{6w*r*9jMUlK3|{_nxrj;(DiOy!cD-#$3L&~Vng>V z+HH_wFnOeKehevO5OM@)j>KAc_G6LBq;k{ZzP3B6`Xs*Y=_v(Jxw>C$R1foiK+p0D zB4z##BUg2bNq+0nv(W-)hsS4c5k1p+|8?sWi!D^6&QO~=$huGF)pCLyX#oX^0&r`J zsoi@a$O8S9%c^uvQrzPG6G^3Y;IcBH1!~qJGa2sUS$F|{LP8s}?-9O+ZTLyF`?mVh zQa3CuGr!q-u21pZzCDhKF9TpMz*H;9db3kEX`~O5W5H3arZkM`_xo(aN-f zJ)UzM#-kZ4D+^hoP~bd_XM99@a2?=b8W|U;mgqh4D9)NXKYYyq;}JVUg9~O$J41u> zG~?@CMe;1AnHFmFH%Uu zRjE_h{rRg3nEZMt>xouz`>@9vwNyV&vi?#_GSw85C-IG0Ec&)mPrKo*>`!%gdY6$V zZM6DcZ1lVDC{N!sl+2p zpLv%9QSc0W@IZN4$jD$PdJ`Q-FT%_+#+5>KBN?6lJUNG+K<#@jpnQCQUlk0KMo5FT zIKi|n%5S}F>5F#HK<6}V?i>?}6Ums-BZ)Z5LdDWkV4@_{S`fMd?DNnrhB6xI9fwxL zFNuu~Qy*JDVO^Tw{@&DTX&vHa_Jph_cQ&-_O+9sJ`ZYf4lmkuG6h=cutil0_Gb8k4 zI(^!FGm2Fii_UX{3zXLALML24n^_H{o!OKQ)rV-EPcN={3x@lf?i|w`1=__2Kt%F$ zYa#CbjBEqU`1aH>nhL)Vq>A^&CTz}^FQP;SMyAT<0mR6KneubNwjj+=?C|j^^s;Ef zo>WftA|Z18mo44p%h(WKR`nY79+VzcNmm+iZA~d{rqWI7@3j*MKv$zc%9RB-;6B%% z3C~|=gvwZd5#1@fd%fNJ#4{&Bm`R}!bX~MrE%7c*)rOWc5XlDnFr6uO?;{Zdv@&-c z^{O&FLf@2q2HA~7xY1XsUro;|^MZ_mG5r*`%@j$f<4F8=nd@A z8d^L;tYgpSmHgj*N>L*wu+X87Fk*ZdL(+aBYd|o#C5!`ODZ2FO5+Ap5;x~9tvvrt;}p>BtxH-=2UFo^QvQ=H|@zn~Yh8wEm{ za-nl@?qj1Wr@kXA?ilF!J)#(Au0;kM70x>aU}%;tw#jPUcwS;7Hr5d3CC0BWhBw;y zEJ;vhm@Tsf1LDd7&RLzsk!K6}K4M;RvvjR;!(@?W^VZ zQ&RHi8&-v)u}AK%(!a*g5?byuVi)I+?NorWJ3O51<6(p8qeTO!x?n11#=f)t+o)2! z-@QjL?x^5CeJRRD%tik)R9FSy{MslXPF`^@3wgal(dZ?%N@D``z1HB%5^=HHz;Ny5 z9cp1E3PZ5Lc@stmj1P~uDCz=D(Y!enZ@oREj#|i#3hDF!F6AKmAUL=hCJT^}mJXR) zf_v#%JmgtFN$KSG;o(EzY1^7uy#o%#G>rr>B8suKMsqQiAZ88oBGdMBf(;jhloG0z*UwN7F+Ie>Jtm$wxZtC)m`m65wY$h9uvWM9y9ooaNwd)Z~nql8Goxk#F zo*;8!q2Z-kmKaKtdr%&uRp1~EH07r-HQh&!hq@b^_*1!pYIs|H?AP>1 zCLY%bz6cq^a}-1$NwKI;*gaUDF;x@VrNo}ek@_O%T?r^T=WI=6F*+TAZ45gu`HWgB z%ZmcGpjTHI!=E)Ul{6=e)*IEgzZc77$ejs#u9$RFXypFpge}$&Hzx{ftINJ8N6#+i zM#Y~}b>@^pcSiX6!F0m3nD`WnpFg_Im_WtSBYcWh*n=9{mcIb>jmT(OA%2Cub3}5B zkvaTXApRS5zcTiqlYt6(7Fm}D^AGpdmw8bN?5EIfgIHxX^ci4y;Q<-rCM}-AcD9ePal`J0Hb$GQl|;i;aRr#StU}j=h?F``E6q58+Zx+zWVFU{G;) z#cHbmF?RiiyA)~^a#04fXZ^HFP;Kvy)_%o=tuRLs<$W!w#K&HWMMU6HMVoi%ZY@!P zM-?H|7Y4M6X)()@6;4eH7(DZifws9L&o?378IsE|OQhA*tzr-Ph^&CUkkmQWa za6~fGg*_H=#bp`YgW7X_k?tku1M0)OVXRUIu3B49btg=$%K+!;S5}>?%z5smUxCIR ze}1KAwzgxa=I~PSS`u^4I>6DL_Gp5S*Gtewj1LF{B%!wgM^+n{R+MQ{W^=Q6^Ap@r?yZ{W&fXY+n{EO6owVzt|+msGKoPqZT? zI(x1V0WCy+OR%7=QQu~El6Tv&zTfg(Yq_L>TkFtirrKW}RS%puzn=d!TdR_Mi%ru| zLhu?bupy z6}@Zn6uY={eQcBT%SQ$7xx1mKvL~NW*UrWJ?kid(8xI4IKpE*2y9~O@)R|`A9QJU` zdziq%MqhQ5!+kc-RLwr&Lt@Ga8cV^)dGTP+AfHYE&*D`PgD;p&l`#45uZ82wJQT)R zj`Yx>Jia2~Hy7%JG%Bbzx(6V#%|d(;q0N?&mgW**hM8_3KMa{cTYB2ydc8nD6REUh z0jfxcJwC>H9qZf^^~Xs+!y*+l&_bMBowuzJfNfY*7j5Wa-Id$4{Pb;HmKY)T;;RO+ zP6O@*%b_v7_;FvVyu@nF7DnBvC%wdu1z}`2ynkHeUxw(Wmsktxo)O}(aTGzr#{oz3 z3@ens?fs#F#Eu*gm12Cq_4cBc>xPDmYs*s)K;EA$MN77^%ZfYa08eUU3Tpo&g5~|^D^Xk ze8yHW99rM~BxtaMq&=UZk&&Q~zTE6B5d$?eGc&WU*S82k6V<}9 z=MC=q%8V1vSxXoe2V~$mGnO4h8awdSG8Zg`@m1G0;o$rKc|MQH+Ss~kfCxRl99(z zM@sJ2kGX+xBSQ9chUlZ$J7Gff%M^$23S+coYYfZHp&D}qL}tiEo<-Bf3~#?coGrb= z73zkHQ{9BSfzS&bS~uRy)``uv&|!RYE9S8K#{~ya46TiI>c_>s5Y4Vc0;=I7L}vXQI&o__TdH?^{xHdin?Y4johYDHkZ5Dq@Pv74Cgf{ zReoK9!uVUAf!SUT!GQrp={wNCp6o3mMW%m{;Q>UZ0q)A9WzxC&{&?hXI4`fBWU!E= zO`JK$#-oRo1M3H(;Y}GDcYks8{7v@FU&J{%Bplq+EEi zG%Be~4rxzacEKztx8?LwztQPP@>4GrXN$%&iCbKzEX@1vfOJ)}QB*{FU85M#^Ir%7 z@UjHhf7%u`X1*9iiMVy)vW5hQ4zcMIScM-Zn{IJ_7iK=}M(${h?Hz{s{*LYBxq5<; z=L78K)?Yq9lS^yDr6W)>F?&Vy`>P&6B4osHG}eRQu)!0)3(HnzfeK5B~DLN9KBiRr=%&rJzD)L$wMPHrEWf-cW9{AZFSg14qv&N5FYddxH5O9zTLAR@u|=rphkZz(ul-8DUqqBj64Fk2f5Vp9%8$i(oeWQ7qRL9(?RwMg0nZ@tj_ zkpZN#uaBz8@2o#u?c*b`dGH0gMz`uH(RaNiTrq-J`)m%0_-c!H#it)-CuQ0bWr%?a zZhTq;8?zmWGSLf$TA=y$QPBP7#n3e!UWt1FMb^}n6rOt5YL9SiP*it1qQSaCwXoh`9DE@%FAHK`5{4X3<5cMgPpNb3nPivN} zJ|-L`fN4LlqkVT3$fR;vd^sy2De3A&9CzhE9r5XoBWi#n0!4fC-N$}LeFoI15=z3j zr5Rtr0{j!_i+mp(W%(yN^3MRM46@;&L(*Rd5hP2veuFB}Tj zphsgtwCWI5E<_%02!=wBR+4uy?O#3raNR8+E9Y}5LRhpjJOc_R@>w+kg&98U?d44& z7-UC}^WXsX-8PlB%a~+>e8IhhsAaz@+@q2}V@5*+`lvOhS%;Tln9bDEK+YEApyLt)Fh$%ATjA;LaNEdm44 zVPRp}+brztN89wTT?=kAGP!f`^p(@ld9oLNFW;|9{hF3#q};$uTVQ*{?AovIDqcD7 zrnA^>W|}Z(jko7z2#~=^mh&UGek@*W!a9r>p%Hi12fZFiwz|`~QaORw-lz-sL2{eY z6VDnt%C?8(O==8hY;H&}_1cOjlMLl7x8+>4S<4{FPgBC6Bf#su*7%%{FhpSf;}X98 zSQ{n)@9cPF3MX^V+nl`Ba`1=;2C~41V9>R3C%y+J0Mi*D@8JDWrAiKg)!k!xy6*u9 zc`@?yYZkl)j)D=O??8LapP@7&-2F}7hw%LT{YOyhp&a{MfS-cO<)Tman*H#9!^r|o zMI!}<0%R)Q2>-Bw$V5=(?O1}hgmUM>&uhECzJ$SfZT`4@{}hSzawGnVXz>8ZgB=b^ z6gPmG!=jkz*QNnS-qlru`oLdiWq@Qe-K~Pl;xa@)7pXT3xeYh~6J z{Fx}YY5zj_$Y3+~{fYp{JHS{<-20aA-@ns;^W@^?Mbay2)fO(MNuAHJB(rckT$%zXAyiq7x@xHm=p{ zNkQ_3VASJZ0Dlo`gk6{7_XreUIa2&*_{4F5i|m9p8d&y6wHnk|@jV;-HtOEwkS#x~ zYmBdejqlFm>8XeeP9L)D!wU!@Y$X*|FK8e~L9&Y*q@z(}fAeqTtjN8koP?Zke!rL39Fo^jJmUz&pKs(k8 zWH(N-vB{X71EP(f;Mqq9`GGT2r2zVhWd;VBhr=x6bZpf3@*8h)%)H04WDMH{&HV=C zdu@)l+=hE>!RMxkfz&`EwE$)>M1j_Gil)5ac{M+@BLGFbuA}t9MWHf6#NW{6jw#G1 zdEs6Eg-e-j%%&WI=dUqUg@U00v+dcZ;o*Lulx76OPOa;q_q*R-eMF5k3v+LOy%3dx zY?oiZPyl#Dv@Uzj@4nN)tuJz6$G}JbHgLx>82GAxJPcXWAUGXx9~>H3^-3>Bp4Ie$ zhR90=c8k4~K?gD-2Fg8j#S6V0QO9_C<0GPE(7N<4uyLG{*!1 zZpQYy<`rcaC|m-`_Zui3duXksTKcoTsj zpFyCUK)_y#JDUXQ#6yEU?`01T+z@&Ft#V4xE0@yzK)|l~2_Py=k<1TJUayepJV*0JR+tDlW=B|r?Hinz8{vcQAT#=Y+JFxzX8pHT zPM#BgW*g2@%|?i&TkdwOpx^b3$7aW!C-mIhB+}B-NE4SN&h-jNf*yg55>;SkdyC+} zz)GMo&w@e{>t!s8{UdmgK$77wArAZe_HMecfC<3O7IopvN;XK4feK;ZQP4p1=Q9?p{FQ_hOx=}aky-!ej|r|Dm4_PR zK&(Yku~>IZx3-VJ?b9x?GROTi+XUD<=ki>)dCuoJn4S$t2QhRWtDu$@|Axi^UDi4{lypK{X-_ssBrP95dGLR_~=ZznL! z#``O#VYFIeC`>RI;a~9v$5p*S(i;vhV`(&yd=J%9p)7=rejpJ32&V8Zg^yck(80wX z@A73ofjERHR?>Q}7a4#HL$!Ey@H1%piFAm`kn!AkZzfRS{Xco_L!+cz;~SVvY_ctf z7~}eKB+jvs8{}jAB1}c-_6^fh10@^Am$FH+tj-~6);)q_KJe1Vw@P7%P)bftQbNLS z-pF8||Nf6iP_h#O8bKxI&k*nxLIAC*C;K-)5+xP`f7h$d&KGw8ec<^T7#L(6_5GJO zVM`AAKprNEEpWDI6*S(QZOx@qNIglEFij~sk);P@gp$-IOOOIQzvOaz_aVFlF<^@x zGMIKP_ELv|2vAHq%Wr1EK&#%7a5R6tytz~Vc-ljd%vKN}&KP*Eomiujk77lgJxxM% z=u7-N{1ftL6d%Z3fqXTk`^Gsk1$juw#+!oD$>*R-*kD+Je1PJuk^;)?1NyJ=$MZ5O zj!frBS8KPE_s!YX)}{cH%aa2$R2Nw3B6~rE4?yNjk{qjZ6pnez{Gn&Aoz-#n7pt` z|A$y_M8LbAe?+~#AB&NJ$G4nfR-Sw+hw&QP(T^`;Wqd$$dH3+#(GOa8Jk|4XKd}a^ zmyEX%pV^m65WO+oTLjoMN<97d+(r_L;2X4Zsf64vCPz-z0)D^=_>07qD`Q_0DE)p; zQ;+=wdE3B{5T{?3iaI*fJ6vB>@H5JPh?TX%MVhc40YP>}(lX&uWzE*er^z4a;LAT2 zggI}2j)z)U38{t6E@rqh2}d7zs33Sa%a z;!l2P4$I#IHTtj{6(EdBL!$O{6DEB>06rP$gV`aK+j9Gxeu?7|katF=!m~Yb7QL}) zD}gqjQN8(&@jp$LfFPDeuUq~O2T)h^JyqFWg?`$n0&D%9aG=s{4dPx*ke8v>6g21# zlKFkGp;8nn*(f9+CfrjJF-Qm#$&VCidMnc1Q$ykR4&v|2+2M^G9+-C&zM2`jn=ahI z^adwR0;qvsCW1pvR!Rz|o(LFOWF~rk`w0b}h8R*Uqz3JWRHPju?XuardP9A+y+@dH z;sltb&)ZMB(Ho3z@}NNc+UBVE${rcrMs-?Mrz1$H2+cdTd1xDY%&$@f>SSr zsCZyL2Wdg@&(eDQLgW%EbyNtm%kKmix7WSDc83hQ_1q zm}k$V&mJ7Q8yeujHED2-H3nrhQi5-<+6`u-znoSsIT1B33_aKG_7>hO#EII%D^%j7H#ZS z7pr$&^$I!UfEPX|9la0M-J;GD+kFx*a*U(Y7rlP{az4ex#2SuaJUa_29Z{&30wT9MhBws^0=M_=Mgs%^)omws#qXSjIPRqJSLfj-%+1-b2x)_% zOLjT@Yo_M;jrDSs{vTVPKAU*A?6%R&fU8gyguxh^WER(JTn6h`drnc92Q3*Nh}apJkMBw zj$!W2Iuh8vQpqN67`yWuZzzIOYT19+xUlP_B8Z&8@#n!%)~d3hI49$8RB;!er&t_f zdbzbwq4**Ax?W&DptEbF&3|MAnT(vCTW-*66~0=u-=+WmX~G*bLwrMDlvcx8e&!L% z-)MG3!r}jcz6cJ}0!Z*q4G+&gOBbV|xIaz8_-ae)w-;u0JCRq-lhYKmXsNgiC62a1 zGs+D}Nov`Uydc9f zAOyU5<mBb0_}p-$%#sIMN8gD& z|4Zn?M-X9n#t4?5j|m?c^{;rc{!f>`dS9Y*&ga7AOq!cjsK&-? zz3wZ0U9XHE9KHz>@CfMzRtwjGJ<#5%z zsjaO7$%_lff~By|j=f=eS321XY1EjRX70-7pJfDtJh(>Iuy=X0oJG?8C!|X2CF&f9 zIQk6;P7`G@&Z9Y$pg*L;#KX9tz(h3iypI`_)8f!pI!Cv%;UMmx&&sDFuCA95Wml*I zM=lzG^gPT0d-c=4%Iohd*gdrSf7S~<0l1oCE9aZ`Z}X~Dwj@J)JtjQYi*r;ITQ+h? zyz>Eoya~%90mkUyUbmLJmIb8ZV?%`LP*6S2jYve_wBoquLQ~J8*q3uFJ7NCp&TUQu zg{rjlCm$RRokG+RM3Wq8hQK6OQgx3J@gC5z@0G|4)KpVB>2h3rX0G(CE-PwU^E;w58I zsHASC*I$CB^iu&BZXha?avL4x=HmEWX6&6324pVQ=t=WmxlfldCrfgYnC3?9y{+4@O=R|4qjMJCR-WG?7oV!`Novv{f zW&lQtigPF>2Lb?;%C1}NeA}%0!OlvygO5QY*iYqHx8&~5w;8(FOg}ckHz{H$$mS_J zb}yCbGb;2X8?wM^ZltcR-D$z^*@K-WU-Y3o=zt@^5c_)`h#;00&_XY2d@Y_D>!_t8 zV5&exsdoCxp}h?+0<5sCrPVA+)WGzlWZ}tNqss0}Q^^~0812*f@k>4GcVh!Tq|n2f z8l?+?m}o1=!Wum}lH4%P^%k7vt&&Z3%jV&d5oTcPoi>D1V@>~(&|y+z)or*nZk4=3Qgn&0^}gsg4RF51oin} zu!CJ0{_`6kSPzYYOu33lIgB>d*t=i5cko_`;$S73_+8jO{D$~sFY&LLq+2hqDe@gB zdmC4-YF932hh6(v3M?(fh;tb2D(pY{X=+G9P60NrTVDg4qf5F-pa#f|dFFe5ZE&j= zV!SM%YsWM)Q+V3(@PzNXKI!S;ctDV?KtN>(4bc$>G3(^%ifxaSWQ-j^I$82I!Mky{WMOows^`$(Ge-1lf6voPT5jYw8Xc>no}Lc@_Y zTy4`0Yt!C+ZQ*ilo_t*l)fOsCXHwK!K3FU#KaT<@W=#eAJ__7hNydTqw8k5V$?&qu z-T=KBB@2p{uMw@4SlFm-z{e(F|1%U2{A|ySA-ZVLPSjhG9(LfZq@VQt;T2kjXpX!d=cCgAxY>q`9E?$g3u@h(D52aXk z)y_l1aU7bDUtp%%C(a%Q!!72A>x!p~CnlMVPwo1h5HXBw>7Bj6$9Ctyo!=t%PKLFD z{9J5v@H1(=uL7|`>QScQ=7}Fy?ba5@NYjKz?LpB-`=n&Bg1LFdWp7ekgwxcbHe72IF8m9!4 z`*x*UfJU|hdGlIMfVsIT*7H$ski5y}xBGGPc?o0*8G2=Fb2Sf6--E$;SKf%~-SwT| zDb8}R*)BmD_D8l#2HRX6{5a5}xaDS5{dsbvX{~e3-3nt!fecpeu^jXB@$yB7pEy~A z2!g=v7u{XJ?c0uxaCtLF$0UlX$4yGK(^+3aovDGnu@>C$I)bK-DG~vv26scN2OttV zfq@OJ-j9z@s-`I<1ZG!B@5WtcMK5fPvZuU;lDh}{91>4fQU4iFLht50$MOeSPCG!V zK3Gu92*OmlHt1p4b2u}KA%EKjiQJ#Z7%uLvAQONsu$}DJ%U{l9$1s)&w_DA*aIxu& zrhir$3)XVE!>h0F3vXjA<)3eZ1OI7_PrTcF&~({~UY1T#n>j1UPlTGyI!!`pp95}h zOjFvQmey%9BVbuC6Vw;egged?wqFf3GoN-Xxs==Wh1v4s1Ns^>A7 z`yti0M_#f`GuhFFnlsjLBEIx}Q+m|fT_oXi2)!2m*hjsOrz#(cI-YpvggE_kMOred zo<)@w#4 z+r4P?+}++uA4-5OP#I02Y4UK_?A7XCm-1sd{<Q_EW5tW_4EQhBs;J|$j!++=Nd8Hy-<5C*bm-@;sCsSrWZX@hIC)AbJMxOGy z`LRs+6K?M0H#BY8*V{M-r0WAM`<0s`i(5h_b($}+!~5B6LO!U8_ZDe-5dDHlN*>7W zK`vSjXn}XM!&V=?hHcm5prKkHnSYm-y$#FXgcxa_z^K&=Sa{CU$$NxWK;tm>(crJe z&!`S^0{Yj;T{(jTYaA~a`kg@cpHKbS!ob^yBdL@Wbzv+ie)%$K$QkceRBOaFB5ZUk zJou@p^we24D}q-^D;@9#WKF`aVoLH{R$bZbn8ttR%rWPCWWD|7_aDysHFgVjMxmoh z6g)Q;EUZ8zzU6wLq6uq)#2~$$ul3Qy+>JOzIJ1Y&d_BDPWQpY9-po?}65E~h z`3Se42G{{%l9WekVdm!#v^bdJ)&UvEX?DMlM93by7-CfU#5FdYy+wO1@G|mcV{^t! zW(dO6uyd{tXFLv*&*&2?M&;W}P7R6^ZZTwSD`?GUzHus{nGQ;_a@M-EeQ&m9D0m1W zRo?&se6$b~GwxhGgOuLE!2|%G%E6d6p_}lr21$RQ>9)~0^%6U?*S_WY#?@)+{uAT& zJ{RCPSu}s+f(T4eBb&kPu600WlaAy)Qoz=eW}etx1&BO0tOhmsxd;ntk;H}QA}j9| zvyhU{sM(w$KJ&2`hph%Ll3^afqmjW%pdUoRi=(+F!zlh8QWkgpKB}7qHzi$E2D>NZ zmE#-gFA{H-OstGWM?u=cd#smgxOzEQnh2IN2S9*(0UF~Y7m;MqE-Zwo3@-sMzEWZajsYf+M1T90gL&hj@I@$Z~cxff`TiwaIk}*-Vx6 zFk~by9~!)H|Et5g62@re9xVSKi7;jK8N|WUf#mfQX5L$Y5go-E30<0c9p>I2LB!_* zpX@l$&W8kq{O3sUhiG(9WbEDQ8#{#~d_IpCqdcwJ=g;x5G)5zfk6&NG#Xw_5(#V@y zB$Q+jj=I->)X0((Z`&uX@Va$CA_#K{!8eB-%u5y_dABoE`3~!SnT4n8ZwfW|Cgam`E&Yf_LB-*qHiGzL>BM%p*Sl;kpRR27&Nn~kgePAKyp7{ff6xBea2RHynv8rH-ZJB+n4 zIZ_&)-e17EbD_eA8w=!-?CFr;#85>z!~Gi6BASMirW`;r-#h_Zj#OasEg$GEDACm9 z9-5QPu{aTx8j3+KU^ije3S3#0Dfryt&47H zWZAd5dPs&I@(w1_YHzRSNCtAA1NXsB;_VLcx6k(2h=F%qR>WrV#Urd@@c^2;&A zdxLM5ahU?)#9PuGROS@GRj>Hsp>ZB-X3zBfExx|Zf=vO$6Ahene}2Eah65HQ9IEPYQQ^=^*$~L!_{XqS9}7a>|U*vob5VaXv%IJ-zP~E7OOqm>5a98MfP6R($d@ z9_cg8N(oOUYtFMhIkcp^!iNDLb`rbsX78-*mo49Lkzh&*SKJA%cSpSIQ}D)9v0oN} zk7>1RrR8`gKxW|wSK704GW0kXp;#AV{v1|_g3PSBpAtzdA{1#UpMH5!+SAGUt(wim zBVYZt{(5(ih7KO%F>3;33pb2GyaZ`3;MT|iFeI(Bpe0Rw39z=ui1%xPHyEnGkjpKJs+W0 zLsIEe?urAtyt=M4GmiLb_wCow$A+l{y_VMr+hm&{km_#VOHEmTNs)%MYdiND(Le9A zeOv9C&TpsA)7!1{_%Je}u6L}gs5+dak{%82M>$l`*q)|UM~B7bXP(g?#por2W!BGK zq%~CFJYJo709kKjJxd6Fqq(|XmPOI0U$=Xr?zZ3gav|hFjnKx;VlGpd!8HfKq#q`Z zuZ1Eh0Z>YeLK=eqdT}`PgVIQrYxY;w9D2=RT!F&lZXXXb&vm@(uixFc3ZtyN&=D1c zF=s&j=DyBr68`C?BIwA0Oju7WUpMhYKpqO6d~f>(;i;OQN6{VPJ+sy&pB%JgI*;c4 zKNzT4kXLn}cS6qh-S|n5R>I4KCEovcJ#Yt3qtdaFJKn1_Eh-Ck4=(53R~H&cVz+}G z%)H0y9F1D@JM~^d=hWou6R0lR&lU83uhwJ2*^5?za`YPFzQMu4KTnTX?)^I{z^K|C z$6owd41`*OnP@%k3W7u# zhCYPejTq}T9-Kqw{BRFVi-N)3tKeZi&C-aa**7D{q?Tea^M%Ad4M}4X$g{TY!N>2? z_>ag+F90~ez2(GR{ENXxv`B0%aYfS#<79gMd12EbD*z3yLx_*u>dV<6*?sGx- zNbH()Kd@GTbr#CPB4)Un?X<^R?LPg1X`~2#Y{`eU3H(`PE}Lj6d^wpPMh01LkKZCVtfV!p_SK0Gu#%VN9Q# z7kbqIrnlr^Wa1;qX{8thY)lgI{R60ufQTomDR-m2Pv@~$toHi!u}XbMYaQFICsJ^m zrX-(RJg2(&jsjJF;(}&eyoftrOI;EDpH8}Sb%?}38bNg&)|c<(9F3{1uNxr`W&X75ZZn?FrX5){4#Kb_O6dU!D3%qO7dU z3r*3bvCp;pR-*TV(EN6P@wLPmNZsUG!A8UmDeti-Dcokb)1_ET^4=yLos8VN^Q`aZ zJEoNK_^yY>D*uvi{2BD#kwTVi%CTRuM5&%l47sb~2odTQfo{%}*?-H+Aa^K9;jSM- ze^8>X^#1kzOL_OB)TY1CEbJaYS0f-{Od;#yjlVvJu75|L)4)`9oC8xJNU^0unw;$? zzK4bSkqx4K36&t&dp2((#Hrrm{Owd($^o`>6BA=&W5IP>Axi)@_hyQeT! z)IhBq$sjC zalhO-je+@4Y}9ClukM~EDIp}7@u&V3%rYUEv3q#B!$i<{S6x$5pFJ)I_ zf@&i~pa76L9e5ax4=Dvq^hhYkA%Xa`eqh1)epSsSw!%*+oB0gE;@i`EcVao$M}ZAw zJl8}V68LNcG(^Y5tETNWI{((9Qw}mFEqe3MZ(vaC8Mn}X0P(*x0i)1$O#c&2pFgH-wszYwNj8pQ$!);uY!WvvfE%9T@F9FvB5)^i{rT}H0#9V>=*U&} z`9mz0e?UZ0PEpq0S`S z^Q`^IFM~vWuky5+=2G#sJL!Es7Wtvso^4i{Q)z?&vhn4Xd~2C!k}q)d{69oBzBs!u z3j2&fIHS$Sw|qT%uK2#o#D%o$ymq(f6bQqAy1-6o zgQ;>~y<*^(z(&JpfGVOo6YdE9ai7Dv_|6Vj!lS2vjFBdha6lJhjvt3y^i^lN`0A;K z34mo3LBTU3GLpi+4zA5k;Df@fOV&*WgV?`PJYpP(aN-MB+*jTcx21M_##~GjNqCZ6 zLLq%crU%XcxC4)_YeM>)+WENlwE}5%AtG}%JwiqV1GxPM2JpwP6>&zY$|f)p8#Nqy2W*e;cpwxiy_D&_n(&qI)W^QjV=LX@e)u|1j}f> zLdmKmfrL$9t%qUzNBrf>eoSDFM-6bV9@&mGS<2PUJ?5aOojyjtK3DWmXYT%D)#qiq zzIh+OMWNJm(LqYh=idKwsaev)#8Y2jcmbhpE7u!ep z`^~k`eOPht=pOPWML>`#Y{+s6r=sG@bS~MpT78mv+*5H@HRBe)P=LYqrgXI11AEi> zJ^@TT=@qxLDHQo9TK@m8pDyWZDCN8ndjIgosSfIV(E(^mY70TUYouTz!iYhtrzd98 zItq}w-TGZUS-PYZH=ot43{nl&&yyAWF=N9>5#=*jgW5J80s)`))zSDISgMDy<@q@r z(GI;dmi$CVMqSL1-*qt!soG)TpA__{agU3`kPZ(F5CP)of*bVs!LB835=B7re;M6a z5=(0T^mye%x_6lkBI7?R`Sx^iT&B^97orJfDyGLNur4ENZE3PdV}ajWgBMcRL1l=7 zLf6kWi0#~&^n{3E4c1?juh;mt@mY5R_`#+mGtRBerrN(1Lwa?(`*c~4-rA7*`a(;I zUh&+q^|^mV_V%A^H!uF{RDb=D!t?EiEpn=Rl?N

Bjyi420%z^A|Jb5hp`Tap5)H z!~N?`NFncY!gtH_f?BptI%H%&yKlv-!eP^qc|w|(sg*ASx=U>cYF(q8roezKRTzi4 zbJ95y3?zLQna7(wWHJ9EE@Bs~BRYdzEn$CMEeMJv)8E+%s$sC>)*R*&MTzfIa%2Nj z2GhKA_e$R)f+8wJ;1%9(s32iQ66OJbkglIe9y92lP%lij|ICtJuRodl=;7KJshNlH zSc~Ad*v>8EbfwMNW`o5$@E)eEvHpqE{nxx%a6ICRu=S-r@XV1DxoXFnC5Ge>6p5Kq z!pG|YQi*Y$!3{?GShK%Tq-`PBxAkNWNuu92XEqWa%R0I4!I>tVpo{_P_DXYA%T<}s zlK}a!C|J20He^og`jY{?wGsQJG49S=)gvE7Q_C@Vw1)uMTt!kC_J8RshWF+?FMhLX z5QDH0a6XkdStD%&0Q&15ei%p46gs#{7cILcpiRP6B!1Oc7V?8zt0{p16V8G+$`4)lCIkLBO zcOHnA2rNfCQc=s)v8o?)(LvlMl zmI?>;QY@{{PUhxv~hBPuqS|V6Vzkv$G^ol9IJ(2SX^=Je@>$pOA>=6_3lQKR$2DAYd~gL z1*Z`o%$RNL=S*ZH3ud$$Ir;4qVn)2*W<2a`kzwOa#++C&*Ky9(PWOk2xg191AblYh zOBL?y>vFrP55#)L zzi~|H`@Ue_&J>$+dUIjiyu9ovfRxIz|Er4)CR9Xp_uI8}pPG%)5Ua-f2!l^GAVO zfT|vbh!#O^^(WF22ia|2SWios?GySpEcx*)BzRU=|E13m*eOpYB`d282_7$q&7e46 z1J z@kp4K2_wbJBj3ORZ^-y%@`2Bfx5Q)%#LX5$^hXz4&Hde#)E6B0EjWE_%%S*b}!$B;6`zG>OlL$uEli?mq68+_c+fVO1w0RCK#H!A&?lC#<7WF6&cmPZS zYyH-)^GO3he#u8jc3P5w*k}a^$xcm(g!TxOn<+P3pU<1Cr~35`UVL=C@F(AfMZ94M<3a zo40f1Vtdr?->JfIobFAB337ArGf{7l*ldSoyFi1GKuQMUznpFqiNhq56Km6Jgejft zGFJ(|8dRIBl>4+bZHH#?-R}sN7A6GaSb`<{Kawi`l^gFA^j-K!*Q2AGId#0mLsgtG zcp*@H>=+xjY3w7D>Q%98Gn(GSO>!OXo^X*HG?-~Gt?`+~!e}6x3i5=!4l4k<*@J}Q0~Dlp(n9{+d+gL z{~uj%9aiPKbq^~YA|NH8fCY$zbfs~C^bKf(@7<0`2N!r7i|M%k2J@Jl+Skg9scOUb=kdIeB^3C1+ zQ=#V}T-*MTR=hxIKIx^U2qxs3CHZkdF~EenQ)1oygzLZ^l1y#7BjwCe-7(f z7^JOg^BaIHj(VmOCPdXax1GQ7Lt$2vjoae^(_@|=lzeR1$mtrO>UFFQWk~rWC;aav zJ`D{;6wtcF|D0Hf>|M)CaqyYsTL|6p=ux6QqG`T$yHpiZ8ofiCHal52+ut(Hnd=VI z?%b1@lbIG)NL&t}_?V)HAGRg`2B{g^m(r5f6v5S_1Ie?|t2S3ib*&yGiHVq)os`)B zlG=-ZowFR6$Zgx91>`OZs0H7!UITMx1u*j`T@sI(Gn7pzfu(7BM_}Ox2%+8vbL^j1 zxlsku{p7c{sXsyax-WuhbNx;C7(k(SiVWWZa|_kL^-A1#GcPlwl1(08Txv-atv^MP zXg%Kj5_yUXXU0k%j+~FwmFs`26vtS$4 zJe%iU0OCuI&sre{m9A7;qatw&tJPEs@$-Qqaae^*aM*k}3RHGF0IR`-b>M%g0?o9h z!=M2G6CXN74$R3I<^R@re?Dv2W8|P`(~c}D|32w&JaOt`^GwTaC|b4O&C(nWd`RP+ zu=QwhZzfal!x#{JKloF5`G^Ao#DN$6nny%}wt@pc#cS{p<3cpZ)Ui)2Q9js|*k))n znOZ&gVc)k#8{zQUQ#XX<14HKWlky1qGUi$7C5pg3gzstgu|!&0n!;62qV#Sl+=eE0ny6#Nq|2(rF8{LMw)#z?J( z4|Hj{uKoywl1^9DgTe>#0*d^dZBnqA^uJ73DDmN}VH~$v2Ds@VT8Ka4gAYl>$@)Zi zf0_jvgl|r)NXuEJ`Nfuh5dCrA-ehrh`@!e?3C^L)2Zr;*hKirBe((4?$PZBbR_6>f zXz?0A_G^(10IUjIh<=L6oPZt~-;8ob&`UO6U>=P7ltCAEZGSukfg!*jfJ)TEEyFC% z391C5$3o|}%e@8@pM!ZDS0~pilQ4eRNDyz`MCn{-g*qAlI1U~r+x%9)c0VXm8s2>7 z+F$C+kF%)VdaRPC#-3+O87O9fHY5BUQ8C_WH-gckRF$;XjweUoYGxDMHd;S9_BIED z6X2D#9fl}LSR{wX#`1)#yBBedu9`~;P)?S>gnbNbKTN_mYVthM&q=^k zD7q*1wgIuXx;Q#;Nd`@RW85IuZ@^E@QUTbz;>Ti7Di4(ybAve02pR;je zc(*i9G(5?*Dzqqt3WL{ zu{O(b)K~FBG5RU`$=^tMm+2FFUg$S#nm^AO=Mth(sHf1#VNu8|>w3x&m?pcN&s@E! zKEcADLU7}mhjA7N<$l3PU3c<~cMiB$C;5g$XyEU->Bo10chb>p| zh&x6oe|2SqDPNuLxz^32!}JIbM#qn6zUiE%kI2|UO}V2VR<0MNyJyt$hV2)W+synh z@-LryO@04Wc{*nRS$FK#+8G+Or_f1#|J@D1A6JxH{!!BcXT z8mqhY&A72Nlb?iRRA(ju4`G#5{w0s{R9;@r{Ua(U6}{4VEm6Dfkz+ma;dla{cI^D+ z3%5`9ckj>QRn^T%b>1zV$~-dNRdlM~o|ay7Cc@#x@_psDHYN^;vdq(R!xec;n3h^9 z=|bzk<6pu6$UYay4Si~4m7y^Byl`l@paPycLU!(` zOgv=Mnv&q3ky%R355t^aAgKV!reQZ8y+DhpItD?EPhT$aY1!A}3c1nQvXksjZMqf* z>GU8_aWpc*Lv7z6|Kk?^{1|P_Ivz#zH=uz%3L?6KXqU>m3LGUBN4Kw`{K5!x(nm(96J zGmWBW-XLyk5_*aP*BsdFne}N$>pRa@I~5}3&o@eRf6Q&x*Iu$^b;T3!?hyF91ice< zEI_guAo^|Xs%7SbFZBS`ddNF$98eQ7s=q`e+-isn^uog*fo0P_%N%eINGA9;>JNIh$|-mkhP3Y- z-d4Dz_sol@jCeq|M*i3V-D%;5*E9U86)!S2gom*Gy)vQzh2gVC~0O;f6>MZP0Xjpl_ zA-#FwqU-LOPCj@p>nnW$EipzcX_-k+Q_kQ8&@E-WI2#5VWHMlv4%}}MJg0Yt;2_tj z3=d|b(Fo%9!cckPOh+8WhRclbvx!@P* zFoUTK)|o|Iqve8-bn)ax%Bvy}9O>NP;r)vHZD(QKvFMa>glkP>5=%O=yFkt|%WC7u=H>cT%ad)H*& zpyo!m)6IH+oGc`^Ihu5RPPMM1>Z!ge&*=GvzEt4O@?ypJ@#DMEO(Z_oSj5ob{x z_g3M5`gWW~F*pWJuY0qWS4&L-uuxUG6I-J|~OW$TxzO zR#z`roWo-yhxZ3lQ+sB$w;}GjZ0ZheXZHlb!AFBGo#!L&YEQQ>?bV;~ob2et zuy$P6L%1#7$6_M61i+2tpcg>{MLIs6q9<-gz@x-y6{vkk zse@hjfMGBf`-iXP0TqR9L8@NkWF4Gaf3!Ws4M-0es|FvguNueSlH%`U7Sn<%^t>Ew zfJAP4EhLeFxS6fM-fYwpp~}K?XOv;7#qTGuOBV+(G&VK|e4=vY5=B}G{(#qiigTnX z3xNWYfX%ws5jkl~0HZdIa1|P*)y4HsXb_(D#Di=E>v#JA&h=r1+2BqW*aV^suBzrT zxV9JA3HsX!DL|;=&LQJdOanYF9@o>V-H8C(dvH@lcQo=;A#)f5tGK%R5gcz6N9)}6 z++)m9F}1(JGdTv!U!AZ=J`Xsvs@VirBt9;xou?7Xn(xV6gyclHpQ;VpsGP^J>zPyw z%!L`yiTUV>Oke;ht{y5`DfqVfp*}%tt63^;LtDLqa-{D=N>6DYZ4|$9+Jn#{Ruig& z6)-dI*7zyZvxQW+utZO;o8M`5R(5fNhQLhe_USyrSOqA2zYLutv1H9Gpoqp>O%tN3 zHEb5o-Oy<`9mAKG=8t7?_^G7%s9;|fh0`)#(-N^XwqyDxAUJw>}V z{$m{PoyGVJZTGEcS(Vb_>;(_0>`y1F3m?pT&T5Kyq~?zE6N4o5UVs7ZObBdN~N)L^fKk3BY8A{8^NlD-x$6tB1+IzVSa|?x}P*ENi9NO0O)N zC_~{C1rK-rL#gs!qf|Psz&vqgTv=QRlJHdpI!J!jrC;w=&qQAm!Iaqu;`S(2q=2i-! zb$JR)Hnqx79fVXtw4z$+kD@!RL6LXAF+rIjrU{DRtsj7Tqb>4`vc$~1%ZO1G3ISs^ z%vicuKV5Y~RQp$0jzw!65fv78LE$0{1WAoK$3QSN5*+0^hIWKO1({-+nYoV9KxS3B zHw02xJ6QQetF4&Uf%aTl_jl6mMQ$0NAIQSPHS_2_^EQ{jOZ*&bl_c}CZ#t-I1)8RX z7gUrckY?lp3ydGGaae7)Wmrz(;^lp(;KCEPCtorTT!QDRx*Rq4B6-xG>U?V+8FV|3ljHtof zsxgSI#Z?m_DkR@|Ww>wu`MSPt>;GgRYjPkWL~g@D>EQb!izo)d`y~}Jw_UJmsZU_L zpT373d(LzRDY7A&i*VJ-gxO;1sHYLABORX;dt4hXvVzDDHDenCIygF~F_TF)T$ zz~qEd*^^omS!0g}S-`t1@Jc+XcF4D=UgmM%pU)g57lKRy_%4ei%n8wZgjCRo_hl$U zdDsgxb#_gZMvW|+FcJfMSnorwuq-n}Y~KvE6Fraj6tq3TF0%@D%)m58hO})AeIU5; zDM1C4T8BkK_w3r3DT)`R#nmAoXI^~JfX~(fxu}_V70Z`?2EY<5s>JQN@7IPd!1!hg zEEZRRQNDoY4I$yoT-V;7EQ}B+;jXK}EgxriCZ35`wf;W*^r01a8U&0SuMlEiz4G!I zTK`WzspqEAyY+rAvzfG0yfR~TX!x1pHwOeROLx(It^|DJ?J__Pm7o@XdlV|=MeTES zh-h`>v4W~98~I=>t`Mm2aU07kHl?y}K6I_g`1-){)qgO--)Anr4y5);dDH+y%^6hO zrp7>z#L#oprx@31@J&Z3rBsVuNO6_J_W~6jJ}11_CK^gOG_w51tR~5 zc(EdF11?^#e@X~s-12!kM@Xvd5D9f)6lA z1a499p~0J2xbPa0se-nAOH(^N{Og}o^_B?g($Eh|qe1OKC0VP**w+`Tm(VbXUf&<< z*Y^Ag<75TABTmX!#gB!MT6-qR7Xeb?j;e)ky*efiWBi8aRZ#%QBJ@Qzio_5|KuT!$Sk^EtT%Z`$^2 zgtFmTw`DXU0_E#ZkY{Z)HnHJbTC5tmCTBNx4*G|;FEu1Ceg}AKr@z<&JLZucs$ND3 zrjmuJJ+&}Fnq3n%BY*?N7?$ry`_ti+8GxgVsUP3W`C6{}W@!o|0eWqWiKiOB770CA ze^+RGy0fDS?bMkC=lx)IaPuZF@2z3G9+^RU(_bI6kGBdv?|FJ(kJo`UU{#aBg8Fqu zf)4K?-g&>e3zK%6*Hv@|Pj500pjW1;jTiqW`W6|eC-o0};Kky7WHls!sevoK+2Pl^ zSPOp$wR|rzl9Peukj=L{?mW&=NH$SxIYq&UMB&k`mlzoSd7H3%5a{(|GU}+|P$-NV z5W&HSR;B_jVodO$2m^foIy6dn1IZX>+_BBTA!7`)f@h2Bt0ba)xEHnak%N9zYpz>p;qYKtEBBz1E! zaS=LToJwSz9(;iBNlWcKJHlKfkOFqt`jMFHPx&Yd^2s2f%e{_>+Ipe7wFWzDmELw+ zT@W6k`DmTy49(%rsHbSpU8vdmVJ;sj_2yWKrk0>Yor7j2ta95Q*+YQL5Mm#})hBHd zBB+Z+_7kgS%EoUZ`#OtQQI~%}jsWTHaz#E0X5JKFI;9k#9_fDAXYDK9(*;dY^WiUk zZYEBd3$)Htq3?L^20E`v&*39C6&8~qo(n=2=2SZ|JNID5Vsat@+yOjy$FWPF4?PA+ zU-5AqW%NB)eMNYjlDjwvdwQx%3{6k>@V&a9CTWnKcGtlpr4!WuDO1Y@i_=)XqANmq zIoCXjo*IXRz<&<2JQEcnh67z>@jVV)xNB3?6M++$AIr2=A{istHl#LorKw0~*qz=* zqc(gJXK4G(0{6uwgZ(P!u3zd568|8z50Wwi8foy$v(3N_AiI?TLHIFzPk|l2VOHcQ ze9syDs-uIQ#TcUmDT%YEp_Y!Q07S=rx0;;(b1|79v~CEC#|zj9cAonaXR%J>tm@-R z!wxD~f~>gMUr0!01FkmQCO@vjwCA^5kFFv*icd!sRN&B$<`CLKSiq_uH+tC8hg|IF zMJzK$(>hMhMeYva$;y!m=GRif3}d>ea@e|)4HIkLJD)!2LAI}2Z=#-@iGzoE0&ZJ5 z@TV?2za2UA8Ok&2te;k3(>qv)xOfGfYD_W_uGQJak6T!UkeiWu4+EDk98#ee;bfSW zh5Uf?Hjm7nuH403nUQ2JM(L5^5*rL;h}$?d;;tUl+*SJJxtbC^Ls20XVM0PMn(qAi z^5^uL!!e_9|G3T1en-T40(-Jha}7+pf$LY*#G6|Yp`q4pim2S+E|G&gAVkQj@!3iK zwp~*QvTIKC_p!Z37(}}l;Ov8%Txy488LkJS1=(OBSCdFYfCR8-88)e$#+}uXT5jD& zob-$g7Za041i#{A11eyTl$h?%8-v;WXb|hYUPpK*bGVQd$c5DFQ|Cncj*nrspl`^c zTJV0v4JGpv8m9e#soOp#vbzBG&%sU0WvyP~KujN)6w@9A_Xof{BS5=zuot@@o7RmE zb?$|U>E>4o?Mor!5O`F89zgG+=Q8Q#2xb%z^|Vpbov>*!VjW^V@yajf=-5sbtRmn!3*6+AE4f$_3=*chOr0`_DWb%{5%*B zW#R3?S>Jl+@pqhn-V?3)AStU$*VJRaZA8VsGkc`6Fc`}>bXJh9bJNtPA@b>oa;>%K zG-fDU0qAwK(?ajj5%EHZYYOU%BLV5jrgL2Xx-@`h>vIg>d?+EWVYet~G~^O`be{0Y zuw+;S=}x!WGl43MXA~}GA{ecw_s9y$u+<+H!$>dr5dQ6drfA_XpH_H{ns6C58{snW z>ieDGBKH7BcLex1mcFXQz9I#(^d{=9SkV`uKrWbP0y^n?K-;yyCB4EnWvOzv zwtmkM#CY#pa4bVCYmIo`_eN|90}vr{V^)1C%y_4dravE&&`ZlhU9ac(fzjxVfsaHF zT`Lz0HNQ<7)I@rhV@%HNcHbeyj3pyyQf}F=kte_-1VjNUO-+b4rEfBUlLNf*72+R( zkR5&27}UnhT5jw2guyqmIqt4+Y_~=|z;xb=st^i$*DC5QV)?cgem(+GHLgY*S2PDf zru3a!r_0*zTaoPt`XH%{VMUxZp(kOU@bg}O!@F2x*aaFJc#3vO{)x*vvC6SV_U*qQ zMHsq1d8U!o&gLNn&et>wLJ( zirv}v>@PR9HZwXRqG3Yyp3T za1%oLQJD<$lE)aj3`O7wC8osCGq&8Q*Yfs?%T%ajG57JC-;rMuZ;~VbY>tmk>$+R3 zquRGr3(kqYJ`tP*pp~(livkHSIpSd%Vq^e+~X$%Ie^<9?{#@D0Kfi- zFB7w=siDD*mlM(g81jhZ`331&OD`{i3m-Bhu9FD>l!@@!MwnDtjLH{Md@h z;VVl85s4?XaNb7GM_d;3U*EY+fOfJT;)$`X_3+`FpF;fH+qqyeM5hf05{+`E&+V~!nrKBDesTDiUGFhV&SZE$)X zAATxvPp0ylA(!Jz)u(EWr7s(&nMsV6o@@hk?kiWO$y1~N;dyl;yuw^g z(mys0Te)nKOn*KHGhygcjI85dv3ygbR(jo64=;Sp313x){$IOOogHl)dgj}g@~>ZP zL#i;FQVz`0oE>#0g_h;A2{?N)b(7oy6i|k9KhK@<2;Y0O;Iy}Epk;Ax;}N|&_TQNG z7e$2-*7y((koom&rRFB~L8D~Z_)CJwiJBU0%jF_`07a_`BrM#jbEq>B&RJ`)y-^ir znWCc~F8%9Vdd(uxDZMYSEtBt-O5ivJUk1pXf1{m=_;u+gxU^KpE0J5kkeo7TYTB$h zdnwQ5oj_X=lc53=@GX6B*~30bU*qga$_KRK3iZe7-lR34RB^bzbBu(ZVykYJHn4^6 zsT{Fc03>~eZaP>;tJ}xkXfuYa!v!LSHt`2nBAg<%;ksKK1m$3PF0afbyf-lU!AP(#-4W>f-Av}_5-DnaOezn=Vx<_GWym)2(!O$-IVz88`| z%LG5)sW$DDt>03dQP7XvD}7LE{olY=9%uW&!P8X_nayvi4)W>mRBgL~uf%_wW;6;z}vC4}IaU z)S(%RfRZ;S8M94vC({vR1{4FeuH_FSZR%g&Tlt%z{v$yeCIVorS_n|nc!T23yO8EM z zvL7u1LZqbG0iH*Aeb5&A!>EHOx5aRUv~665WwfS4OuOUdndW!WH6Z6fIA)v8MaT{a zeSP7^!=xvRCaJ)V2XfblM1nT0%dsktPCEMZ3eNj z1eXOioire25^!|{^oRCnArrpR*FJD|@?F!44tCP&VKj$w3{mBS8j;d20W{5v;GXpz zNqsGoKuv5xkvdnqGtvWPE79hq5^d@5k8tyR&3h^HK4^nz2}XR^q*ottW)7Yp{8anN zIT}urB8g;q%ClBGfsg_ntv36Y-T}1?+Lox?677B+^2>SR z$$Ra$wSb}{s5k`W@Yz%nQT%ozzd>yEIKkM%uLqLTb^8mqpvQWMG+m8G+iL(qBQ9l< zhaMmJ*$b&iSHKgY+iM+At4`9#S@#23xfo$1#q+Te9eCh_4?1RpoGoMPIh#c|JB)%` zGxy?*L~LKw%29(;eTyaN!fmCMiogwKwbVI^#f&-hu%i}?>(OMK@yVFPD`pa!s(`d7gfOv-1&jPeNhI0(u_3JN4N0&0Op+Mk<&H@J{t| zbPAAvr6X!m`=_C`q0cy#s?yIksG1j&ADhE`+9)$y*V>OD@k9&$Bp@$MM#lqdu5WxT z1vNK?i9T^9&O0{Sj%fFp&)>nh^4b4GRzCpf;fj9)6%=^PtXM|GpvJxQ^u=kwWXsj~ zpzDjwe0Id~VrzyuzxyQQ1w|QWJ#&ox|D1miwonh*!THZOD(<+CoPXK>od0w&*^WqQ z+ZrH&+PF~G^RF|+$6-f1phTHT9l_GJ_02S{(oh`W{(9EIHN6kAg)Kx`4qk)b?(4=f z*TUvnWF**T3NFCy#b-UForioq00tFaz4t~x&J4E*n=r-+2AgJ0%aON~hJ%$FtNp7&i`szI~x!SFh` zr6>C#zzPj1wsWd?3GZkG%jl5&PJ*t_2dQnq%Bw~C0|fYK?~{(h4*YFrTk|!DF6f?S zV7wCgd^wrQA8RP}^YKhrtvkx4s7na7Gjgal;XE5p(Z$jy6P=;Ia{g}% zFZKyh;p9gg@h$XjKMrh%$pgT+F;20U#K&MoD{H?rpa9$C&Q&oh#oZ?4nErZ)(E~;Y z;a}54d*b}upxHgob>|k8Y7d@Fp7z2(6%RIzcpNNySAt_bxm^OZ zG97#1_o*KobT&lB1xg3RgKcNnR<3Mu01`Subo&kyOz>D|pv-!+k`by9Qf-kbt8rZ- z`)GX)DEwk(*k$4nW%JJhO~EvyJS=3)3=!i<_wd^eaJ>uI{so>qN}&4Lgbv>z_FG2f z(~teZQ-{C-=KzNwPW?Ql$%s&7_gOcVrh1_PRd9@vm~;<2%7bsc0&Wgk2PFbSU%Jzt z|3Uk&sHlyL>fGZv5uSO#3Xormh)w3~+Yf%#$hmILg)Sca5*pR*dp;t^K*K}8dQ@{h zPIyVtrvbV(Op$>0+0_qUxO8d(H@fDW`_f*vhMIJykFIOU7FAyDjGEzZdOwHdn?Y#` z*P4_2JoloWLt z(uSbnI+gjgUIA_pSdlHJO7@mmraW)nL{*KRp$OGvGz=&n`#K}1dm@h)IVr%MH5a0pk zod;skoV)%P9t-eu$8i!&NPo!z;1@f-S?wLY7QhSI8U43Za?+qCywUys_WLhfH@P}u z+NKK#zWtYSHx~@I6`OQBFI8no%>dGgE;C+Cli{4aci3Wb1RWCo)-nIOz)bZgnB2d* zHmU#lJgPo!z`So0P)uZsghPfHC{f{6V`!HLhj-l`Q7Rvz`aMW+TYNdz?kp zyc5Y2lnYQ6Xk95kAuk%o+M3D3Uga(?O<4lp64_mFvG~SC>@gX{%TcR8!=C61c09p5 zkM%rGV-{-i4LZjOii+_&KB-(sCT9GtEcp75TE4IwV zQi#$`6sC~f6Lra71Dyb^(VH$$20(!hZCsqwuRa+_PkBS}jiNr_B|j&qA28Y`#|uU; zZXOdJ^tyc`Ulx5I+RSlWb&1ep|5jq&%n5$Y!Af=2Osv_9u&J&@ZN%CKL}5&*zZRCe zkC5rMNV&(SC5)HYRYbJ}rLp~?`H=vpy=lE6531^vu{f&w1~_sSh#XIauQy^5?Ym9| z;lSR{fKxk?WEh|DyYNQ?3nv!`Ce3*x6FDVkS@8>lh;)y{@tJ91PTRjLrYi>)X8N8Q?{GH5n{%3 zGAx2)j|p%LAV^JMx${h;2+ITx0)ZQ$B0Q)h+i86rDsCV{*iu~gL=ToYk=hVYKLL*p z+fIIlZjHqnR42ZfOz64uWeh+Z3TxW`R0)+fa+j6uCs=RShxY%IH;9{?e` zk!U?dA7J*`yD5Qz2AKtf7;W?ZOV?u2-XUSx34A1~T@9wEWqFbAc~~*@P5|}_jm#Z-$QwdxK3)G9m^&-y)?zUwCeup5F&_JG2(9~Ldo_{&L#hM42S5O zsUN4_z@ftA(bS~J&e!ko%Kc999bL7h{*M0rdr~*L)YZEf7Z@R6VoX#!nE>2l`Peie zEazr=1_Cjv0IYLYMA|SFYFhuY z31>dwcTC+EtpKKJ*2DSfG#|t}A`f6M=hsUXcgpjsIWn;{GuAahFF#S|FY_e_dOI`V zC%ny&F^gCc_bMip9MXO>*KF}|=;>3zbIJ^?%wnWxI^%PJYU9_K2a4u5Vc8T#d*Pk4% znv1@8mzD8;ys<+K0@o)wB=l(WC|wucEiZOSX}!s${OQosUlh>i-AhyC-T-Xw96Bq@ z&|8Gf$9y5Kg*p(BWGswBW|-3vHR63yYON=aVXTv&d(2)to1V`7aXy<#i&6WXQL_Vp zo_dRvi-Q_9>~o*S&uw|`s0OK*arU*z{MvI`<1|r9B*hnX+bu$rrN{s`CFN(qSlE@w zvDW6s``b=Q@m6Rvh))+~aXZx?0n6(`pvZUkW8uIwj}EY@Cdn>GOV8AuKSdeq z3pWP7+?_d__I}|zT(chNOjI(YDeG;EPKBwua7u`Ktgrq>nhp~YC9WkV939^6HnOZ$ zP%I*+CLB&p4aoz*O*7U9U@sL%a%_`F#^>-#N8ulnu;xh-af1SPbi>#QFlIxH=Zj_H z!tK*KKEB}A{+2JUBO5f(@O+NE-RzHoRx%?RG0%rV+Z^zU488C)KDzX5l#DHRuK%5L z8&FWia2jEG3@Tw4B}}L;Bsd8{vFS~Vhwp%4wxn8ap&7Fy12Ha7DZG?@ z`U6RaqbC@w79}*F3rzEmOYGM{(cqPxkbKW$VPTO0krp0T4d*M9x0NtoqX|8P&a(Fd z>{)xC29T96u6mwS!`*yFW^>(Du-xCLBYMb{RqdK4XZ_xgi3kHC=_?I>fHl2IdD()q zSFiv4j-|zQcH8sF7bmuY9Ga8!{JD1GGRDq+RPicY#}xS1n1JvIVOC;|>H0KG#}Q^t z<|UTu%<7b{3z1$Xz!Q#OmbgcE7U_V`RJBDLH z_nUI>70vXvQ0vwk4L6B1BhK?PMhZqMMqIkbN+1=cc-e01wH>>^4bF{z!$9_T2Pf;A zU%L|2T@AJSMqD+u7T4EW*v;JQ6IW5y+wJeWMhBZdCr@HwZ`(W9E6T6_L)Dyi;cCiW#};cm!SIroFFrC z#l-Gn25y0kI!t3sH|X5;(x!9!?JHi1|G>tv4o@9vo#))eUS--R3fMn9KHjM}6Mr>J z3!18MPy|F%HEF^UMI9-Z$w)H!caf1d0NH#C+P#3_^(KFW0Luo3&t5MeH4t(7xKi?~<&W@UlCS_tpN} zBDfw;4s4GV`UYG+d(>eJRk{kngxcptD*KEZHJd{!+W2eQuP zg;%r*?~5hlX)Xa>yN${KO8f#1&5HGM-SChB!OC;7qw( z>!Nat|sV5O@NH8dsqwLrJVEA@M@4f4R;Jx9`cX=)-!})$N?HyPrtr`EuJj7RX6SS%|k%n z(lD*GAV6v5w?z~2W)^;7KI?m9=gPp@>LM|!o_3o!7q5wV_Ft6L zzMovb7!X@qm|SnRdL&#euwUIdVD#AED-_M>U>2xdE6T^dSF?#k$-?wAz6^OuI3~MM z^O(Pd5WQQ=Rr{7>%k2xanQ2h8vrbY|W@TV)^CZc$X|!5;abUsLr?((VG!|F#7J%Tv z1ja*|mxP?;<5D01Y`_zH019<9?&(Tvm4QCMzYL)4XSGFOo`dpdy<@FcONxv2KY5*f zVl}$g43J|Q2-6eJDa`OM)Y9~8I>xv0gG*i%^kk;uW;a5_unzX9-poIG9oN&Zg`#J67Y9u72X-1+;Lx?KeOUNzjvW(e*XQ)``NZy&A#0_3{s|4hD0|- zEtqeyd>DPJphBW|M`=sA4x&a zdKj@;y?|EvL8s(Qp}Y5N zR!V*Mc~ss$`_m0P0?i9P^YA|>bq|v-_?v7^<+wDAEq#E!atO3zjAt{D2a=+G5pTt# z@~Py6Gm30yaPhY#&H2m;)mZ<1475{E@a(SxDhXO_Uhe@C!5yzWu%uBIRGqV+Q8!hUsf7~u+RO!ryr zFvl6VC+65}9d!;6%rVIMMA49wWbiTd)XQi zM)g~}V)n$fOGp^5C)uyy-}{dipxeK?GnRNw12R!p{v~UAwsRb_Jh`Jias9!eQT7v4 z8&BA6oR`%qQ)Kfrh!(Y{_|E#y46l}`U@rQKR|ikG*6&QU)H^1I{S-}zSgN^d`(+?c zK&fO;BSiQBukQe-dURoFYdT@Dx2BpEat)M^Gb1gv{_fJ(7?PNTKTFL;6Cjc{DXtR z|0AOXejmCj#_syFKl%7B;CH*);*Mys*#MrdbqagmvUCsvxRdSJV21D8Dp z+#KLCQ0f|_dr8#NRf<_!(4pgPt@uKKX+bn^a|ZF$qj=*9D`Z%JCLllHTsiGgASC1vp2#^BGJDRS^9H3A9BgeFjeI99~lJL>g zgrGm!@2~$@|7XjI+EcMr5}BU?=l&@~wTias=w3eg@Gq#pd&ldzpmYUe zzq;=in`Lf#{bK$*?yk&wvkv!EQw#}~B#MbtQz}7+ylu%sNqyxGrr~+m%0l|H92vHn z22L`xvGH!XEfukRRzt4x+xXbFQ6IS3m_c}-d*TdWdg({1B;jC%b?WvsG~R8n(=Z)` zX~!11&Hd5m9tgUQLkE5n5l%Hv?%Jfd)PKDeB6JlZ*pp9lWU3lhpn7EGj;Qu(_*(?I z#r&G4Lc===fnW0Up1T~N@j0VAkqqca65#+E)izT$QACr*eN#b>m3!$DR0f2MLIDLM zcdTG)(-|nJY0%<^0@u{OcqA{{Y}65Z1EwGfhej!I7&l`h@sV)ZgHI%Hyw4(_`b_%n zJFa}nRD*=;sv)5P%6A5D^GOaWlp~CfL(?_4TSNq@C|MO5#zVA+Z{2_O$al`lKj2(= zMV8evh4a>Edynr%lWOyFiE&(hVdri$FIs}F`V3ah|0vWJZSCyri?09xqu6|q z!vxq#D||g31f{qRCrPH5IO31}gO@Mn-0Bl2)WZl_&;ET^Ddc6Y6>o0UC8d!chfZEm zY^w#EkcJsG+vT{Y2+HRvfN|aeG=p(2U%%yjEF(X^I*r?!I3+kQH-7zE*}e=Vza==cx@I&KIH9}O!X zx3500y`Ojj=hgVLn-QUXSJtQEu${o~s07gwP#)6*FCPKOWu-JH*g_%6q_MFP!+ak~ zmTz!KZo;P(;%!Fev} z!)u}w3PJ=n?i#JEOwAWZOU38qXdc~vRqyOd8+BNjjJn6*G|odOLIxxJ;cP1fxvWLQr(4!Q=-g6brA>z@+N;)2mHo0(jI!svN|*XeXpVbE-M= z*72BfA;=sVRDsAyA%Ogk)^Or3mrpbQ-H7xBP8^l&H!qxBW+;`71RiNV@a$I{y7vL+ z-9s_VYLFMUr)NNjZ3F&=0m`AXxuyCo!-M__&NGyh(3VFm$vIQYXHiUK&ZyNmeE?#q zqtLz*-wbgzLUu;?9uRek))0^~7xGy)_u#84CTp4X<%OCRRA$u7OK;g5oB$%;3cem) zKOx64vkY*&lho2m2n5s&|Gv1|Z^V7k) z#ACE)w#HVi@U?4ql+Wbic|alL*mjOtxofl@X#EScwA!WuXn5{} zXA2OAr*;;2(3Lx{7T(`j>;9>dW>)gBDu9)VoZqfk?7aST8#t{Z&sSxTp+26G?q#<` zIo?@c@?Xpk@6lmEDeQOVce~O?10Cn;`O+(1UY9YQbmWa4RfPSMwZs&Zm8TS2B2~3+ z0`L~r($dmR-tt%Rr6%viTlB=LhmjI_{AbRF<928A4Eo6fZZ)9gB~rRv{tj~iCyQ4( zi}ze-9B)^Ch>MR89+R^7=_*SvC5*XM0fS6+hCiptnVlfVp}2F zg8k;-?xouRhwKb5DD(Yazh1L9F7Kb7nfXQ{Cv*kmqgMQqzB4S^bsC5(gJQo6_1mcx zH^;NDN0>PT1)n0m3z#3`JuC1rI5}QRcg!w3tu#rxni;~0|3iF(nVS_ft@af-bhMTb{lzY zKzGT&)<0H3hb1fi%>UDnKGa-9-~}HpT`5v90dK1YR7g`ja-#P9J78}U zhRCJC-a}#K;z&XfjG;U~y78rIcsbqWTyFEPJxLG(8i1jkBEZF#nWB!z!1JboI$#4H zbY)=jNC+n&Fu$$g92l%4=fIF3N@2E(oNuo<8^kH^-@5cIxb7z9ehm;iGvNOIveQ#1N5jH}@`fl) z(~ojzRgYu4C)Gjy{OMXVX|}aZnV$yI2VR{!$livh4M zSN4=^=~xr9|J_$YI*LEzZrbx47ZUpzG#-v&`>`XeqLTx(AeJq z0naV&8MDG#dMF9H3FJ0zB(0TniI9-FIC;E#3j9tf-RJtlX*Q8UDPP7MfiBTr>`N?~ zRm!Xo78NI{DDP%q?HCBvT2+8I$OFKAp`Yw!z;_&vGQgDC=lbqU5&2P7$BF4Z%hjt5 zqsg^(T9`)J-OV7RYR`2YT=YM#q2uIKLh6<0U7e!6DAk3L>glMb4+(1B4;-LydX#-z z-qYvnCe2e*8?q!PR0r=OYp9omD!DdI^UBKQOayDiWLZ2YC=B70E97()OWq$rl4kUD z)++77=;XwNkY_3AYyQb!OM6obHK4?@i}AnZ|DS&Yupk*aQZ;r0}-7c4x` z@W7{8772;WSBQ?M&fhG%n@)=CU{%wmlfL_=iTA^?gc@9I3~7Kzy}m!O9dj%IB!CJPpUBbpe-X%fx*FHxv~Dn=nk}0vnr}{631%vpq#P z#UC)aQZMqASno8V|MP;vf!N-qB@dfK`R+h$=iH7_iDF3_k>)V&ri+0^yh9|f&QV^4 z+Z;JJXUzAn>F2W;!#Lfe(|t(G{^FLHCvU|_yPwx@4COU-2&x941!R4s^w|hJUBe)w ztMYPnKgA}SEBkZ$lO7o-QDwp;TuOrm6a&=i;#zWwk(*Q@Ft((nS6E`^P!&Nop6%;>N?nm2r8Utfo)hwbfJWA zA}sBUVb{B#ZB~PeqdZE1FznDytvjuhFyth%`(P6y-uKlSiyeosdo{Og8>&qg;d-sH zWS7x#P`6&^=O0{L2PhUE=_gn3HtpeS^RH}c>)+lwz3?^U#tMS>>nMMGT3kf_()()= zVQja5rA8imqwU;LvlZ?IeJ z=;lKU3}}MW-6zuU!jznhs1<#VnXC+X` zTe5x^?2Ti9?0Ws?&DLsfe(FE<#oyCt^%|neD|4;u(-eS$YY>IQCLy%WWMMF z_V*T|;~Mq01;joYbc!u-8Xh{=ZvQglc=qW`x$W)+`7AVIm8>7ok}8^tYzcYcQJo_s z+;Lh}9*Dy;b%Fc$P_qP@A~4B!wQJ^pia}kTwc5y7J0m zV|$XY2jkT-~V%>%(A5+Gkau2Mh+q?WE4_Vwi2>s9EC)(N7<2?jD#YmB`ZosL@6`7 zL6Pyh?qk;H{eArYczc}QoO53HeP8!ApV#vupV9wQ1};YhsF&`hv>DZ&QLZbTkUGig zY2LqoKTF4n<2q+Oo<@Vri2gw`a|~og|7kJYQJGh%?f0JC4+tPxs&cp7&NB}sX_w8q zJppqbf2xioP1*~%;rtO(nP#%A(HBrTi^+GiS}EQeeEQQmDcE6h>1a;TPYeke&(G_L zQRt?=Bcn8SR6V^Q`p((q7&V--*%92C4zBtKAMCmuZSsM6k&+hN4^~wfswi3SvUz8L zW-X1g1pFT_NkKjxzp0$br|8myr99J^>kxUx7%zgy&=0NSPJAIY9o~bD%oX43`3Io+ z?2T>cjTQ)9GW$SAq5uTgOLr^cag@Ea?{(K&aW-iPp19s&U@-xH_*WJ?Z zjTDWSay*-}6LlT6nP#dp^vvC$WY?qnE&UN<#SG}5D~93fanfyzS_z`cURp1r@HIfn zfYRZW&{vw-E(5J7%#e0DeE6`tcS^L|Q^&X|u`)DjG&+5QXqJhz>MJ=|%3yL@>;#tgF$D*<+uS>QX8ll356Q5*BT^%p4v zXD;Tmdf*-)uTr7lhXiu+hkI~#F*O~pidY=7H}*y2sR`)kaZw6rbGHcC^8~<$#=}#yL1pr8ZKV_b zxVA|u+ig`Tk0FHUXM37~TtF-dqqm!)r@%q#HwSm@Mi;ktJ1*weDFHX}0#bx9>^%^ea#J;oMJ~5$+Y*YB7;Nuuv2Mu-764xrIVGa6en_P1 zQ02DYc{ZjVn45DyMvme5nmcm*lE2Ol0|Y=woj`eqPHeDiL(Q zFDJDYVvpL*+htQvjwo%H-j+c4$_#>%|cg zid==tZ;Q|v{a|~yZkh$xO;r26odw04M?^98i{N0lvuyS(&7RKbRb=onYFEIf<%Qk9 zc}}j+YzzG(iYH!>HCA2-23!1_<=5bg$h1qV6(XWXX;O_WpmLQBeh)(jHzJ$;V$x({egJ zs9BY-G_ZJZU#bZGP0~ShZWw+C@hlzN8%t`}uWy#weg{c`7SpvGlu?cQ>(Un+kWX4p z$C?`Mbtf9%zS*ijH$k3bxx2s&*K^a9or?AjMwa5a7r(;{*&6^Ssv&!i!iF*>2Onx; z{rC(|f6Yk}4qMOZ!||SPuX|#W36o5~r}Y%Z>I>_ya{^V;q-u}fU>rg~>n9X%vSxb1 z_>=-wUAdI;5t^l}Z zbJE?33JARe$2RvPX-usr-zWD+tQ-u2u}-1%%;cy0a_t8APqRUDK0j{y&MQ&yE&vT^ zlXp&jIMzki=rev~bj0c?fU7ehoE`EPY-{F`Kp3x!WuM#tYs?wNt?jxiPz`&=GKxZT4BMNLXNH}!fvk~_>!VN%CzSalF_7Hd!P|Ll3snHY#<1WrA2 ze)};o%`ID!Jy-JVRHeUFaw5R22ay4tSV%+pIe3_SPRPWmKUTg6zJW5R#N*u(wkr5} z%!ouMU+oyU+5%;UZM#l{y^1f{kqWwa9_^lgg=9+ z*L8rX{CXgxu<};((j&>~T@ba|PPxfyE0R~0BA(1{hv!r*wW zJEb84yE(#t(SboL`(w&}hhFT>k9Uv;%dWoJ_u~kKCHBmjGii+aS%U(TJi_>b%F$+@ zQ?GOUMYuKN9VTe+)XY5CqV2m+4k#^+S?x_s67MVRa!s16fVM$#WZIk0B~zu`0}^G% zn$e@!H15y%kBoN}`JiYn2nj@IFJ7X6kGW2V;2_MXaH|2DN|8PxkkdCaNC_F3>A%i+ zFS(co%W4P#{T7X`vp*W&$Fun^^%lO&y=wxefS1OIO=R;b8FL$Fnei#(GcY4{dHc`R z)B-VC2AJwH_35v6BT-Ax^=REp1O_=B--uC{2xdey+$iY>FVc?lg0Dn4>6`RsU z_PBB94O+CJryRk#NYUU6i>L_;VozZ&2Qm-h- z-2&}xra@D~k0L+20;_}g_@(_osC#ebJxJ1xBX&OqIT?m?o;B-R$KMZvxUR~3>gm=e z+t@&XM55S)LhUD~nf}qk*fjn!nwxzk99zUvbzWD1n^plF*bP)gdw{gb_5Q5aZW&ii z&tDVwNc?h@NW7q!ijoRWzCkmyo^J9{jr2O%jB&Sb^P}m>p*tn&M;%Tx1WI2T)U@e& zR5}kf{50TaX4{%~PQO3#G<(Fu)i+Gx$`+eXzYIj2jG)%J$a6^$?cZtKykbPizD3R> zPUNpoa>k(S9wTEm&0e^6t{`nE%Q=%to?%= zA7lecDD?BF+5RlORsE9wtnoD8G@BVS4)WrvP$U@uJjWx6S5QfY8Q>XmZ1ses47DMM z!cId|m6cq*8U$TQ4?g5GKu9hK;HURr8m+bxO>e0oquXb__m-Yv_L8h8+&v3mThWC^ zR2hK;=-l+%45@i(hj&IR9E?P?kh5hq3`F|s@Kx}cP%6S0OR@`0il%;Tv^<-u)u^Vb zDm8-$8hR4FOGMx40#uWe_lR+GAAwqRR@L3^+b%gGPmZv79B#d|335R!Kaf7yC*f2H zHRO6oiWHC-0)(r%PXg|eroL(~fJrz|gk(C@5bWXMVVs>oJ}Bb_9l(kRt7aNVQ7?StvfXE4Z5+ zc3;H;VADn)GS5nFbH%0^*8xMTIq(5me3VxSn7+{S$Y27%?w2NM)Q=vGLAhaoiZA#G z{Fe4Jz>v4iwO;ywSS@B2tido32+fW)kDyLHz||Kd-DjFZ804=I#8mX<(9KNr1d4skFTk4xTf}au7Jw6iiZt=%s*(YujHCY%%G`QkKQkfGmcgP?ey?PDhv$04f@ z39A8wt7PX()Cr)^mE?0mn&mj;?(ItV+&R5$Eub9X+tK|;$pysy6sU{#9vQwPcv}qL z`J*Jy04S^h6eCOSr+k3PBojDsTC%kFs;R4gpYwFVMGys8QSk8aghQ6N4!q8S>3O%Y z_n&DE-GPQg70{If&}b3^=0FWTNBRE6;~)J)AppC?ESJ1fFJKciiIo-G_ejqI z)RXQ-PkmPsLdXI>l|x}lP(43>$LB!4Nrmp8+0=>Kk+}OKURhmkzLPzuaP&FRYJ2HN zD8bJDTqv)6bv4VhOc(pPQz9qz8B8@aI5a<{H2%$JF*#>4YvfNQxqs!?ySFpILl&Y3 zg_^ua6Y|+suc2(3_NPQ8peT3p+tFL%Z+&KRQ=W6#@m{=1$|InC?LP7b+8KJEhvz?b z(+9_7kg@klcw?2kevn@$ln=x|gvDpGOjCIE)IILE)=CS`O{Yf^)`e;7<1qh3cY{-i zud$0F&68MDAT{}#eu}OKg!4UoZCMAx_R4>J!JjI=eCVr*V_XSHOa&AAXCKJXu8Z~8 znh=DNFGHuw@z~_GYuCbonIm}Q$e-_bm4||h&u)Bs;T_}+Pw?3(Zo=sxyEDB_L>|*b zuk%@jnVGp5a{sdsqnJY@bXU;t^IZ?>NDh;bL)@-SQKILoUu*2_{6OrjVd*CfUoGNP zicCtnccTp;Ckf!xa&mJ1mUAuKqNCt9Qk2djd0X;gJLZx8)R);aeztPZtq>0R(0X7A z$yzw(dlRDe;|Yyd{Js+Z^hf>P9MEG>^3^tzb>(qMEAc<6n7_Xd3fe4BLjVhIqmA!M z3zT)O`nLCaFRNngb-z2Xzs{|$Ue)hCPL}j9T2y=ZvYjAU;$iYeje8v4#>Z8lIhY{?|5Ks7Z)Sd}G|o;WZe zKVDl}LeNmEIJ>AX=6!fim2Gu~fD;JB+S?b*_w8LG)cIvJ0%+}_4g@IbtN-xm_`L*I z_2l{IJWPZ_M@rX&lS*e884ZDJ(HTgSphY9&@pXt@)igAsqNC|4?nk!#y+@zGM$Os3 zih=duXCa!Z*t;s48`Fr1JcX{!yf*SyDRglF`T}WvPSUhEf87$A%}X( zrz(l_7V<2wqb!9dV&~bP2bv=RfQmJr!u+3V$>{!(w_zt`C{$QmF{p+NHIaG%E+MU! zCKNRL_k$5%riSov^UgT5+gz~9OB$@yQbgdCDv}!*rhTN@vquoPbt0gSwWWZRPzYUr za!o$asTsSJj6kQNGFxny(DfC4y>VI8B8I6$k(-OB9@A~PS?>UQ;8#NIdEh=L#iLWh z`N}265ObV_n4_93X+QqK(!9{k`-i@SzAQ$#A=XVRRz-snUrPzjX)>v~r6tgR8OR=t zS?wbtM5*uto+qVi<$0JPZx`FiM_!r@j&xcmi=6SF!o)9M&h{8&EW=>A_D2kb3Osrp zjY<-0vUUhSi_ z4&hisL&Kc5M_zhQt{58ftvuHbPFNPJY9LhAUqRBywL7+?mzq5Pbd@ns337oBdSt-4 z)=_Y^Q2j1=W5hqv!&|L9JeC#ywM93shz5&q0`VKKe4J19*AbzB3S>wR_fx5&#dfec z@?`eX=c44W=N{;|-VvW=e`em$JJ*tbJoH^`lP7%6CaCyQVV&!#v%ZI94rqAwzdGlk zWh6R^@*nezss)UKrrT{;8Pe6aPXCsZ+8nRg3w2Ngocs#B^v=U?p3+MkBh1R&gh``^ zz%Z@V%6@qt#)M(Li(ZZ7@Gb6ej5W7K*)aoTW1p%`fyk5{=}0+=bxVbt-jH%04r=h-o6CLFuIB39O_o&I*ki{cP)Z-M zpOBD{3=PhWnlACd2$}7}-IVB7fb?{d02r*(?b_V3<|qt;>aEupM;=@;JUKwX@`dkk z^yc89l8N%5$Ub_hLCVA&8tYo15m!5?9}N&>H3PxjtkQsA-ZoZ;WH8J2Tj4j*YccnD zV3#9G+5U67=muWDu56!DW_svjOrPea=kn{DFZ;t1-t=U5#2A7k^E}sB`FS#cbs7Hw;f36=)FmjtTTSI)TF#Hw%zD z&XF5|61J_R>U%V44RMHMv*5{`E#wuW`uoj|9-dD?=;^{JQ3xM59**BD@W|xuemM;5 z3kP}Fdf|XZX*3>?cNV~1C3^9rY?(_Q0q=pKIJmNRgynA{dJHZ?>7Q*S5MWFx!^IZ1 zHCgUzCP>@bkKV($g;zfY<~qGBe2?Sk;+hEtwG6{IYCho2q@iiPLmi#MCrE9~9UUGc zy1O{!#th+135}r~JnK{z)&!3Jy`)-~M7CeB5;4qD;My+tQzxg*b@+_}@`HnT-n%6L zy0GOLDTE+i_7M;PiUJGhEU;(Ve+noOUA~+vPmezfD;9isCK|F|YjprTHL5TAlN*?& zeS8bDKK|SZ+z<2G%as0W3qc|sZv+cXa0}{!^M4QLe?_qA@?ZP8^4G_iq+o`#arK-S zTfp&8sw3bHJtvmlcOrVAN+>p)blFuPbiZt?CJHq?B)m;jF=9U`{t*nro#*|1e@4|u zA2}X3C7<@ z1eI7344L##FDwbVORyPfC03Timl>qI=N?ePAa2Mt47pxoQwpqwCRHwJ>8=i&@@>n; zYejMj5w1z&Je@MTAJz}>XIn^P&-~-R5jJHvhr@64H0Q)J(}#<$HT&%>(?B@D(Of8~ z#GW42KgmwY-CqN@{|dMx;yYUFo?qzlHJ-S&6tep@ue0c8I}no~GI7n&$mT7rF^`xI zA3Q%G@ar;~-dPK*RUNuK03(fnT#lc`861#})T6i)pm!~&lno8zWr0H!iGA?(FMs?3 z%5MGT`r`M2)L$r$5PzHXi><&niI;1(f|-D)&Vagx3aSGqvyctXaX(E>=4bXXg9kfl`=rA?`~Ijr@Z{V z(`YKVAB43O7J!x;o!A2d!To;0o>WQpkrOxageNBp0g^ZtBKNPcaq;myKsXT#zJpk- z_EAvq9H8J2bZN#eYXd)JaN~@f`*yGV4h%#MPp@n}wdw4+a|1wV8wWwEG+m8K z-bWaqg1`pQ%g5AX08<_mPz%-Uhfj-^cMM7hF}xSi>E8Y-=H-wR9_btG#SKXdumkWU z-nKu+$r#vrZ(?0t9|A#)cC65;5!d()S0p8;9C58W0AwfbHlgGNR-us_EiW%`dm=ad#>1=W`ueTurkJ;yJ<+{h>n%RRmLZ9osD-+LoA z2|Ikh796!A_^hh1BUgxDi^F2{L1`!qSc($+3yAHh<^2N#Uz?KFE)D(9u6XD| z0higgW7%a!R^alSpV=06nSzs>YV!o`-V4gY-cdG2{8q*92nH$cuguFjY@>hdf zkkj}R{dVX`-bQDAnqTG6a1cmLiPuay)MEi@e;(i$t}^i&FWu6Jr?#YqsZ?QvLPPTTebWV1A0qv7ZWGV_RQq{-FAGxmCNm_bwuNv=wzT~R^5<&lnnOJcSa zUbwzroptV40cz!zmv8pE@nL;{x#*L`rSwsrv(rO4P4H?bx9gGa35BqDiS zBmhd;*KykG-b@W1aOt$it?Eww%(rGx&6#nZ`}s98Je<{bauhgFI$xrq-v*7wO6`p9 z1GkkTA*4H0ib6WjiPu9yEP%E}6zGq51q518DQ~C|gcL+V0c*u`Bo2#Dw43zV}E zf^9&ZOv?hY@sB{m6yPs%lV_m3#l(og{i(e=WDy43@j?^AXy%sQFUI3A{M0oDOp0oqRiX5rW$P84|$oX4kptNTW zd?=_noQ#5k;%G!8Vq;$q7>Ly%whvED0DtWt!}r&pu~Lg8U1r|vwbi2SCij&C+;qr3 zCX-(c3jSF=f?@X6pvqy~`}wOyB4S-2K8z5mg`(Nqm{(5Z18)t2ES{DbB_}o=gs;Zp zN%Z}HXYb0byK^B|oxVD2Yxixk4bI7mP@$A?);2;)m^2!0>T5Yzqy-s0BV#?9&II+w z^u+=<$ag3(3K+6N8o+G1X1RJ)fN!t1L-=-5L*(snmEB1HTV9th?{0yqiJ9{rzZaC8 zL>}Xxk_+qsv&Z@7p$|!d#|c3jW3d`di(}w_OBsUM+IsPcQS4&p#BS#Ai)@{a;>`kF z#{lLer3v`lxd-_IQTBPf5`2-G!-eBk6&rSWWxxHvSKkN0`TEM*X*Z@iPrB>sMgm|2 z>Lb@n8C6RE`UJo2pk}?XeV}wifXbpEEs+wj^{gM|$}6l6N%5r+^TwFN>Rza*9RC*LK@Mt^-50sZtpeC|FAq5Pn46xSX3gY4Dj5keE)GPoEH z>dQF((HHe&TBQT^6z8tU9~4{L;RE!f6nessUFASUTqxI#UA+UYbRdLfdo1Kg4H4+m zW#+m%jYyOqIk!Gr0AIe&Uq+{tetoJwf)FsO&p{Fk$X7q7Wbmva=jWvNJL^8z-mB2bW-yA-U4+eD8Zix91 ze;z#4TNjS(KCNx9P*hpWtCxJzP18r-VAz2w7O3HH^x4pOMQ4AH4LSDCqRyCu5`-j_ z5QgsoA{s(Ih&htclcZA^?vv*^LYAcz{}z0TJyi<=EVxvZap9AUVl&e~DSBo(&j*MG zsoNWS7^ssQljTm*($QV8!KcIHKmhl+##40X%JC7KA})#72{c4muzHt~rjnI}T_bp7 z9hF{67VFDyr+x3rb3(=E^5H}C-!e+cvmnV^n262Npfj(5qfM`mh|Np#hXfz$f0wqp zFId_#*3ItWcrH+LVcxB-Ua(kyoE{?OLB?#_T|zfcpDx`Eri6U7*mO_D?WMT^U68&s`wp}KuE?N0I%udQLBMxmcxM? z1@ywF4o@ddhXF1=0S5C>0x2>UeZoChoIMgnq_+!i!@nMn^NcF6I>RcJteP8FKR5w? z)0`+pVD(y2@fS%JOMXR%F8s{S?@`i8D|1CIW&uW0Je#%8K3AxtEpHPQ?4}trq}l{a z44Q=WtFXhfP_BB$RNe4ROu4DXY#O}b7(Y*iHyjso>+f{XXbv;%h7miUiJ`0~1jW@T zC_%Pa2u<&M3y^@?!ZXh?r#I7~1Hw_mk>hkM0KPDJi;biIJ(=+a&#PK9v}{} zpA;FFwYJ|m@FAq3d68#DJiuC9BR()D$90aBk22!WJ9dB#`;*lx$qjTVk2+vduqvQm?s{L_Mj+cwlUpnVf0hqDPD(0l{ zZdP#+`M{baqXqg}L7rIO3vxnq?R%mYj7WUKYLJJ;jq_wWTt{~yPraI7I6Pj}pKSa= znd|8WaF)4IoD86#Iz4SUi=oWohqQ!5jO-MCb7V|Rrk&nBV+jwawJQfO5C8z{$_R3- zu=nxFX}huZ z5(naXhSchlLNwe2o$x7pSV<0Ml9hzN`C z{dKf-N*vFutO-3@>t}|6l9DvGkTPULWiyC0@sPJM!VN;})uqR0R}zzK|44;6k_rUk z^LqkHV1n{LWrBLj!0gkv%N`egI3|>E>4o*v&q-5uK)cpU5+E@MUp!&(R#Y1mOun`0 zpdfh47v#c8^1X_go63{MIZ?eqkc?Ma-$EW=I1n3vDz&BLG)_w~OxD#IlB%K4$cZ$_ z=;`TIp`X>9=qdtc$riRg7v}7nxQy>wX%y^d>!^!t7 z-$0iMcBA(()r3f z71B9cs~teo;h?Ppdm1JM>%QE+_mBy2TAqKce5}+IgW6ZbsF zG~6L;f`$xk5M=;m&9&mDEu4Xn0c50A2JuPuhG1bR+|RL2y;k4pu&Y;zk=}$l>YS)- z$A1378V|<1`KKiEPMJp6Hru2T7Zy5)0Pk6546RS{*A`RqE6N|iclyyc<`VT%v-5nT=;X!mXv}b3N}C&=5R0+cg0INV~mW9 z(x35v@)+9F!~P6f4k2!Afx(q;80wIr!!lz=h73_2Z-?0Gk)q?yAslxgytq)FBR~e3 z)4%S`_qA-*EvDB8$7akbNUcFMDrnYZ;RM{EZW_|}N5H4>o{5ZE*}?z4PmSE+raZ-G zk35WZE4DllHnmOW$2bk7$8xal@wKnxUNra}H|U?(M@Gx=`D+XzqA^5ubi2vDZC*S& zhCg2kPlN!uOpJ_neFDbEQyg!8fP@T32 zxgJF)o1d)&FR9R^(Av!oh-1*a62ETb(qL21|5w(R7-C*2P1uR&2NDNXoM==Waw8On zG*Rwf|AWH*6%nO|koYmt7R%KMZAh}_>3?(OVF!I&Og=6i&~RNy9vZSnnVhGz*7_30 zkm(dyD0qabv&{K62?RES8z4+5F+>)N&zV1KR4R6|rA51TD{Pa^Gx96S&9bEKoDMTD zv8QesM9S>szBc!1H|hIRW{7svXa2Wt1JG6#Rzl0WtLdRpekC&~{UQ-UVCo3GmrK&_bv%xtwV}YF+?4;mRz-ohg_KO78ufQrNcS_oALIC>iTTa;n zNCr9Lz^Iahf4Y+f+pCQvhJ5v!ViAI*LGlYFu_7;YE=JEau0j~n=)r+e_a5A!-S9HF zy}+jk`%oE`%q72@4SL$-np9Y)FoJ_n4^N zY7vwJLbaVa0Py)O1j6GcQ?UArKhT(0+I+frH6I4TV?{P59SaOhGHDjcm)&?ej6$qR zN#j*}fmNwxwpiT>%ETGRBu)$4q~A+}^fhS_NDkY_O?M@4Vj)7iJXgKj!_Dhq<*(;t zbyBCylfPsW16lxZu-~kB&iHq?@SINPAmw`S`9KM$Ffk%nLKGsEvK`vMwVV}(0J^}M zF!|Ct(e?-qcp4f=m`u!GWfnoEuP_0!!o{6|#k*HFhBOx}RH_ri$cibqfQ|!(swd7Funig- zKZo%W{a%`?7~_G6W-{N;X`Ce?jX#dfyloOi&;3jMXn1P_pIQ~)vAg$Tp25fCbO0Z* z1ElW2J?xo?THl%E$X`CSv6-Jz!Z&l1Yo6o}U^C;wQSd9P$63ZaFnd`FL)! zF%1CLP#XyJ5AsXAg^>-y063$%Ow_pSW^Xi1ccF{r!mWF$)VHCM_;7h&L^RF7Qvuij zQ)e}dhKPscN-@H*zDc`s=8`B9ul3I{LrT}R$Ecmb7G9)%BpUq7#aq?yn{Z}iyX%F* zEk2YCEbJ||7*F0^CrsYn2%tM}5~!VYNnDG2EPOP)=_p;sam5U8riOa7!B-Gyku>tm znBY{;Qxl^ij+4jd!v#&3Tx&jtSh$ex5O`CpmCvZ^{wtFqQ+eF*$`LCm;xR5A6B7YI z5yug_6W>E4V(sn4vC^J=RFvFsAe)HpLjdaq4k~FmLe~YN-^tRgK;-M70og?u*)|#u zm0B46!a+krlT1|)`OWp!!z)Fomuw>1fJ583yDNWdwjD=Tq5S==Hg{=d6f}v@Pgg@p z6EB9V-~ZXk%SpLnw1pTs^*KBCOmqe;XJ=EP!@u^1pI;niYYBz9%^caRvs+kxkGFd9 zkKY458j4zF71+#p2b+`C{@s_=Dl-n`ah9r4mCc5g$lf7=aq>JcCLtDjlglYj-BF?H zEIJp&xo_W2Ij+8y!q)m@uDPm`!>B@{)pQl&1rDO=Gic~sICLGT0?=!s9)@!913zpm znE6iY0RfV~HWLuZ3q8G3Vj(W5lIAvL(ls1HIlLy)oi>u$FP$&}%Iv0lqy$sO znruOegX3nXzGSv3JJLQC9$pN062uTB7@EdI z4g-O2KNMP{piIoMnz-6UVXkJQ_C0tPk%RO&A<^p!jZMF!pM|1C;i23+*$ z?Oi82HNfar%|x~REhnqrfOQ~&sVmXGld~L?hX<196BG7!W8$fZ=tx`d({f232!$u_ zD>ZvI@{ML+_H|mce_o^z*4*?qXV^!Bf;*}|T6K@)vdrNQbqXN z{@RGHAI$#}+ATuBkC;*Kv4Qs7`R6dgYpx66+AHw1s}g37bv_$UTQ&2}3O>f)qDZp^ zMggb`nw;jJx!D*6%bS~HI7~vn9EuXFK&BaAF3N|wgU1HhOYdF|KVt4rjvoeDhs zyw5<=5QkbQfuxGM=5yfkv+I6^O2|o&)4+;#EOHf)8BLUAr8ogBs<`HiH5^@cwY@>dwR> zmp|cNy3U46QC6FPx>YSG0zax#*`v# z6q8}%PN+{kUan6qs}nrnlY}H!P>##81d}Zw9USXYJlpZE%ePwEL8XbF-ph%1h?Uv_ zM{)Lf=_bV(mvm8WbSvO)5v9Z}7ah7GXHeUN!(Jl^8=$vU2rylAGJYbT$p7JH|8;dX z;eNN@)RUnLS@!`9{byi4m*QOMQm*{Bx10eVe20u+Xtk7bYHBJLTAz3Ufs+}#n}LA~ z<_#qxp2zfGio{tvinPGL2?ei%Je2>=S_T~lC5=6*r)q>CgCg+@?V*|Af1-np?at^! zzIF&QV@_ggx27F6VN}VLXuJf_X>nBkYQ=tx9WI!VOr7+aXrUMn+z0D}+`E3IL;?!6 z>(jgKNfCWk*ZohDmK@YdRn(6+IW}}NpAP)hVy#5+9GY>dt&AvojXwoJPst?p^g&(L zvQ$G?Kt@k)Pl*^0x@7So&1CkoYQfm+MkXhUJsujrORX6W8s1lrhHI))Fei3Rhb!L2Xc))(&VcSN)RX|m-m4sCL8V-MgW0WDEQ|Wo8KtLxTSyB)*6g(r#16Dxy^LH zv?iFSKUS<~@&VA42&94>pGVY4m$SNhDD_0}w@pa22ACScIDD@%vPz}&pH|9DEjgL{#d#VO` zm?YH~fUslT1mOlU~M zosZsRfG;H6Q$TU7r*pA*SqOPvmoQo8UtKIi{BGRq!R@=4)T{XE=(w{5RHH$1yoHAP&kw4#kQ{S0-e-q0`MbHG!hh%C?U!8! z*eWyYp||qS$lZ3oeutrKOX;Z|Qez|PfB0eMnn=W!;I~dTRMXrlw_1O5gzGGnVHB=D z{BV&GS?C>Xg|=;c$T~saUgNj}VnBhk6P$S{jh^T~@KnF&s4QXk{44yZHbQN9y;^3# zJwxzkD?Lgkc;CK#D{4_s&n)fCb8X}DK=JNFRl_f@$*?wniS?@w|L51M|MYuKvMBHM z3$w?-WyW_NXSRtynJvCo4fl>4R1&Rq{ie znlocRWR|3ieqL&-D@rNR5?Yx3{3?V@h%V)MnL&=Vd1CoslJz2)!qsmcPna1nq?`{S zm ztBhto9gnh7W>3LHO-}?ISyOr&UIEvJWL8Ljubcc(l65;!{BpyK-EyZmIg?)ucD9R0 zJ!<1;PT-C!*7`ZQbZ_C(M>X4N^`cHkPXh9R+6ihUN?PhypiJ5O!B^&n?2WjUf#;beh2S7|I&|8={m?TH3+o(qnBJoKYALUITR zB9@&L+MLv29G)=D#F>%mhB90od|)PgL@@s8j(;|a+RR7tdsf11PwnkX0P|Y5jQ{v; zn{ZR4iNbub9P;z#^Nz2j4vs|nrr%Hb{q3x~ba?8$_FUb!=h}lUQ(NyHQyXckY$V;6 zZ=!aNoELo@?l6%2i@d$Rsph8NUd*dz>4EpvNnE&qxR2d-?w{qg8S1tVB|3edSMHni zO>(&|hC5sDl6Lc+dSq*UBX};#68=Z$GtQ(YT&zQ%%EFcF-MRQ0X_$Sk)v+){=vapDpSyoTbplG zA|TE8Xf>m?dYACcn76mG+Gnl3jWe3|EX}(q_gx&!sSqW64y|%;cf}>3I3*)XVMvu9FL=qg&#noTK9!dVMmi1k!%!3oRgKsvQ8xh$EYbc`Y@dNcZ1B z>|^Qo_0Z|0lU{}zhSN)i6RJQjlunu87>G3kB8+s&E6K7Rx-j*9A55#v(a+WAB^G=K zjwWX=mSnqF*UOhafnnTyJNq_d0#~ox7ftMDa*1r6%@lGmO-C+%tVDA|=&d-cq(~us zJbTJ6Mc#9D4jmY!6g=vnFZwY4#o6=8Z4s!FlT%P|0SQ+1md}VkVDjA*m%vk3>Dyq?z4y3$TlSvK%iAdkz6MujI$TP)KqRGut=Mb#!tSPLf$Tw^l zp73AEq~^szqO1<;-{t`NIKBNKFu9;w7Z=n!bbG!?!Ko?3K?+f=KeRj3o2?aHv1V{ydIr~iIwxs1T zJueD3Q6!%I-Ty{n?OE5fDyuqXr%tv)z)r*A9LVNy!TgbE=y+4k3EB-9V0~C&!REDh z;b4WgiKdD01uj66*8r`|J%LYvHWiUoRz``S;ZMsK04GHQf~uv(`Fx|G5;c$wKa!&2 zbiM}~I{5!MKG03(Fq(be4H!`P%smNt`d^I9!1qO*^c7S^5GWK|HM8Wv;BVRODMbWR z`XUuAa4O>s*V+@TFK`R-w%t;!sIL7yXuH?gQ8>*T;n#rX;RW{MR}3bY7e8-*HrY*O zO&fAvC)~!HPk-~JUT9y%0%*%kM_&fI_sF<7t8`W9L512UKR{_vAhvd9_&g^$gMEdb4|9n!YYNblZOcE&pV$;6R=-4sxz>(?ZiShi=V8u+x{ z_A0KZs5}(+OpB>5L7I-bwy7x=8d`6O1BNzq`5t~G@tOa2WOhKa%YWVI1YGek&s;{NMd0Y1B*gIfu zE-VcHq;c-Ec?;@m{O8+N|9Mu^46>IsRc!^OKu7w2ocDVuMj}vg##_jjuADbL4hAOI z0u??F(9JvV`VM2@G64b;toT%lgA*~y_1%*3n#uoq4JIX~0%_@S+xC3sv}6ZJ%l;qF zl1EO@#MIE3AckfbIZ=d^i{Ax~w&(6rrvOW65TsW9 +#iU2jM5lo=?It(P~=}!X= z+jO7^ zSdw8E;OGS?+{t;ypd3^=J9xXO`@$SyZluX-A`JR>?mh%(iXV{Fk*Mo`K3+T?2-NP4 z_dM?4@H=#H9?0_|0By%9M9p!8Re=Oe0yyAQRhNh~|NrbsssMpe9)sNKE))i`@ugJ1t> zCbdFqLwKhJ*yjf1Ev|nK>ER=02xJV`U&43s^8X70w=}ah+_70 z<1tzBGF;BP(DGc>nS-2-(l3V+xU|=}Ap5?*QKp7pzPJIW0oSNa_w3F*AP@q7~ za4^x%qDvlHYsJgoSeAI4mz0+`-Mua7Lx+=-6Cz+!_!Y2cgEWz5fz$T6HS@w>=YW5V zN8`yhlby1I37a5ZD{=pEGzMYeA(~DtaWhnnwS2?7^_4& z?)vz{WGt}bsQmUeidhkgqt4@cXU?!~x4ki%7x;9|{IxTnG{jI?GNM#j-BajEV1Y^F zNH2PVTc~`6f)FSJWf}}8N^5IoU4Y!867~w|MVO=%RYN7&%?c!PO6V|ERT0T$JyH^2 z!9;3Opf9hxR?_3UIr3^0Z;1>~k)r}Wng@sAA+I*WtYke@u0g%A?lOv_Anh(hj8e)A z^l$#-lCTOP9$v1V$8K>jU#F(e9m<#7$gzX-fBDj#nHW>V3QmteBFWtPf~fg$KwDJ@ z^ue01Gcz)-ZDHy<|4?*$R7#5QwxH)1ZYL)0W|nn#$bO_Mv$_Sa8CNy^W_!YqwftQO zrVhtL{pT81B&FpDUy&)87lJ(^Z7gd-&c zn^92XRtHy5Kt@ISpG={S51eeP#LJ!Tlg2xJQet8l-VlKUsO-Df7V^$>RVIeQgWvqu4{CC;t1k_kvA|03Ya9(ZSQhT2)xyQf z`$5u zmGpmYDm)D9A>!oA-_?-bMw7*@|J$LR2L!ursjWej3Q)Y=AcQaIn65p+!;sejV7GJ{ zFYQpN7W?_%hX>z-|Beu$qAX!|E;T3TqH#+eKP+L~Qo_Ki`c3~l-#pz8n34o!GhGiH zm&35vmOt)C=$;%H8VY&-+2MmE3^Rc^>i@qqn0~;Ck_gSRKSKX=X*%pWX^JG0H-M$* z>c*#F^~Y2S-()=y^dHxp)}$B6ppmn3(4p7w2$^?nPq9Tq#>G!h$b?=_(M)MWmS8FI z{d%H`^#FCwGDHT+33OzD zJ|$P~`s*eTW0>M$n3FYYn%z-=)QXpfFFzyjDG<{eFHpAl5>xZgWb0;LPn7rl$mulE zeemtti4i{OS}52E_P)kr8&|w~az2c2ny&^??b-*d-_z>pL;*YXvvJ6&ac45~iOXBh zAmi&XKo1@bMYY?3;m{ns)5N-@vtHuC{QPA{sonM$%&n|6R8&+dx-6~GVpa^ZA{&@m z9(tSg&q2Q-HNozU&OrVoFn9c?Kbd+M%d|a7)}w{f3DO}>!hHTKQ<9cHd!ES*7qxW! z{JQZ67Z0K{nk*NdW?j&I$wFFL z@5F7Zct!a6`8jE!fQ>R8w*2d9EY4S{G#eJ?4_=X^;lUY{NL&3ZBI0`Psa8GhV5BB} z4y2_V(^=Lx8&h;#7^u@ajlJI=RSTC%*)(hY-Ecicq3n7+RP4xq_KO1ZMz0@ge*bw< z+n~zRrSA3X7|7({QO56CRahDPO|KqSy!Fh7(uce8)b|^-F zZAth?7({W6GBD{;&T*$)6I`|`Hq)q5gY(8!8*CZ>zuFiZN}ed=rUl*p1cB^&4u#-d zKbla|TAKV(0N4^Zzc862>l|P_DeLiWps1Kwk3Fftr-;KVDUMc6n1(X!IRF;!`+?@g z4PQts2$K26c?@-UuX_;D8ofX*%?L(7(EI3Q@ZIIz*_ek^Czd6lXMw4*x5RDyQKi|> zF9pMYqJRJhflzOu`^sx-(rP@6uHyFn{d{jfs_!F`Qb^J~_tgno7u0`Vnc1w%En;zn z*a2}A3CL+lujk6qdpIAhp6$Lspn`)Vx&4|^H+l6US}tEi)tk!aHY{v?iOa93|6q1% zWy2NB{CqXjG-M&-jnc9lcSBaLKNqx7ISqt#&GJj#;w+~~o%?P`*1X=qOp1IKA)-^E zoj@<>F zpNaD>0!3s%%Gn8$1UENlL;7PJ5FrXc(m%%h3d%j)$8=dyZzCi-Yqy(X=x zSNhm+gr?i*m5{38($V0Dx5PawVY@IAVI(0`Sk?!8}Y& zJOz#ob&3%V8?J5xcnRt=0BOpG@!A5t58o33Ocm8qYUo}Go0Rvqk6qb*$huqH7~C=) zmdJ-2F53D%MX$bS_JT=8yk&^fp(En!6(=;p3ED`)O5TdCqH~wu!}^2-F}lKlg9B8e ztD$Uw#nht%MjhfY1_X~TXS`oHe*-(PrE&kQ1o^zB+s_h2aWe^(N?FenCvAnG=> zS57Idnv_WlP^{mcpKbPa{m=`Tv1lMkzy@JkiBEe^8+>ici%XRAYCpGk1X3Po*4?m# z=2{&2KfPS13GK-8t!TN!SNW(PDSgo4==wu-}20p zB(k)y2yh`@QPR>XaK)h+S{;&nhBuG){m=KT3mvr#hTs|?TMGGx|HGDA!_fG#uF?ih zG^1#J;YY%DM*uJHX&{+6*EI}NJ|f|Am;lV2WH06z-ETD42IN;)N2j-FJ92a(DNzrZ z52Nl~r6n(L{~MqEKgO;*9_zM!XNzQp$W}x~Wt7!zCWMw`ONy)pvPZHKG$T+da5{pSs1Q@yMTt+SWc>`_uc760pof?aBxk@6!D% z+oD1Ui3+Ta5Ra_JxnO(Rb&ZLj;?>14TphmsxuF>#KNv>fcOg|c*8?f2F%Pc;iv6qo zEp|8LBa3L#3q z`kG}Gb^=+f{^ms?$$&^o;AvAKvX?;%dz`op-&2?zkcrM5dWehFy?eZYC(5F2K%P>X zZXlvg3ZL}R-V%JC6w3eC*Cb8wfJUlyd+w7ImWH(x{EK|(yYSzQ=a}~To4hY`4GeTP zpPJzIG`CO-yuWO1zmO6jjn6~fY! z?`i+*n}YPAe||7h+#jBb(#AJEhDTxV`s4ob4l!U2!jXxdl&jg8VU49X#*7GgE5YUb z5VBnJMO`2VsT%GgZc$OucO-GZ8BVAIP3m?q9X!7Nwvqnr9|<{xjY_sbWhOfIitc9pFjSGF-312G|uB*jvDqT*h%bs8mu7>7-Anq%7NEw1L ze6WpG0N(k9AS9{p%c;NapUs!@=NqN+yS0TD$$$R6M-z7#w(jrm?|&BZ#})io=K7Xs z*YiCsyw~sHHrU$k+ ze8?K#JOQTF_rrh?w1IQojBDQ(k=O{YmG{pSQ8-gBvW`-2thB#;sm*Qn`)~b(Jn;yK zmBGK)Tyqmt;Pd)iTg%{E-fM5k`j+)^W2x+aKQ6z-5|~ipM-3_R+5E3BW?V-24A%9D zODGCy9T@W5j-?I9Regzj1A?b1s7O`fR3777+)Jh=%_w2EDKI9a9nO4X{Cpi~`pc^M zXQA`^)W5q`C(D|y0eo6#NnTrw*>*m#fXvBiaLUoHp=&-|Ui#l(i8QsO!134GVFR1! zKek{AiZ=udOVl659IS6a_G_Lfl#E=cz^FZ4&YP@Kt{O@Q!Y+2OV)~Cy{I7pOb*IDw zkAPcTy!G9c4X}VbrlM|aV}q5#HmHFCF1cImI{(tmZ@hWWr!-F?LkOKF!QdbLAEm$q zo#x!SWJq)Ehmp$lz?}{woM+}2MpAamPMi z|Al&j`QWEdYE{+MWCf+dqxT~stfiJ(GhsXr$r^yZ-s8j7!2LfCAO&3`v@cLg4aC)d zbZG#`gSVO)&2FIBfp4c;(+haA6CwB5Tb*{H?`*ma+-CE;tALc+eMxon4_B3se)K#W zT7dt@>%#QlBhw5h;TuCX_s#@ydD`)H`t?bukfETw&yMZo)aHLH_WsgP#|T6@?n65W zIw6|>s8pm1uh)VC2oBaqYj3xjV*)a4m^e15nH5r0f>>n$=;Lm0%KYyq+TS)mv0Vahbklg)H86t#`=!SQ*ayBJyJe6(SHqb**z1A;{#43mf;19%W#%kZa)- z42LkgPZz7MelmmT6A`mI3=BtENU@JW49#Qk(4KcSXan=O^@qWJkO(|J*>iJq<4XaR zU>yHyC8nzu4WpX~=NoC9>aRhu6#w5x${>Uq^<Xq zYUR5qSqy6w7hRzo?5-OnWCH{{RL*N>sGE;+zX<9Y1<~*`BNiXw-b=%;&n-2C$FH=&xGr`q9fS zLj=@055ml0?>BC1P1e2g>F60#a_z6Rje}%b&v*v&*2)J`b#xw0fgNlYshb0&WqVzX z1>m6a%6lNo?&(4LqHN}Ma~_Szj4P(5CoW&UoZF7e%*e)(Fy+}palg&>9hJu*D_)AKB>zc{ddi?QGYnB#Oxl)BA`q}=so2H@gh0MKMXrh!E6YB7O; z)d-kCyaf>yif~3}6`p7`-d!fKmll#hyD`)ir7-(m{j3Q+U2xP1{neM_&bVUTcV-*_ zh;S<(#AcL-Y@C>wdBRQ9R5Z4jVR*4mJ86Bt*$Ie>Hair*_!vEq?vR2-uE%Sa29*Zd zZ3!7wYn~SytE@x?U-iiWYP2)}J^GjS){v9xbwy?Ay#?AFh8jF?iGi*{=fI-LWPquK z2@PibFJYp64qQ#UXJK9vRm7@Bt;ra~wD|is!e~n2^`9_5W>*!Q1)jBqpjA-T>?}SQaKwEI6W2f<&_}`K)v(n+ zJ6Pn0H3;4g!7(0{x_k{XGLBp?BPHs1YZ`d)4iZiS(}wSq80Tg<2L9$i6$^kz!HwJk z+bheC2Z444Vlxfwbp?86$_g(ld(mauEYy7h9*4N&nElqVNNRxT&cEtcWD&PPkM=O{ z?2A~COoXi~S+>4)jinBq+~SG3-)^|ZfL;8TKwnd1WmN4wcH-SX)=lBZK(aI0e!6a=pV(r zzKA?9q(n)3(ir4?qQc(3HRSZBA#%O_6=9%hIf${h?8rF`^UqTVB zSo|NL?k08_^F17OZM>^+Dy(}+38ECQ+Gc`j64EQZx{wiX*v*FKU?ANV@m@771KJB7 zXp$FA3V{-CX}BgXm^1ToVu5S`9z{U#R>>BGG#?1fj*Tw6$@lF$rHNeyJ*}~K3 z&-oCF0etAwpOWEQ&~;ioyr*QxF0AOr&O+Nu+Yr+lZw>|0Q29x_PO87F_V(i6*RdS+ zmomU71FDA)ITCb+;1Z@6sKEV5m-T8K05U39>8G4g!i=Ib>yB0u`0lHV-HP3- zSc;s8Vb}~0ARE1)fD=`vj-On1V*?vA z%dc-M1}kDsTJKfX2?$o8ipQWk(+uv==) zFUM_SzqcrR0MJ27)RFSOTI>yh!TQc@5XU1C4<}m<68SxuG%Dx(0x ziBW7(s;75*7n;&v*qu_m%M#NI@IMNbjV}kCRqBF!cHrPFK4@TR^+(bngz>I0lQIhS zRK3e89g8Bejh%P#ofWpy)zh;iqyvkdZ!wYLC?l5j8`-{CVLd$Wh^{beP?WeWj~Ff% zV9-eT@&fK5fSb-NgXNUR;QX<@k0LNZjW(1OP8{Y2!LaB~H2}%TUKE)7Aq$^ke^BLf z4hq92qJiv=8-W^<>kl5SQsA@JG&O7VER$4farcGj4FaMWJiz*9VM$%$-{C3qp9nb6 z2$TpLSW_DM`VQyO{9RV6N?0Hu*22tgTk7zxrZJcnHkL5HO-j!906*K>Z}>9}B&|I4 zFa}a*b=_4sJP0-+q+9;Tn#hNG;2+1MMi0!J+(RC*utKEmi!N3Kfbob!{p?LprM~Uj zHgxbWYfw`ikDp}p@l7np*UoCU$vrA`4<4#=!72rBRD_3qaun3f`qW2iT{M4rH#G6o zU$g+u^-yvgZrXpL&?yu7_L5rj>o3eF`*4a~ZTgsM??u5oJ$+OZ&)e;Om{>sTEYJ~0 zKxFv#%fW`pn^ii=I`ipzb;(^uMI3?ddZIV-HU z$F>UlB|2<(aq*-PtC7^&>a`!A%*oHB>^Q6ZmX^Xu_t(67YP1*J$C1c1J zxcV`F=m?|fm>R~|%&c#+(8G=L({s_9IkhNTbLDQh#?}I@DTT=5;e0-T?*9)K#ch;)A}Ci&&Tyf3#cab#y!`gke@952xFDNouy^rczyWv2jQo z^ZZ0PWpKhr20A*z}9B?>qxh>ebg~4h}bNynXB=_jgtC*4u}+&Z`8f5{1^D zoEA_L2jjT^uKW4p4eAbq;{r-rgE;lx{N6)Zeo$q0{W!hwY8s?F^nbr0+t+5yA^8K5 z#L_zzBHtH**c{rpyO|^^<6E~qd>qehgs6Ne5iZYq^?3RF!AHE7JkNd5zY<7_kWM&! zhr~#9fG>UqijPU|L1@doFNrW^O<-T%twvzd)l@hLP|BD&4BIM)^u3~nnLv5wyW?v{ z%3u=lpfN!s?4HOAVHjya8Gund3JRWFeaXDfz}5xzv}!IdP4`!{Zaf2+%0Rmi(B4WBHT{g2 zYl7d?<+ZR3@ea5Bktb+B5p9Hk@^TY(ZsPPv9CD#d(*zSC=CvZAiU6b`ecWw1m;Bc8iQi zJb`KEDhPs3IwG|YglSuo{Mzp*^nbjCR;8t^H4d`qtYuW8BX1>iMYnv+-U-Nq2@rH~ z0r^cwp0GvBf!&h!mLJPA3%oijRTWxVR2Sjg3HCh>HTCC_^fDIBL=r(hKAL40WiL|IP^Ux-;7{bg%c7fM z?P+5;$zl8;g~(nad>`?$^dWx!ng=55yxp)f>@(`RaG1@gI#_SMS@n6gA(VJDoYv`g z^^jD1wk6e22qYl0 zojIWbF)W(e0C|8^+vfK0F+6YC^mOPYxzjuZV@6>mgVgd0s`-;;6c+Acv%KG2hV$Pb{x!B^FBhe z8*I`It<@B8kxt76@&v|BrtR;{tz9W92!vF{hXpXFz35Qe1oDEZtMvXJa?*1^VqbzD zG$xYcaSF2cd2#e+?2Z2F=y~GUT^p|ibm?dk7gU)CgJm{I=L@Iz{?6j%sJTUlZT7bFq4luI?=FcP7pY)dk-7fH@*Uh zjG^dJWYJK7ThS(3A^nU>p;-Xv&m zOc}MN_QK*t&^#&48G$Rv2RG~$uDr{!)3eqP1Ej#9MUo#9oAl;a^LfL^fDq+d#Vo&o z2*k;w!3hCpG107xK)ybLX^{Zd#?0x#ApoQL0jH+?EY6<1c>r$b{S9r39Qc+A4J*)b zH4U7IT&3J*FW{;?3=kzu=zMK3dHHK&A8*4?=O|g|Y&$|Z4Osb}-Th&HuSkg4hP(|BEFS1z8T1Ja7kucf?0U<*V>Fy*v+DAbxQ-u+ z(uDIv$fK2~aTTP8Mc1V)WiiH@mZNY2Z0DOme!4(AbSNEzgo^sGFkZr>15x99MLs%K zJ=l8)5RAUd(oiiIkf)XSZzjm9_;ba+-K z`U*o0wb?MO#?s_OYFHhS;@UX~K&ZIPO|!Zv&(?i%ESe6zWkk;aexMF=c!kmkQ1{a+ zgDpScyJPqF8Qe9WKqs;XAbErV(S{0azD#Vx8AW&scT>qflI+~WSU*zLimUwNj-#pz z!?h$`Fkyn3J2a^F`EtfI)w;el*cf_8*6v%nPHDE_1HJxs*!d7PMkYzJc{r{8MNqBS z^x>VY!*JaF3n8@^n#hGB#%eO&(fXIZleV{(bt5Z>bP)avjn)Y`-CfyYo7VQ=L==eS z^Kj`oIY)ZjMs`N;B%%_#a zSjQ-ZD}<=hn8CgP{uJT%(+twBkZHH8kYfl+`FlWjG8>{W?Q|-Y$hHM{nD0Cd41h<- zxK*DjF$za2fD~&)SIqCUp^okgoUYC^K>s_xm|QG(6-1K;UPBY?9; zE{6G9z@l9O$OVEke%)hxNKEbbt^|tNAa@3WqD(C{dUN1wiIeJfXqNLU3 z+ez_GZi&J8n^qF7v#TrJtK@tRd)qt;8-A5b+=3xl;+GnsDues)vPkY*V^j;Gzl04h z#XtG7Ygh(Nf?${8e_pBRuDXr;C0fhmpL^L|-XD3%Ixgc{O#$VD`|9TdN1H-o+@9h2 z#pYDEz2LiRr(C4ys=xS2)c4La^i4ttQS)*KPtc&CP2@Fzdes}-d(o^FZaJhj3!3GI zxsrTjoQfOIlMx;Ob<-i75I?`Fh<1IZl&j!aZIp_;kCS<%vTyi&W2@>ng{hl^+O9%W zexcSQ`(J1nqMm<}Rq0sd&hzHN2?mP`^KxKX+MhR)@+&7LdU{eGE`19ER>vRICi)&v z5@rWdT#qCX#pVFql`0$1EBtbEIFsFg(AG5>MiyN)usREikt?i>lySwhgMeW9#1ylQ zEZP~4JN6kb1#Rgd*b88-Q=|$dZ$XdfwCjQ&bPwt}Eht(#S*!1?pX^$6Fsc)9C0NE8 z{bAO-mp@9=hOjy8G=L=iE!v>K*~`Pj)0371CEnEp`FUfNM0~PZ=yDIVw8p{kAL8)xKfXmAPNOwuXZ76s;o~JO^ z0hpPogk#H9<6!6Jz83y;wct3+jYEalAf@e03N0q`Y^7}$0m&ge7e(bg^iAeP*1Dv;k(d*c+ zN9_#Z{LLPm6nPn^HI38U;!+3AK8Y@p?QQVwLTK{aj0{y)kG`=*%|U0;G{stDFJg+@ z3-+cdM|s8U(e)h^MbK*WR+Ss25rh9>aalu5g)*ksz28xYYU!L=g>bGW$!6ov&wU;|Iy7PzR8T2hcxq1j{h^LDkLJgoZe*RMS=;140MCP#sytr9r)-L*` zS$^krfCTfM4C2qa$CL^|;n-K&16Y}$(KMd)!o);F+(@8ZxZodyD1Uo05d2Jws#e9; z?>SmPrYZb$TtNs063$liz-!Yza{c=qY%niO2-#X(gKO!~#rJ+5a^E?jR*X~GRnJTZ z_5DgyD?#!UEQg*xxOGt!%oIQfBu)<5W1@)~HdL<-i;HTr;MiP(AgXpjYgV5);U3KiImkk8;oiZAh=6{)F z_f4;7jBXs~sGGxnehS6<69xkZ4lFArvzS_h+k$y9n=%c&?QD-Si_}7`EX%JK@9e#5 zbUF(6T3fH%1A4yNl?fA-*?>7cHSl}nfV{IATka7797nKl%U~c^Yv}qxYzQPKxGoT;WMW- zBBacRBYgyke@!<{5z^7`+O@k_*o^)BP}rWO%3o>Gg4VTFRrdi}c=R<0UI55Nkn`W| zyrJ`yiM<|74-$*n&%HidHK+;O^m0d28i1FP-xe}FyN*a-`Ld^`!(p`cX&bSp18p7i z3+wzbvH2b^N;Ua6n$fpW;|^>qgN^)UslR_!1+^XkEq4s}az#iu0Et%(Oq(K`+V?lN zDcXL~Yv$Y&-W` z00!(Lp$Q3!CGBtBLY5&f{>}h9hxJ}q3VA+q<+jLxy~FbTWY zlOHl&#uzT%_IMtsgy{u_D>zdW+1TLD5!mXLvlB3pGH;&b+xhB18H=og%%`EbRk~&@ zJmPNh?BIIy!4L}l*{9Y2+20FzB6>mOUR@?iGtRs0|GOjEoS@if9wAnO+t5nZAB>HloVSfoTd}8Bc580_+;5O-PQ*bX6e)uW$!Z80eZsUH_#1p z*)J^+c>~Jf`NqfWa%Fh3pr~0#a)eViu z)Q1Wc&B=AS&g#!EkGqejQ?`ErhzWt=rVoZcAIiA=c`9e32ric!-LA7$s46L5>KE#N zTO)Y5eE}Y`DO`0^z`gs2l%5$%#|`+utz^UIUUmkII=KXS`Gbu__~gycnbYxca7>+m zWJx(^jLsGnw9Y2r5w6UAI93g?R^gYhOo*aIa?o68oP$YRkfBqI=WcX`A8Z|bWy@AL zlmXbNDH$TAcKOdI9 zQnqN2(2wO_)`;YH2(xGzIWg$^?VY*Fr}<%*RD*0Zwh6VMvy{dH2IiG2AANf0-0qh< z@U-~-5ZvbPb3C%$ePM{!8o|ClK$9CVL_No>nb;=!`Q=J}pAJ&x z0+1W9GNw~cD*4Nn(?N*pc}-)Z9o+~=c=Qh511zx##p2j9ENGV-xYDvCne)ELcJ~?H z{45%M^dN`jUZ(C0cXOY8yzS-v<;NR4anD1a(Nb0Sf z)ZRG7o~PVwnV*1$)?v>flg0^@O&r49WWn65ZixVze-WC^mFwIJzA(U9`pZ3^C{!DFlDOZe%aX>Q~YeKZb$ z?ZKjWanjIpOs{j$ls`|*lbw7`VcsGF`d&o^j}wYQyR_uDL)Urr4xtm-;^I_|kZJX! z{j3ka;*`!Tdn?;JLi3VgoDSL(e_3Bm1?s*G-8>rA<5Hrezeo1VnnuA_J7#E)2O%8k9sH?ssOk z8LvRvmpit@i}$sYG>Pe+thhY|xEwp%66d@B32Sk-$;jX;36?MO0rA@p2vEl%{@0o~|BAl6l3xbbBxM@SInDUp=7 z;fS;3A2WPM@WNjC|$2~p`uJLBNd3N zoTl8K)%nQP{lDn2F0(KdtT-5dm+hE!yVjsbD7XXSqQyRmewb=L*$qqs8Ge_HcoWIv zAvT-Ul@HZzG)JTE&EiMrk`;P?RCFp-ih8;I9HtkP2|=}3oOE;C6wYxGo3VwDf;zAGX5l-RyL#)TBbyFSA`o8cnLO6ZuhalHE>nZ=uh

MP}m zWBVR`(;g%#xDjLa(8`w_*B3Ak8Yt=OeWS52OrWun+9}=UA#DRsASLl;B4A>(VNL)6 zAXColYl;+wFhdsBJ*Hq%ZnSjKG$l95L(X-Zx0|{q0N2IZxWgoc`=E+3Z8u2ug;39r z`nNj!TXx?3>{kC43Dh;?OTc#MN3<{N1K=s1W;I6nHbkygaGJ&t(@hz$+n#@!J$rZ| zx2Q3RX(Y^L4YcTd&k@9N{D2=ZNgJimu#83>>YeCn38ZLcj;3(iU+uRv` z8lG;;3sq2?p7c^Zae|sc@ZDF-$)niSAeB}zNifzq2u!dVxOp+Pnq0ZIbsY6l1E}wy zXTEC_phCp?#NMb81yq=!w8?*Z1+3$TKHw&oSpl4ivXYVywZi#4Fv;NMQVOEdU^CKd z7lUSUV^%3|0i<;SMXY8-w3<2Yt8RV!zQPF;x+f)x{g|QaR92KL1TG%}g=}iRbG~s& zWEh2%=t8agN@I?>EtoLI3)O~+*J6(kS(G$p0^D}k5vBnkV{u=RkJZA$H=AMs4XMdH zBGw(+?*uBt-+yEiS=(ya76b<3;j&s69!^ z3nt3Q8^9SU`=!>a(~1LM!uf#!)xP8Wz89tiL3&?i^Jyc$h5b4qD1srx_MFJm51G(j zTp}zdqFouc`f>|O%tJ;c+wSI+5{{b7cj1%S8C+nCISuBT#To;%-R?G@P8C6w7Vml) zt~YpM)A`|MmW)A$NoyBhG!)}X*lHk=SgD3yok_SfH_;nYER_Ew+u}B~ehzD`-vn>Z z*iBnQN`=5(Ulb^Ke?W#>3dUyqiY?3gbrEH>q&BH8**Q$~%8ncm`KaD5!b#(hq>G7W zEV&?A+^^rL(TtGpb!0;1snV1h(%ydg&HCJ9FUb5fTW=3bY8%1*?^!Y~kWVn47Twf0vu1qn^gA7CvU2b+d z1rFDrkuTK@>k5l^I>74DjfxTq15t6`Kv25Lhv#C=BXTx+DWKgN!U11e^YX3?9~AR3 zLpZ2AKSGOLvmogv*EPon9t<@E5F$Q6!&-`nvE? z2jr1eKJ0-hx@v`li%ZwJft@%a@odLN+CYq8)XledrKbE`CQ3=-i;#ns+v2xw;?83l zzkrpWc^s!2ssd+OM?1wnBMs(BXbgd?pU0)TvuLj3_MIy{Xa@ra@yi#Fa`&G;?ll?s zIQOzmBtIeTA;+q|b$TJ}iwVO1;zA7$4K(Tp!V)Pu*@obO30|rY4>_CFETWraE<}5Y ze_eQ$JT+##$K@z1qr#=e?qvzITIV z(bS0oJ#FRl-K{Dc$H{iQ_>o{1aOTm5<_@7LCrJs2w?@4o=pH7$zC3ucQ7Nq*DV`WY z129fO`WYu|wC{g|K2(+AM zCu@1+m{;yl<(Y0|=9F5zl4quQS~wFr?fK6(Zd}LjvI=}H1o^0vvMDHrPo8wjzo2a+ z7%t00&&9#3jqh_Jzd;^*6No=?R+XEui63;@c4@kc&sPY! z^|qW9#)Bp)VZL{2`q==rd66-^iK_8w=S9UZ7}DC0_|k&>UAgL6M9qzo_ld# z=7Gz-gc}2p-q9pFO~#O#wt#UWx!!vpK~hm30pq5Ed2UE9Bk=Hvhumt4l)ma=mrq$B zJ6iFB-p^_RIwP2fX4aI96pkWs&f*H>na3K(@7+bEwORVC$E5(Vp2y=HE@tC4@VC(J& zP?0^OKAqT2u+R;p9>r@7L}S5s@i<3_3R1K;0mgG0eOq*wNzy(EthM9?^G6T8*%9dW zr#uX*Qp5|IR0bVnL0asoj+?dqK7oX~T>}BPz~Bhs{JI&X#G{VS*;>XX@&rGN z5@uXJKPGm$Cp=1(WayYr69Cl^nge32>dynUVYgUsZV zK~#ZQUW;tE{K(+XlyecYas6Wtk1aYo*Rp~1Li0XWLxBJq^mf#-+vCuWOR_0eU& z@T)v?y09H&8y638qsF?+jecQdwAQXfY-0gn3dq1uP@^S9--5|tgZ2t+HFn-}etn80 z6)GT9YdqJa-vNG|e>o5S_(y&+vweP-ujD*QIXU&{^TmqE`ZbFR7&8P{=k!{4z2FF_ zN)s}q;G)PQ9vEUacI8X30O4XcX@dnIg1S5xIiiq%j~IO|iB9iM-lmFS=!}j5bBRMIVn2}J^ukwBhCNid^7m~yygX6~KM_WgS zZh8a%S?j>44wU-RSj;#3h_d(sqAuaqJtBuC(F*kONT{X2*%?NPKdCs5=}kUpXIHW4 z>^XJOIp2V58B>M%Kpg-z<9OsU1>>~6rE6l`iW^NJC3{euN11kU7Jec9*G(RhG}9v` za?RRT;^ay2m0~J>v+rVDLIPxGM3`g23Zt_9`;-g)J-;VGe^{aX@$%4Hg;p3VUHZKI zyABwciXGBkx5wP{8e50+HM=~@;6A=k;E*1~Bw9UY^c)-h@L`|5{KZ5Y3{F&qg0lmx z+RZaiB0At?u4BV9IOx-dDIURb;E9w-q#Or$c_((uX>0kqb_)M^HC{9`Ni@CsHJ@RE z_x0jxpW!{?{ecG@$lJ)yQgD*d{0O-ngFi`3%E?JcM#RC(z#qWq=}^kgOH4>uQxWOG z&ag|X0B_f0XR1ImD>s^#QOyAX?=Bu(iX2~B@t6Giwewl$=gU`ST_b!y*dM+*@S^q8 zmoHx;=J#~2o{3jGxH2CKa}$d+ zUjyD9kJ$yhzaY86`mlFZg(MO(fUBL^RbAAPMse4Y&#O2&sjE>HfzO!R&r|i zP3k_qeDl9p&b`;bj8|MutAMVoFRR{Ymc?YZCgabp%ZXos4- z)1C9tf9z3~ra&z~brNH2+HIi@zwf8$aof3c+6x7|2nGiFV0mlWh7 z7apW?_sx`voGpzi#s1i>PeVwC+>)UrFotP}DRONdz&_{OAupuwXIN@o=?oDp0Q_Udea4yxYQ z1604fP#rviWvU7!M^N*4{^qYd~yF6HiGv&5pV!8pIk@Y2Cw&v;>cAviq?wk*|VO%E!@(;k!gd)WV2qEMmt zlVXoZQE*QSEd-^>@T>jDshLj3+av%Z3m-{)b&FgaOMD_7zHiSR%la0ChnocL&`3%? z8jBRmGzXz$Osb^7eL_0cqc;=)%NLR`d3DYllvTDrJH9e1xOX`Y`md})!*&AN+uLG7 zrvL@fe7ckHjK(U>5J3r$p2(dXd6xvG$+<#Iu}fQvbpurb+Qv|un_D>2|0Z78>xrfh zr-PAO1A=c=upu;<8uKbEEWvL)4%$C*5RaR z3$%+eN*c-dx}3-m_+IGLPto%r!#nphaAhD$RwC}L5BYRC-U1o&(gPnm*Mo! zPF+Mh)0Tr(e^6C}`jOr{WP==0b-NF?;(sY96G$$WN8Z$6jdL<^_)|X&kqL!)9MqG( zzohS_V4XL#&k=M4HCnCP$#Lz2Pjs!fJB`u8xiG&n{FIR5D2k>W6NG!YWUeb6;&vM) zBX_0}&tngw58CZ`5erMGLvC$ z^^^*$z)mO`^%|}&oL?JU1t+5&OxlT*nn=bDn!N9}*>Azn1s#6d`J+6^puykkA#OZN zMUlZ@vW+MI^nuMGtvmFj^bV6i+z0qJy5aqMj2n01izXZtv9aQ!}g0#p7|r0tCh)g59t0T>SeVer{r5O7Oy4d|3S3k{DG zC3OUcq55j^kyZW{^z7z0(1 zgLT`pO+Q!RxqViAmFDg*pL0($vpCLbI~Nd;ffo$F>%#PXXhfg6a8h(;6`E*o&S+nI z1!EDzw=}|ezZGL+ze=z^nR??9a=69g`YO0hS_d_&tEzf!qQRKKYle}g?%O45-}+Z4$D|ydnn{JN0FnA%l$OaWXWSIT-0~VEZ;kxq7{D z&9V7uh=6Lq;e%qU?~PnbJvtD37VPE(ccm@tX-<&>6Pp{~tg?wHP6VF2wVn5!P*tXf zD?g7a6cJ}^d&ZHJ_>DPxfVz`I*>;{IufDXrmDpcDH`y0_eb-9Gmpd-?gmh0LA4@lM zwaQwQsDqiU-Y!J*=!F%n zELh|Y#fSX>b7^4#rV|j-vlr13;48DoI^&6Z>#Q~`enmD`0fBlMzpDuxC`jOXP%_Mp zyqi0BUf)!zLy{jP#LSCtTbeUdK5%W`Ykt}uYEh%{vjKS1H*2QujRSs)(t4G zGAL9zyXqjOgb&rfad1N+>jbBtcEV5p{KLox1Cw!*rc-gdm_ghWf zRJeAz@?yTX5IkWBzEF!=>Q8p;T4MY>j*V_dbd<@CQm9nb0&!p!JWw0SHkJKTnSjiF zzMrAKt^)A?Csx0JusFPr%U0)hxVUZQ?3D;dC3VR$kp!qYSKyGQ4R@(`*Snn(EBhKj z&1X{$I^Tvk5YrJ$Mpk^!*U@ID-`wna5C^Yq*5NF;fB(TugH&C+0|)kMvV*ofhh)SM zs);z5Bx`7BVE;pEQnFS~Fm5cqwfY#!36u+fx-c_(pZ`8C6bb2uwQh~J8SkKK^@N(9 z?Gi9(fyinyzLg*5<3MPMclgfm%(PuXg*m1d`05|97EJ4kTuagg0P$lEj?*XS5>ZNng{F0e) zN7cy)wBpYszYqjOS|xX7VT3>{AE2P%?sCx*Nv(dEQ8;w{yF&d){FEu2L6ta%#1lKY zP|wHb@a5>>Mn0gXRSJMSX@>~=N$}%g zt9xXYaz9)ref=th(4c*5e%nw}pFBC^AO*9D2MkhMPwM;Y>XQHN)Qz0chh^kq$yQ3| z*gRo2Hypw*)}CG(op!c$IN0~N*H`($JkVx$MapZt$QrwB3SGO;F-AVVC{2>MT7XzV zL_k|a&3XDhp7**VWmxWRN>2(tNnp^Lz^yh$PrgUh74)jA%k_WW7 z$VwU9uDO6t<+FI>R@C7%lDxg!p6Rc)qwv}kN#SKFKsC1On)@#hQHz#!d^ys$<3rdo zO!HiVftj};GjGfTH4q&|NJic*tcX$SyYFi!iOdHF>Xe?flZ0eZANb#$F|)qlMS@k{ z+cuf-u+PlnuDdej>~og-x5B7lRPBK&5fQoiBw`sEsEL$FFB~9fUt(6oL?`QCI`AId zLJ6u@w?z=Urs`x?zk5yGGZgD9(QA-chspoeIPw(Ex{t^JLAW%!b0iT?6x`epj1hme zw|jenf+tx&KdW;f?8zc@QWT-dy4lYSTDS9yUWx~~Gj+4BTO4rXyNyF&6#P8K)xPrR z`vq5%=2s6M&^RK^uDm`J?3OwTJO;YpsQ^^#k$tRZf4^KfAGiZCkiZRoE`A28^9(G8 zultPlml92vDn`~`(P5XC?tH2yBOpK_@jn(0xX%_wrq1G)14FuzPtxxy&l<%yj5*(% zfh=52#ucN)M7Vcuq&*u=);R|&25>h~;tz|VaGC}b{d{+Xf)}P)6ZAkBhGcDx@kw$^ zWtn@M2*~rSV*zbQY4i<579aK3WLQ=N85+U;h4^c( zJ>LOIckLJVqu=V#VHJHML{lP!WKSqd>K08TIFx}Bu(IJli}m$+Vx}E(a; zh)nb&t#Wu7Y==XYXP%}4u`bUb zI)|E$$(s2-F`YWtUPBvyKI_68b~Bzb347UM{vFI>2aGboZIaPl5{miov%AwQ6DO&i ztaZ{aDwf>w>F0liolP>R87^wn9Gm(Ol2!53Eto9e@g_FGKn9T@A4#)jgjnw$4+spb z7{TSG9iOzg0Y(sznnP@P4IU(tg3pQG5%{A8-q3q&Tv{0AwM=vnGON|tj>d)r2xMX1IHIYsE-Th{KZAK6To#=G+ZcJS)KbUZluH{QA4Y1iuidu>S} zo9FRvLvTYiYi~kz$&TvQXR2d#;rnOM1qFB#S7JZd+670I8f8T3WER?flkhMk;qA0- zJP8F$^SzS|zTN<52s#27fd7PCw8TK33FeA;fz(p+sDLybMYf-5y0@hZqLpC0_pL5P z4TpyZIbjkjebeP^@L>fRInB(A{@a2L3WR(LJ>9D-*?MrLA!-aIDFplWSm*TmkbR|p z{1?V*iO6lPmn0YX4O2+k!((oBz6C6HHdea$nqv8OoUCSHwziCBA1DdMhf@JrG!<1R zm{H;P7h_CxF}bL3cqBu6x$~7FXQ#!^U7Cq9XhM$KgI4gP3WbQ~&8Z(%cu7t>EUp>D zH^g75EF92g@oqve=@K6mUz&DkL-*Wt0U-S4iC;zPMo_ShFPoco7D#k27((eQO7{~! z{v!}&Wg9PQ)f-=lW-7_Vv~|)p<2&hH{rE!N=X?=%yv@n=Y-ZLb;Il1b=B z6x=g}3~djTgS_Ym;B*w{A1lykCbrIl>tcKriRisa7-1sKFVrNz^E?fF?gxDOO+)qR z#cb=ZGCQd^jJOoWH%)HuN8`^yBOlS^=cv45;T5|jAN92OK}G4v3%e3ZJ5P=6(Ao@X z(c?zx&M<8e(|UFVf&^Qi#)i=tKsBZ6A`@vY%MzH>_s%PrZKol>>Yv+xt%BP6AIt$F zTbB@owjn_)<8g)msK0l?NRQVT2qKMlWKo{`&Z5a^o|n_;Q3e)~7i@bT?F zfKfxey>%!zDC6=;Oql2+9Efgh&l#E>z@Mb4Bk^GnGO%pdv$xNpR<<0J{pOJQaO}^g zf%$>|$Mwyic>)wZ!Omvj8AQeu6T@MHGPFLhIv+4{Ej*tC07JbjRcQdKxt!K(u5PX` zEx!-Qaw59d3W@A*8Wy{pf&?$T<=!UPL3pgg!rne9T?AdJ=X=2WN(mF@gS+q;>N2K> zPlsG9mEG<(3TK2GI8~7fECNCxC-x==u&PCg`{C~O!UK?Off^$g`_r)jWRe*fcXQ~| zUea|QLQM(Q=BHNiiN^-rSmz#iHkmAWvrt(ue<7ChuIVT3F|`)`rqnv8JZ+tsMGY8$=0I9mfyS#wQ&>;zk+jc0F`Ch^@8Vn1cgyh(nGjTvdh9=_S!&G^bl?Y63aI+ z(9(J>r1|TZrRk;7eBon?1(EwXAegOR%dvgx1T&GotKo3$5+jd8(2MRQZE7qQ1)DlF zB*^ttgH0w8rj!mIPTHB*ooNvYVjKR-;weQoCeRwV`sKVsMY)3!uQvAHxo;rmxrj!` z)hG{+lq)W5GlN4v7Q}FHoE0-tZa0_ga`|;q^{}hvQJ77Dg89Y0_}&mAT-{fFC}s9v z3AfX_pf6$Z6AcgnmnX|&SNHtqd8j|QBRwJ{QHL%uXf9+|IS2zY%!3;67fXCm(UlAL z($3Y_o-Y(^s?{OGpQJ;;bIE|n**lv>5j$0`hk*u=xhn8Xt~l1JS+qEpNu(c#8X^`h z^UA^^2rbb>>a;$C$aBg8DG3kpu?qW~iVtb8l>-`U<$&Q2=l5Tq-=EuCU3mFT_pa%P zOjeB*&ofN6UqP7IXK-_9oYpB)XZ$OP_{Re2hJ6rLVB zu_0fz{#U-wJPvL!l>7(EtGA|U-M|9<0Gdd=tN;QRcTcsywAIzgUU8FwD>mw3%+On{ z;tUw^+fDnyn{UCn4{Qo)C!D=f8i9Fyd!j=`?Ih3h#u1)Xn%YScEskJ4@;2!QT!w;Y zdkoBzot8#^xFVaWWvjxaLJf+COQ6}Z)_YSMdF&WAGjByKrB&J~`I6mg(`yDmm7h=! zz@UH9^}^KRjEWdgf>q6(jDc~xw-T;~Fqhp(dZ=mk0$g$gPZ}*u3PYND6e!r1;BXTd zxe7F$88)D^`^fkC2m!0K&UD)Jw1nTO_fT<`Sm8_T6yf43XXF|&oX&sYmhiHTq3(K? zOl0l5+v-nJTKm)UTC%&Do~$|dt?dKlOL&#z`=+|0tv2cjbb3RNkJKk?&5ar;EZ0ex z9(y1lJBvun-JfAhcZkglQZnR)^5J=3r5QHfzU+MDjoD5M3eE!9)F;njOK`w`97z#_ zuGUpko1_Zn7CL3w<}$xgxt7S1T(m<_`j1q~`bYH3axnxmknef6W;m%`272D3P>}Tl zurB2djA&Crz7gmB4>q(f9c^m?ZmwS{BWT~27k~RxPEhZ*U;4Z!Zg}b_#xH!DX3U>FsajiskT01Y%bkl zv6H8|8-AAOM?x@C;{yrS;oJCL-gh3S=7Ki-M#Bl7$Aw9rq@_PKh%Fxb6b;#gG8xee zCJ8hE+qGl`u5vM6$K)Ry(Qd1}x#W2c!_kU=pQTZ~wV^v4MZe(|Rc z!k7T}Ce4rVp;ZqP`%Lzo=18Fbdl76&$)tK+PfG4IwA@Wcn5Fip5$`_}17{)_-` zx$8c{;Cw>PwK%`qqQ3D6RfrgiYtsK(nw|~uP#;K{XIoL^aibKsPrjj3qxJi7U)u+x zBZq~as2h1YP>Km^Pz%}$Jw_bL0@%V|0y)x?<7#Lz9j4$!(#Th2ECog2r}3d#3926E zqxPdusbd&UDo3x%A4TyVa73PkbhcA1zVPCzkoh~TymzCa=e~))Fasllw=fEdNa!r6bnE~2y2Jm)t5V*{0x^iSCOyTq7Iw-)pD1?CdLV9In;8$U6ULrr8S0$F znWW%MPgPKho^40*KmdHFi&A5_K_Zv41;a5y(Fv=FUULq44M4LWy!|@o_Bf~M`s4j@ z{n~L?6<$8BcL3Q|x6#*i+l!83QYm6`i_$Vm;p6ZAR$uzG7yp6kNFc0&MU;`M`!L%I z6q$hM84b%xePAObzu%`*^5xX7 zTqp{~`ko$Q|`>_zv+aV41$-eb9tJQk~WT%96X~vvAs9) zbcB0B@9;o9uBt>L(7o3D5)CC(P`4-trS;C9hla_IWhs&&P}7UBwz`@oLglrcu(@>v z^u5D#OcOKj_SL@uIt%T^9}=m!GS@%_vh7-Lo@4Vl_PThr^`m*ESh;q%uaMF6SU8EI zz)IhNTm;2kInme?YKqtH^8NwI`)UI!!WefmfhCu`;>H|X+tXi$@R)d_DG{K|2u?9OA4_3Xkwr5}c-Fe7Z zby`kkzJ`d;Ez3b_*gnQRdAnAUiLA>vVUpsJ%@K=S;kr^IT3$=QLPo&+go92b?yv-W zO7W{1n}&?FTdflZH)k2v1EJtZa$XX_)ucBb!H?QqyOsvR=@{`&|XZR3m1ax(W|J4`B23>meu;t&*ZB8zc^OGe-m z4%J35AQ%`U0gs>E+?7?6&t%zEk|V>{Vwsv;VeO;>QxuC_d=}Ly zD3erpFdo4vfU3k~TaR2;CA5BsmyU5^2vDWQcuY(n9u|ldk=izbRU-lpU4($RMEGrLRr%H{7Dq0ZCMemoHvQS_sW5IYY)&7d6zKl>Ws zBks_>2HTywhx@9?X7&oqbw30g>Z@_NqJTD+;R2-!3WeET!lKms0*UOc2w{nMDA3V= zbHz8&TTDg1_gJ1%k9*F@TBcn!sThtsM^8V==-_9t&LmLL!W18l0EZOeZuL^fp-Vz) zpbirB9`Fu)Do2k>R$5gJm)Lwxw_Mkv*yMeH8dh7ozY%x*kzJE{vS7Dv`NL!>)^bMt zpVMauGuzrB5`f&vo5@F8*xK4wz)54Y$jc36@wuY=ycT~(eF1Y=XH!2b5dsJ522x!B zmGaFkHu~L6zvU}ngS#nj_OC`@C_<+ZO)kdzst;aQV!E0}8)QA#Agj| ziz3*S$H8r=T*}l*+>%6=uh$EU!$ZOGyXIv?o{$(J<+9Tui}zj9pgwBKGFP^S-tqg< zifKL$##emQM%SKX0#qb_ln`&L6wsnhm^u~i01H*irdLg$6mCB8)gMuaXb{n(-BX#K zeSX8Cmo2FbB-BoUh(bcC`~F>)7B-n}w~grt+-xJDaM7xO!4tyL9BX-(vQg~(<5$!H zWgu<>CIfhNcp(VLzw%qwfn7jd+k;`5KpV1Vn>k_s63yjHVIPH)f7b#F@_vl)Qf&5o zG31%17-iBK=Udx3sJSs7J%GLF6ixb7PAMd|E9rAgsnqCZi4E=cKfdsP{#2Y#aU>tl z!r(b@=7$gnn1elB*ekgp(>5?ve+36f;sI>OkL=OfVLtSIMB3=TFnb+{7w6haZ=tb_ zx?sV9f7xB2(=!YBAJn}iv_ZHQn3GwKkYy1BGOkmAT?mWvoJ&q4ggJ77NT&-_<+vKKWO+;JO0a9d@bvv-{O60JMymwso{r(gWp}_ z^7Hjym|PIOBxmQbcLni*8?6nO);Scob4Q*_7W?WS8~JdX(Ha)u_djlJWizYW3oD~# zBmnU7p8_5AKf^u^lHKpq1y35`Vm%Nn)JdX0wfrH*Xd4vo!Fu&>h^CAx9F8+Y8Q^AE zR@h$%bRph^ZQb@womE~U%!IM`Yt;Wx;Jm% zZi9_Niin)t$y>K>aS8|s_!`CX{g>C5#etC8Kt5SQ;uin1R{(|>4vX;MCbFIjQrgQ; zCCGsI!ART;zTnAj+DAdK{RI6NQ`lDSK|GKzVz~6*2do>Ty?_3SGXe{fFn(~$Z4nBp z;&}b~^`|Mfkc`s%A7X$_S^e(aW~-~3oA!fC-`n#{o$Hgv|J+Jq*|>-SV8ychC~$fH z@+f6Dxg&W16(e4}7`3!;7)(CaY9{o`kEd<&?xP=3AN|i;^!s>j?GZR{t?qPGR8?;# ztFGQHp4uZRE~iePzF9x8Z;E&0J0#Dko!$7EzflT%ww2JU|M`-h(jg^$@aV>?1DNSw zkf9JjFa$E4!-z2Fh6l97yYjbBH|8lg>`o%aUOLiK5`X%j|JzRwhlqd-yf15DP;o>6 zKohXje3#!v(V?&knb4YFje7Jsx+xoU7hqdJ=RdbA*5mhg6r4tYWED{7Zw;;2zg`hG zEcPr04=~^(nXF(#_|I2Q7|z!k*0I`J|8wrP@%E@yh`a$Q2X;hYCbmt-5&UPlgoK1> z9zDphUA+RsQGp?#@OU>TCns)Hl4WCgPbLWXuE6!#$MRnT_%2u+>;};SQ@||l{U_kV zljttC7eH5GXuAQzjHW}yJLIqAgF5g@kVQQ(S$cbF7#K>KCpIi-i-f;}=pic~~ zkRr&Vg-&|JUu#5_n~Xy1#+AEajB~HO)A@AI?|0_+$2bt3m8Auax1baLD#s8Uh(Nww zI{DUVjbbw$vk3>G{`uz>{x_ARZNgWRvK>*cK>^N#@~?jB&L_vl$#Q~l+Iz#pi} zmt|SC1x2NcjRzTS{u3C&>di?F{wcx!tO_RbFu{5-`4yP&Awd6qJPau`q6NfR&f^~) zkq3x_G(fK^1Z&p+HY^|6p!0jM@Zmgk z47#}GU5!#>{N83jNlI0y2jGPU)tAld-jb|3mZD%s^TV z-KK-%T{i!g{MoS4;MesGw!qCJ0AHZ~{Xxu3&499lz}?ayzw<>6&f-1b)e?Q&|Gbp= zw~6ZdH~1~gZTvg?HT=MGuBl$hH3Ok`oVv2vD|Ou5y^RlZ+#`P9-=7C$LEfKInlsM$ zm$Qf=A|Qe_&>k>FkSxw1ys#RivBPAb`DlnLI@k(X4?8`I+^vNn0Ck`H$XQZ;an`b? zBULwb50d!;dY1}P6pAK3{=XJ9!DQ>#O=sh}UEh;n%$&CC%JJ)95es|-Rq3rVe;Ba+ z@r>C0#4h9A0w{v@6BCTn6^iH9Ld^`2oea3hbcyvbc_ql6dp!rVxq!gkHtY zO2&3$PQLL4|M4qtw%9mGqCZ9i;vf}sA=Cg_ShFhjHS#% z*13On01t?lW#CaI2Sk^@l|KM5B#Y^Gc?9|-e&u!Y?0<7^fR2-p3X*!942(Lz@TxIRWIedn(_g<2_ugibI8mv`J zu<%)S0RH~zbpplucMf=A(dus)y}E!KuCA{S3l9%Z8c8HruUoxeF+ex_X`I9GkC;}? zi@<`*6(0cM|Mtjhon6}!Xm{UO?YUI!FcIeE!@jj}+L20Cb=PG#Kj=f2Jr?F?!r+<` zb$yCjoa9F0W&up1>Reuoh4tN^~Ohzmb5_-ovJ{Vea@3P&6*(qq*jHEUI;S|ePs;G`9+vc zy+u>I3D3Q@(94O4Z8b2R_w2N$;Q#UeUm^6vGL{>k!#YpurKDe%rx2Z5IV@u`tI zA-dUh3QJf1@||%cefxiX4oPSuf76FWeYN)CUL+?1;fTm-7KyU}{3~t?k=#MHEz2VH zfQeEAP?og~8XzH+SO{AEAOv*B3dZqjIdV$w{~C(_<09WM##Z?tV3(%Vkm+h6`s0?t zzOMuZ1_qyg{yH+1uzrtUUvWn`>eaIoECnTxM!1Kf`tN@a+jF96z`3J`h1DwG-#+mg z?W9;9nVYZOVRZAbjE4&2Op^M=;Y=b({ zCmxs`2)%(AbsD4*W3!+BAD-;Di#m*)GofxRC~{QoaAV2qhi_QieDl!lm3~^)T_f-B zZ!Bpi#>4Jpl=X}d`0xD71{7#=L}dEJbkM0r{Af_#8ndh*8LUJAY#GV&rng~8iyNLx zTjgrTZfb>PLmC)iEJ(chQ#4||ZvN*7<2zyoi$SDOq=R4iOglKV&4(LxjaRSU{ne}I zbU#~S?JWKoxR$@(10uxbUM^gLI&|wV#e&!kdtl-wv>_!4(3l}ITt3{qdm7S>B*9^Cl=>`d?bRwd>{ndv>H>xmfikT>QGcYZt3i6ZBVNV|S~ntEb+RczSF- zTm0kY+&$I{d2M-sgFGw#xe;3aELPNg08P`#1RSR^?27}oqnCrdMScaej*)R@BlLeT z#;JaAITi{8-aShHm*?@GAOJqaJWCppLEsri3- z7>!=oA{H$#*~z2-bqYt#7Oi+4rc1)bkpL71)G&6L7Q#kMj7$X};!_U(0;Ja-Al{v! zxYckP{<3Hb;*{gb+p@d?F@ECPp=6eSyu^Py^cH*2#hIHhMiY>_m3HCw2bZLzPeg0u z#o+a_RL_Aq;mrDTd8^StN{`RV{`0$G5yh-ul78tT08##hXvvByR5)}Ky)Pls^L$>3 z^hRa?S^ojCfrM?LerX}-Bj5>>1C){9h&Ll8w6T@Z$JdEZe~(Q#Z1}QE{cQ#z3ETJm z-&GtOp1KxyOXd029^Ac_xl+HbggH*#XIs{<50(JsYwt^2H2%ofaoZbIqw3`6&+D+( z!v^P9mBQkk7JP!^@+C=T2b%A>Z)e?ZV%5mO%IP?4e$Ea%Lbq_xG;#Tdj7unk2J#*yqM>d_zZOfq>B(LR6xxl_*VI8x&54kORK5H8_|(bRmczba)g zL%sl-X2`AzX^{?A*n%&Vg8_G>`G^{l5MXIdm}D& z-vQy+P(1MXDnV(N7C_cT#$Na-M2}I1?{Eyd*09B~U!Xl7_8tk@G*-L1dinT0|6$Yp zqJSTWFOydelGDwCz!e9S+V{b7^1&i9S$YV=O26KI13G0WM zLdKiyx}W5%99-|ubPc3KE0YM$-d%CstWWX}ufhTG!a00m&KmTXxNpxKIj!d zB5re)DnuxgibqgmXq?>a7Kpt{j#Q5X3qEg?_FTwEo=~oA`3qDZh-^e_$=QLZvt`wb z{q6~DW*fS*NKD0N+uY0pEuYU_OJCd*LNJ3fBjDx6Z&q1#O0U6e3Owa%00wcSD-H0e z4qG9Iw9A7_qhZg|;+k6Dw zc!W+yr)X#jWbbdS)$q3703|pnSUjn{3#U0+f$QdePzD%p4z$0%f;L40&5EOd5V{AL zKc1I{>W<&Ygv+V}x7DnC_FheIRQAF2894jIqX2py`P#uXv!_l_Je1o{?o!efx3y}j2GcVB5`q@`*V0zRvsW_ok^28D7RY) z8}qY*4Oq3UdLYG%tg=BBCR3?rZ=F#c6Is48V5zsdm}j+zX!!x6hy62=fR138zOiRxHmFZ7>>1YohwTM*(oS!HQ7#kN!K?0XNgd(0 zuOIyt25X#~tM7U_EvxW*15Cyk!3Ap$Aps>MmzxX-1q<;Q1@?O-0U9+gSq`&gcMuB&<CKuTzvcWS)9MGl8}0Ih=qK)N9qiIg2=IQjVsVVXC96MzNLg@G}r zqXJluimQj3m7W^%`}suLp%pZ99Hxl{R`<{t8w_3mJ3ckf5MiY~zSX_h1YYS{j2CEA zy?~ByJIAB{GI01rHh3M23U#Nnw7--`XsnE6go?WpG?>@Q^yTP(lWW-q2|VW@c(*(= zFI~cqBjc}NJvlKg13eue0gnyp#5#Q~@w7DoE;Ht}lacSD(fuHlrS;iKE`hnoLh`z0BBqwMzJMQ3R^;QlM+TvvB8>)aN! zgDQi{I36~832jq~2EPy3f?b#AP|(cWT5Le&aZBylT?RW~HbbeL1`1!_ir-?K-l;QOigXC#bvHK-yWRxfY z2lO=2b{A;Uw1c)v1Y&u-!S6vEGGIsIAy?&vRu7y6pm9G z@Fb#JJQvFU(zCj0>%d{hPibJb^XVI<;o8{v%x_zvW>%-G_aIQ_-^H%weeRXKkMvwr z8 zIiYh}`AMf_Kr+1n>Jf7@;qV#-%lF}I7>M+|1=odmh|?lnyY917tE2M01|)^q=7fv9 zKD@Y`jj(N@k7EUi?wX){<0A4ufUMyb@09GH0+TQ6uN=;2s-FNDxmH@+R$P66;5*#DD@))PwQVJk}7>`SODaAhnVT_ zUn-FuPr&Ii-j$!os1k?e|2If8Ry}XesS;2`%+qMirW!mWn&(ni2xqOmnN5Q6xtT3w zMZQ|)Eb#p{T(D>)=boI|r1pbsbw%vVv#}7nETeXGt;_PJql5N{V$7-Vj|4={9^L;! z95}`;u!Q{E4F`!oRUnHT$%M6q(eF1LCrc#OUu z@7>XR&q6kQYoYBJeQA+^55=90KIGUv<$Y%!6PTd5c5YHLX zx8R_O{JJFHx54b$V3h!QrZZ+Y5uX}jODq$4j>|=H(Z_2PSM#Gf(>?5exY4B)ppSu_ zGzmbo{*|VgA%%0>+Q?~_96%dIVFk-M%zJVzu@h+@g*r1iul`RjSWe$E2Y!S zZSJIp@c~9Gf~k-TtR;_4m@smr9C(QAu(`RpK~0g<&~B#lX5zt>r@PeOeibd_UB{rR zIKZXVS=cnY)Wsd$FelY8a~Oz^U%*l-f!Jcl3l~6uazuLor8mJA@o&*NywSasz!p46 za3z!zZPo3-;YGMm4mhpWr=w%3(5$}kfPnAYzZ`{^Rm40l{pGe=QJX`=BQQ|_D9FmBXE~Y)(n+C+4`@~( z>kGMAP9rNUDC1uH$f*YG*;mFZKE1Dac`2eIfKiIqw(%kz5y1Ggiu!F~xy!EX`v%(C zBg+B~;3^#t>Tkzbv1qp>?=klV^7`9h)b2jjpt4V7>m|XY*53uRU^UEYx*D!@+`yKD z-7I5Q2Jm1DK%%wu5tn+F>9fg-Uyb2XHq=@Vq~@=#jU&sJ$kB0fDyLuiv<43nI- z_Q|#bt-LKMh~2khab z@lW2L@*uL{Ku(E`)LRIoEcy+OJ+~JyIrgk&MT`Rbg&a{LE znx(Za!Lo`MF)fxA&bC$!xfy~|1V8f1waF+rBv`s|QF=6|S;=k&UQ*>;#~8FZ)lfg7 zb6ENOGgO&85FhRWin28+8`K_h=cw)2sggTOJc_`-rDFC1teqN3mSQ_?K)eV!lOZ_x z{)MyRjcWO}_m}3urSSYD^!5QifGN9!-6h_o5H|C+0hp~F<6zIMFPPs;08=^2q8+K& z@~pl1CF1a%tc4(xd-Ho%>evzhMQgy~nG>;#I>S4L92%f(s($(XrBKl8F&%rJl?=Q>v(zpFW|`P^$qy<4f#qLDB9^KqUkv)f$Gq zpKnX)a$7{&v}s0pb*|(0BZbuKS==IiT#CJcZ1^>L|gtQVp{>+eq<;RIJ*<@qCPGQyXEpmHg`Z_|+L+vKA}luKbS@GQl73CMuUnmyBoV2v~U+zU1#-=%YH+64Oz^?Q!SR|PDcO*4ra znpab3+g%WuL;Edp^q5X@D9}`uKZvI<%@=~}od{m=)8xI-L43o^J(G~Z84TX@If$1x zUm_gMG#QETnEp`d9AdI>=ofp34Sjl^Xr@SwmtWKP9t2iEj5VYQ$W-M#xTc~9U3v?$^Q6fna+zTF1hpGB4i>*K@;8iPQyA2>&=CmfBkquBPeyu~cY zeXQWHUBQ=7oc&HJ`vz^ZISvgj3jG@2w$L?Gb1=AUX zXBzc15SYc!^f34N?bS;Y#{UAZFv&f~vmC$ext&cg=;1|W?S^3gEc=+mlG#n&K8o42 zz6*V9Cj?nD%47PWLu9ihf6MnTr6JF2nabm7t*qF>B0NqOdgkMloDt3uNyE#-3Nx!J zDbjuFg)E4@->5rL2syJtG#g7nZq**@IXzU+RX26bH@ORFlNUdn4g;w4mEEwa6u+9H zcH65xgSHZMtPLYUhOM!FZ_&V>qBa`6Q8EUtBth8LApSzvjmZ~uHMnxu@Xo0@z05oC za$G`g`nsg*K;kbqBsMDJ+hjnf;=~$mUrv5HmFpZf#o+wz(5?rCMbSe$Xt3}8YVr=^qW4%CxF-7K7; z3#iq>c3zqc5qznotTgMnvr{rBN~;dcb+w8x?p0qlqS)(&OK=_w49ZmRT@}+c%=Y>mw&1IRx0VQ87I0 zB*6!!*dbi~36F--5tnfoQ*+S0=KGup6j)1hC>&Hch$`*MEVIB`ByX8g4Rbg1peRz- zD_Y19hhnU;|EOtmmfBKm-$jVPv{VZIIgoBNprK+1fqVYcSh!P%+`-+2DYxU)#nzXy zAkh(q5DMn=Eax#)_-1zn!=S}+d#(;J4*n`OtKMVdQc?OguiE;tGhPehGn}M&0tQc8 z+VHqiNq~$YcRGY(?01Gq(~(LFRUZ3q?IMjMd{pWIpTnaE1o0nRN209=D4w&-NyjSI zGPN_PHja={)r`V~a&-RdOOTRzbPnSCp{xhZ)7Oh4d3*BoiRdclKb8Pofg?+=f>-^v zr^Kpc+qUYl5TFGzn$t45uw>L7pycs?{e2`Tp)F+Yvgus$ZaS+hGX*N9mU?&Dw!2?O z+M-`U?rb6B2t1*h<2m?i0@;?7hmT&*^}X1Hm$K~;LsR|Z;B&p*I@Z|o98a*PP{5?4 zQa$C|$%D%Aqtjj6sVP^n8dz;gy6xN5z?@=`hL>eXNTUq&q|`uJf8z-ApIJ-ShNn#_gmUy%{G4OlXAc zV>sz_C;k1Uv5&lJMt&i)nX zS6l(SYHY{MB7O{n2j4|0n2JU77?Xp;9FWg<0L_+{s39do>m?3a_yh#crb|I>ssT{2 zp!AS2ZsuPCu^@-bAX|KZm26swNi&&U5ITpg1{Xx7NAQcFrB(wlqutN=OYi#9B%1@@ zUAdJ33QB{I+{BK7G?nUPoOp_{~i}1G~Kf z6LPE?mB8{uz4>gY@6M5_4v2<3bI(yFG;i9AXRw81hxc!)*OE2Yhf^m6`cO$3wmB?r zo-HF!>_=}%L}!WCjp~_SEgWw-Nm#@|?%y!-0ZPP5r=3Fs{w6x_lXa?`tT?r?=4suB z@0c)vpK4Q2!2VrFU-cZ!5dd=%5v69R(sqn?Hnq;(Y}ty}eA1JSbj?#oUA9w9u_P|c z-E;~__KsNs{8T{MJC$op&&TJa_kqxhPIMuQWaw&7=G{VgT`kt^k0q9Su_g%+^6D#uH;RS9kwp zThr7o8Bw$y4+6Ikef7hFG;i83TR2vF z!sIg7pp4hA8q>Gam$D?2bjt$AByCfDy{#ITyr$ltU|s_2Wg*aF2?n8p_Y=*eF4u?& zhl!-u=l7SX>RUF&01i${{sK|z&s9M(pERL|;Zr67B*OQ%o;!|%D*7$x!f?5bNx^{a zi)87qfWFvyje1rgSmHET+9LU`dES+@0vv>#D=&Y7&R}c7QaS-eRRA-$0)8?C3b2}-j9g?maVR*!*VvjZFju)k>l(dW z>lFJJw85Wt(7?vn@@+q&gpmvKq0ng^2?YXK0hosQk8Hw!YsPKDe{=`JSjDY&DxQ|; zqMNkbZ$pmC4-I~dvu*rokY#^L?l4#&j?(xL4xcSCv79AU07_hD-ed<4Y-v(}Dt+B@ z5dMWMs&#PFom8B+_rOi8AKiN*;~oGeyIU?4pD|pWo_rJeR0_~#o9HKF`<6r@e)m6$ zzoL2%l$mG1?b&}sB{jCK478g?u8ONE7&%MJm52q4w48msO967$c6>OMZdwULgiD8Y z?4d>Pi}6uE-L8yG#YN2Ij2*SD05OMtE3ZS(>|-bUVbUX<5w=~x@YDyYV$I?9r%%2= zj_|Ti@LcKy1&Qy)ob8t=@Fvqh8}`}Bde^G$0m9}&XF+nEcFyatUXp!}EeBOd;Aedc zmes+5eICx_#L%UTruowN;zoD-%a<>YjqOao#2G!qiS%ArA~u_h6CT)hYuu`TnS=b7 zyS&7q{QH<2eTfS77NXB2XFXocC)!Nu+%c$%#-g`ezV6pIRerKy|*a zA22`nprQDXljJL5#dFn{9<-UuP7=&{wrYg}PwCZ3s>J7OWfT^Y5wX4%*1yYUZz|h^ zA6-pZsYv7+(}AA1#CAwnxV(;!sU)U>4}xAqTQA7eQ^AXl^%fd0;?x5Fqckm*s6s5(t zq&mZeOiFRSO!pZ1g*DsSTnv34w3EAT-kzn?;WAneW6^-D+#j}D3RIE2td;tOc3D}h zR0)k)4}WA^bbNP%$NBz;Ap=K$|K+u^5a<264HlCoMG!TxPqPFGAFtzi#n#soN8Sd*o^cQBjs6etak z6nd{!A(c*WP@GMtA|4Zc}b zX|6_(IzkVC!D#spxpa0KXd4FZftrqh)unGlbR{d`Z(-6;B+pTk6zn}k)^YnHxC|12skb(bC}sh6H{8=H5Q+qJ9tO6h>{Kw)GX{M)gg zaswV>`3Z35*<&PNJVa0Boy7;zOCm~>SCx-}bQBwt)F7wIfePPAOha#>*k%nviFaR* zb03Yo2i*E|N@90j1`BvC;d3jMuJKRKXZW)JVxao`7%9Pdn(Ko3Kn}%pqX(9- zQ_M>6qkOMT7|9)!quN=oUb_h@3E~xR_}*~B>|pxj2+|-FP|x-elRA8D5+;68Q#O){ zc7@N}VAK6(c2~ct6Kh+E(Ub^FL>1_ZyM_N^kkcdCy6gN1*EYA0nMi+>Ok8T`dGL2Y zxSJZ0OR(c*wB#u;*~(4~mOUku#hhE)D!});rSC`AS5%!b#TXv>VvFM3W@SU2WnEa90Kb zNk*-MZR3J9J#IgX{F(Mu(S?Ab_G*5PoJBa@3y=_ce(gzZH z7QRY`Hr)XMkCNo2a^kFWU;4f}x{V&Kzo6$xk%#fAmd`VN;o3;n+Wa%a%fWHi`}zY^ zxSvbm6eo`I5z!e=nO>tuUZ|+q8|5$_P&=303?$HHrgbz)d$xSI<;K%Yt7aBiyk*M&IgTT5!lC)cVh&X-X zf+X++*+6Ja6v8IUgjKNvMq>#Cz%(T*AfInOsz8sIUS*@o63hiZk(XKoHajtY#@0}z z<1q%J(<`dLakw&2!@Fb+n%sKolbBMZ`wJUbooMHwHX=KFwNjDz!^=_Qp+Iy`fLNLG z(yv>-pVIZ8mb<*s%NJ&%ifJr#{Q7POO$Yyx*LipAW?;r8I9FyI7i;bZMM5Z;ZjFJgBI{vb9O~xPt_m9`#J&-=f^MoYQ`oYbvAD~yR0tK&fMpTArsmWOJj7iNo zxF8<^Sq9}SKPQ`Jt@x2Qb#O_-<)vgDKD`QVkfAbYDyzK9rT%D|11?F3M^>ie(|OPZZxyQSmTusqHwMHGLfWaYBqdn9RLy}4orUDKI zF?n|N8m3*2+ybGo^@;;AgK}w*R>{uY3=3r-Z-7)c3M@-c|nTpLG zQ{eD~#q+~4VmqjRzI@E+-nxGRSi^Bqdzmht0HH`D_u@K5XOnF^>k`}4SYa%4_$Rky z-;G=*>u13v7HDD*3X^mbohp4TdY#!6KwvAkqyIJlC_0Gl0cHigSx4n^_Z*EpKd*0Z z`G^9)ygG$}Ii|J^e;J%61HZbKP>3aI%i-1Y9WD9lM4pe@DK*zt>ddH8>3vyblsrr} zvQounA=%uO zxiQ;5VzDEMKsP%?c0UuLz7VX5WB*IdrxZ^bwZf4h7Jx;*9p^aHr&mH>;gj+}S02q- zU|L+3S?-heKzi^k)2%@lP3!G%8=sw4e^S@WOy^|8q^1LxA17f$=2vF}gDaaFEruqu zN6@8K1NJHo7T6cTs)n)01Nb=1E=Qh#k~+mH00tHe>eC4rwz$lDP#XFW`!Lg{!eneh z|M|K5R%PZnU!VyMg(9Bl_>;EyDeoR20@S%oonha5hrp((@x%GjX1q&ZxsNt@Rdhxc z_CozBgb;A|_MWI~pP4F(jr5u<1pyUv!?5LRp)2QiPAaO4O?0W!D&Iend7+|qcZ3(% zn23MA2*W|`bVKpOE@xg!LbE8YbbWHCMdE{q!(BU>U2O`~62gFCH|dhq&EabFEW)@)#Zm} zp(-^a$YrAv`eF^tnL;2GKO!mPj47~wstS?)ws{=wN zwt9U$nuN5^9nY|H_mzW5l@$<#VlfI#-=2ZzMxz!bRa>fY!LwAkN`8A`V`eaCIemTG zXiuqvjXTrlCogI3I(y^#Ku5*U=Zq9p=w`c2gM77oeDgWhTXgUGUsdy|?9=WISdsuf z5(nf7iIUXWFcp)b!SnQ6Qeq65_&@KNsIPXFA?Ml5K%MLz;zL1y@!3$?>GWwo`}sn! zYW2NYJU5&gD=8<+ldQ)j$O=4Q;O zdt|%}b~AuDy}ekgeXRqp9*37UnP-?<6$!u&Qv#ajrR&36 zUe!?wr8{MuR5D8DhFiur3HU$8EmD0BlpP~W_JYE%a_ZcMtO5LI_DgodK{Nut(-OTW z>1M$)N@=Y2eQ7CCY50CQ_oJE1okkPbP?bBen$P)ReJQLO{N*QxOefhT*UOAVC^IHu zmcW?xXc6ND2v2PgIZb?zw9+~Cu@^#jN!dp)02U;{sHD%A8EL9$!yO^Y$hb-DR8=WBK6T9+ zMDD+QzU_$u5w+wT&vW)JcyC# zhA7Ma#GL-n6U9rgw73UD6&Xgwp9j;g`Xik`7(Ctm3`FHm65w%p&bUS%780$`T$JdV z8x7>9cE-);do4RN{2GV~1EH!9q5(JTp5j6vB=2a-$^d*dNz3-z!R*=CTd(Zfi}M3lc4a67{V7lk5{cOzd*pRw zY*$ZOLS+;YW$M~TjI>a!8Q#@#HC`_oI+QkS{M5o{@Um#Wlw4GNQ^Cpk*uE=s9}*Qk zN-WwM_$ONH3X=f@F>-BxD8@&VV0Z1D`F6Hp39a^w5Cd!s!+c+l>B=#CvV)M@5ybP! zK{t81H^jP8SYe$Y(tiN~Bn(T-$OWcNnu^h22^%YPZAJ+ADw0aC9lyLK-tcV$kS!dj zTU)7X|KK|0&^NeeufRv1Zm`xyK+fLHg9!P9J)n3%Ojid$UH~9nV_d0$1Bu0mlrA*9 zzkL9l5PaK62`=M0M0oeI1>1XrYH2yyER5r$hjJldv7;>}sAnIUEL~p}U=t_@32!m| zXa##}K+?Nz_w&sug12&t}L_pOW>8g1qdB4_`@Nc zm>n@Pi(JNK!MfNd7x2pf|D~KjL}|VVrEcmO=BWd;T8x>!^r_UjQzLCPK+I=u@=6tc z1ikw0#eGEvJyfv{M6jpmKRfrG3wdruQHC{cFzZ zBx`eVB&p80<1cV4#=BIuR!diXGd>ZG#q@i3TbbH_hmEi*RG6m$Fodbil?gIrVV<){ z1UN(ckXxB(PxMnKR`VD1S8)3W{~UOO!Tg<#_sBU{9_9jc``|_ zhE3iOr>kN6LEx5irj{ug7XQbK#VDmI8Rl$UA`wO4U4-n3TiXSOQv_u4nrsD4UPzgF zq_Uk)WIvPaWY@MOQudN28e)Tuvl`Y5GQwWKjc=6=6QPvMp}i3*2(ob{i!hdHcKv>j zWa*rh-30U7DR)f>jRG?Vvpq}(DBMnd7~TH^cvqp|?niol-*(0BzAZ^kLw;kA0ox;9 zsa@p+g%75y1iZ9Py}U}Sonb7k6L|cw<*-Pa5Scyg+^17@!^5X))K+I1!4d>WD>Y*4 z9D|2GENzr8!c;m@Lt*HKyEia6->_WzNTcmt!k7ayt+BmlHl(or+-w3)u}Qxd1opOE zuG@d1`vrS_T%ri(Yx@X%ojw2z$5>3|(8!h(Vp^qu0Y!RP97&nbY~Gb~ci}$3 zUQJ-{ zaB~28K~Cf}tmmk9kH>uSDPV*`HIAG;o2pf0wps45HFfl^!%VL6LXYifCq9{GhGa2h z|5O9|Y(Q3>e^eZ#q^XNKJx!f6s8N55ZawNCx1kMJ|l1c~j!ZSlN){S`d5hFcA$by~RW_kFB@cDqoiDfa(-T?&e02li~-7E=z?LkWg5askG+D0-X-eg?M-(JtRxq0m6>D;5kA1}PV zMIZa~hAW-Wl=8Ko&USc&kidj6O_#uWypdts&`hd5Dtb?Rec&>Uf$%Cj^%QJ)miwc) zL_n94`j4EJsrn%_$D&)Bs!csXj?~p$hi-W_mWmAaaK#pP-x6IL32f~5*M2p`6FsB9 zyyp+g*ifIyM2dq`G;tt>V#WtYb#BvhuSQZ`_sK!;)zF$jyl|lmCS`Gh73b!9WnoD0 zf=k%4a&v#}80ZFy+q%M?`vRcikk)h~_f*TAwW^o;hISc$Qu&E^5-;ndWvghQUpeJ) zB`ON{@kvse+@RyM&@)9H0^Q*XP56(w5xSP9g_ifau<_DvVmgx-uE+LhhVuXc@djho zr_zXvU*7JBv1Qui0svj#7cq@>xaD*C{AspEUX8xbHiPG{Yvt*ZZRd)g0H_Fad&qeN zDqX)RQ^=trnoJjm_9BKh(#M{Z%HbOtORGvFsgsp1pHp@3WUy#*MDjeSg!lN zL$$pIrj;Ckd81;CRjG1{!@rqvPwabirpgB91E*jVqg`WSkE`2NczKLUfnqK6@`7yPhF%P| z#eH)RQ}7MZm#FTy{F;E!?tfV+j=J0)pOYPw*tB!sqXs8#$5yK#xz;to%W(=M=i?+e zXgbM7gP@i$_UkBOdGbYsq2Y3V$pOy%Bag(Y^V@v?+tk>bU@kmmRf=DGQhv>M#02?{ zjBu#T!{+rk_8M8D#^dc1vL3iOxZi79J8c9=W_Mvauk=2QBi=w~zVqVCH_orqfCEp? z(BQ``E>+I=QAzpI1EB&Fnx@%6gMl|gs64>8aB89-!>~LeSia;_sVl-)=Kb} zV#>+`AE7E+=c22+?ZKG*E?WuThlX9R?bt66IL@ zZC@?xB(40rU#54GfV$ox<78EqwPlCsE3(#FpozY*A2~Y! z(0^)bTv}A}T3P#T%zSFcy);}oIKfmyOLVZ}V>e7V9*lL|39NS|nt$j~c`otAb}dE? z1&EiH??@+oLYTyMq*`!CYvtYNb9|>VZz{zA2TT8#_SV%P<*JStAli^a8h_<@HICD} zvG`uaX5p69uXvR1HF=T$eI^(>Va!G+ycWw=Q#t&jCjpom&?0j^H`CC+w82aVq+kMw z>!HzlQ@4CXsVOm3UOdM6_WUWapx~wQ3@2~g3AG=r)DEer9}3!KOLT4B`_cQzHet$- z4I}3v4h6#~wJ<@L^6FFCS7&oyo+70?ClyWdE&#NDM8C;wyXPAxhx~*Xb4g}?F>nhq zjm2*bLM0K~`8`e3crQ~Z-7K#>7Pr|0)(2aQQaW*>sae~&N1Y1Kqe-+66(nC>v4*O& z2R=;0i=seoM*ZeQ&MmSNv;h~dFAy*yPZUHrXPt4cvZ2ieZ~&krY&5y z1n9cz$dJ&b6h-Pd^DoU-DUfU?gfc$pQ0UQvfOammB1p=K(u6ZWkko$~^ty!&w~qRK zD3FPS8M#c}e9#97!U4ZJ;S4dkqV1TaE+mr3X4#VG^b10-FQ#$7tB*z_OTKFQ2{d zI(rRFku;$e;L=SwE;8-7gi|g8tz&DxcOo34UQZuo7hXLB8pg|r3K(LSg69i^>)mm$(pi$oJ^IC{fX_gPS4l~^M- zL6@vg-2M?{8AQ*#V?^$0ufAo=Yu7h%fireaWgyE4&l^KR3o*tg08d9#u|_PG>d>*O zqw>EFfJha6*#Ov-<$VIAz-Of1MNGN<4V1knedvd0w*XTX+6imva3Z=x9nH#Knf;B> zgyKZ3KRk;E3Arw@$>wj2(9S1q2IT?JIi$(OJlsx{EcwGqD#SC&9kQme4?RTC;}G=s zKvc@k2>>5tOPDQ`vF68%DEB7bz+bk%)yOZmx19OeWY2!)OjIp8PVP`Eb&z#ZZD}_G zgaS>;faw-id46OR3Ay$(J|A4>4!tqGxs7YLXrdan4+3Ev62Ah`)*H6d0$Ly?&7q|{ z@CnvEMfU_x8ctT4rph0bk%u#ta0$3|ZMVg*7s19Yx3qL{h6it#(x0-#cOruyb($Id zvU0iUZg<~FpNYc@eGD8wRd;TFlZE2ynNnefT55~RTC?iWG$QIo<$D!(9}VG7LHUU( zZI#Y1kvddrv`W}QM-kW{^Otlk9I>KVKN(QQpYkn(w83<7bOAhYsEZj^H>bEZpg&H| zku`Y|Q47;E0`v^@!9;s6%~2<=&EZzs<}5@eiHCdj1K2G!U?TPfkhMhB@tY*23a(p< zul&T?*fOwjd#ndN9ul|S4|ET6EB4TTWb4NGv9qv684VDy8z+ffZvZKfWfPsg-l zSdoZXiP5>Nn+AyN;2uzv^*FnxGOHql zq2iAMiGFONlbthQXDuTSDwe5Xmr7ur_wqE%*J9hAt?=8064Qf(F|AefIf^&qj)GVcJ{Xjf^=8P zBNYtBL%jzIVlGtrSm6S_-h}za7f*NCAX?U80S!Os9CHw`k><)*)0P7jC&e~{cXEcq z=esw`qE|;C)h&#H3TC4DSKz*m->1=CHX!03q`b%oh1lVs2Y^!7;RdlVTTiB30tmvl3`- zKeo4B17i&~T|$mj&?b?<@$jiYrQ}Tj{z>;>)$|r7e}uVAwro+Jxi&mqXdORH#o)_S z?--(^nJpc&D0ia%x%9=)_J$EpU%VfPA`!BQK56g3WU>9ILgK)5ZwcrmN*>D3Sz5?Y z@}WRtkIDK^W1r5#ZV;^nkp04`bUXUc^WvMT!Rhtaz1$_JZZ})UlFSZ;YiACCNKDtX z{AY>w5B%*%m1!S~SzEYwzN8$!lnM8%2KYAFp3dNtTMdWQ->k&--l-X7yX6B>VRV#Kb%8ylCvx7B5S2q*%HMd{wiXrPew{@(7K#q(ormb zH3!ryju7uT^1y6A<2O^N54qRuhiF^7LTtG=p_2vAX0{cwbA*#3o8JBgi* zDO?K;+iF$Ok-OO}9NjDG`1QyTfXkj>=}cM9ykvt>#rbPS{7HR+5cQFi2cytMG^~4b zw~s(CmYioF<>h9rZB*kma4vIBW*2(`F8sMUGQfgyYc-^oXB{74uwl9L79Fp}!aKe< z_5htlq9L95no|SzDrf7$??7g%229cys8c8njxU8qS6%-=_*@e}2zAa(xTLKU!v*^u zS!_2;p0Wj7<(x6Az!TXnl_@2F`A|h12;t)ZF4sn^SFlGsT=A-qEH1nZP(g9v+<6CTNT2JI zsC5v#@dM`PdGEI_`Mi^Fo1D2r@af&xaR8Q576`pmy@q7|z*SzcjE`w&M$c7B~N?o%@;=8SHQo?+k3mdHB~f$gJ5L zN<7>x?S@Q$fLZ%$hbSX3r^5YdFH$WnKpR|%Sj8W15kVV0Vz42>$I{1!{faiL$fV^1 z$mU2i`QdhN*yJ$fi49@-KhkM~9}T36o4B4K!p#5AF*C&Wv?s=#1Q;w;drotMSSBeQ zjDGY;zzPsZGvxLST2xem{Ku1m`(qlhGF0l#UZ8P;@mcHy&8cFPXJgq9FCJ7b2h5s9 zN0b%8TO_u5@?K|I%w{hybAk#tY#fJCpVib_ExKoW-={(X1iq)AHCK zr<6UxMwM;^c-xkAqc*F4sPO#Rl<*HTk0)sI@{R}C=jJ4Zj^5qr@Ay@cAm596EncxK-746)GxJR7)0CqX*LJz~ zdgHMFkG1y>#Ik?i$Bo-gWQIi9QAS4gO^9qtWLL69RJI%Ktn5OzB4tZ5%O+dMPO>*y z;d@?2AgV*XwnT<2=seNB~>6214#UhEOx}bUl3U3x^P27bJo5 zZ~i(h9`NxqliCXj%ey?h(453E!m_iFY)8Y};ja0`dy^C97ZI9CrVk+b^+2@XK}s;o zvdA`jwD>f#p@y&%6OP?3p*R)VV9B60-fQ>6lxFD%6M7ZKC(2;-ilcK&EJFP@dI-$P z{jo|1`92_ysb|R~ubGPw>HUBjK6o#(;d;_?F*i;vo_XGED;a>8zb<%i8#rgbK1lE; zbjlN11x2jaB402F{n9NRSdDN7&6#}a*Sm#Xm-w@ssw+%L54YPa+}}mXlGf4DUM-WG z^aoXC%HHmbRwFW3X^Uy%yo~wW#-H@L>VftA-l=2$3CEDZP21}4u@dpV;G6FnGUg-*JEYAu3-?R*WC&q>f&9XnMEG<1c? zd$_4NGt%q%Dmj^{5CQ9yd5f>n&s`H59RHCnGI>PW(*QIAtx<&IB^leVc{!{AyBOXy zy2MBForrd7gmp@O;AUEUVqw6Jk2-=j-<;0tEVNpL$#4?DsF<79B;u5Xj!%4kO`|H%7cdjK7gG}y77i= z0L(gB5Ku+d#G_Ib?Uap6jA+EcwgJ(YXY%>5osl8X7eqc}jQ6T=Kc*r#7Y?+)I$@pL z;Xswj_*QKCotD6cDfQM{N7qfe5Rn^3)qST)P@U%ilhnQi1ziCgFERYAX-9Sxz) z&)T$HzVzLDmvOZ;w1r}ENx>&&GX&Yi-Y`1pYAC!~N$@oSShZEZxAb{Ac8=F5wN*#J1Amq3EL$ESLm1zg~I}krVAI($lfkzOBlY6BDxPm7VB)3#-Bk ziW#2xXSj5*y@p_K%Ja2j8*DaQKN6wVr0VMVo=_I&%lMvI0^(3^=(R%v1h!E(*M)l|;$~x7Wf*v_-UWV+?HXl}REx~A)!7&-O1cfoo z258f{U;39+e(q*W_n1b#=^1W>O0;tn`l-)=$8G3IeH};|-}}^bbKN1Sqzs(XexJC$ zsb_2wY$u;#JtiS>>8-)|7oqikC3<(_(B3~VSG|E`rfkm~zxAf7R#HAdq>gy^w6UUL z0FPqMAp(S}s0<>5-vg{oWv~SL_arfY!5M9e6_GuK=!Vc|%kDF7QLXamZAD~^Jsg`6 z%B5XJ7?}qAjW>3#l5x#E7nH@{ma~IONQ8vTLf6f?lesqrq>w(Td%b?+UuL%nyXt5r3SP}ra{%rAU`x0|hO__@u83kD4_*W8EDjynejqWlJJe@Bgj2e;{u)YsHK+|^AAZgv zh2t2Ekzz)eune?*51_J=kV~Tt`yDOOVuVQE7v%g@Y6DgF?K6>`2yTrn4T)iz@m%B-yl2k5UPV>~~X)t*DGWPFMNmT@ov|bWa zL~Ks03f8v`J}u;W+4V<0vuo5beGV9bu+MZu0QKt_&6SRGM_noi>lS&zC+g{gqpmeh zLL#QTuI|^y2WE5<*O08wts$U;OvHPexxNUXYDh8lpP;iz>v4t*o&@8ua1RHdBsB6q zh4UFBCyB@h0aVm*`8xr zy(#WL!vu5+l^XM0vTHyHt~%0?ri-^RzEH?J#%~YxufkFv)Qb*BY+)c`(oIZ#;;{G7 zZQnr_yP7NB(8c1K!LrDqRxwh?FU*YMqsI-`fMzICLNM-nUA{B};S4*?ggtgt0oTu& zmh}DDS&oBjk3l@UFM$dv6? z(w58%e$_d2rYLgEy(1C9H%F}#a$xvH-pu@w;HWcm92cUD20dZ2?4kuO61dU#2_e{4 zJ4dEnseGJ3<__>6vm_oss;q#n1lLFKn%~NVB6b1l;3rZjrQbhC(J2!ellXEvfF?5E zymgU`(-2QRCIJ{6DbeXHhKa;@2YSLqP{^tWg4xNw+!BC&72n?+kqsxJm3V2(0FZ7d zud$R(Ts7=C_+b)De~s`STehwV#CO;2LpdybS7MnnhngLG5~Ow$6=ERf`WHxBl&Ju3 z64AXoR{sSSGzqO*Cku4S<>*-x%K5KPcbc%+>O)B5>3Q0)+nr>!J-D}t8!i}m9n21@ z@=)*CVxpJb!tZY)D8sQMu0@CzmtdrTK|usQMQZAdarw|)kSBhMh$p^dZms_ z3kUKfj4m6~)>>iZ-n$l8N@4Kc|aZm=nx&p6#Ws#Rbj{pQ^09MITKSADdx`?ldH5*0GGu7JV4X8nPFIt8|JO?82m&1pe%}QeKEtx5VRDhGTCW%hrVUts4UoO zkL{sow5?x{bQJ(qG;&`>g103$Dc#!i4KHqFtxZvU+0=q`hKM#OxHZPHy8xMoq>nT8 zk7Zxh*`sxNvjSmD_Y9G`;seY_=w}{E$-O#eUM_#uV{7h#^uzn#F2GofJ8~4bfaIj# zU16WvZ`sF}NC>0myZY;_Cv1YUlF*(K^uhmJ2XvcL^j5S-ehlgBWO!TY>O*yq^U-C= zEfxQZ<(X78Z!SuzC z$;uJ*1D9_XTos|uw^ zueaHIZBuX&o@gxrlx{A#3;>}KWLaO5Y1|+I*s2I!XLvP#qkD9+GzKGUsN?&1IY;Yk zbHn2dMlg6PbtqOo`(*jZFQ94G0ioe4{qwZtv0uZ`*;A2rlU{=LxQX?W_hHY+L|aF* zAf?u0-dlVnKgImDR2o)i9^`Z|E0d=8gTTZ1l@=7U{^!_l4!`uK>mk}jfI9E<#;dos z(y@7v?Ypc}i_Dn*v5i2lU!NigES!gdpN{kV^+sePgO9P@tD%VXrm6Lm4g)pmCZUGj z(lLi$AYD!u+XEOpW%2#=Sl_QSIdi6lEAW#FZ;db9KA(*d{ya2 z-)y#QlF%!yQpzy{C0u>qQsq}JpfK!Dt_>!qMtvd;=hHs($GBG?oC)j^eI4WB4;yAX z^-Gx>jw`II6}H8vLtY^~vcl`i*fV9up4w|{n^D4ASvpp9sWc+#?E9I*NeL15@j*4F z-`V3d@UP8E|82a2If5YDq>t8mylLK;^;qfT$S||nHEQx6L*yD81M?1{ndR{WA8Ig} zEc340*8)3KJGWABS3^4nwIriO8aKyFpN5!EaA_t0TPm!CIcOdS+111$1W{89%N8gX zSxn?UnG3|*`)afM33%+F6g7fSb8U-%qqj$T>w1kt92@d5sre2oJ7Gw20Clxc%*9Xl zEG*`F0X0s7S@ALN2Wt7VrRjZWCc|^SEwDgMH4!m| z`KUM~^Yslx+QZ($E#Xd%U-)7{Eth^sDvOg|MG$-fSQ=_i+*N!>soJHS#LV|{vw8Lf zqO%X*Rn8y`^YNh^4+i}aZ>gvVM5z!-OP;f?(}L%Hy99gdr3Jg~(D7fGCLKc>S&-Eq z*p#=f_z?vhjm+%(4OX1JP=>O6G0jKk+Ga9n943?I82xE~hW;BO@Ga;QaHp!%Ls=gi8x8dzh@ z=c4cey{amYzfCYbr6`53K>cGiSc9sq^ZDQS2)hDzlj8^y@}b; z+b)I*lb=I40!MDTlHM6>j$Z(O#;;%VyJaU*y%hl2%BCiKv3qprTLl4ik1XkBj)@kk zQ3xa@jeV3d=6M=~Z(7h9_Ct3I{Xz5OmPg;HAfcvjPymzkOjvo$Gm#D zP*h(vuh5}_Su%m+KHl>#<8|3ZNm_};hSOE%vG{bWk3%pYbz`D(kCJY-F7}X$^qP>g z@x*ELNSQYtaoOHn@pp`Ab44a96}n&t z8#SOjX|^HMG5V=D4ZOwN`-?YrBl0Jqn zXo)ryyVGt`Z!hBdvhE1bzb}2j=HBN}KZhbT@&~TU%J0a7x^fB*7C%R_>_5#|S$ zyND!a9YA6NKHh?u%b(JwL(hkupew(R%=n?`J7G;tI*be$(A4zwXMi!t1yFZa8dP2E z$hffx#*7MOk3)91A20~m^u|PbyHH3`?kx2uJd&@Z=Ub!ra$g{#36Mu@?#O&ngm%I7 zt{|Z0?LS?SQQCcoOFB+|pMS1@&Q88ZMdJPw$JcViPP;v_u_^QA-AbkZ(xo!h{}2C582_&Me*9Qs^gfOT0KRL*W3 zr;a&?^xUnmkF^FPdjF=!aCkSHRO!3YI$uYla3>^WaU&2hB4jf=>4*7dKaA=}pLZHK z`kx`{=y+eHtuDz1eMA79L0saHTXumck8c%3!>3Q3sS(i{GfHyR25Lx;%g{%>s|Vj6 zy9ZTpKs)Gg)8nT)^2XpIwUM<~%lpW!Hwr6I2b^kX0b^$1j#PcFEPRW9P(kc%o~oUwv zk~2QyTwp$I+9hM8rfA(m-uJ(H``5vPQ5l`-d)T==*cEN0vJN0XveS6$BMbZ<=)zDW zWA55aKE2R1j)cRLutQ}cI~C<`lpB6PgyV0t+1*j~mLulT%|yd>qRdp{7$SQ5(IR@O zl82{3N#DI^9r}eNnE7Ex>W@QR83c`&251aQ4p(vlN4GI;7CJPMkIjOD=Wq#u zJ#I~pat6N4czbFHGDX&#v@&^wpMfHvy(}6d?bT0c0jvEX^Xj8XTedChf`0O)4-oT4 z!3$$BU%Nwfo2JN?bPk!Yn1Sxd0wP)tg%J*o1O1ZYcq?1W;JI5}APNg(?=nKJg?p>1 z2wpq_S`neZk3PH|1+5*&hk&eI4j4fgr=~38e+o&!v6uG)cTz}B2Cpm6WGRde=r$6( zGX1w5oS{fQKT;RQNN}_2L|n6of@`s2U=wtzblZ~y*GXC1ufBwOv}%6#wsfcMAq|U_ zS0rMRNj?+j^<7Xj>cAkbauxYz(UW|WZ(tQi~ zSf9nhonkxQKRS9A(3o&&%jJcb0xzLW6E+SN6(bdR)g6ZISsbf7$d2TwtmM}62UQq( z%l^D58LUiz`O!!{f!iD!-~A_LYQn|{mD9UZkkVf$eic)WlP5L`(6Ga^#7 zhMG`yawz$qDS6O09LS$SGZ$BQkwwNY#a>+f?$39D3rsS^%c&!T%t7He176$3W4JL} z@;4SbV-blVZ)d`Wt*KWHYf}~yX(?*b-T`-LLe%ciEc!jdzX$_Ek)&eSoJ1TB3tcg- zr(<5m6JE;%5Ka6_1tFcJYwj4X|8@CK7lGTNrfZ2m%i*-=yTS{bdTa8OF9wrK+H`h+ zjxNGTk8nQ*5w-P|S*9pb4dA&Y6=7m9A46y59*cnQ)4YI5875ta{Wz9rrIN+b{UHU? zV>;_%FtTK1M^*Qk!T%(s-SO=w6ilNzc6b8!qW1Q8dI^J!zbSlP6Emvkb?E;z*2x^l z=X%x(J~q{^IR_w2#1?u$B;SLkza1+>Ngqv%zvb%Svt2={UIQ8$XT?qfL7Jt^4<^Xt z+=%_SWnW<);^34Ug6QGEflubelH6}Pa}oVp+xx)*NKvIkIhez0xny(LI~4itlC!`E zB|_31*rf`v9#vI6z5MrY{zgQ1{~+@g4iHjk z5f&;U9JJ?JYtMrAe8eC$I_b<`TH(T*s1M#3W50A6fJ$~@5MZQW7;|90j5NmRFO4Fz zALgStDs#i}^p{`Ka;&<_q{3NVK$N1KIN@F(nq;YfQCykp0$v5HJeujiOl$HJ7&h~m zYyf#M32Z;5xNNT^?iK;e7>qV{jz%g1P(v*nty8(M^FQcLAo2a6M4v*LI+O{kGY0`P zr6y&S@K|^HavzbKyh{VRH-CRVUXWrRtJF;VUz{zkrJ%iW@OG0y{a!LC^T%3avulse z7_R(9F6C2sGIDs-|Dl37dSk_fX zSn+9HfSSAxDxGJC(fRP&l_;Gd9wpxxC{ZxE4PMgMAan=AyHCn{K>FLSLJb8-1`p_5 z5E6>JfaBCPC|1?dO!an7{{fm+!~zZ$fC$N*0iaAmf@->K=b;)N=%|K8`holyBIAo+ z3#;G3c~AFpWfElJ`5r!@-``{BSvpX`Q3@!y;Q#lecmMJ4{T@Gc!9?0?oEPt!>(pb! z*#7H2e1`j=y#n&WWBma_&@NWu2~H_7Rn38r{Q}7`4K67=K{D(NV!m?qh8h&swyClQaG?E-D5L!@S$pr)A!A>DBO@6eQ#vY z@!}$1z&oCd(7y;TISAzqgCFG#qhiGC5nKNTXf=b+ zjp9tf@WJ6^=Ie_XR>26>T`Bj6W$MzKtjOL1>^|xt3ji1$A)Bt|RRbadm0a}s88%TGLkj)an77sV+?GX1xaK1+rXgZbzbS^4K zuXL@^qo~A05cG2HA-Xo0Z3KF5Qj2NC<7byZL~f1EzM4fNl)=l$z6xxiFhCmn31^(a zXlevepyPg9aGW2rQ++C8fSb$q`=!F;gVdo2LU_mR*51794+dQLoIwEIP7rO?9t6F& zrx3U0r=pEm7(g5MJAC|a**uVx#@D~z^4RABfMrtrhC?p#0g=~P@Ocno57K9DkY@jD zk{yHtM=GU^xf%86A*d7CZ@+zc|4G#z>u)Fapq~>NIDQto2?-rzw7D^`9S$V+mwM{s zFb8BkkNFi`q4@Hwr&3WeKvnPfmcbgO6$Qh)mFXUik@^Vr%TZl?P#I)kQU0p%vlUaB z<25K?M)U+AI4Z%^fC9IA=cb{E0hhwb>ZaPg`$Sa8qAfD46m&jea4e3`+xKG%awDmz zGAT6_opp#09#NHLM(#!7IVrcF>4^OkXarGYA!Bt#m{s^ku>M85MxG)sbj4#MEs4{A zVrwn=;8x$YS2?PG9s>MouoOrBG1Z}+Gz?6U{|quoXW=Rti6d9Z+=vIF_E8XCk@aY< zECsRhv*23yE#9d40vwUYJ0F?~KKYp(YB-9j-q0nVhYZIGi8!^pY}=MveI=k^BZ~3Cyv8MC!c|}Yd{+1sniv94B#tkda_3a-{6m5bfPokEr|5T8-uLp2 zCA&thg(gB5z6q>YmVzLJZdY)KxwOLn`Qoh_-bXeHXwz#f;qX`kiNUB4BqR?tyW$W_ zibV=CK-5JN4Kshay5DIet+Z1XxUXd+77@^daR3M(3LK*(BNoej3Vc#Arcp_1b4l9; zxhP26FwKj7&$5)ESq2^rb2_>J^AXrfwLk(&hWZtuFtb9I`?OpJz4=F=sbPf-gD#xG`BC;r!LciHDoo)xQNV}Dq#``XSu~y!^*z7G zE(A7Y5q8{nU{K4D0kiu8q|Gt>Em#u|hdQkm1f-auITb$9R_ZpnmIqNNVYCP4De{N~ z(BBmqN(+H_sOS$o|3jwyj}Z|3rzzfJYVIAgTi6cV_kaDL9>_#{Dhtru$t*SzLH+-T ziGf6(SE7;BkrZAAygkHN;U;V+WA01-?7E7un`@8~?J{YK&_Abk?@0dl@1d#S`YU)> zr~UW;`u%r;G`xX_y<;#2;EK^6dip<|WI>xQq`fc3eA#j1-YuuUKskN&q?HlV?~Ban z4clumtzzj9~X+I=|gg@VBGa--qv?Qh4vW!w8L!SVHFyMDkyM$onpF zD75j2iUCwia{sTN-ujCQbZ$qR*I^#@c$Y+2aT!D8nJ)i%@~ThlVRNMTO=Zip?GbhX zCfEp&Qc_6k!?+_rc|`&GxY*02FaJM2dkhI&Cd0U_f5_Q?%9EX6vC0n?PQKk)sso&6 z-{#Z~Rm1C$_`{uXLHT&pA&tY`583uFsrN&iX9DHCdaLJMvVY!4k}L0BSWjVDD3?}~ z%Y8J0yoOXILrCCk-T+A}0X>5S5Z7Fr+mJ`D0idk~6Pcvjz|yig)>G=fc2ldT;!pYd z@5}I3IfMupAqfU>W4o^-utKkiux9}+Az72`ymQ)&H$Ha%T){FH#Le}qe>Uf#_fp18 zen=HMnv4HWklA^K525M`wpg0VQ1(>1#*IVF!jOg9k2&O;%#`e_-8p6@|+y#;x=zI_8X&ojTbpseLfgu7Rz4aK&+%HCfbHYoD@S&t)z#)U|vc|%B`X^ zg#YqdZI0o;pX&Ed0vQa^jRSwN^N5Pbd1!7?e*ypl8961mL7HBJQFMLGVRql={-2BIEC(p7q=1Itzh&^w+six#KmuvfVV>aBH8!;|sIC+C zS~xi9n0x3#^*QVC6PzqZ3%KG|+JqUOR*DC*ImOmg5N!cDk{ww`078#m{*8fCur{Ic zN|fO&W2y?^L6_AIQvO4XgZMA+hUCcn{&{~N&z&U1oL&a|I*5f{ zw%dwb+X&=r7Wk-7p+tD_YinVoqBz~p^sh%$#(_p3+rat#hOuxOQ3YO|&uEqZZKroG zZ7L7iQ|XEV2PKs4brc$77yL$kH?ox8PO*dPmKPQ3s6K~uEXFn(h6?BWtbI=sK~X4u ztrcbbsS44XK-xP2PsD%+wxWoH%&+c8gTGJYpWo}JT?LRqZu^TM!Vzgi#)}FX@%aCs zAc`qauhq7j_x$;5|My=7sbDWCQzXVJ0rVjcqW|30uKRxw6N?s8pPMa^DlO{z{sS}r zVzFDZMT(;kesC!L))#;eh$5fVCj~b>PT*wRNf__`2YdT-RQ7&_rYGbvD$*?k6Dgqo z3QwydlxOH|w4j_896PzIe7tjh=F;HDH)0h1`oAF+J3nU&F$9MYsx?h)*?+eG_csfjE-t)|D;SH!$0~KaS>>jMgfPw>(S_P;H)PIHDs~ci zK1*=9cK)>h_SItNQT}K79;?}bF3!J>@qd4J%nF|8 z+6e9NtOk8GXrPYM}A8!8}Is{#w2|Mly^=c>?vlyvyY{nQg} z3(CE(;7Ta??~kLgyqUe7Vg|S0YbvE`E~KUR8x=U~i4`q}{n}{AQ0gWSaPS!bZiovE z3uKD`VLyq$;UNMCZK(Di!0+VnCxsx4HWa9)`w|OfHm5`EQVQqMJ8o8oDrx7DbXDj5 z^Cz_~LKS*}k?!k`_xyhspnsmvJPmUxYyZdXH`4#Zy^bD?;Bikb>QAvj-`Fl$84&R7 zD-F&kyY>yW4Qt*A|5w!En;gascxh&Ud?jov@54HOPQ^dJDtoi<^O+5Ys_g_Z6(=)d zbcJ{%PqY6y0q_9M!K)DacE$9szmGUd9U}$>CWXI>1n&Z(Uq~Ab{e3-@nh|;au$foC zIzP;&{zAf`=WXYSt$k?G;wO~)NSVylNzI!lq~|=fQX5RxHv_xLW`ITtfUUuB#Jj%R zQBZxBIkQWD1zt2L;gtek@8s(=kXKzvsIC#}G@!IyPUk&-MEU6*TqwF2Dz7|JY2bC3 zP(a6P^N0V&;8jqqfRd~DG9)*iykx$tvn|{=|0d)6*!ZGS4}rifAEczR{F1Ks@Pko< zF3_J_t9<{UrGH-1U%yIvPzEfFk+j!uiF?2*d%ebZYk{^FR%TtDo*VrML`mroSGdFl z1rPi_Pr!G98Dxuhyx#toL(`LxpEA2)Ure<=nwjp|3puB89 zYlN+Tfk#j>EF$SObx(SgB2s<4SN!tgAf1=OapS@JqC)G}X%? zW9w_szfo+KbWi&@$*;90uW(>F;PI@2#yg!-b*fRr*T$|MCZS(b`pF-IW8D`XNq_=- z0-2D-qY>0Vr*sZ)v5_cK(#OM<38c^54N`hgrMlh*0x!?H%zHiRKew^X!dtX$$a9;z z^VYQmR4L3Z1)$#}{{T-%83w9`QQ61;xxlb&+xZreVSx>a5JY%v6*oJ>zL;%!<=OVi z_ExL}DAHARtr6dtdNNxu&Tts^^|)L;cnZdz5Ih&Oq!_@j@w)M|`CTJ0$oNe3{}K=x z?!T|njp-&m^mXNeN1|<-pYq)DFpS6)fjX58B+Hw~KoEwH+R3T8Fvo{W>g`9(=Y|nF zoCx4k)vpBz#SOX~Vmr!HJ6Dz53qg5rG|>FH(5=dFp_k~@U4L&IILlz{x!e-JCpSy; z=5@UAoQkMh+T4OGiTGB72+reQ zzhP|)WE21RJ)%qVvF9_DM&7K~=g{$nsb@}}Lz^yTLN9n@tb<-al<;J0WQ?ez!V<|K zNF(vS>%FO*R5`rmmP2qAW*8>`!wwwJC*t(udilCeV68yq9nltM<81`N395r#WP3Gh z`fCps9d5G))HaNas&IQsNZ6#>+}9RrVNU5n$fF}pxBTY-L-ho*)rJTVx&DzTCwKxD zyKdX^(0!o7zL#~W)M-2zS-e5KyY=sr0xEnF+JBmbKEuG55k{_DGeg$EFyb@10s=j) zSQt55>7Ns+`Yho7T~#%;o|Tb;hzf#@9Ve(8Z{ zmca}NrcGzV#C}&js8&6AD^5oZ*MG~PB&A>tY5lCKRF3yDvU)AK*~J@{y0M9D8$RK~AL87Z&=LME^Zyr~M%XD(3{yLz{hC;A- zf4fb;C>#Ld$9)f~u86X?|C3h6*)n$*OmYAbsm#7wyLC3Z^Q}MIRWbk> z^C!T+DHO5Ffw7uDITE6y?8;6sgDK*~o{Gu^8wQ#SJwOmmh5;?IA&@>W_L+uWR~J4) zft`5U39#Ahd-#Bavz>lf7tv}<1{8KixNP5@k~|L+QYti_4g3+BO}{44NNMj$`I{2) z3j*IYufG!N9>}Ll|0g)QVt-7YqI2b1YErh%d&#tsEO4t-#7*khv!*bVxe%D>oO9YP z2L9m{fiZZ5clmADp1JafK4mMdcoe>2c3QHuBH##E^M!sZIDmbxWtc+aaB=)4>zeP( zf;s%+(3~M&RaIxRFC&0PC=q8bY@I;D(*phX8@X>FFhcM;f&ld@cfi#AYTbBRwu;oL zHcOv@oG-Upi*63icRRLtc6&|HUfPi7xpc*c7e>KYpg7@9I0Qe*y;7 z3XUissj+#iFVz;7TJBV;>c@=_|5#^GOPh~!+AZ#F0k zJRF~aP^cnmcrm=T+N@Azj^sxzm)E4O(aq6@7kOk;V!uKT82pre`}2`*Mg_|FJ8T6i z5KaTP%WMwxp|lZ!MMRwlnNocD-oC>(fGJN*JtAj=OpX!TOL^XOBtfXfO2yH8GL&) z7#Rov8iom&SP204tMdq@9w{AJx^uRT<2=$HCN1P;U894akHJNxvk;ne^CHDTz-x&t zc1EC3QEO#gsq7Lw^(q|py!9{VT0CvGtx9G&ni}fjRL|epEoAQ4U~gipY%V2ynkDTic3h1 zQSu+Rk1LLEwL1bB#Wer}C&QiNXRwF&u3)LBnQ~NF?OH9bL&Aus9+bsB2o}ltRvAh~ zNf9rAISF*gV8{x{H%4hY1>N=}}N956_HsAY)ZFLeNgCYBAyPQ?7y}xqn({`2x(K`!6u)W4T642Kw6 zBrafaoqlnv1z}P`Q&C98qsw<4R}F32zM1d|Gyo zg!US|I|1OKw>+7j=e*PJ@)ESuWguUlstrFGHLY549NK2uYFJ}M@G$Akado(w8a+Mc zGCKu%`yoQl+PnGbkcbsbOrIW?*LoSxeo|?~`f9BY%%+4F>@sd`0*Nr8QwExK#^xaB z-d}MHT{-4~2p$YI4Q*!eo{j<)!vQN9W;LAa)%D=M?gml^z&BNm&Oe{G_d?0vAZsGY zpk28DrF4|etzmzWIw+1135XWE$IE}p%bh9I0Y>M-{{b9&afsQVu3y9DpQ1%Q8S@ds z7+w5bWLE)ScXrJSB=?UBCVeNTzap2Fu3oH z`B;!CmL?bySTCnO$4ynwt={oL##5W`PT66}%^8t}?mI6T{i9ArZ*1qRu$xFQ zX_354s%*ol6;|AoK|SOpuy7wwL1#lzwGhj9QB`rD3*faSI)^kJvB2Vx2Qqc1@T&*d zRCQ%ffP5i{ON@K&@###QbS&mRjt3x}Whd|2bK|3ZHL zTY#aTxFKfE{G{*45}sH<|aF~y|;yLRYnZou}m)&Gc`w z(V)^?+R&~ext!P(c5&2044b%k5egXTWQcX=#JUb}`dv`7G}T)+63`4^V`I1K9yRk+ z%DjDm^S~F*cv1N9Mn?El_dEG=!c7>CJlETp?3{5T{kR3}ZBYF*T7p60qaV2Wh&e|Z zJR8~j-q`nuo_4%yPIotExAu+|F&CcDd3mN!H;*ueg)WAcb|!9(;oxC^27v*sH-yVJ z8pnT#l@W~IkkHmiTo`Q$IeA{We$#0f+ts7iZhdoAY1mbjcMz{6^-6Rs_0E%E4kHE` zGGnx5^m1nU$q0j+MBx4N2yqC5g?a&MP7UDO*6YPnUcjSM#1pXTNDIrf{Dz@c`}?iI$-unDIl$R|@$q8TKkqXW z$DE2UZ#LWR-#>0%iqlm+kad;716Tv#OM2YLYaUutx#~lU#(+;*HOFD+S+w|oDS_4S z*=gHBEhCyTpc-);#A}KY$*_oM`vLNqZE$-mAf?dpUjP#$=2co4m_6UxS}D~A8tLgu zW(B-WacDRmoal?R-N5L0H1R8)`yn>~N_H@0|X}Vd*fk<^$|7BeMi!%;B z2!j?BtFdAE_;{k{5k>#`a_mVEm1Tq(KTmGP5|H7>pr?-mzGoYNd{@^W7m}ZSuqxVM z+~#RTa=iA{>DiXsN35g)Ch`-VDjDT_;4tU`eB+OTY{M_QKitVU90)P|p_@CD*8Euy zn$w`oyy6!}_v0K))Nv=n*IEe-QB3h*Cdk4WwLDuuhA0Zp_o8Ku5h>&!XG4_;G@MuG zOy}J<#}WhY&Nx>cACdyG18yK0*ijgSL1%ETRj`5-`V6h!ZRng5V6MZTsCEHsfJcG_ zXoWWmLBZ`6EFQ+s%8y~(j*=@%+?Z35vL8I)_VL*zL_M&8Y~DDeWTIEO@if6oE3k%6 zzrY>ip71S!2T0}E^Iea&7Q-4({v(2~l$EGW9 zYDhH+0;A(Jm2bCvW7@X9@Xqo&KGpLRRcm@k+%>_|N-hHefg03AoW&qu)5>0kwO+## z*bLF&Mv*tc@G`7Ko<)|}@5^)=5((pB%U4v%Xb|IaeqX*5RQOAL=dwLh#>S_*{&t?& z3O=AORqKYN-y7w;ZC_IR^bU{{OXz%vF|cQU57xAnuslj9%3C;ge5f6#8ZHX@x<;8> z27esiX+QdRPj>^tpv}cHVGK0^^*3gkYV3~J>Oe`bgaWQeK~kUN;L4NTKZX4Cy51r zj74o0yx=GuTmhOAe$&cXI#6^@2Jt}__|asj2`x}%S1)`I9b2he6I3USiXuS|B)N=# z{xznL3O;iJJ5g4a^AE7Kk==Oj>#__hr;qr#pwBV6<+(yJ za~11+Ea*;Rn;kgs`~_IU4-p`iK7jthB>^vUPohQTV9|kJ6IaltkI(sl<|zRN{vp(m z&UTmR;OBG?ojh?v?0d-Ql-W(3h@=kj^PzbDL4^cADarG?`N)RAQxf+wY&StpnNFPx zu?m?Ra}KUsi_=S+GXwq{vajo#UY4kyuQVX&8f>`X^=M55a|l}z#i}qbh#kp z;E}bq0!A@fzBr9@Y>l6gGz^K~3eR4k9!OP{mJ-=ZP})BsMIAQ$#2USI_p@{~dRVo~ z#O-Id`5R$Qr=E(*8-~een0AlxS>zZ8IJDZb;S%2pTWF&F@)`b5;*f z6H=BH0!Iarkvj9#Fx-A(gM_z;IXX)8KhuH?F<%^5D{jU7`P(~%!^4ZrejX8d*Y@+z z&TpP^qrxgh@vDN8W6`0SI?Xs3mo9+>NGo))be!kG;kIlR7Q5u05 z5LXpC*-q!2j3dVqTRkofDgxf*xp7;}TL&Dj%giZV)Q6j@4D{~~{IGaQtekRnm~eq4 zEQ!@|>o(V3yaAB$y)pwTkrJ6wJqOZU$b#srhzYu*Sfhk-e~1D$Mh^zxN*5hm zu;1X`eG7Gc>&@0f8mk#zn@<2$37dDvC8t0ay$T`LAS_1et+V$J@TXBUohaLarS>Eb zMw7cHImjBEPLt!y;}w|!^g@q;pqzm>+f(Fn8kSS^>2uQBoR3@xTn8fhsDA8(kxxFd zIydijz=6JiX6`GHi^c?nrWg52zc-Ncm$EKvhhg7)%wP5m?+L4hBTP}a*8x-|d~*2# z`xDw}m~*RvhjRil2K1qMox{1HV@|>uibq=lf;2u$lWK9T-|#koO5Fm)*t0;Orj#Bo zzr2@`hvaWV&!`Q%*4ol6Cohu=#mxbU$|vrFRmX|>0fa(9{;e++D%LA92B7uz@f?j* zrp;0tkzK`+@*@?8J%PASXDhSm%4)%cTO~LluRN0Y_vIiDxl^Q*CVG!uR`;F}DgoN_7CnpU(%$kV%tW_5n zLC6eC&j@C(e)SZF?+!BKWkv!x(gt!^7LZoyn&f%XWvZ(s`=Isw=y7!UBW2UI1_FsE z^M2Un11_h;j}LhuK4$=8gzGwWrfNSRrs1(TUM!e#ngbNY&>-k?tjwnOR-#ew0O2ZV z(quI!*qaI+Q14)l*`R281~L+a^xII6+|8|%IP=y zh|qx`(f0U8z8qW3@|}c@8nrNEi8ynj zayHwM{8wd#cnL56pgKw&HwTuIDL?>irvFGFZH4s-#5n2G1|Ai5;cE~|H-elTQFHjG z0-_!%Oe6I5qxI@Rd3k`JervX@e%X=4I7f<&o`ID{vhPpJyl8H{cR9j-OVnlPhTj?% z{`up#9X57!CWxepj8_#wNod>SYjdB-;Kl$JN`p z3|r=_?i?q)4RIc_X&on%i^z%_lqMBEP!=y-t8RV6WiS{a%HXuq%KPxHL!%-;6=3|> z%5%J(<3oh~89U%gS48J3u{RxwS_ttVT~yxEie6)@ec_R{%pcdBC{1HUnC$|H0m@KM zjbk++wF<( z129Fwq#S=pMa0Ag17K>I&`0MzF;o%**sMo@wv6$_XG3YP%nlf%7M@FV7LOztm0`_i z`Iecq1>QhC_jM=xbRPN*pXZD#8?dA-hc%vQ`YCsPnhH}XazLQq9ca+ethUS=(4sg| zp@+&lDcMz;CrG|x+HkP^LT$sXsDqPKGA_)sic2yV=*dDfO?ykwQ47b8%KQZT@rh0%gm+mCAh)5Z_bPBO#DLFVZCR>Jxy}qVdOUu0(y7I%6nmJi z3c2@zudwgIx?2*6om1A`;=uZm8GZs3FJ3*s`4VkJaPZ8jvSX<**t=}@^3$qV({lh6 zNUG12wn>=2liLCULIw6w%FQVU5_;;m<}9Y+ySjaDeebL1`mi}(v?gD*b(6tmLUA5g zoXYBBfl96U)%@&!QH=hfjb$ALYvLzL&Fr07el}(CHa+@}sw0T23?uu3cK@&Z1xV~v zTfgCnG44Tv9G^gb^c^UKX&=1%)JaA_4l|XI>y6P|x*Uzis?_|&nBQ<>-5bDKJh<%Zn`PO?Ue1MV?+nFeG|$D1AaPUf;xeAAs6^@PeR|N$5ivtQCT*)2fYqD61TgV5zwuxRiFGwxCWuqWd3!D13Rv3 zuXh*Rh~-dklfE>Y$TcvPNcmD$IQ-#ZjaR2nL_gTQZ2*G3622mKXNHGa6_13*ugK-Z za0XX&Rj%m)PQi)8Cc~$CW`~bZg(1T zD^kO{INzz-SNXJIA2hnj!ANlEyZX!ddyd35UT4BO91d%a`-GetDr<+}9LZDb{Ih`D z4@cwhz0JcbhnGG;SC>1>PaT&DW73buNQDh33(^xwre;@jL<#+|@8zrGlmzU@6vZ2O znVvV=Qv4S*)Xt=SI!Dr&5xo~`fB!23(6WZFjyP^?Ks1X7D46o61L7rW`p$_!>66rB z6T#KXEN2c%Q4p>>;S6Wz`}Df)U>U!^z(4=xot}i{E<2>YKIltU<-{=GJ*ZaJ{~UY= zl36hkn*!OSMp=7(}BYPOuXgTdQdKH z*cxNDxQCC=$ut6z(f)}C?R%I-0@sY|;YmD;G0;fF(`cEDAhpAP@jmT#hH>c&td$-h zK`L}~kzg;PN_1ri>Jq1&!+l~$UT_yM!Q@UJ0>q3+L_y349%=d#r5H6M#w$6h@3XC2Wu$XSm`)*jtDdO4HI|LrS-RAV`Xoigbr4DWQTi zC@QIR4xxY|prCXsts>phjevAYsB}u_vqrb~zVF}v>ye*~Y*y*Y{fMT<3W#=k5vP zus~bq1R>P?Nf95V_LsN)2UbfPl1i4doiQ)UjSS;$v-JWHw<6!!;Dvn+?<($nV|*;Y zGa46ze3J5g=J`Ke2}LeKJ+|(?fk1pYrFt}$!aL}m2_DwMJo-A!zk>uN((*9?55zNy zmvoxZ__}4Pf26$(87g!gm@0`l5e#{+OJ=>D!!ZR34p&1W#C1*a2qG{+FLg0T`E~m| zl8J937cO#T#b5UOpnXIVN5UBISYycp#GY+w3M7|~C_(KWuKDup+K-G9RZbD&$#Vwr zbc<16Z9GlupODzQ5?srVV2IdSawxgLn=H`grBX<=ZP4+JWtQ~2E|T!mJzUMV?}=$G zv4w&?#vP3=CJR{o{PkaDh%Vxr2xf(=NTuTIye9v!Q|KrE&vQW;BhOTop-rj)Ug!%v z-0LvGDH*ZzdqH(PxHgVnwTqVjWa;dRuSd-lshH zmDIPaur5Yp5n2YO;nlKQc1HCRZ6oN&c$kTy3=2p}HDfgKG_BZ5Pg4#fJkh>l{0{c_ z)D6CcoVPC<_EpScQJnD31jHxUMea7al}>+XM(^l$3VjvON!(xT21#?d#K+F;h^}Zg zP%Ur>Fe4HUpa?bRBgmJ|z)mK!X*z?BsOdo*3X!*xYFgFxz1%Bf8-RW{O9!0prRnCj zlV=(wr__VQ5fzG21*Y}+b;p4od@)T8W)drvzrN5JJ4y#xQ0-7mvnIMPa3h5rIcwOF zdL2uF`huSW_Sl16tA`_7(RC*;-9LrbHf8ggV$<`#149a-**4TvsBv2UAt-aMV>>z0 z-V!EtD%9HEXsRdIxy>I!XjALQ$T00XJbAXtq!vpb7}k%zpyMT7*CsXNHiRj^{yc3U zGX-9Y#&#Rf9~}D4&OYrtc=(}AY3?VEnwWW*;t?#Dj0`1BCif3uRJavY!~_tmWUWgi z=0oL94?iK4Vs>i`${xM5uSRrezHyWi$`h$o#&TTautHD>{*-vRoy8d==%a zI&i2`I42a zQo>Q1(|%+T6S;2c@nH4U4kb~bA-Z2lX@RU#|3?0ngiY;B{JqQ`ka|<0Tz(d&qC4)b zbS}dy{~#YMW;kZ$E{SQxu1%O-PL5Iu9mfrC6Unz&WuAo5oT)~{3F*^69%BDu%6=0QVyFEhrVDs zxd6#Svf58Yd*ID^-e8=!Li_~P2 zP_HL01aFwy1(>VAPwf4fQ~5$p>ON}C>surCf`blMiL5i-HolWTCM-6{EvZ7RSV(z{ zVN>I328phe@XwDe&Pgu~Ud>~wmsQ-VR5&y4p^D2DkVdZFzln8M&8E~vMVOfXO+xhlV4iIpSa+q3iaXBiaE|8xR0wF<$ z_edkI);t+=Ixo_H>Poj6jh9D%MayA0knh482|I??>>E0;u`a~X!7 z(biyCO9y*J#yDLZ7U5JgF1GxOL^%hmRBCxtjUaM{AeVx3um9mWvu77CehvuQW9Lo`ILVP}$0l4y zWB;Icylsc2V-31Vb=+6*chDmJlLb9$uZxjo!FxBcJ!5(T1GJ!R4{1h3`T-b&=Kvi@ z#V>8Q!M2j#+;@~iEsU0Rae5R z%RV>WGXrDY&}=CYnkuAEiuUje)f82k+i{~4q^Be_)@2uP zv^f?;Q}^eb7V4{l_lV70!N)Tb>+5y|;T(LnQ!L37eHAWtrf;9sEcpX zW1^*{yfREC-a&VM2n&{ye?@8HS5Pe#O<-G_Xw(`h0)PH(vC>eX{T#|#MMRZ-N&L3+ zBc?V5@iX4LradGvHpFgzOAoWLZ;#o$5#@J1h|C3H2x}yd8GABur#p#7nWX=gJ86)^ z25Ol2CI5Ict+0~>Y2_DD*Dp{)#2_w8z@~eJDDAx^!Q09e%{_L;6kV!AmZOFD(+Xv0 z>=|pgKV4zRu9%)YJ@ok~u}pT+eQXgOUq6pRsC^`+-F_(Xagu9hoLSCz7372|Uh2Xt z(*uo*s)66g!b4V1|Cg$3zs-f-VjK|T$rK$p3z-yZkMaMfR)b3Ig(wD3X-cchgnvd4 z<#}(i#sSA{#wYG=9$IcEk${9I5fTz2OGcdR40O>;`;M0cS}FUzLsb&uqyBb_|5r1< zWC9m=b#slX^w;De@54rN(1ct}cc_Jw3Hcr5F0D8>SJU+Yy#(yOs?%-L zOD1Wss+!NYenvgt&0^vCGglnOc(=_tt-7f(z{ipYwt`tEAs^VZ^JF=mL24{<>i!lc ziuQDqHy3~0J_K-I9W9gKbTq9=Bfc`fpa`o#36Mu z#^Ptg;j4>j&4;i0x~6%FxpYu`rnmCQiA$ruC|zo+y?#p8%_y3ZDG+2{m{7 zv^5CGbT!vV)xXOh7{O$rbT}vskJsp{HJ66ek)oO4P(PT*j*=cm8d4ds({ug=W!jnBivsp)d*)H$FIb) z4zvCo=P{PvoO(9tb%9}Ht8AagRFsb;-WhVjMz(hp^rpEL zFnGAXI#ESWJ1w;#b+hBzkFkFm6d{yF2H9ET?vV@q4`R`}eli@{)i^dr@AR=h{NtvY z48g*G=66(=;3piDP?@hiGKuhA1kimpyI?#Sg1x>eOMKq?)YGCD@350KQ5Wi;&cQb- zJA@|5&p_xsMTig4TdK?^(YzdbX;0&U=qbSj~hc0%8S1&NuEoDO=$ z<``ah28Ei5yexp7;QC!Jbfi2~2YS@+9g>vPa=*w+SP+p-W{mfKCT~*daZ#+x=(CgL zg0eU?uhdq`-+&l7)Vnqk10^ww`m)ZlS}X3&0giE(@Bxb{)qmTuM3hc$OOp3#| zWGw<# z5=RV*>dU*nVjF`g`P_#-N-3s@Cq`?j^C`dn6i)ADFSby@Gf4Jz{OiBZpsUwW6gJ<~ z+SMPY{qJkx7-LEKATEh!`J5d4Ihhg`)NLWmrc7iR<>+*b;2{*Z-Da&1GwrjHuWeg# zH0dlyEqUWud>-94uqnGJ^d>k&mKB@ZZyqs0x=jef9j14@DebR1Jq(V0J81Pey;-d} zEp{}*zu7|ZgLa+(tR(%?bA?~l7CT`t!Y(xt2GX7Vf_;jNvv$@4o@P1#q0Ot$s+@4_ zu#cu9DRbe>3m}`-u5?{*8Rf2svcB}D@j>)TyTU|S5TS*)N^W=uf3TI=ZK{ZO$R|Q= zyq5ylc+Z5FqlNGIt8G9@gdZO1u^y^sk23|C_v>O3!3Zi`S@J4kWDpTQ$__#9h^V4f zSawv0Qii^gsLzD#DRkNQ`wS~{5pD|NV=8!ZDOhf%6A1g+cj^b1PoWziQ6b4kx6FL9 zi+9#UdmG7}W2~5Wv;RdIspP@dB5ef9{4>;>(4#TafOfGZw9#whs6#UU4m*vgs0zl z@h;*R_bdsjHVDO{`$ITbH2gi#BoN}ed_sgxuVq%Y}fTS*@~GD z6Q(1@m4)XopX|?*5yu`B=()9k578z|K?xl=o)IT)c9y)eY)z&Z44N+9(S%{n$!?1)kQ zijM+)_fu?Hd%sMN5H$_zfY59QLa}okS0C zBwL-jFXD>pTMT-1q|}w8rMXgL%Ws#n@dT`k>=hil9)53}x{dMQdL!GaGpw0L3eW!t z`S;|q=(5~K<|3%-_z4b1^L2_I=+?Dv*o*yW_@9;}{4%wP%+#>>iu#x$;H_qs;#Q1| zeU7%wR&hKO@IBihNfyr~!gA)g8qh$gFzM|9Pwnfvn5Lj1EJ6n^>h0FCa(4r|?l}Nmw_Ejs&sNRk;ah{Y4s@LWSrJ&9UWz%+$spQA zRT}eNcADS8K5^M?#B7mflu2t3zWSXpyz_uX+V=DIDJC(UHI?|tQ*Y$%;!pu}NS`q` z6z2u7v#)P04r&Z(wnsB%-%C+v13&@m3Z4t$uiSyGUMkHw*z4*viO9l$wh^q5BIFwX z*mFPZO4yPS;WIa_{+V%3f$^wM_kDyum;$h@t%F~ssUu>}Tdd9egLW_8qP}H!1}tvU zmszl8yn}pvTEGO2-Y<(_X5o7vb9N-Ga|_m2JXrMOMw`g2njK)sHu_Y2cWzbW>R4Pt zQL$bnlsU86nXsmX!K~)+wV*A;o)b~G5IJrG3aKyXT9|_Z^JMspdbVgvtcPrEwUDn& zopLgFyfo~Xx(3}iqanD~bYPYhK+&q5#q1cKfH0xdT(II{GoHD^ zjI3qA7*fH>z@1qh{f(Sz9~SVCOP$ul{dr}AfA?Fq_Qljne)3yHYy+^c(R}3fT-XJ+ zmv>^+Xm=m4+z(%Hrm-Q3+Tsm?IxRKHIR{r!a)A)%w=gH;^>CXT@U((weL=>y&C zbVBDp^Hi48sLD8Gs}a%Yb{$S`9a_%d^`6*oq4ujWGDfYwAx5TH*5)yqU_=lwmXPrN z7;uyVK{1Tv7^J2uv!TPCYs1@*mg|=#m&LQp+{BQ65KrAwEM?=0vaxmSlPUdhY}B=0 z7qPcI&BmfiH#=c#Lkr`aGb6x{juJ;6CI_1my|APhsu9&fAk zjbZcrP-n4oqfre7G@(xR@j`0ZUMb0Y$@+s34Lh1fT#1OdTXld`XI9lXD=g|Tti$=G z>)#V~m+;(0G_@=itQSmyGWB{jU+fQ)uscFnss0vF%oOwo~?hMYOg=-f2H0TG2%SV4NpcC0j7IgpsD z+Yn7CG4Em(N~me|t3zw~a*S9s@_-a*aDwugoofJKnw`$BReeNHDYb%!^{TgM?0i zrRhzm*yQYsT@2@wU3D_=K+oz{zOQfV2mS}^X%k(+@gU+!Smf;QVRo4vV?3SuWslgIfLOn?!Qw5?vnpy=iHg?j zlH9o?=M`-6Yj6|cQZgxYWPRXU%me{T0o!EaQVwulW3QbY-3SjW`6d;bd#94twrWey zf!WBZUpG?P=Q#O(?0Q9~xPq<-JR!O2p-mSP_^fas-1jBg68R)QnO!CqGmu3W4W+|f z*u&&9CJRMT8y8lx5Y86x95_D=fsaUtEJ58km87OG>tePI2TB zia2fBS}VG~arq`!I|cy`d;>(O5Tj!)Kr`rNZ}r+_s7Q_OF+REYTt)n7!+n-|ABQtZ z;EP^tiuPF1;LL0-DbEacfeak}#d#8HLpmionRr zKOgz=pX$0rQwGJkj!pjVxo5fwwM&KN;wlT9Q~74xXzib?YAp(DsH6EJ(>96AhQEQ^ zR>W}QOT4&{U7A{gC&7ipPl{eB+Av>1QMHkf5qe}Nol=^;SD-f>SvQoE;Ko`YzXQfU z9QvOy|5|a@`Fk80;(bh#>YJ(}R7q}W)pGi)k9VA!fpCrM!dO{B&y48nt~0$(ml$O* zb)v{*bAt73kv4APRG#V1;vj$e9qB-UVKF18@=ssT3a0gqj8If_wI@vWJ@pz?sFru! zZ*lwEc7l^ZCL8OP1KD@BFbUQ}Ba1AED^722LtS(4-PC0De@7s~-~FzuuN;cBpbkjP zn?iyY8Mh;qLK#&=$i$xoAPk2Rw3xA+#<`bj1!b3sD(s$YL=c}+b@q}y9eaFc%RBV` z(cgR)#PeuTH!Q!YMIAqe6z;$0vgH|JrYAjRO5-c`>zUv>7gQXrd6(+9^^W4r-E6xd zDSwp$VC%#Rn&Sej`lc_L+~;kSpe8JXpk&}QpU*UjvbyML21y_llQa`gH42vdkZh;$ zG2uD)byY`r)p94$_P}!ccEYa2ToKT4Vu6|UI}rsq5gGgxi!eT3-$Kw5W#2Xh$3Onr5p1x#$h(nhe>XT)+J1T- z_Exe?i4&kP--oi6rwM&N>1H0f0rE<(fO12n?GkO$S)+cqYPeBPD%}lmW_6g1hlz6! ztj;j}XrFLU$E-)7mhCPrS^o?rc24iPWeF{RdF`!`!Iix8Y4dW_Q8Zto{LehldA9K8 zLT2tGjDcaVtEBh%+i~H2y^hNWRP|sMMZ)7R#wV5T2Ol?gbCcwvmibsTzF7AyFHAdX z7qNcN=1C9z7Mk$3=ekR;ggk-`n{fFlAxYFtBR6)qA6x`c03Cm!mwStxu-j&kwfY&R zj`JB3Od(060V)F3Cxqr?*!; zR?3t^D#TH~tBy_A(pQTHjjjU|`z_3xo2btk7`%IM!uEinkWc{s7MtHW|A7}GjTFuv zA~YiNpxn}WP{GS+ktmmk@t+R8rv>e}Q@V~)B1ZEX?8!f1Y59_K{%Ob4<(tlZct;9b zhG;K}rF&%wch{sK1;Kk6=y_|$-Tlx1D*`C9&x4jczhr3#O615@kxz9!CGb<4A0@D* z|9c(&u4iQ|FmVte?%s5km#%YHDuM&g{YRJNZkrHp(6HMGyLO_dj@Oi6IE#FkTC~i1^s%K^LJe z%~!Q~V+1z4brh9%scbT%%Ne{izF2_k#f~yqk9003@`<-ELF2H znUlDcL+I(MxT$ClbQxe~n%zm-7;$t2F5}3XeKFUfh(uUD1c|LK`L66%ySGM>H-}AA zl{cge_W{b)=!xY?QtJ$B7EYae`RB|E&WG`Q+`0YADm;*X{b} zhaVH4q+blweVw?Bmdp62#uKmX^?_Su_Gc^K>*=|qjJbp#9&>4SJ}JFtmF9ev*ys0o zXd5Ee)|5|_7oCr3Qu~IkV@7C_Ukj;_PcyGQ_c`Q$arl7tXeW%aX-g=%`&NDk5hA1J z7k2HziVnm`)=#y;GBd9!Pxjv*#oKp~^a!pcF|OOMV*PZ-mY)$SyvnV9B>9ip@e|66RDE2NZhuP?Gtme+#c+bo2vorwQyi*n#6#01 z?(Q4u!Eic%rNAI*`n!S)mNoqhHAii+6u3p`IB}&9-gKOywIKcV&^Y=iOaZ5`m4vqT z2rX|6xx(r5m~|Bkh{Yq*1?uc|; z;MmSF!FCZJPLb)qpPOGC;G3$nWr)B_{X5R1lSHtVgHyh7j32OuRt(NupZ3iDz45M= z{?4gq40k1n-_)l~o(PjZE?p~WO*E`7iCt5A^f!C*&-s_0&vkrYb{VZpry2Q8t= zugh0{9Eg~F*6l0UdHxDWX{7gHH_JlgHJP*1G6G7FSs}KFEIoKZN3s@)S#D>62^cmmUTvD+Y?sAqNX6ATQt z)*ikxd7lq=a4`WrZi zIJlwBOdkOdD3FA8;QeRFsqi`7N8eJ0V2Wd#gRwz3|A$U~ z=4qLO-1XZy$j`!P!qCYjkV+dYv{5c?ZFS8P>1uIv@S&uAjNSDHQ;5VlCQ_GXfZ_e_ zCSyG-Gs{;DcGmL_&3Qdlm2)?XG`3Z}!DAFa-oT-_6;hHlx*01#;dX(6s_;Nv86vKgRALQ1% zQeZ1n^Ta!Ft7YU>_U|)3rK1t@esls~a#99oG@fUQstNrhb}{BY4De#Kd-Z+>tQmI1d%+tOh+;>pCmtGGe1e}k zITz@ge*9Y}%a~E4BbK{UBVa3$cPdN}s=cuE%-C#C|!>qmQn|%WUi}=SS zP@1H)^M8)VxSu?^#sm>U(pxOJ&r05#lFYo>D;WKvG34&DG;}uEX7chRf6zCi&oacs zUOd2B)?GsDHZr>eFF43zqol2wu~o(XnsZEX_bD(!lcykdoB zF^e||lkP6C+?-~qvi8=XJ?eJmP0uSu1rhtc<&Yhc^%~rx3$2S`&t#JQ%qB1igRDS& zD*GGVfmbzS(m%&}Coe|Yowi!wqm-?Oj8ZZLrTj6-iiCy24V>{_^|LU+CPq@+$6|51 zf;i_nHVCR)8pMA!b8NK4_bk|DQrPUr^HK9DO7Al5rON8Z*CR6Kt6qEDZ;SPE+%Fu+ z3sT+buL!cuIrC7Hako`(-+j^aNRCSMBd;#{jIFyM^@VWlSnTD)-2>yfWlN7as0rly zo6&We8^Jr&h_?tKk6u6(5Y*uP^>O?pgv3T>K5cYKXp5cUnY&dC>_H9b#-7A~YEN_m zR`w2!wI@XXPmMzgeIW4VP-4sMCJw_pMB&*vvTvN_;SG7kpLAdfGt`>kGNFR$TIjp; zoG)t!Qdm=z0Jq{s#og{xy0T5F6Cd%ljrxlDto-aABZl%ceyHcYud8K&bs;zA^#Hu9 zei1d!!Ip4->aTrStPGl`u@FYs6FIo-Xxxr*hAKy4*N>FIzZM5;Nbr-@EacFP=zvhWE8A)MCAMlH>cr!ZJr~1V&K|FR_sCig z6N8T8Ge=u~{NLpEzyEqTKFZ>_&eTgtZR9=(RnJglmtEW6eoJ>#+s_qqL^;(3rh<5sEOCclZ!twE`7%Is~+1+UO#f#V~g-w0)e(Ua(R+?7YS-!Yxjz zWgjBy(5l5T=gYU%3e9(?VE-nXST*=cY_zb!(Dpns%h4ZS*QP}kE%#9h5)9n%oB6A=5 zi|5g}OU*4J;@KhuaV|mE78UXW9h)xqxYYq^b{qD$`MixbrjUpzI0x2mmJ^fUuI~`W z{cz*wp7oUOEyubnWCkCumYeQ-tV$we1^cx~GJZFgHu%a44`4_T(94aCDnMnKz6?bX zqupCY-bs-^$6=^pfEH_Vy3B_Y9Gm`k>k|9r0X zPcY~wpG~sK0K-16GwO%h$|KPqU)BfbaSccr-<{ZPa0r<&HuXpF-*jFuT4jBpyXR@i z&|Phsw3-aFC7fbyPHg@)C!LKh>~L9lm*>`|Zi%p);KFVZjh3wLDT7Y-KlkheMqB;3 z-m~YevfA@~;P=POe)~L&hh1$`a4*tyHiXp%fU86JrVRO?qEd+MAj0J;dSL4o~z#~QlYN;fwVa5(z z=!9B$gaA?f=|kQ8ciF}1jvTC#_`gFMOpl+Ng&FxIIv9U4dor}@z+B@$fnpk?e2ukc z*OVd)Np#NLokiT$h5g7J>q0TG=wFJkHc7VeDnC7Ms@OElvDGaLHfG8ywlD4a(KBF zt%?@`Z=eiH1(ox-0_SasCdr*KwrD08A6@+54Ny8vP9=KLf~5_RYk`_!;=T`Y@@A=T z-Z9l##az+QYX}NTYVCb&Kb;RU+PaiG3dl}uqCzbchcRjF>L_RGYV6!QeG9_-3=6W25sdP5U9~;GRMW}Phn6q|wx%G0RZ%8q5G8-#VY4RL+a4)^K=l=b;w>XQkK+`+=0Irl(V1Pr> zt<>)uuJ642;CPgR?^Wx~>4*O`aGTVcD7spuCoddCE&(<~{$jsx$g^>RgaaeJHgGI!VwyqLMzS4EjWYxMc3VA2m%oO45)H5bE~_E&Cl z*2GU5llc#qAW|A&v=i#mE?w@4=W!-x=P>h_DcG+pu^5v&(MHh9ka$QM^X} zx6_HEMGtAm9h#Xi@UEUfg^-ME61OFuUSQGEd(-g-j;t2BI5ta`IO@fVY|{K4e?rY5 zH)4i;%wn#UdJN%N-W(QP*b2f>$=$! z;JW#7#43HdO`#d2NH|xs`#3}4m64j!wHAg=zr1lz-6FPyjY~g`EN-h#>B_DNh)7Ig z6Fh%dGsB~odlg#M4n#}qXNqa!CVeMvg<0I}>tM{YVSXW^{s*!(HL^6>=ia!VAV9mK=?% zru)h_P;Kdc8$T{$p1%`GB_We0rm9h#nFz zQY3$k# z^0`D9RQ(JXK{m8Ff#5|nY5;>a^JAvsJpB9*hHeoNVCO)$Ynqd82ELi|%|K>C7*?$vwF^ay9#jAH|h|3cG`>71Cb=eAg6K zX~c`X1V#wYa%k>*o|C)Mk|fMhszBi;_hwYT!Sp3m{yadffg8ujAcHHp{bQdWuYrW57k@klN>iO>a|uZ`wl%Q6#}K3tP8 zhko)!ECQFzGf~)jDBK}|mqun=6(UzWUa%(}vz$In%mJVGC&dcCi#zPx!S^v@sDn$n z;a6QJBfXTTh$O%~lq%^A>DWdr;CKgz<8Oz*oFr)LIDGXv;P>&4xr;g8_%;?q7iFT> z&>bMlrh85mWEoupq~PEHl~EA@tigK{fp}C#DHXK#r~zxwkEoA~>vp>ZGDCLLepsTR zj*>+NO>PrmW8k=e-DeFCO}|$wI1~p+A^?Kf0QE3Vy+_Zj(mcuf2;o$RS46vnJI6@# z0BV^~qH|k|R&=fpFg7iuz2P~JH&gyCkwHwwO!;9(20Wj8X(A>KyJy$6E&{Z%^h3>U zk{Tr(#prVp`)X}Yvyy-qM9@s{`Fb!QyLe2_CcXxZk}O>D_A@c7(M@{099gPC_6NSJ zh0AvAMBV0{eCesEN7v$rh9*bboqO>fZZ{uJR5v|w)EK{omvxh7^{wdQiTT*_19rl0 z;7V#wd5XRrJcdbf)zQ`&t?m0WU$^+6??_Y^D_iUElbr@LTN=1Z8|VX_+9oCb`E=c* z(v*E)k2%LJ1^=MrSY8s=d&!EHb*;J;v8-IV|ahK#JutA+_J+vMW3PcY1 zn#|-tUZ<~q)xd}@IXQPy;D@5k;-ivPfP_;_s_l$nOf&akS~6XDn=|WYQ1D~<@-RDA z$P$T?_ZKu%X>xh+)o}PHk3j<;Sl(;v$lZj*sBjt0ZqH6#8EN=(O$l$=K$Om%lO*jY={Ndw;>~ zDo4j3z^oUnF0aVe}-{<7~Bi$c3{f8}$Q7{a#|URPrD?G69uptGYwnZ!9rG6GK$ z#B1+vz)Na}GH$q<#3&6#1fB?bR*b7OhUEEPkEz)|Y!al-yEN)%`E@qaGfKC^pC!rg zwt*=#cI5}Cqem!OL>RN{hb>tY>EH5aK8lgPuq%l1WW24;;9)jvAZI0Eg9x3h8ujP) zrmKw(c|{3rr&G<|;{3j}A2X9NqpmAGG&zn^p&oUE8F9~CPa4J&qTe`0PuzrmOHa0| zKhHsMWdqZPV)oMnF+Aebz4M$`N|-BDnWF||?tpJsCK%L#OrD5HbGKO*UL|`y2j?_4 z)FCF?_>mID&nTR(BwnD3T?o11K*0<%EYACyB-*b1m_deANacNiIbjJ$K|PYpGvgiA z$;lvdmXL%NDxTk1YNmS65RplLwS~WO4XZOzQk?HR4eu=xDjprK zukHzT7UoI3N8Tq#E(=g0nog8bO0|(`;!_mezadHLNAoL_v#l}xe#J{>B5fQzETiDJ z`sYR>Z63W?GV%lg8ppFwI3}J1k@xLF$ruIRPCXrTCBRFVx!Z#E-CyeX#rgF+=)Eea zZ2&JQ@E+us4Q6R~EoCHt?zJFbik%>G|0x*`or7G)wMe$O%AY@^nbV_aR^DPO z9~ZvVI5=%I`SAL?*W|dld9rKz)D9fKKUQBJp>#O$6j6*luQl$@H5YjYvP8px$|jgl zA$3&xOY@(5imVC?EqmckX*iUOrwi7DUPz;tp`D=idiRQ=CluNz!iv*S%LS(H4j)17 zoeCpl>q74gevZ2I#iBu3XX&`22Wn)F(KlE*u11njSs6!fq!v4sZDF~RZC~k|Qa9?f zWRdUfJa%OMrZ6h5`|DCiL9-)M!d3B)Fc8kT-?zA%*%pyN@@%IE)Q&7@Sx?bjYru|b z{}0IT9HGTigdX1Xi&f>^c?*J!409XeA;JISob14~(HCb+-3$Qr!Ak0P8)TdKfrP#% ztKgfs#>n{OgteBFwEA$3+nM69jlA9-6IkA)uRem69xr-j-x-hzbn9u3?!&3IiCRt! zwU)-K&8~1+4b3_&JPQqtHaG*Gd^>meZi-V9sE5dlk?gxq%=tfp#jSjN((~_`m1(1D zIki0=8C*~OpIQNa&;HGM;C~R71LV_u=`13l3VtyyU^A@WbDn@jADsgXOo5-AyQ{U$ z6|jfJfoG)VovMkKcl{f}Td~WRgysu6J|2R9mexG2oxA3i-^aBEN{xogqAmAs4(L%~ zaWRnm3h%_WV+Sv4)nr*Isl!d*9s3JQu6eZlP|&1tX>+A6gj-YJ>2>CHNN5YB^J?(r zHnGJKe5hmo)=#8u(jm_m+Ih@X|9+flcu0g6Z=JyckAi(efYq+@ZoZo)&oz zt>w`xYl#ij$Qn=d%~Wai8;w|*W;%Z_`=Vwym>l;(jZ@3F!2xHVrx3C;{^*D(^Tcy_TLcZ$t4}cHtCR#z3X%F z;dn8+CvwnW&=ixcs@M!QG~yQXvICV!&Da_@4wqp{lQv%XS?~T>YnRd%y!%ZFT=WC! z0Wv}e(J_W$Z{df!6>3cS7Bl|IA0UhS6jt`g0(yqlIlrB+A|y}GkL~G|VcevwpMMU& z+bGv#{9m>;@}Hp|4=9)J_416UvVGG@-Fq*LJ6W?RgKWE-{WbAu*HZ>+&F&t-XB#?4 zXzdyB?3otLQZ$MOxBo|?%1_0L;YK?_Esyc=aeqH!ezV;1ek_R5FWH>+7$2|vCpeB# ztmm`gDbVX=(J}Il@U9w_RadUlahc7O2aKA!c?>`{JF!_T=;vC%+{&R9BsGh$)=Q=C zF*{s*4*hI&bjZZDVdbPsg4%ER!fIwBdDdeQ>>_@=+3&QCv~H42)soOF?vmSQN4B*^Wu!|&3QN$J2F z`<{1ijR!Ozs^%m6!c!Z=y2FkRlAhYe;07!8zZIW9MFg{;8%QMpAR2b8VSt`8G?sY9 znUj82=aYkgaPw=64TVFpU8Q`+?HmX0*%sz++X&Zwr#XQY(FXFGBt=wYfRFTwF8bRT z!OW>65K)=0Z0X)%9UBFAPQ?EA3L;;nwF2Zr<-6F56D;Y$x!o&3IxVcO zQ!{;OSY^A1VRLJ4wc@G}8UgT8s>O2S@{1e!q!xI1KLG0QTa+gzTU|hw?ZYpgG z#Gy^_oCQTltgF`etUCl#@>#!9)&4wd&?h^}(d8O zucEW@^Q|!9%KCM{FfaZ2L}j=jL4IbnGz0<`=hNaoGl{D(HQ6PbbAE~Md-#g4B}qFn z(EX)4We?}#iM-u~aW&=ROZ;7LZC!w5Kf=>I_*)-06^gO@>jkJGJg1NB$5p*G8KhD{ zuW6M^Gi&)LfMtK4rNDPCQ2;LxLma>t$2kUTk9=NC9jp^gxPN1~<4Cx&ex%6)@AUA9 zu7+tF}*L%EXm&jEf^Pp0LIe zI|`F+h|w*+vP)ymIQ4m1T_gaTF&w0!Zg`&1{bFc(1kMpG+nq1ss|_;ymHzrEa;R8g zo7k@dKbV;NP(F79ll+|#GPqx`(C*RKMXoZLyA0?Y@Vvx6qt>oZ5}>WM zSbJnrb4@m>Hput=N1*T2eZfnw!vr^wayUyi(04ifqCE)}(p`!Ki|5+vQ;m-ilO?1H zh?^LOwiQ1e8F*SUFfPUwZ+U6cW*kzvextF`?%H;tav-Lo!ct-NoteZK!Erkb(BC2S z0K7H2Q%i}1z)8Y&H#;t++<&%b55|(`KEN}{jbUA{T)#wTXNMI{k$iRamgt^ExXj_x@)`v&;@oGPqugQf%&;f*^Z1^? zwjyjof;fHtCgbG}zGNw8}?3+O}BO#U8& zan=)Ov)vleb$KphF^ju3&EuqCsd>7+M;X~KEbrVuL5ke?$s z)C-&atr6FbtTmqLY>~2vb$Mmp{Rcl1X zVKlYD9h;2~y4JYS^S=L?CMW||8&?kQZ*3bZVjJl2sD@pV{3W>hc1Lf8y|7ofpWh&8 zCDSPd&0L*tg=aJ5(NN5_xG2I>r!Q6={znC8sU>Ww4%V~`caUnk3-7|U%VoU3TaNrU zSb0(Pi2|5~5TSUY%p&x1=Vf+`RyyCnh(HcO*D7Tg_hDEBWkF(}bGskSw$N+}W(EFT%U3{huJKy@uP;=JP+e@oLWDw!*8k>qW!YuWn)Vfy~nnhk6}YNa7V$BmO_m-aDSl zz7HF>x2R-hhNwg~8Q+S8vXbnP9T`RT_$n&d8I>&}glySlD=XP6JF@qD-lyuS>%On+ zety5_`ol|C`Z~|gc+cZ~9F0EyZtTJl{1#uXY8p|XE;v*whn~c)VPDp2RCW2?ABQ+1 z2_wo9c(e>gnp5RA&eEK}Z#n#Aak`Vw%3lku`n}FHPkDHEBVF)ozuv)Xw`(Dj)$1Em zxrYR=&TV6L?9Z_=g|9HwQ<|LO_lF zIQOtr701J*B}S)!<5yPp-(b{qRQs_FpiDw^NNj_IB7HD!;d%@KKKB%N0b2fC+=5?} z`=bYltTSqpCng(5DHhukdq^Lk6e>(K-ONJ$HrT+uFYuZ=6cP3{?-^Vy0xaJfpd_i{ zt|oJS{St~&csJS`^~gshQ~vHa*f?{MZW;Q^^i8*$;w!I&mx%5lWn?L`Z5Gvvosj&(htduYn-nV6~yqBbPMNKkGLtxQ{ubBqj5 zV}~)X+VNT1c<#UyOIXW&$?iK!`z7TM@>YSN{D`n_Hc}qU11Xl*uSR2IH`&_0ISGb_ zLsUU6c5FlTQN=91t7Y7S)P6{23%$dJOjto_V(2nEFwKPZP%`YVS?`Ag?1HB~ATM{3ZNRbTFu50;Mdp3>lOxO_7(T38wh2moGwRcig28auue>$Te%kAGa%3MEYHO>jilpeOOP zsC~W_tNIjH4)iaTSU&wcWW%p84h^DDJUBm%v877)(Z;Mo_K~{+XZFOflt@%aK6r)a zK+qX;W;QmP;)|fqwH_!*)pnZS7Fx@p!VgR8%_I=Cv&Uc z=#G<%P=Z^?gRrn|bBg z#M6pvv)76)*o&^s!UWcoBsS42Oo~G^yiz!`FHyKR9$~X}?4Q(`!?eQxl@0vo{WMa* z1>s#LebZBqUnpdfa|b#)Z;)@Z(G^l4<4WJ~IUf4ohT$wM!6%FS*DzYqikQR4XQPBa z+d^G|re&N*w{uh@@ycN+Q)@-VX6f~vQ$t9qho5a!5=Qe5#||YcGLAg8ewG0vT+Soj zR0IyJZl6n#xaS)fNG5V+pALN+DU)A^ zDd~=}ceSpmA8|R@bBzkdT^M&56jGi7l>2N#>uj5azH)kzQU30u(5U&bE3>AyD zSY$TBXY5KSzQv)=<5A!>(mk)l(M=*!F9!K39Q6zUv7lE)XapglV4^s2o`o3scGYqXLG8`?eS)U z3pWhq%ed~OQhs-YXAzKhj!pI2I45Ll9qIZZ4NLo+DGYO}y$OKcU8LA3HojmbO*<#k z*Ozy_&4!1#pG+_2=53p=v=0!fF)!BKLrHxVn4taHGoL-C^j5~8wG<>6^12@6b3u9k z?cKjJRtnFf57vi|=#cj!GcVn!7184)wES1Pg_mH+g)RGAZb6)9EDTt7Rvzsp@VR$r z*c(ub%ik{rl?t1^(#g|93yOj8MHOZA`E@<~!}YWecJXE{P!1aHgd5eBg3s{>FP+!F z?!|Wzyf{`KYQV+^eXkve>A$}G>X332YWqZuTlBBRUfdztmfPsaTgAQR?ul(1TLSfb z8jZul1ZEtE@b2!rw3d>q-h3aBsenpOw}$!5ZVaLu7yPFppX^eOpV4`*+MP9ke#e2| zLed@ft}and83T8~SVlP}on*j4skLNs=qC**tO%0@C&nXZ*Mi~PyBbyNoMp=ZZO=$& zYu0G(v+E6%Bpy?}k6s^bnP?j?J4lIwHbG&`V1ka%`sqTd`1 zx|DiIV(04e zO4C~agFq%93yYYsDX@46B-cPV>gi_uQiBaq@oaXJ8NhO@JWkZ_>j;Wq%3M0bfbwPc zy!?T$V!jNdG&J1@m9dEs?3CI0*)TBv#1BQFW&Q$>jNvL!G_{q<1Rsu~Moq>zP0-wN z%P5+l#bm|tsKsPson(Q^;VmrY0?AftP0T; zA5m2~B+X$)>~IyD$^9@VIC_uq%V2tc5T5xYpB(u397YFrmU8ze`y+?$NR!~t;hyxt zcR2+uK;IXE`WYCnrz4d1AqL0&z&jP=5b;3JQwW<~&mws6N zLB$dRj1^6$Rw@)?UVmvGZz!so$U8aNP&oUcq2u%PuK!Bx&^|x3cbC9e^pX+^{R#w< zJc%g%?Yp5~Nl-B(#g0e8uA*}`5{VMfIeM5HJXDs?x{s5{WnKSDA^akmIA6WH4fxi_ zEF5Y~#Aek&k^Yvpy%|1+RVwzmge-r9WeNrI!H529khc0B9X1lohhu_pz|~-$_%y+r zQ5l2felH}z-x^X?B;uKV^ThoSLBQL?`Nz{mRAuYtzL{!WED}zc27-Xs(Djw8J1RH| z0#BEtx=)_(ubWq*PaepxG);AX#7TBYz$VV-Wcqg-C0Ph(^P+Bg%`xtr#r{5`TTz1Z z_>=4fo4UC7?e?zrYe$XTOBq)6F@3{G zEeHXHi&z2&*?b_R)gMUi1ug5ey8g|Y%$)Lca}fSe4r5+&hlk}cmEzATNzSCckPtUT z8QiV)S|5G(im$8cM-wFuA#PZ;lbh5g;fRVoC-(aOLJE_g!K6%3v|u!*H-TEso(_<- z`xz_ITlu(M-;hmPJL7-)EAjuBUdh5*pc{B+_-J9;`z=0xHa95Hy;8%-87}TFv^4~Z zd^R1|#Trfhi^&*2f{YX@BbR&pKGtgpR3^@bx){QFQyUTt)`#EU0hP~ZAls~DU(CM1 zgI_`ENtXSrg87A*6R!X$U|ZoqRubTWJ6i_T$FK#BeIT*sb0l~!Z$I&L0jV&Hn2eqBH>>|8E6^{t984p`S|FyxYt(id3~8W|1IUT?T|F3c(3P%&$Z?r9^FCt*0PAe)Gv$Y_na1q zqfe!2q)2yBt!F894pnM?!9&%Pa{xiEjrq}c_4eOtsw#S}A`&C&g|0h`bt;c(zu^ZT zudH)_`V~gq8@^aWr3-6;gAQ9vi2N!E`Eg2nYJ67=mU?Q;_e`BlW~&=17=^9zPKNpz z49Cyi!U3$QqDX{l0C{1>X5oka3spIUj1J1IE2S`eMsp$CY#)-Z8hqtbA;7zP7CY5pmsgN$_VFpId_bmcrE`a4B z4VmX=P?4M z3bKs^!xIFjc_7(4RgXY-D)w6jODlR*=l2=+pVLHC+O!rY&PWGBW>h7RTNiYsK=e#_%6WeA2U zD!|p7Ktu12HdPy4xCu9A`;5Bt0}V!Mn;Wx*f)jJjzPihwEo7Z{<%M{s)$RL>GRJR= zlZIa>b8EPCe{sd6G(VYHCnIC~8#dtV0@{=kmGY#n^2}H|i^l`mJTIobiQagI3LUQ65?D>*}N&%@TF1Z=Y?s zbfv48a=%&6=YM#zd?=zfmLBaDFq;2LJZGjNM#6QnsRg@^<%e=NN*6Q7RBYwFsW7Em zrD3LSsdibgZtP4O74^3q_Rv-;g0Styc<%8&f+EKGNJg0x$iM0a74Z1dm`bycox5~; zLMMBV4NlILJ?UJ4&#xQQ#di>p@z4><>y5o};^yS5P8ZSp<=Q%Rrfaw82ZXYKW1ADE z6?xnfr;dUcGYSK<04vzet?Rqdo4;#`Gm!^l74bdicU6hK@#&MZZ@nxZCyqA3!^UNm zu?QRABT5_P_bPO*#1|y{;xPaXdBc^0kD263Q*SK?x74cA_&w#Tv$b)9o%l|P^n zjgVmUx4uq#d3Q{p|2yODu(dLsuy5}5F6^thu)+O4m@*6p(e*|G-Q2XPKDw zv*^cj$)P6Fe|)aDJ?`qj<0u`m0kiKJI%z{feFSeJ6YNje&c!NPZ!NG!@f3>>hz;hL zDxUBk>PkTSWR`8EE~;VE1GYP^fs-zY6@Pow zh`-Y%s(sr2R9O9s@Hwh)WwTjP3bF+jsTb}%B0YRzJo(9)nn)9Xas$C++ zx9jp)TVEY=DV5{_|8zqAT(P6`z*YKH=kR<3N|mW;;gxaDEnd>PnX);-UXlFovX7&a z4cnY)3-fJ8E}DaUOrMar=78(OZLzZ4Wb59~tp%4J9U4EcV{Q47w%tA!O69gX12@`) zIKDZ>&@W8;d(6F$&;N0<72#xWz)aq#qMTd^GOJ~MDDRcs{NR8iO<3_ZL2m?dwhiHI zV-bB9CN{(Vai&~wSngn_xzBwAC6Pru+nj{;%2n%Qq%Yzg=A%;jfnk?zNTzPrafsCX zK&ZV!0u%mu4vC4pN!Olh5qtVQ=D4gU-{on|F}=46Vst&aBCct0nXqrM@VUL#n|D!0 z&Mgi(fi(I`aT%R&tsmi!Uwg3RKfe%!qEf`TrQK!Y)WqzzVaW~d1Tack;e4F#`;<;e zO4A{@wf6n=yLa!bBH=H&g8PWns?%IaldfL9iqM8qlkrkh1cL@YK8 zuU_@*+uJ>?L~T~?=SKogJ3x`yoAx5~0)^k`m6vIkIJOb+X@GYO(1SUTF=)n+yBV6f zOINeozsf1LkyjMDRIG&>*w}>97~Q18eP!Z1lIk^vRnMxW;flb@Stfj?U~P?z9i}yRh_JJwK}g#tA9z#=H}PNu+x`0 zxUDe`&55?2$0B%GRuRsnC3Oi6N|)38>TVdCnKWX%D;>ISWh+LtTMh%@CH)t6`i&u( zt{pNrsfo}(cHZlX*b6%QgH6ObF>HZ~4^YXZy3=2%jAUQ2dWT*aSUP{~>>!o6uT9sn zINzJsM4%yNGwgYDVQaob_jJdM$X3BK-DmHPC_NvThH-2*Z2Uv~f;~=JE8hIhT$Z!l z?-UFd1f>)+-M$IjF1ja&VbbbqCpUGui|gj`=u)388h3!e*Ot5Wm&+~Vz$i~JmXV-BM*&^+WeR7M@IWXMiT z)kV(WXS|Nyby5^+afj~S>rN~hrIeAut)3hp!!XEFAvuf~unOgh0MDw~HE0T+hCZTD zhV?gMiV{vJlJR8(=2`Z%zlw+x&cD-@caFZiEWNU0Ml^t%scpu=q-*zW3BOdP?QKt= zGP~+$o~Y9M;xK4DD%uV~?D9ia&C;`G_Tu(p(3a=XO&R^zBF=0lVF844B?A>BoOuYjOfV6sxsfZNfW zZQ>a17Qtfo*#_GH>WqujZlzQsME$ot)cm#ms{z5{fkV+NUf>x$YxfYjkxFs6ESpimdS@O9IuBvM z{WEDf)oD8|;s{JQ2q^+rw1>%7&*UDI7Ni(&br)F29`>Cm3|7a+*x#9yd}`&3h{^W@ zQ&IRJ%Y2LH#kxGw&{!X_Z`B0O4CbwG_6F+*h0`aiAb#S2N$$5(*a+Q05XjFx$2$b$ zX&U_f?Y22-R`t3d?+!Thn(t)(c%fiKFAW0XdvrZKBi?XvjhX5u{luY<79dD`t`^GO zjo+zvZ!nj`d6nSSEiv2C?TY>Au`|jjVv#tRx2HYb(gCAqqj%j(=w0r6&`#Jd3}5I0 zo|aF&qI+kio#H29EJk|CZshj8Y^$X%3~GnUu->?sSlY%^vcuCJMf*A(q5jDN21s-=?*5#gCyyZ>y3X?69GG`m70s|afdVTEN1f_6Hog@HcKRsAl93|;7 zNbPAg>Dg3nR7j9ZTa)F5;(mqY*G6U3~YJ z2w(ZvOgQ7^P`gpkv9Kxf5iwV~uBsZ^wfq$Wv9#!6!YKje(tv5lYjS!kaRz8Ld1vSM zi}RnMYUQ^NIEJrw-#Zsy_P~s@InKiyZ#6q#S9*I-K#^3FoIE2 zD7e*CzIS7VHgL4u@;@|m-b$PnFGAzCX+*@3qXDG%Z zqVJNO$Df|RRazIct(iTT{C>oTE)lfuYsK+yc7=#*IGo4ArQd#s1b*TgBHs|N1RjkV zePyU3H8y93uVAzZliqlBJ{_bJX)EVLTaOTU<6B*l{~-Bl#J$8r+x*yp$$JTx{!9PG z?;JT)b~DtgFXJSxk2EZ2-fw>5S+#SFkfV9#5-Ofv(kT~x@cCxwE9GT$W{O*vkO1(9 z!~6A}7bp4bWKumh;l#H`YC|{_K3|-9XyJ#LEOG8yi$Y5OaIr$k&d#p88I5MqPex@) z4hNQ{z3+FwW1h^psu#v8YkK{Alu2vftxHnF5&BSW<^`d&q>VT8k(iiKuKDIK3eTpl@kG&Dkk48 z`T&|048}kfT1;0T4a;XW0#Aj%5LV3TOdzEiiHSO)rN%j>kg-i)eWZGP}&!%e}~5`j2J*>yL^!Ub`g}n`|-ZDwQdhPzIIirY28* znq7BFf(iVcQ%O%76EdEt)Eflo?XRSfIL%AC$Jh@?n!D)2s3`PZL60K`C>%#|&x8&W<1D+oE|@YF9=4H`u=%ob;An<*Jwc-4 z@`%z2KECUqOddUnTSQ1mc-hPmc(Sm0Nn!C!4~2PF!7O?cMD`r`WDLzT3px%1Y*6zM z(%qO&-58}^hS~=gZQ_g2(9jO9t_UzySx=#^phza0X0UFo;#l$;s`-o|o8N zxen7PPx=;>4iY&gM=TSF@vMFKBJ{fNEL^_Ya_|DdEW?2xUj^T)7W?_673Ek!=nxFD zUQHn6d-Xgp*`}WX0jJ(Pi^(B$>w7J<{$QZP`9gx!irozY&8x4y;1Q)f!B!m3db}U$ zRfdCPuud_jp9At0x_BH+>+u8}&HJuIKsuIVt4_#~uKFefBv&k)H7=yQ9{?T6ZU!&;OvF(0zJg-eX@2u(B)wa`*yMZdpcLAZ zW$>WGU@PR3TX-B~8iV|%Z_Z+RZ{~Q*p+*o99T?`bjvlqe|2n zr|UrC>0qCj5^=m%F+ET@jqPQhZYWTW3NeI559twbG8W@i`w$efmRid&b{9k6c=>n= zneXlTaH~&07Q>M}F5VsH9>QT>zHWoNT)%ur(-In&Vha`6OCSA83r z)P);-kDUhQLarrHLmGQ=)Ov4ewm*&%ag0|nb3Bd$+4pHkm%`FA?(P-yJs(Z9MqUeD ze}tzmsvjB>8Za@2FsfyAHLu z81+8?^Gf_>a1Nfom5M2jXQk`$;e(>)7&m!3;)X|>o4HUZ=1H8NhLuVG0g6XrI3mD5 z_?Y-@`Kv38l{P2OCN>y0m4}_7o1pN$mc`6cn3iCj{iQ%{^6d53SA&@^`QSL%tOOwE z>y4++F_=3VlcNgTELLcIJ^u;cjv6Xo9753t7q##(tXZ}~FiH~fCRq@w<}f|uT| z=FY6!=PUpo`GX}ksn<`VKCFi+nB@y~I6BNmS}_B)gjcVOcQzJzZ+#$;$lO@Bv%4)$ zy*`R9EdDAj<)XJy+lemO@pftdeaTU|a68Qn?xN5bnyh$m@%o>CF zCG_Bm9#(l?%zyjy6-qA6u`bgV#PJxtqg6`jasoqk3drH@zUjjNQ9FQ*GC#EfuDKpY zLSrX;q|z|GX*p83O)Q4WN^g#~QuzaNObzCwhwZfc^6&VsWxW4(Dzo<$?~faUrWoF` zV#=E){_l`$Arr-OVC>4l*uDL4W4DOu(RSjA%Btf2KvdlLq^N#$FFI1Co z{`djM6Z-jt!t0Cg%~u8-hQiLz6;?;Sesd6GK`bgrn1x;~4{~O_ioGL{rH8%yQ4Ute z2sAuZfW9ko#hhIdtq&Js#kb#9hlXZw>vpnLtomZ~aoIg_{Y&6kDpIBikTJ@o+JS!mBX= zN;Y|PrA8NyPUwdZQW2y4#NU_4gzNC$;^Ar5*dAQmb3*T`hwV*7S%Z5@|2!5x*B2#|lHo{8CZpN7I$B2cH}bZUt=2p>AQ zFicq5L!<BC57(@ZuZ({8@DrjZwPDIQzBX+Jt^! z@ZQ0BCyGJLWy}2C=+7KlXYjZE6+J@M%Ot4UHsE&okJE#lz8WdW)uIpO22;z=zl;>v zN-%bgL`W9gy#ujps_RJ<%D^#$`_*M|hF)C5vM2+X88dBBM8e< z-(D9;9SXq0=!^kO;q~MLpQ9P-?=HR+vesp4i9jicB3DPG{31(sibaAd=WZP_5qF}= zl?b*+tS3CVImX;wnQlgx9hlR8LP#1EIK;|5rdpYup!R08J~!Y54%K68Rs-hBlg!#A zC8#u_r(qTrQAEf#YBHut5)&ir4zf++3W%ZYM(Ba&yV((a|*!OaVvnO|<~1 zKWaDn^9(v;M}Ii5EO}3eJ!IPt{kU+yHwqlKD_Z}ceX!6aP9Xye$dMsO5CBOjxi*E* zLEulWf>pWUIRpU(Z=8m4^~hDZ_baa3T18PWj;WlzPv%2<`2JH(3vO|7ot3wr0Ly;= zHWNGh<+7b6`B9g@xlsZ|^p2p9am!=Rss(MW%SbH@N2@{yWdOKCBZ#~aMHzjvuwT2B z1-taIc!f4R9-0X3w-ECty|2Ik>Fx8)W8KdhCZ6Drx|e*sUSCkeE+F4T{5|!2=ZoT* z#PmTA!JumLxjOvN$WK2u2#KGHlIy_=Np;=C#`xG+x;|)u^9A8>OK5rJbe)%%S8Cqg z+-wlAh1VVs=Jeg8ay1o*TL9QoJ7BY3dDOK-^9*nk*&piailalg(P%3=p*O?w9!PY* zJzuT|U4a+L#%|31Wh;dc1Ui*DEPj>N0FmFTAY44D{rKtAXWiZEFvoON!OdYN@z{Wl zOWAn1mK63;NCMfQrSjVFg^+d3Vu6mFoNvea=^Lr65MJ>GB>lKuz{jf zP>S&MoW!bhM6#rou4JZ8*0?ODxA|oE*y398#SR^$u^i;c24-em%i!ed3O&tEcZjX2 zM~_>kM1YRvqUn=zC=;8v#-XP!MfXTEk|WdnJFA%JBS_fu%PKEuqN?j39il%4 zUWrdU92nGOMGpS5;v>8AfL(gz73-44%jv)V1v=1LrouWy$}FA{2v>!drW$ zo}>sgNt&K~d2?L`3_82RkXwPa%lEv?Hqjbws0xq0ys2Aq3wxgtRnr0sE~eu7`#FHcF&yB_ceW9S&y* zNQ=IoeGda1?YZXH+a`%SO~F~$IrS=V47z4cwV9)x`qkixoaw{Ojp>E)ey#HF^+vv{x{1B2@6Y9q; zR!vj;=;)#kwGl6IiY=Lgy<(DKQfFKs?mYrAXs((zWBYNDyNTygAor!CYFVk2Ao|ln zJS_LLV($ekQVH0n1hjXo$DY%tL;?w&9|b6pm3X$nCO0W=@RRVY9&; zH4Ln>+|KS{9Lg+uMi7AXP9YfqK>zO-KhBsd+B`x%m4E#iQQ4ZqA68oJy-+|~*5!c{ zOT8AEB04hp&;jm>26t$+QvkV|ydg_e>k&HqgGly2{hX3M?h5T2yd$FF2s#RnN(Fh^ z(^$bfC85X2Jm{;JRpyKdIqa;ZNPpd;inkby3j;@bRM2D_`8>T}>fxk}A^9)V2k6MC z4?f`6&;E7oejkX(MrZ_*vtFxRI+h1z!ycUcBC`cVaa)wE5h% zra~5-@Z%wR9lY3c(0cHE*1>$>E+wE4M(Niv3hD^FK+caGTWvgaA9K9HJs49924I35 zzk2_(ukxso>5gRoy?*ae^Js)d(9$x!BgmDMWW~rmzYFW(fnX3f9KXwuY>+UBsl(NpZ12CZ5krqg51z@@*)_&j`1JhTCB#+VCn)H@`xLzV z1sE#~JBnil@cNb`_IbzN~<)E;PtVrRpVeB$37OhjTc9fTC52_0P z_UXtkW5fkM(mP^TVnRZ0^{QOF=mk)`%Mizm8Y&)Vc(XpLS5oZ5pP_up6wSw*lh~JC zy|kRvbV0)o?yz^X!ohB9g9ldUQS|3C{Z#KVUwRUJ+cW5hYF=y&Dnb+p5GxMtKg37M zf8PKD9sN2fsR8`;7?_iA=z72gXjApj%F+E7KE(00n#-8cgFY} z->B{A=gPLNfbl;sl=FJ+b-{1{c;jL5M6kf3P?ECRojGWsCtN~SFP%W@+p>zfSpy>nQsoNBPtP8K<<|+>EU3ocsSxfU{?p?A*8xUV z9_3I?QHqf@H8wsbM!;pC9bKKi;I`P>WNRyXf?!OW6k$1i3 zvL_Qp?k+AaUapA38xk;UMP-26fmpo5h@eC5uY89<{qXQs#xa3_zn`1g!$2N&QVN@p zz6sJ6=?BC}^5l68eZ^I`(f2`lAav^2t8ggZ3nkz|k)sF&WJ1PJK~unK6`%3a4uCi4TITR{m~*Byhz!+#lGG!|>c>Ht=5_tk^W%`Y=`QK4eCtQPVhssG!% zRq(Zlod6`g&C41nyZk@yI=q{;MVa&JZA9k;3OdgPVM2}`i6~iFSvS5YV~UBk&(+<0 ze40hRxHIIU_nvz5TiNpZhl&BX_Vz`LjH>RU2Ohtk4Qf-#f7Pbo2oDn4xOJW9zWuv0 zf}FbhL;%Q_L9A~xP?xT_8NKaDS%hl(1)CkSmD%qbBL z&Rx-ipO*I|+qf?~F?5J=0ekc+_kV|~zb!Ea7OtWvX?j_v6PQW6*>2@S3}R-z>e|{- z%~2xP;o3~QnBt~*UnypkEHu7hezQ2egGl1t*YhGFJ1$B$p||r3d4|>omv&d~kBc)L zjnU;$x}0!O4g2diLLUr{oHTMiqeSlgv4<{jJ})o@Am_93_xY>-`%*&M%1)4m&Yoas`M$<&3!Dv zZ*S^K>&;+zMLjG$#9lKEGa-A3{fD9W*FA(B5ZS{LAeu?2^hVOJ3SShfIJdF!9$M6A zGO1twsM6HnW75Yj7AKm0gxGAX@TQmJmCB-F(m zi)esO8Nhi}+NcKvGJez}{7->VO!Kv3EW-q!;Rd{_9F}VZS@Z1$Oi7+kE67LuadZw= z`)^;$h+&>UN%a0KhpepZYyX;>ng+-fl7PCb%z?6!OR8~qqy62v>=G9)PTHekIhw!P zea!Ei;pLtf>ysaR{yw~2(Dj4X(LcT#Jh1d|!^v0*#Irye<+FH8{+A<Dr zWZtiMlHeXDDa&zwv!ISMfG<4(G~Ff~^Io@)d}=CgInAamXT9x)N3P3ChGN_0|*{ed3o%F<4W z7o1KG9-eUz;touDh$FH-dg&Go^?{YC*vBE@82i_71$Go|1N$g}aMCA_e1gF^JQ8a1 zv)A>bU~_I^1uvDTK7Kbra|k@C9`oO}6Q&<0`JaNqsw&Wx9RG*y-1}w>xhcf9R8Jt^ zM$$l)boFx{_R_`w>!o2y9)?ylzu+^TYK;$xiLnyRfMQD{VAvBODq|LyQ=-1gGhY{R zmhwbr6J?mS!Y=!Zo7rdXeV_~o2@}tx+8vs`%ev{{bgRapcAxG>N>IPjyTaq!Y}d{v znyAm!MPLJ@`6Aapl$3gQP6av%MVeb_rfEo=kB1M!A!lT!S@jyb^uL>RSn8OSl; z4m8YREnsD=UQ}~HJT*%L*qglU}WlId)@`7>ATmR zo&=m?#aGxlb!linaA*F0au-P;KL>Z9KQ%%I8L;vizMTc^h zv2oOhI}(fOUHE;1^lN;NEiF`&o&WeX{t?5GfAU$D4v4}{Fr;Oo0$M3b%soZ-lKIWs zKg`XUk^TL5|Hmz^@bVliZ_vrslQ51KIb_Qm6cu&mS6lH*t3Bc^OE$hfJwi|K|LQT$ zmb>eBqMGrdFE{1G+NGm+WHPodlnIA_U42R6|0HC5z(RJq`+1b1qV|<%b>SYTx10-1 zy28WHIoxo#y$%t~RcJrVN-m~#?P`d7ZZE2h|0u{-FoGxiqiX?=$f5ytzu=Ph&7^-m z{Y9N&5G6c}m>S?tr6N6>h?oR59IV}v(6Dots}NuB4v>@|sVl)fH1Gu|f>7Tu|5K=$ zK6Q^qtY$Y`1-@%B>$3HupXUrFYh{77VhnJz3fF+EKnLm3a4IJ}9MEY88kzrLbvz@W z(zkk~`O!Dp9bt`D)w0>*cg#`4&0AoOh{&uZ#h;`1t za^PAs*?;9(24_L*3(p*?T^(m&8ue^j=5^#87vn&h3Z$*y51h~5l<^Pf%?Gh-l8YaX zA_=*NoowX)S}F`IU4krhC-Irc)H#?g7Im0A0|jQjJId9B0*Ol1M8tOqKkRPc-K=W} z3ctZtW@K>vn_u%8r`hk;J{h5dVFDuO>4YbA5ETQGWE`$#jVz2WF%0{JmmCB@l2C?{H%L&8Xoh>n{vf zA}clyqH;%npRz?bWwz422d50wNd7uyh%5!2kC^aYBO)rQ zSsTd64Pa679D!^nVdkDF$@w}9Vg2&gVM(j@#@e5D$7<|elx!C6ERV5ey7hLRJ^esS z&0bWY8gFQOE2^W5pIRCFhyX|1jUKm^gp&N#hphYeduD7M5lbenoN!QXN5z3ZBnjo; z{e1(Zd||;(7_+_jg~d7etB0>Df|xHIWKhtN_$!0L^pF61CKl{`V9x-f^eezY3SJRV zJk-~urZiq^f+-91^{{%VVklUQ7!22>B4V7CB zq-9CNXX0mMV)F7(BHZ+Bn72S!Ko{_66JvJmCCiMfVvSHarxKXGP1u&@!qa;_)N=Qm zUTEmHlqoR>Ck@<|^)6%)snfmkF(^ZxOkT70SjU>C&dtj)mYO}|&)wx)LUChbL+tl= zG)fqi_pT4SmvqTDV;&qhcM6CM0}J_o$1X6jqdnwbZ)~jc=UpLZ#{%Y?bi{EYa4<6) z<{v4{a3%zZerzEJDQFsCdt6T3hT7$GVubtoTUX{hKQXCH`;Qw6CV?pn3-E}1Zlf|# z<3-T?XnK;;|C^({h}BnM4ZWoI^-wClDw8zw5H#r#AkL%KlwC=RV#Y!)t&%K~;#aBs zg`%Ivhsz}+PCXN3j9_%%8(6~8+Syd(g;X_pl>HXstdD^B-M9JS2ZbB@!NZ&42zv@VEl_dJl3R(2F=lt9|81 z?#>hiPL^b{({L8z_uvWu;U@vhwu1f9qep*cJV>+#TzAICvT15>ji7hyB&Y*V(=O+tg4IvLNP5;D#o;=JiAmb;;susN;&nlE`2igB{S{tX30i`z7#X1C8`m^c= zPITl9bkKw|84Mwf3B&=MEDMlO+NGwAaBbQDDy`$_4v#fFQ%O_fDso&*xIPKpIsvS% z6%Vj@3wme-^8j@zW9X}A-587 zi)~P2gs#AJx72!xtO4YU@3JGL=TBmU01!w#P3QsTPNZ*;AH zFJ9EH&I75J^a|T$lO*Jt1HmPht@TGh>m!iHdv7ztdyn}Ri}9_+Zrpfnxv8v>oVe|} z2E{))T_^%xKR-V!euCH`b}99Hca?H`nzFRH-ufxqV=_*&JM+9F&qkMai&QgvKj}av zA$CLf0C-Vhf*fGHB$0nUy9b5Ig~$pZkUmL!Wl9~G)L8%J0DG2cl!0Yaus^Mu!t}>_ z%ji$HC$$5UidZ!CM|PKhJO~d#1EL5Bjf{-yA<#DhhT()ti?VP)%K$X99BHqA9UXPM zbC#nHKnE0SS<|4FnWb?G4}lQ=HspVOr%RBoS3cG=~J5Y1ImxS6Y6E#s~Q@G+qsFx+V4K&V|s^o3;!?xibx1U7;f@EZd1j5 zG+ged(5s+y<4pS5s(@tC6qdf)X_Xr0n;cmeK;hsDSk9<#;b(O;S7v_oZV6&MeGUWg zSFiDTgkS|HsFSpWeV1C{>kFwm}KLFN)kfD`u?&09PQU6&i_B-UgT=k^uYNPE6ELAkGpHLJyC~XdYp;&;%mKRCM9xQa1pEs5ob@1>Gqv1#6H4= z-4&=(?|ykCNy{GB%9tA50dZt-PIxoUKRg})PrZr8NyVBP8;J%zr$l6!pzj{zw$|gS zXNAx$XcXGSf2gUEj*2RPc}sSSZry^&(~ld>?`%Zvu4Z2y*m^Z99&@X>D{(s`I5IW7 z*<1*`wVjT$x_g;jPk32s7$+|giOFeQ3Lef$bZIw@c%!-ne|!zcJ4m( z2CqP+#?a7k`SUolbKpkG0p`HdQx6vye>fl}3}cb@t%n+%e*qd$Qk!{-v@%SpxX`gj zpcH3^d|y?10rNptu4Ru3!VCn1g?G22(jbg0z{`89SFQ_Fd+aiS3obJkuMMOnMnf2b=$%0upp3U+{&GlS{uW#_1zU)TmjWSznM8*5>!|`v)UQeiutj>+oIqTcUA^iLBEguK-x# zx|Qv%BQ09Lw(iz^@R)?Bq1JU<^B5^h`bstiu-<0t>Wml7f4 zLV`%7IqFv${nHFqX+Tt9qbptuAWa5h3XmslZ;xVJxpwW^u^*L$pB*%`KJk=kr$Mz( z15}iveE>5F#kt@|jPB~q49Ay@`T?L#?q;Kh-GBUP{w{c;Mr5KzmhVC)3=*_PFeTzc z0C9zz?7=_GeUK9z1;C$A!^J08U>HXXIAVAqTaI|}#q%QRsm~QvHr#`F6rbjzml)$wATw$Y6?p zzVPb9iXL0fvmL8Xb_RZQi zANSHiSf?#h-|m_j3aOrAKB1|{b?mEep=F4T`EQpOiXfp7XP@tsbXrQBI!KRy-7*}lVZdERKyBtc z=_`fFVIv;X=S|jT|9=RT5_XuGM}D~LrqwY!CFrH!oc)^#`6@}4JZ%*9trTXK9HDvHrEE~`Un5Hi26|2;YEhv4OW14+obt9 zGqh|9j2(e1{0Rb|R@6drJWzKY2$$IDA%MmEOuC;Bi?=UegrH%5ZO0$q>Fc2MR6oNs zphk(~yQa(haW)VU9HFDLD1F65Z_XCSwMDk?XzNapuBcnK5+QnJ9{)qym5 z0T+;!Y_#el>WAn>S${{>$XixYI>L~zF#v!Rs;4@V1I=vWb?9&fvbKX|7=j^v5LwLu zj_qkkXR-+U*A!9lS_xP>XwNFF(w(aee_e~eMPYj<;{ZFXnu7K=NdiU*WY$?0hG|dp z>0uGsCnOmfLY1Mh{cDTIU6J`q$sW(1#2$b4jH;tFpYvuy)|nYK`CAFZ2Cx%TN=$7JkUS39Xa+p&a6 z|H2ff>zyG>mT757k+FkT^wavjlLDoyyPSKuYfbVV1Tjwn9~>M#ONc>QO_W{&40zY$ z2;4>wL+78q@;{CMx|M>bSR$3%`d{*CTx2E=W>oH{?gx78T>71%ARp(&rB7KF5fr3f zB3laMUKi+NG!`Pct(^*JStkJqeEG7^V$nX3vWJ3zQzH-~T6KqUX{lMk{H!urcY9=kJo{`&QhiBA^i zi!zGuA@&#G#7#h7%f`kgZMU4_BQFTjvf$t)nwHPaXm9Vpw?+`>XG8DAa8}f3Ho@Z( zcsES0wGq5*nH#dD2fBSG7@Bia7*cCFUCbCDWYIEv z{Qq$F-tk!X{rh-?q!dLmDosj8M#wtR7M%?tWn?x;l?)K#(?CXKXZGQHLcO_M57;X z?1&u{yHZ($KEUZFP6+iyr+C^zUF0Mh<`n@G~vTcf|Xv`9$d z^!em>dyVTpA*Cw=&j->ttIu(9pRw;-skdxzU)7#1W&8C%eVc#W>FU~H!Rnyi_djG5 zA~6sX*jh{jq?oTECAnV_kr~7>8GiD#pL^!8&y9uSkMj6n;mDxp(6pR@Fdf+P#4DN~ zHWGUO>MpcH!Oq(ldd8?QeH0@7Q>e96J}+T@D2IevMD6?CCW@Wyesh*@Ww1E=g^haS z`gIeAIjP7j1cyo7gL?lm_GV=h3lx0h30grv?d9miD!BxhM zNEE?VGC@9G9o`T=5C)j76NV_U7kQ=gm{$=C_3}PbPRRld=?4gMn&3V(9^)naClFck zn1#ABKSX<=ahiYB^TV;mdYzLS`WK=^NI3LyE5r$Pm|ls~v<#SnO)6#J8x$EdwN= zx1etj(8x0X<&g+9LKiGsiJkT`=xrCsO*mCQYd{y@e8C~*3jO1~>|!L1?2hE%>>T zj(mINsn2j`8PY%bJmh8j@Y$x3aXD0DkcSvQ6o?;BIC5{FL)O50r<}TEQha~M=s*Ui zLxq)H|+% zH|@V$J}(8k&JU%~%})i*xr9j`!|HYDCp=K{p4eSBZ?e(dklf#AEfV4K2be3CKXCxl zwQ^|Ia&8yOR?7ul5vlZFFi+@naG6HhitFL(4~MHH#Dvah46nGlc7Z>duOs?sqAdjO zD%0NLB2*1sbUv!;K$W|O&nZ*FhP}sL;s8TZYHsfzynwt1hV7K!uHRiKS-1NajM6z! zaL9n6Ha{;atCXFRZsbn5^`Bz)H}BSerT-`3GmE+d8OTAe8VE+BpW-&PHi%>)!gDL(pBw+NK(JM@R)hKVX}3Mv|rhv)e9ZRXOFd9 zZTEAsJ|Wv(ixL&M9e>ebW+1Mzty@W74b-QL3l;W~)i4Fs5~-I0YA34PTG&AhQ@9jX zN~qMwn?I>{nFO0|DA9{mZJia~9y1h` zRt_h&MHl^E^gv(Fp?Ys&)8V4T5Z~Epy`K+1dv;yzZKuV9EjPc`|8^6(Yxee(YoK^p zUdOW&`LmF~B?J!9;4OxYXoJ&bxWe_`wKh1~98Kld(FuyG%s0?pFm1%?i=RqmOiI~46 zH%qZjx-sn;WbEfhQU%JTLo^ZqBvghVP}l+&feh!p7ZsOD=iDB zbho7q>%nTPS#r_-HYnycr|&LFa4@LJ0g>=(4*;D$qeV7Xm+fvSu9#m^0WP^Yhi zo$pU`;RSNt#!KBRXJ<`Y7fLNzUmYW5lsR)(TT95a{XU0dQ$F4A#8`$~X)dC;wf#a8 zqQs4}*;H66gWpU<(pjR0R{8Ri;AFF_*RLx~EE->!i`xUa^@Bbama*IT6LnFv?!j$MXo^?AzPi*@Xo%^!U%P7dJEL*dtkU67> zO?oi-{@y1K9)=wV2BH0M$=g`pS@qlRja8!uQ@hNF~2PW37p4y+fDK1+ZPcq9XnH&3y8J)=~`a)*LTr@H2$WU zg4DHRC=p1h(=h!;(W6(h6?-U%VZLp$;SJhQoTeqt6v{&i6AZh7fH_(lxFKw*?P zwaA8x)d?E1I91O5tm{keV0vWTQMV;x)ib|QvyPig!lu7tUpf(2mR$Rd4wNv<6~VGL z_Xz*oex_u_4prwxu(I|yybc4ZOnXWA1{B)J{m#GYWlJpAYO6Qwo@fNm>`DNuVIBu@ z4*&+6f%Sg_l6&u?R3KHAMZuokTVU|>4gof_Ahj>Tc24L1^x(m6k^PeS1^SiN`xF(O zs(SjIG3eV~srM!_uVTM|N%v5^-uB*KO2b<=Cg$`XSj)OB@JX#eFEFhamPK#r7&!Ls zsGUDjQV^=X=;u@AAiodkJEHkGx^4DI*;^-&-n~C>_GsHRa-@K(UtOV2gHQ<#axxT# zXMGY8_+HnK@{-!M_s@>@{V(Shq(6r3kT4mOsJ+S*WL|%Tf*?(TI_$tL4({dI z_6geegK(z!nZ~(lw;1cq&V-*<%z`k4h<*nioaBv){pL+zVjF{M5(hI50eaRqx+CK4 z%;oAX0Q6rBX?#$hv*AL0Wx|ch#=C0%7l+`r&=$9dbygr8A`TWD_@&IFK^6qfcP#>y zPv(h~Ssvfg#t)i1rv5MjInI72{6bdvDE8gowAjC_?ez4y+m2q72m9o<1(Y)x$LHhY zq%&_gF6bKgnD()2K*~`&@9~;JokCvenAJ-aLdlTm8fw;W(<6_dZz5b_?C@jqad`)+ z`N>3qF7(Ap9<%&PLL{>`>c)T5BmN_Elg!N$k4&muS?sOOe=J5-(ktQ0+W@+F`M(c zT-9^0iKxxm0%Aezmbcdhk0eA49?F?>*(bGEr~b#fm1+Bbr7FZuYfqaBTG^_sW3-bn zgfRvNE{xCBaXiw7fR|KH)@|@hGLkG$q6<-CP7+?+yll-WFx7l?5!>=I|2iC-w{Q2y zW!Fm`9&(IOe*OA&HjE$c!qxC4FQ39vtWrowkR%~;(AIKSVO+U0)yY0K zfTbHCFH>+X&!zW?2RGH6m_xv-ylN9IND zr5HosGa}q6$bbs&9R4&ExGi)lOMJu5D3xROp{<%bfi_0>e2;EX7^>!CdJ?I*(d+56 zvV(7F(lL!FG9dWSUEi^>=S!D`(%Z12G#!h#9mfzF?$wUCYbX&+a9S~a)iQ(zB{~$V zX=@b5bq0TW1UHH~3t3!{sVAC6oN^`uCM3^V;hSr~Zlddk&&Y_38H#c)eW%4(UGE1? zwv2ZKs7lr~>2yW>F~0LW;sgyItN;#*d76Qsae! zWsa#lJJ}|J{BUC3C(W8WQC12k=`P1G{enb<=deAhn21%E`KUc`o}dMDuu5cYKDlL~ zHrayA`a(}tf#O=yxNe=-#;2B*dxw4{rkxu)LY=sQ=ND&0?y%gaRqWq?gVQ-Ij}#a9 zB;~2hSe5ws^o(=a#6#)s@xs8P5ny=WdgsI*tXK9?_UMc=!aSGZ&N^DLpilY@p`-3c z0~(Hy8<=cmOI)Y)+`M$F|ugIH~u$^|4pQ$7AQNUAw#$CHECP zuE5r?j$h?R)ld>t#;DnPBD~VPDR(QS87L~8B$P}|u{#1D_Amn`FrkJf1h&GYUB{M& zmrcz)%X)|+6SMok)R?f#uy%fhp~AvKaa0AQw&z$C&hG3k9L7N{ zZ7KU8UN6$n+%qbuW19D7b_zRg-|kzqdf^fHGGQj`W*uLQ{~q2B6RAIG`rkJa=+ra) zU4-sSXmTSW9OT~9@c@m!yl}XGJV(|*n}EDKHEq;(Xf^nVnsL7V1zh2z zhh!}q(7x}qx5s*D5rnt9ob?wxd5nc)$_ue{j2Lw9DmPtg8g{;~-w|7DcP3k#_%yU? z{~R6fIJWriFKj(i`@T#U72)w8Wgox2c(MQ0wQC&q9XSFffQ&UP>bYW?rXMmjy=Tt$ zD0u0m4X#>^_l(Az`77NvSw5-VR5sCgbjJn;hN)u#cmA!+h5*V~j-gPHCxx z+B0Ff)k^x$_^5MTk8h-eDeXQ;Gu2LifM*Z>ao%-G5(9^6+Uw(>&UJub{uVdr8~BO& zH}?Q|*`TlSK=fs?KPz#DvVw z7k-8&r#W3+E6U5xKyIG?jTm@)WS zOeKn*FlA%rt`HCiSi9rlUMV7}C%mRZTzZSRR?>?!It!`tMK2d4|ta53B~7(C(lS zW`{!&mb8jyRxOx>4sKq>liwxpR24$SgoV{5uUTQeB*9dDxWV8sI^yure-|wS)g~OU zyxM{~N5QEr<@ZIiwr(A6t-C0S;_QANNUpzi_~NQmd53tnb_27_!a^u#v+ zz8&@4NtS+lv4Xfq9TloUd^!f#-e<0(i!?qx?;hlNzd{!~VXizh+)_FHIOmC{Z9m@^ z@W=Tw1+)Cpq`YC^T(U}nd6hWxsm&j@8FFwjFOgspqi-_3veAp5je+6RoMp@jr8C86 z2+}cxTTq^Du#R2gFkLvA{T!vLtXnTB!utC%O@X3Fn^Z?(zZ8uJLr;c7Ts>D@f1sa( zvY<8IgEC8S8+^+V>H8jW6wyCM~E*v8Ms7~QcAs`l3Infv*F zX>1?u8&?pUFL1U`pLSz%Zsg@uP&<0QAb;g(a1F`kpKi*@QXI&lM zINx1`t$^1K92X%nVt~A4@503m?=xhjS7lQb7nC28m!mVskqe70}iEXJCK4fIWw&l8JOt14hu=)%q#R$^r0AJqA_I-u5B>U;jPbvmjFF&}@q zy!u?aP_4>xaDQtqP-BDk1=RdXgOYMRgXtL*t&6y(* zQs`!Y*Q@84&Vsh(jqoFqUANypcC&qu*KRUnqsYL*L->vF6;JMs$>@eRWVqmiK z<=(5_xp|GUZkp|ek6^d}4hv)re%0UNQqrp0W3y(?m=T{cn|H(uZCpEpaw%ySd4I{4 zo|Pv6PIR?ZS2zEj@j2@u#v0MOi5iH=yUZUls8GHX&yu=3q)^YF>3=2F?`YAxw5ZjR zrTkT(GSUl&QayURPX1K&nt2(WY_1Nn1eMqTqPO=4!kSC$ zrw9$NwG#-?nQw{?i?Sh!4~Kc~@K?f&-i&p@y4@)MN05p1_XKCO?`}j5d1S`2<;%IH_uB12BHf@AG1|PO zr_lHP@I|@IC7faf zYxva6ka%crg*dLbWtiS8T9#mm(l(bhiS;gKf;DTugcezZlS^ZG{Z-i ztm@x!!;jJrRbKAOLuYeHBY(6y)l-F9LFXy8s(MM=amRGY#Qe0B)sJ2KpHk~}_j$~r zYVYgdBL<0-bgqsb4%ZS3$i&KF7=^`P=!t1OC-godq(WbD4E@eq6kT<9{q?Nd!W-qo8M@q4!2+d9Cj7uE zvdn)kt^v9($`(|M{&;mwne4T`}jits0OO@i%ZCe!&exvmmb;zGB}4* z{vD@~qqg)cPy#Lk4dei&5BDcfP*zg51^_ zQ$+PskI3IY#3>?k@WV20Ios%muDkS895kVOT@50wFT^}Wl4XfUMR_{3o*dE)De5%2 z{rgH|t_Ii4l$)tz@XvXaTkX;05&N0sA?cG8o5-#^zLXI=F!=G4rKx##Q$ z`%b`>^*UjTrb=R9O2*~i-oIyDK(+f`yt21;(Xb#+V$x@iq4IsNexTI;YBTsSqYZR6 z0hn}j_XqYO9noqQ$KRGk^HVcVI8wrfoIhjZ0I`93*t^d2BX2{0SCdhhMYPY)u#tsn!K8#m_1I)i%OR^HAj-k7_-t@^BwkAJ!4^Y^xk zo`qF9iea#1RH1+S_hUQ1s;LRbn|8Ji+l1$3PJC3^nCbh(H?c13ihk)a?ETeezF$nZb}nM*?Za-eK?wMtBwyXTHQ(o?xiC}BlnPmfGRDo-(djuOsALdSD? z{jar-iPJcUg(kBxh)q#Bt3fvee)T=^PHUr3;C9!`x1}?xt#gGlds0=zYrlRaud*2g zp0$<_N~RgbN8O*w;_N9p?>Hsw4|Ty~ceOM}nK?{aXuF7nK$TSmLYd7o16O(zV~g#6kA* z-InHb^b6vbjdIIKta4C;^5IM3Wld2Bn_n2ei=rESUXo-vTd<2WG(!69cDNN`+0K_l z6S5J&F9K$hMf3N(^uWB7EDkEN)k?aysyD49KTW+~Q*UeEI{nV+#X%rv7GDn&#XSao4@%?v6Qb{QrlIE` zqcKeP$P}#Tc*#Ru0W!X?W+3j_@Z!2q_1t&AgXl~2nBM?qJmo8S8xHg~Gj}m2D9uU! zFIr!=b86iGa&e4J`*Y3b5wglZG2)-Lnwi0!W)C*U!B0^s0+t*j6{;m+#l4T()CPvF zE(8!TQ$13qxMYb6JdaErXRaM-PiUVK8cK6U21G}gqda@7_XWcPXuJH+_3jHlXi+Lr zv6%qz^2>^2QH=tlnYe+^S-7w@DGkK>Tr@)J{hy~_iAN17ti2{S)9Lf!nlIol+{L(2 zPc=y)lqw(z<7~n`K4^LQ@@3ljg|t)eh+>+h$sOKi`-&%rwTfI&sa?ld`-WoClwP8T zz$|j`yRzyKIzqE&g;ja>CMRsjp7ssHGOR}uShf`BaNthH8Wf>{=XMX$=+9#+X`4Pc zwC}m?!`%<}YQoEI2l2wD8KA*IYYU{7gL`>xCz%!1%qyU!)oNw!>q8pKul7hbzOG&s zJiot$>4OCSMN@cCo2q zWIvmX?7)pRML9bctyS01Abz;F6yXQ{C&4!GT)8iuEB6q{PcC$d8;%}7>7b{>Xxhi? zbkHPfkoHoo)SWkz{hPO&o3{7%1>1oN^$jxt5Na3g{xzPaHF_WRtuW~Bn31w@!(w0m zbPPBNYsVUOZLT_z>JfU9%cd{eIpI0~#`^B^$deW;_dj_3-Y>1c_d%CqTLMG#Nw`}K z1qQXyiW#al!Ib=tG+sK)8En?za{bi2`0uZ(`#Izti$W^9s-_Rt>Lhzxe7sQAzh*QN zE!bYEMF~rNy)!MMJC!&8gJXZUODMrI6z9o}zD>78h_Ypc{)#~EU*~Frx!u^Uo&TZv zcAt~rNImKnfM9aG^Lrt5bEWfS;IC5heukl;;q8!J!H!_m*{MsvXTZZpOx7B4py{l&-03V3tv5T%APz^4MF zct!1Yvy|+A1J&&}PmxxrLI1BG-Y1Zs4(UZ)X=>ine4Dq&QIG6gN}?MAqbaU33zypj zOL2%DL98+UBqUylSRl9K&BL_Ubg4=r#joV)7Q`a zl6LMpo;7S*QvWcsh%EzU=o_IxF~>NEXdp6MfQ@%5xH64`oW)=$@qRa((m7sM;Qp1P zz-+CJV$}Wo=B9YPi2`*E*#9(o#Z#!ko;5a%!42dH0W|<7eeU8#4re=2vlDGq`@1&Q z@kkx&sl0bd4s(QaK0d#Bmd3g%rEJmmm?I^V7Y|W|`RN5!$IkSAk=Iw(gC2%i5`p|q z$%-MSoF+gMb74md*vuZY=ma(Ev4a0sW>z1sK@y{p7Yv>ssd3N=qZdLrW!?FIl%npUGs})*5A$((=c%~oN4(&teaa3@0`Kvfpkf)N}KP% zR&Og`?0aO9_vlRvU8jR@dWf6Kf^w}#2iLDZO*4m`&8=N&bz`nt|EIQdq+`zAkru0m zMb+|Yv87{YVti=jmtnATlal$ghRyUc;+;c~jFi;JxCMhLXY}MOF#CUKOTt5tI1UA4^2%uV}P_jXWqPeDHlMKhj!Vv>A#g`n>rHu+3JY7atEWS!?@_p&sIQ> zEG4B!t<~w<=BF62VWLwpglz(jj7GbowRik|Bq(ecO`HPpflBDd@e5dwHe-3g&j>7* zx;?wS5|dqN)ojTw^5~}cp`PE zxV^6oTkgEupTdcwqD8fViUk_IKeHAcwG64o+t_?GoH$;R)av)rmd7+A#k?m-(>Ksd z;JbQFGUGEwIA1t4+24biw)le4yl@7_aYp z*bVDV;=a(x+ObEWXI(7TbJXF;X6}vAODStu(-No!rGQk1M8o@l1}P28hHE@9XCC$? z;6ARv(N@Q-1t-r29}bT3}))&`-Yub8kskI8Ks~} zSGSSFy)NhBgaKQHsQW$VzsaChm?1Zfh61)@%)B^aqw)*}YswdNd>t>cGA?ZjoyPIq zc@;vSMC+Cu`?T{hK0{6h4W74bSC@`RecY*JLxEt-I-9?v20IL~ZjzF(yRBM(5-@Q9 zOVu|rpEo0FlYbo%_8y`M$@X2G?8Px}eJl_EZ?bO^Bsm+_x?Dv+uOxM}TEy0}vmLmE zFaC6n>5hr1>05X_T&zhBl;*(FoBcx#u0xxKuph6QFIU4ikg2N4<6`jLqtszI(yE6X zyk7w)LxYN>{h$%$WM_-@^}qtl*-HvLV)eRwEJJh|cTvK;irxn+l-soztqP|u2!^pQ znZX{j>1lKME%&MeERT&n-+&efM@mX|5<^y8tg>~9fE|`X2mE-Zcd^YDN3wB=okGx_ zr5se%Zrhg9FY#?HnK&lTejqLwrhRJ!aq=5RV{< zQ@jdOhgpO|sgAv9yNn61pDtj}b&DQiLx-uSxqKZ>z zj6Z+RG8(Pq{#Bt<8mBkTFzebN2(IHAmy-sXef^%0Ad?<_dnl4nvFWWU-5sqDtuGvr zy}3eZF#o7>nj5N)!8sDj$QkLH1RFk-ysz*GKMqRTnQp}k1AE9HXUyvkUYru5uOBW0 zWV7ga_qPiUuAWuZl+kg)zrM#5dds;54Ugbo7*A5@ggwF~2D`b}mWr(XbIt@;5M~$w z(4Y$A*gB1!oqeO*K5!L}FlL)bA43CMc;Rd6siqc5IcOK{0n2(@y_NhXLxikyPE z-CZVvKYc2+zy2fiO8`{3wzEuXBb3pjeU4X@PZfTUQIOcUS*hP%|9fR=S0$dI!X7BO0v8w z88L+*TTrGl&GZG}HrG&3R577B1%*qj>#jtIVL*>_PDaC&;`LY@j(-xZx*ZYGnMKFC zf_)Jq=Zh+Q>^L#|O6vXHZCcsFFDgP(OQ-#}L8q03f=ApDTY2;K9QF{G&Sh zW`e1WX`)BHL+ix6<{KmF|NItPWGq>mVb` zRbeYdSf;EPES!-0lakYdI#)Rw4eA`jR-H^4jaNJhs!AAUH7}VhSS;I^|CDEKZ-dhC z=bm9{*C=4-ne)+0B&Ml8S~Tf<4J{GrHjw~|^K`%=a_8NP&A-4p?sRxLht6|@%}#cz zwqzb3v0|d62LtK;6$C5FNin6H;k*rSG+LEomV(Th{c`4 zSx_M9{5&~zr5Ok>mpqD&>!EA%2Y>u*)r;8S=j+eS>Ahu%F$sI!T6CbY_)oCW$5{R9 zq|1Gg5%AGouEtZ&WlS+7n=ko0P{47|7CCg*!16BLIY2NSnY>3gL!{EtQf=d5Kr~k& zF;PPqsvZkF#k(X64Kff<)j(b9aJES*T1ZF~r}e%&9O^le7tj-g2OHSM)C0R49y(_< z@%fzz`=^!r)zA#&R^IBl!POD= z^pEH!dEYfuO6)6*fVJ*zdZg$-7KiKq0Xl;%1ZN+pw3 zj!(V|B~hZCpo{J!_Ll5lwNSpKm24h%UI0{Uz_C2un)kUNJxjOV!XH9rO$tjKLb6b4 z8};o3Xu7^FzM!8=kW}y!T;OzJ`aPn)WW){H_J2EcnK=c#{-XPm49Xf%Qr+XdwSYBy z3>&XmDB-l1PTO;?1 z2={|zT@{U`^wJGWayVFz31N|!JMTfIvRnvDj1fhwTT0g~rL1{AjWxO%>*29}E?+1q zhEQ1rPWE<~5U+Bqw$o9Blrvy0(1VSOFa@6DEHMBsXOkAyNNgI1Dew2{%VeO!elgiB ze<7i6Ht`(>-S+gEGrV77z+met7f+lk9&4laYG7}orA1)%XX%D!#a>pycdc6%`3}Yo z_lfqFR}ZbgU}&w%jQF(txcs|BWGEBTnp8WhX!m)!P_gISDVK*yk{<@_w00=9ec<^L z#|0mEHXMW1SM^Lb;r6uE^VueN@Z@D=FAgmUA-lwgr2ejVRqfSl*Nk8AZMxu=aa-0C zZ=T2O63u1TU^@m3jv7Zy^!eeqQWC9WG@x4=e+xUUA)HD5`E`eDbJ}o!+FcZtnKK*} z)bv*oDs!$O0C_6!hyGo~DsAdNSEnSJYtKofQ?vCbsKAlMLiC--`FpRLQ}--GR5={ zR5w9(`Im|Jn3w;DxO`hz-12f+318%CK!FEt2rZkU`e!iG0`T z`&vjS<<=D#DHexFOP!^rRoi^RtkVPw+x0{@bn~8FFw%!J)6vDWd8IFr*f9ROFl*CX zJW278_q6Ra)t#{bEqQiF(x(!3vxv-MuEJB&RF4f;>8loF8qKa zov)hOphH*_ilmqRcH_+g>V0)2f-Ow5_yoa%mFm_GQ(@c!gcC@W+%JPsKCp@=TuZ{9 zb-d#pf1K%+iGjv%hw17NF-$y}zU;=t9<1nGmXHq$@HhAy9Fv^IJF0JUb7XWG__--^ z|MREeckkX@aSZAqqD^$Fy4Y03T_ih6Af+89+=^-1KV%Y@Rl>D5TvX;MRog4&QWhwl7dnslyZwrgPXjKmH`?8lceskZfZX zyM^=swyhOSonG5c=HF@^Il2EnMnQ+#Eh8eaVP-L^S+r&iuLMKL<7N+fwU(|L{_7SfNV3;-rFKFt9#zR31+F!kPo zghMJUJ;O5?GhfH)+JcnpbZM?2ohPxp1pLVj+g`0$lmPxYR|V7vgxF;;b>!r$>?a4w zg-OhH{7n$la7SlBI1V6?227TX_%q1zhF_nb9QHS#&pt+R2wNU{bjjmqk0OqGFO;=5 zHa8U09skk)emIoZ9m_R%IFuJtcaKsJ%z-dUF96Hrwho347cy_&q1~%eVyqrKSNAg) zb2wIh_qBg{H3v*Fw3(f^)}u5fD>pl^mS`*DYD#L1Qbdq~<0t0Ucox}cKuGNS?$1uE z-$Ra@0^wW{#WSI4MVbVufj^XBSy?u8Ab$DC5nsFtXv|p=`JzAV3krHSHf`uyN~Auc zpE&>Tc{6RsycA~U74c?12Nz)-H+$S@=uuu8dNeEsyrcniviD@KuiUi}O{>V4FJF`; zv{uk^$^`Lvv#r!E!?Crngv^>z%k zpHV8+w|Y8ml=oNla-IrP2}L$CiB}r>>63ZF;J-6nt7W0m=0_@@eN4zlZ3cSbSPjIi zKsR_YJw9KtS?VXT+sF9oas%tFFoDdA|LZd0h6wVwqgB`pU=_5Q=do4=rMr6HDKPP6XW+U#N#AfhuE3}t^xIqE)- zYWJf|>Za6TKhWjv)LqFcN|ZAJF~uJ+M8d8sl2(U0oz?8F(yy#n@dMm!JQ}1l}z5y?JvbYGXg2wB!}P73J>R z-C_>AgZ5M9!u#Fi$#D^J(5Vg9Iv3pMQ*Wr-p@<{RH$p`3!@Xddl44oXvO*gpaKpoa zlo^7>_gn!D7drNl1vEu`l1_-aJMc=34_h7?@v{354#3QDmnZ{CDBZAe<0N;&9on!Q zNP4#Q69xJo=tyN3|I($ZWZz?~G}V8#&d$-@)LYCm#18+jh=IdLznA8Geymfi`I5W(1Rw8=7Crw-^6VwNx;uvj$VL0CCz8zSvKCoh8l24;fvT6&826j zJV`Dk&Rwu3+tv>u9Ch3Q&@~_Zi1cj$3cr>metfnbU*6#Efek4LEA|qn z__#Qgw{AI1_eil7F9K}TTVfM!V*3c&Gs6@Fo{sy@{JKbTfiwPku#}(EVRfoUULSEE zpXBv{J1qhlpVSWt$6rUr71tK-`mTeT=vbG6zi=9`Z|=jyDxNa_cazvb(ZYs`25KZ# z%bfiZhRAECkrOl(<1RksDVgJP{S|O9$HgP_AQw(yc%YNGg^XmZaWssY&17vQY`+Z4 z%!tMNpIL4?ILP5JmZkh1Oa6K+Q|K431W>&=xh3X?Bzd&k{+}K#jDL2}9xb|$NggdR zzy*tZ?GFi@xId5f-}S*?sRsO)Yp9~6u4ySfYS19baP|braNHxyMg=I+K>t_eN_CESs+ zkOS+%;BF`u$UeT27c@$2`=`+0L#j9!LJS(gAm#IZHCdecZ`9^zlOevhb2vGdWA&h) zx@OEi9e^s!A@iFNc&};9uGI-$O?J-TRwsX-98306XcuUeGy>`*w;z=>lqTEy$qWg+ zn>us8aj5YB@wS1Y&e^P{cD1q59HBej#Ax}}Yrdcn_7;Tk5thPj@%2&0DryCX-Zk z^(QTwqdw(hA`oVI)ZSB%s*m{(PWUCG89|s_0xh`?YD!L+WR5(9zngJ~UB`&2LqC!E zC4IgzE-X*@z5WDz_Zs%mcON1>;J-?9D<JuNW3s;X1u?#oU1wx!?2P0p; z^Wr4mx)d@|`QEy#_nf=#9T@k#1DOXf3XCA;l^|PuBWC=-nb&;|viM&?*xikUUN_x2 zJgW@G)Vv7~knOe*Ln2;jjp)=xEJ+KzM*V2r_u<&ob&ZQZ={J#YgX|wmjsGS5R9~_Y zfs0f)2$7RSV|Pq<_QBNeNLd8p@wklZw!l3)dNrXc0BunNj{Z0o4xDho%FJs$G6|u5 z`B0!u$1)Clx}DY^{{VD}9J193G80BSW3RD;Du4jEuJFM4Zu@nICvR$u z>z8q51-Tg1NA$$Lm;5NQRBV#K%_nEO4e|-IG6B#z|CDWPkCuvILPrd`4;JBw)?V|JZ6Xt5RNm!)HoKghy^_w=S?cICp8+&VV!$KX9DIsbO^<8H$vNj2T ztU3{VjCVH)Bn$s;a_Nr3Rijs&apfauFv$&ZfY09~K{B0KY~i`xbI!=f_%rjGLMKP# z`O8CMXpN??s2*Lp^+3-t!t4q%937kg2}%F?(|H|!_-3KygVyFv+}y#+Y82exFtQS^ z*N~MTIZ0t;oqOX$S&8vz!rz~i{*WF49Op&WyX9%-@A>#u;^#GmN4K?)XtdMTxeNV3nJJu9Y?Nz^C+ z;I9!nP;^y0PsfcZ|0{{=k3(sGC%Zz0L&Dpt-S=xs)|8ILu0X=(uDGZ$LA)7DB%yW; zwry3yBzg47hfiGPxD!P=PP%E?rl}g^PoR)5Je|OVxr&XdH*5dttYOY&9j~H4EaK1wQIZ zt4VXnVt%p;3vu`THiQ7ernD=pvd(DB&;rH&nC8}v|Zti=Pk~4cjnYIQxH;M`V`TN5ZmXVZC6&A;yx_e4x(;0I$9G5^BFCn zV_Ql9PHa};=qa5!e;qv|JGBS7BL~LV7pLvYf*}jGRfbK?-Zn`Vap#|dJ@1k(vFt<$ zx(B;^OFX0=jSB0gxMx7Q`^ah^_g}kI|8>4u^lI>Le<8;i+1O(K;a$T;VP-&e&@Hn#MxKDqKB*>yi=1$Tk>;L&Muo{7}Tg8gT zk2iV;`JpuNXb9;Hus3Gg#PMuKd+xj}c!m>X7d<>ngu$KV0H(eKmT(X^3Zh2NrRYH6JgcNtJ+`Ks=Up63j1ScA?`iXXpGY5jyVb z>y+R&Wog^|>3#7GI#0%@k~1g5vZ$}=D|YR8UBf3*!wB!ur5M0mhG1U%*8|b5`@uei zMV53;gNnyUct|3dDe>X_!9T)44nn%V;K(SWIKFx~9hVLxU0U4<)!4*!r*;i-N5jv% z_3Jsfi+^B2{u&=rwcbT(t@r%t!J>_*wZG>3ws^QjF-pRBtQ@ZL2e<`^92{5X*-uJ{ zVv<>+B=d2&5@dR~>;cnGkdQfG$MdPx_sAKy#l9hK8Ds^Xqi(SGjgNWeGX3VCmV?3$5yr{nv4~ktU`TKUp}jtM1wwH2rcw6+G6;E5ZAdBo;EQ0`=2LNx?He-z9FYzL#4 z0`PPNFn}xYs#9NqEa^=61UNuy@GK;}14TQ#(5#h?pQ8WKP&BTZc6F@-1~mvPX^L}G;RzR>3-+at{B zeLMg568Q5{;3)-Hft(v_jFw4A6wRS5lGH|34h%{mZ2Ifyk*t{=fyT9$!5A{VKmXvr z{sf|u>X}*Rssw6uAetv@IY<8Pcvv8dp72h{txc##Yc%fVk{6NgO2^8`2X#-$6rvl2 zTlMC1bCwS*syL8O2A*V*^`a?V(a81L$g_75TNxeXnN&w4;xi`sYW&9;yOd9j;vMVn z!BxDcJ^}ko|;=wZ(hejdA;fBlJKFawEi3zFl{j%86FxMbeInlEIiOJF5z)(p0LwT}EIW`5Gc zo3Ama5!wD*s?q+IHKz>7`81RnjnO0rHWd5b;(!>vib7%$Z+4b>{GUsT+s z3Cg5c-zV7?xbWI}TvP0cynDC^D+8B4+Uc<-_qvdOtbJo1P{^woG~LR4%(5)OhCizN zN&KSniC--e3qvXe-d2QOE=qm-?p?wW?bs#(*gK?Ug^r$8{-b!Yoof$FcKm4}ZKk_u zYL5y5=80;tut8)3?}Eo}O!{^(51P`)Wb>c5iZKo`_0*F)N}T-*W^}y;6+5GokTjru ze^hA+3GxU9dho5E@L@7vO9Ugx_ZaGbaR6hnA^Op?ZhvuE7wk2!esxeexC`I%vEcf> zn3BFX92<0quW;csg}45qAq`F)EP^jk6Rp-y-G|LDjuLEzC80deWPmoAH1xZdri5@&jV6cNCVuR{V`NPD={m*EI)DAWKY9M2|4wV=2 z#@HseY5jWI_W9MT-}Q!WNI0XY{Tw?NU_aJtOxZ5UnKiW<9s?;efFM@i309ti0EcW4vefy; zX@x1`V0NjaH(!S9eUgKr@vu10YZ*AtBE`Ia@0MP%!jhAk1Q#y?=>byeGXXGf0Yj)O zfCJuwTys}H&4rLG(=tC4gm2nX(?$0|N8U)e4Yo%9VHl=$ z9QHmw4D~sBzFjd)dpZjiAPF@W8;+KS<9`Ll`UI}Mz~%F4>Q;)rFA+TdgEgl-F)z$W zx~C7GsoVD;(~*CUnS;c8ljV(5M=~7AuEGSf&D~lkFupovxRVaT6X{ii0QXJOv6)jd zX_NdE7?XcUJgT2%^myv{4>=pC=)9Mhb~>6>u2NF#hKO#s^W1Q1I4V+ffbGPiV!p*s(5sUJ!vomtRktpfr4JdwU7csrPH@0-D^ zkcf)ggou#s%{9M6X10~6=pw=8uI7lFobLjJGU} z-ZiW?(LLd3yH78l&U0T#Ro{}c;BdP`><5^?7|1fx+$iPJw5+1&X!0-whghWlp|_9! zu-7T~v4u-|_rLAw`I*W3JA$=nCR*-~H4~W{rZv{7oWo~mB$NWU*(VyRe41zeR~@)9 zTtiWs>|(iXTruGOm8}%&Np=VS_$r5HVNitDA4|B_utq8=7K{qNFQ-!+fBPZsZUqMS z=DX=bkXi_HXEAXF!-U0tK@`Ek7dJu-miNRbkj_(9`V%FM6zYU3kyvRP(3D%|fvqCk z5}D2Z96YZhW=on*n}4Vv)Qf2r@7i}l;i4F!<-uo+w6@@lltt<9S7O~(Y_L9xm`Ek> zN4_4w~P8|IDw*g*Y@4-gn%u%AIVL zymVDUaF=v(cPp68`Q7bOvw=DVdb~he44BKJPrG3*FKQ!j3c6>7c^5}i8Dy^?DSls*(?rV>TSwrg2d@3%ZK<|>*05?Eoj|G=Js42qO@MK2jo=eJS8ORZnRr5 z$S4ipBf^|`E)kd4+#kqhB0LYQh4uRKw*->1aAE;^p@P!k>OvuKBC*;bt9#5$@%Yi37*&?_q5@` zHX8bf!Pdm6oDrCN#i6tFmxLCqSvsbqr_fF3leKTxd}at;2p&KTodd)SS6VBdH}KT?+cYAskvauFtLa_K7l_Kreilz! zqyHJ{zS2p~xk>m1>Hn;HbaE9h$otG?tZbX8>Q(GLF?TNkwl%G<}WZ*0{0 zlj3gQv2PhM=DXEw_%LrcDJf~+RIbij)AT20-7ssoqx8GpCy6gqRD9HIj>0x@hKv6n#Qm*6VGWLQy@47e*G$;8gsI7 za~k*Ca|(PD5Y=;%j@5=6=UnL^o3qdgq~2a9pQRj1VRT~TD4Tv#s9@ufnYK8#g*7iw z-UmE&f#7DnM=q>ljv8@vuBdkY9n|&xqZ&p*CVeUpH<%0!^eSd`!M4~{8jc1Td+vuW z#9dRyudDl*=*0>*-QC1I^{@t3E~l`YCK2zZU&#;e#6q&ZwgP0FAJYV-IF3R6c-#HF zY;I&01~^|iXMVn?&Rf`-09~iwywrNb20pCB{nYekXp8qm zKgR6WrRnM~c(!;$ruW1jru>^1V#z{z#7m%`#P?LMJPj^78wYgVIjcMq=E-d zjQt=Dz9lO`;OA~TTa@wJPuO#xUpS1JgS5{AcJ{@GKSf`D^hj(|CrZs<9goNSn*P%z zxlMJ)Didx6hXiy;{S|7lCqzeQQU=CrM{M)>?FdaE_=)j~N#6i7!}D~MaHIrM3lS}z zP+_%N4CV~ngn2{DW`8|Cx+vcJ-AO!o-B8MMZJ5C~)t#QxY8T}TPO>pLr1yIJ=ic+5 z+m0B%vAq$%s=EHx5v$X^4!VpTr0m8J<1QxFPfHrd`<3>WPA}=K_<|N^W2hm#Sq}2K z(z5{D`rd7$r8oB$T*xl+$$m)C30P~fK0g+RweCs(`&g*Y%EX{b?s=aNaPNJV*QCFD zgCH4gVUl)@7#`mFgk^4|=m*%jG9yN2lwjr##j&A5^i-@;97~4(`4gpz9$$+##f0{P zc+8L7{Bhn+R;$Ix9*5(v;>q@bakvPFCt;^8!+gV~uSuZj3yi6SY34B>ZnIfkO-I!k zj!B)KF#66N@SB+b|MB(R@m%lk`*}ybQK5`VGD_JbGO|)yC_BkY8Bw;3%uX6A8g_QF zGmcRO!eklpyqRONOAwdvRKLg7U}V<%ZWNd<%Tnj)sS7 z*O{uj&hG=}m~a04GNr$z@%^vzId`yykNJed*eOzspFefA^v7%DbN&i{Jz+cIhn$xX z-m(y6pI;lv-HV-2EPo4o7g*o3_GRd<&qgejX5^T<MNjT~*zvMKsnVR=M$27MthwHT;Z z3EfvQ+d9iLUT44J)Izz>yEeiZQ~2IQ9on%3SLv^+H+Vsbd1v^v^=P&s**WSJ`maZvokWA@>!5V1Gssa|BkblQg!hj24kil{!rICAn(m zVlpgZG*dW=vvtM09A~S!lkPEEU^FKQuU7Q7TpsxHv0i`^QX=)g+LTff8loj5q^%FY zw*qX08&MhgmuUv!wwFHH=QV@CoCU~GZftb4^RL;PS{>>%K@0V#^E+!4lwWAaAmE$g z+`=rRwJ%Kh&xU(5!i9OKRDppSoP>SEb-ss~AvUj{q83OZTwi6t^Z5a|tje(&&q~aa z6vDMH{QR^eGs%;daDROT`4(a3e&Px-FmT3e%QL{>+IC2Y^nSKZR0Ob>N}Zf+j}h-bh|u;Bui{BYZ1YC1Y+F*<(v`3i~uxtd0p!Q^pYB?|L% zINT{h%c^uBLh`7T4syRMAu&T}#{ay-mS^Am-DK&5a&9lG_0K444O!NsS5;aC9koV-pq&im zI@)=N?tL4A6agVQpH5o0OLckkL%wIisHurz>mXi_$Q|E7$(XK}^PGIfQ3Gm&?hIa( zWjg;sZ{k@8169FwhjuHKC70nEI}S(Qd$9G5F0w=$pUKjAMk%TwfkKoGM}uyz zeS1EO=0_?NI#7_SLdp2~XQtu1RcgbNd&xYEK#K-PJ)ixie)=DNr3glxn`1C9hM2Ug zbEot&;o;ekqRs#LWT*(S`U(R?+B9ZWz3ji!CNi@R=2rO;G)vr-|1O%(DnnY|QMox< z>}wN-AB~iLdB4;pP^b~Sk+M&kGz;Kv@WABzgdQx(~(~_gqVb{Vc1G~aTqWYeT zb4J*=Mh|X0w{@`PSjmC8txe)oQ&Vf>@drC-SOU#r3DK(R(2_#=g`vxrA23 znT|hSfFf#OrIf2ZFl?tAzO)+p`ufTD34I+#faX4K!e2^-nRLEVTiQSzZ@D$iCj9;S zj)Ll%tHd-W@XkNltCWuYxV+&5F#U)%JAD*s7z^EBzGRpw1O9H$nV(|xeV|lGfiK@% z_=nY(bh=(g&X^3EQpXFWnL78&Mg_6mJ@F{Ntp>l33{hwvvXr`x|_a}WQ zu>ilR5ETQwy*{k0r&}$e(0Qi!%crPi>c~hP6O{Su*weBo9qnTfKtLB~EnXTJ8r^;jU0SX$%C0!*q zm`FP|WCA*@*zQdS-|o&QyJ5VZqGp{y|B>mCzPgFOA2ZJwObpqmREfbz6~&$Jp97UN zjFnt7yZW)bb;+8WJw|&H&2N+fhGnB_1=W1#s&i?lxHI99PgpXEJ{f&s4cGnqgac|$ zTzg`d5D!lsQn}-u@_Fcm?S&QUndA(4*fFk^b#uIw@f-P1#)DtsKpHx;^0MPx%G?V)8RS6AR3K@9}1*zCQbZAw2#yA=Qm7P#w zcbrC_6n~s90NFk6KtbarHja38T z3c9+w$pwCwFF)8Ozlzh_+nEwxR-nytR1DI;2p=(o-ZsA40SFMohr@w~r_b*|w)aS$ zHG(v^&V$c4l#fTX=V|`=c!7Og#!~5`u;OnIej9GTvm@>Aa%`h-b8lL5YuClU{|er& zygs+A3-^IQ@e&atg?iPdB*2a9EAjw`gq=NSo(Hmf6{Aqv-giJmZYNZNp~A7MAKg8E zQMO~#Ph)!oJHYzp763zIf|&&Dt|Y7SaQ$HUZCo13Xi!=EW*@6qA&xQTk3*q7t8{<= z+KeWHu$i=ZFckdb$B+HX+Ld;u^Sz>*i6qYnZHOiiPeyijx|O8dRW6u4(Ew;#!;Uao z4#$KTVw77@1TNREVflyfozt}}Aq-O0n_J${-mSho(O*1x0DgnTk0l4oC(%(z0;k%# z!ki}LcVE*%qA$JWG*AvV?6suyRC#?a*0$U8>l*5j)z{y73H#{_Gzg z0zSg#yX4WMM+P5m4L&hhFikg5L2f`LfWR6}5zQiyP zMoh@6?n?wMFf#q9->Psf-vnLGDGwL$y{SVb1jTIDuD^0sVkg~G1Mayl8^-`CqJN1e z-NgmS<(JL2^{NslpeZ*eNlfO6);_$Y=!+Y~K`NGT=qpUj0_jZDm-hV$0$O|;z@C8t z9?x57T?@k`S%eM0ywLhNjRTbNlNfn^(rmbiDk{m9un{3xf4JL$ie@mxYDEYIOQ^F5#cH=iyI>TdpfjFct95M8Dl>d8~sLZ34*`!=?gm=X)SI zENEg_&}p4}eVI3~Bzh+#E>cl6QB@Ca25ney(Ci$zY85rBI-OKI9cB|W`h55&{{+_g zmQyS5m{`VUk&&14&elM}qOPJ34abG%qx@thf}X{_dGmJFrWV>0QC5>RHlCV76&NOI zO0zQRJ&X(Z5r>0v;dO*#04m+~ud~M>T}6p~`m>7p5H~XHMc0tX!y3jYt%##uTeJ&) zp)NKNE(6v-a>7@je!M8MMuW+8qTbjqyl&pN zCYw?Wp1ChYE`=+xso!wqJC%=i4vY0+!er_haQY4t6VnO&J;dMp1bpgvab&v#xqrXi zLv#S^)Z^<8yAxyXZV$V{BJJ~+CwiNh9b#-6M+t+2CxjtIn8_KWjPf>?NFXbZHIaNL zVV&oMk~DDdQyJHvXeo3fEeqyNI{2?CUzrf8rOYxUlIsBFe%jD3c(Qb^sbI}yW@eV= z?nQ45Jnt9~B^9P~DDMs`9yN;*L9QA98R9W7Q`?qUWi97iH-A+!ua;ev_>crBPx@+8 zF*QN^W~+S?ouM{mfaY-U&6^q-2^-665gDgo2B1#)op9kRuz7iS>vs>>4di~`s78F8 zPut2E_+ftC{DywnjwAHP*T!&E$@JLKs`7m_jGHaCVMFbJ*uX-`I@I@Pi&1Cn_ zN-l@5s93A6C!weWWhxOxaK7W!SF>6QJ|Ww<7~MSw7<+83WBns|8m`|=loz%i8yUEy z8Q?EhDi*M}Dra29G|_jgIXOe=Jiid1 z%J-mhZNW{7ulama-AtreBmk8n$xSjSASl}Tv!;za$Spx zO8@-%^I(D%4HKb}R8Pu8_v6m!H;h7TxJ89?S5)2gE$`I3gv2Pf{fI`qgR10%!Z(70 zF$pbR?ahiG2#**@5Q-8=FqiY(KZ{6REFz_uw-nc>=Yp|;0Iv^IKU+qoErQToV*;SS z7A0%z^!7gZl{qu2ABL@FsxwD%gjES)}yXt+OWy3Ays&D1&N2 zd3hu3bXy$hjIe(^G?#sM2gR!qI&UMa(>6{U%Obk2^KPhyMFEu8}=N!0NgeCp=vca|)~J3w+(K6T^1l z$554>rq+Pg(TAE!OAj(Ji$&imt&%(Jm_Uvlafo&9rG9HdSA8`z!T4hj*LnYnW=*AK zHOtczuEpMt`Ir&C`SI?g1Mcy?PxtU`^ZRr@xB2+lsTVdEn_K8bo=~e^SJX_aJG@om zfC_v-ackQ5NG+ELP9S`-Q6ZXBO13(EH9^uTzS-@+n!zAIDEmOXWQCB}A;hp|O)`Mg z?KDi=PBssyOhT4Z#kf(X$N*C_5sPcB0R-4GZi51)s=5R4Rn1XBGy$1_og%QNo{`x8c*-bJ?yU+)5*jjrR_r>hI=J}DcyE?j%H)3 z$zTeP#M=kIKcvDxqj1aF^m)7Q+<9+al7(jlI!?~81bQ70ij_E+kHmx~NKe++obvoF zad2HIR|m@DA&V7Ti3_?BtvDz2C<|qlqR!HIlcNw@a2|x;3}CyZEs7zaGwFaTXi&1d zd-^I?;2}rw@HE!Gp?d3ZU!lnV^L82rYx%$~7cutjbX`?HV@D2f$Vs=TrwuqhR2gT?4@y#i~ zg)$Ej*r(O#h4bRlrMqU!`gzYjee&qnkuWfH|A7OirNYpVCYS+8Pgx8cudi4yg8}oF zL94wUQn7S{+v%SH16WH~_P9YR8yFqk|3exR+0hWQFOZ+f@CI^m>>da{cgNy8_z?5s zVK?;4A`-;5?Vd*^d{@+3CukJ#Mt!oTrK4*?BqoM#I$wA-FDLT3#vs!FWh?yG9so`- ztQ<*6O}B4RYeEI>>+7rSa$s6g%~*QRTDed1P7#@EBHZ-av}qWwFq z`d^a9-P07#WYezmrKXGKx##2`yVAWQNklxI;qE5tQf9%ip~1_m;+*Uh15~TCDw<$6m;HNR|IbfF zG%YUeS26Z6`N+pTd-n7Jwt5L{HVM>>pw&k}JobR!sv9tcAx!cu&@l(hI= zcrpEeE=2YAf!S0Jsdj<4>xF(?f2UeY-gj9A>S^mbE0+MDLGq>cd9$ece?tQpIaKhvP4v@@z?D#Dmw;CjSc$~X_*c6Qg7%r zq{j!d=xChOdP3{>XA4vCEJtzrZJyOAi!e%zkLTI2;n4}t&hwYhEVe@5e@B@7w9~pI zOPhNL|0A+(NtM%oo)Pad-kHSp%;TfqoFLIUekrTdsx#_&aj{H5rwZH-3Zk#i^!z!H zcCFs)(Fo;e03id&Kz4gZ=EIT2sxL2LSkJ2?^;`ZDi~xmm8n1XwgfldzEI=?FMV)^i z12Vt16b!0NKyqTwxT*A$AAdva&ZVHBq$^TU>?8$moVyU%sfnv4FM<3q;|W>ft9)|* zugHd9J4g6_y$n~e@}kfT&$PSBlapq!Pf$okJF|{a=%jYh4HGV2eTzx9O*4*S^yJ+> znVGLj6T?{c*Mn_1LFz0BLx~T4|)c?ly*v-zd8DLnt{&3k|rm_HvY}jecy~w`YsuU{+5Pc;c0} z>5uvzU*n#u;1cRcJb%inb{-uIITeOSW-MA7T9i6}FG3&2wk3@Uz1iDH6AXJ*RbOK$ zc3@CYhvSaHPIYjDG{AZsUqzofbzQosqQK8k7k%l92-xvsE@-Cl<5WBSKL2c9`l?VS zH*>YFa4DOlfMBF|Mi$2fTOi(X(;p zB(J)Cdb!E#bkDT*tT$9Ifxf;vw{L0+=2WH>LzH~Bnq+DUb~4()d_V+&?H6F9?=UDRFbVj37Z_|Vt*7Wi@q?{+w-4)k&gqF%5nzHs*P$j zX*QN&GnH26S;UCDnY54bCf3t}+OLEe^*>#T6BmOwdIxO-itdD1Z{-CYr#nfw_nPjn)^>^ZzWEB?fNV~E2 zY06inEq?xF+Tfqh=6J>AB&_|C!00Co;nv}M@%Mjjh}j^;XPRQ zNy+T}#@P1DuWV19iFpbZxCU6){!s~@zZz}qK7ARbhTw1gt~D{gYyW7ugayDDPoog3 z>1&HenK<^WIQC~KG{4#O_0}pdmtl z)Zoc1ny!$`%t^QtweeN8@9bxazWea;)ED_i{Y6?M=6-d%UiQ|e@54h;tDXzn+pKWg zV0_3Y$+pMOZOeY^)rnSXpGdD~)w+D&O8W6a=K8RKF;0CiM2gj{Po%Ao35G)ovt-%o zw%^UVM64oHQM_>8c{HRlO8`Fu*Ns?JWotw3%7 z8R^SWtUM?K+!+)anp9q{So+z-(Do06vcsl=%+r)fe9m)1=&Xh7DDdV@%{XPG)H$w$ zW@rG?$xL6hNC{JQ1ozkxsL5b_$iZ`A1h0?B$aru<#^cAMEqk_<3!m<^Ja~G?$Uoga z3S?@1Epd&?<;RAKp4t^oNN6{Vm3PxWkD5mQ=N{)b6#*fFnPv%2w&{v(R5Uex2`V!O z!n+ITo11mTvpT@f5@Nr?1m>dB{OcdDA(d9&YkWwr#J!-kT&z#fps>NFFN=Pb!3Y3*~fZc|0Yw|;Z^iSC8sc_MjQsxt(C^)%gPnD-k!jmKZJzd8p zT(g-EwclnZ>9B}M+&7%O^}J9n%g!nj>P zx-yH)&?9cRe?qh4^XFl)Mxxp!EU>T*Z_Y)*+CD!yPlhiX)X zG+li;bScQGo?E*iRy`sv>Co!uA(RUU&}C92L~1RhkU7Xy61O2S0NE=TvM(PtVo?>= zU}M;y;AmsTJMn0LKCe?Tvyii?)(fii(g`8M&}K)eh7-7q=L zOe-T66v^$VKnd(G!xS%eD&>mJZn82yLaM9=xD_6Ou`4+VZ!D01Fdp=3+DbmVsbEQY zsjL7sfgTP{JE2%SXwycg_6cP0z!7Ei&@%OdnSz8~}PB^umW69mP-rc`H1l#r^mr zWYHpul{g{_0|L`)GrtWt{YK7RoM{qxM4f`e%8eU0+)Gx_PRIGSbOg-zOtpvgM;BDO2#{CyLaBtpzke^w1RV-vv+GWU&73ts$v>Vum1w7E~FhqCG< zs@_V-&zJnOaaTO?B^OcI|M1H(IpQxjA$Mf5|Ie|vw+!gZEOggWP+n1}qUGnkm#^rs>cb z!v!25?c#cLsLJd~ol052UNf88{jOYTz=fclVJMqXTXSx9n|Vu6ek=K7jqEkGK?^#% zxPzYZKXzAFPn}NVgA|&@Uf_{EudQ_sS3pGxH4azKiTbBe)GG-~p|)c_NG0n_bJx{Z zw6fQyOm^~=KC7S=#h3{ffwG#k_4Qk3t;tXFp^vofy=3|BvI-K?r~Ui(sheTsau7;# zF<9`UOHfmBU4$4=LGOZ7F;$a$r{bIx@+r+y;mOSSlYdM%SI!0KsSvVhCWJ)$22F>M z=$hK;I7imng-FWu@))d~bPtp7mrvwn^R!2M+k_ z-M3KheHsHxHV>FJh(3v%>0r4i6Lwle&_fvRbbJm2H=?hLBs3_SMb3?XMJExBzHkk+ zrnw6a*D}&R|ez=ho%L%WV{*5+4Tp@1CH(Zfui;|EeXo5Zd zfH}bRY$u?aChidZPdQRybE(FFFTq(Q3N0oUmXOeitcvyZ0FT|XHabjw-nmR~UjOYF znls4!v%ewvCQ;mhm3bkPF?oYGq&;71gzAbWrBX)lkFaycdA`N28_-w#+FQ|GdnzTl z$y8f=vz#c8!^SzoHdP91gds|i zym-!IV!J>G?RrXX(@fN5A=-Std{||LGAe>yM4VQBJHj3KkfH0HL!Blo=VDD0!GQ5^ zHRx^1=;v7ih07@;;mVo(!~$CA-Z$Ba)!WZTzOOFb)47~d<)uE+F{7_0{Rv>QY%F{P zECZO|Qil}Ipj!n}TY&D{seeqAi<|siP~_WPT~kvqqV&9y|JC;~6Si96SKp1~6a7tX z^>wbM?&&Ex{o3hwkhtT~po?vL{R9>!C!z$gpaB9uLBrza69H)15hI*BYhG7(5%Hny zIqn)gS7(`ktAhr$Ulr{(b`{0u_kEt_sLbA&v!^zHv+=hu0v5EXb9GCc-auA^TW0oL z2|YHDuvXYAY#`3M5|1-?4`(MRX6c{#nlp+CNqk~U|C!4Ly=W1p_7X$He&0)*qRP^L zA_2+^m$Zv(PtY86b#ckBzG;e>L2I|>)t*H@#>{)Gs$VM`9q)Odx+lnpu{!K6zXTBP z^5@r6w51etQg-#0KW9i;#hyI8Kapm+j{mlRqor~a{k4gH^ygFW@$O&EmLB>{q;zA{ znL^{Ep5HU5meukd5B*(Qt7`WsVvLLJgEP_{!Ooq(Xk}Y{pjELzOEGG>oRt=K4`^-oXlfr(POrwW9&Yy% zW;0I|9HC{vxg8-#)v*|dwo;eSNAb^p%`=}&=B60*H%6bk0VctTfI?&fH8vl@2Qc^) zmzzBJ6Mft5=#cQJS$`#crF7se@YjVPFI;T8~9g&POivMy`2`NI%q*=#_Ke6toYM(p1N4ah^qGEjgb416$ zRsG8Pl1)2gg;P_y$&-x5KV3BhX7j!2=Ze^1{9!Bys>D&`N|A3v=bvnnAWrtAGj6n| zYN=MIn#$-0ND&gZSuHHC|8Cb`^di4i&{IAn**u+2YrCx9G?PL$5eGX*WDwW00u}r` zh_Iu+3|26&zzCLITg9gUc26vSgnpYkV(9q#t+exl@a(058qSH*Q!+HM^zMXGb`#snN=3gM*Lzz# zJ7qQ92fWx5{TRZ_&oQ$9+|}T9>=zrqBO{-f5^YU;58a@L-RI-iCrQ*df=sv`iM8du z79V>XUMR`QxAz_wO62JUojN~lf!?kjjj%is!@Ni)mhJL83GWn`4Wzc(D7{xKIiXYEK8pO>V8R%P46LBnW!1!+^{Cj;6#I&Adb+* zGT<)+GM=Av8c5B3co>3tQ7G;|1GrDc-*bfo<%{?1J!?f;C;lI^5pQ7H6$%(z8BLELmoyNTmezv|x)Js+V=L)!pcJ~XgZkbYI+XN< zYbFhSa&H=E>muIQ?x`ilTkanXf%2I+hb99f<`eOlNMePI3(9gwFmY>Wecl3S!Jj(lumQU0v<&d5R&2_R@8_H|2cA%lX?0{*MjRMKimB)+Eeqpt()q&4dkP z;cLKwG;W}Ps8ZH~J(8A|X5ZxY>C$ElJ;=BJ^YX8UEza(K+5yt$bQ*l0q%vgl8Bz|N z-QcmPfdI}Y&DM^C;bTv=qLa~b%x|&V*VISS>I$Zci-YM|zwszoSSMK>lGkYx^V7WA zJYX-_GpF(M*4wpyavPD z$GIr!C6x(BQ3y@=2Az(;>;o0nbxg#%%8JadEf>FbrxHi*kEjWn*b)7~%b$*<-(p$y ze&+0!5mlgD(9O#EmmKlsr{QCL>(Y9*SFc_L1_pk%_mZAIq{dXJZ1j$@T*NCG;}XG$ z?{OGiN%iXiszggLTI=@i<)x1wbIsdNl(!ZM+tTE+akEp7@n?bqiegvs&6_t6@cR(n zAx_gV%z{uO39aXUMu zIn=Glj7-Y6en)HRnSIM(uNWHlk@4+yHVciH3prHp@Lovk{qSC^lPhsAO@#=By#!vo z@}0^JGUYCgty?uwmcWh1wy?ytrV&~tapN*?@5dE!K!)W}`yKqcrmN^z(dmD-n7nXS z{>Il;^w1^f=8Qt<7r}X5|7Ta=yl3Q~*C!F(BXFXht3LmEB+EppV0@N9@S50SIc%Kk z3Fe`Kr)LS~e|_Rn(A6PC0fufp+|f_UX3A;-~bCJ zINg!r%VVEm0%nF2ZJFE+k5RbGF}VOBBbbGWR=alZwuFF$@W(lL%-DW5gqH0mf#Xnb z;QYRW=TkAvH^N#k%>W6Mifu&c3|>^1J0=vO7aNO$`{Tf z{+Wq5lo9=J2cL6W&OXrJbYSYIGI1a9n|t65DwvI)Lu3wk`c0c|&oFzLSE2rSvhAEL z3adO43_T0s+m{16s0JoAJ~8Z8*cU@A{iYoJpuc2RI%HMGp4CC8TV58H-ARm3Wh^6PX|Pj zJ#I_Bf6Haadp9*@hq&MML;68$(zuMRcTctzovhe1ny#OEu47Pg^nP**uSAnNcqDR0 zm#G$9F%(8L81JJEoZWeJtx&c#)VB!-qtl7%UUXR};I>;htyLrGszTc3j_Omd&Y)PU zd$v+v@ZNE}n-w`^WeqATD@~t;GwHb_ zd{4}+$1{?LCs*s_hZUVDtk=4jI?09x#w>Zw^*~m3kkgpeM{wy6u?_9lKIl5@UO343 zW|Q?nl1IG9*{GuA+&C_oH8nE?^_ksOCQX7Sf>+CehFRJQ28WGtN|yuSk`Wnm38<30 z5DL87ONSRxRN?BfDiGxSKULV=E@h#@%!jSGW1Q~HVh~Rb&ul>NA>uxNAj^pWJbO{% zr!rv@f%C#E?WKklfN~WicE6OD39NJ{LCAUMhUk;0#5LtzL;`ECj?K9x7MoC#Z7mbi z9q>`QadF+uj+XtFz6X5=*h!+sP5r%HzNgCIh0RI2^CxeY-y!bwiPF32NAw=^i^{CN z$F-EQc!ROu4WZFrNgwpQc2(tdJZq_2f90-f@9(+&Vd|YD}@-gK0u5+2%zxLWGY^bNu7l;dMRS2@w zUHt-ZO}5T(O(+;Z&Q)kWywL1}T}aQC-CuniSKgC!#X{pt;6`*{MDHH2p88>T=ra?`CXV^KIgB4wHMn`_{T|vcVUJzo()D=t`+uK` zd7;{VR!CyyY)^fX$VR;!bPzBmsgIkRTfrf9zpAR>O;dvK4Uq#A8{2X;n<$fUlkX_Z ziud)tK%`OR3Xz%ZK;B23OZ#{G(8lKH^-kT zYN7r@ok!>mrKF^|?JeOFSu#0s23C!fs_7d)u_HcKxc_Ktt#jXX$bj)S1Dmkg@8IuXW0Z_NBU2dBGBWP# zAM3gpHSsg1Z>f4k8{Mw3x#Ge0fhk^99H);`*)L@)s2bz3bO)# z{D_!ry$hz99!&m`JX=)!yogrSiw$KXLLo~@5J~NS$IV_@j)oG$ThdaCO^FA(Q$YEubXHABT^7r(g zl<9p#$-Ev^l`B!*8p`j(wXu1QcxdSPuh8}7Vm2_h=RC861WG4(==3bl3D7 zcA+~WN7YUVRO;?7Yk4;MA>rim@g}*iG7&am`Fv}iw5sXn>HFN4wWW%BBPnWf?6 zLA#A?EzP^Z_$V>UqT*KCorDDGtFOkY3F#)G3$Ae8f`sS`FRo(Q-&d0u$oH`wd_GV# z&cYS^G`O3e7;tWycXs}sqA!Bj3upS-UoLz_h78S^q=cIN2NE& zX||(aLq?6feZr%#FTJRE3mYH_@2gavFND;ZnzrVgnB2!|t}gmB$@awK92Sd{A+(Ha z49sG#*U2OuCP0sATdIEbJrTaArFHT(&-=@%$Q-?V-$6wA0GCI`i`dy|NesYoq4sUxFW8lE<7{}Wt<}D z@FDNZ2;2=Fwm8O)1^#_k^qGNW{yRr{B^@q^1~BUKrTbAjs6NuIDZZPo*O;z#{lseY zgx8bPS{)RBm*gGl+_GBlk>&N#FC|Yc^*8XXe#N=KHjHfN1BBbZ#6U8a5XXl#8M{BV*W*G)o= z(MTP!7of`(ET8@|T!&V8Ob@~8SRe>< z^?_Sj{p6+NG-qnMym2N~3|=03cVhB&@IS64M;;&Cdf~#pjQ56zoOAAeOJq6>N@ojG zXyGPhIr-6001GR{Ts}JWqNB*f&|R>+Lm)sjPkf|016PAS z21FQ(^}>*zniR`{i;3rpsMNn>$A4GOTNotTZe;_a2${EqPx9GfDmXv<;9-2KhPIJv zHpdqQ@;ToiAs2Oh{dE`Bbcrp`qiGDfSs@g~3|Mwn8pr57qJGRh`k8yD|D|R1XFPgS zk7V=Z*7_pFJMH7k8jw=EU7ok}*@v(XA4Cl=k2d5vuiQ0zn@(?}2yWvURbp&5Tp(fz z?s7wty8W*)rckVz7uIat=7k^mTp^Y*)|2WQ$QndW5zAfH=e*OZv~p(h#=NH?j;0qu zeqw)~_P=Z)g^T0?QX>5ui&wkKo|52n(TF7Y&Jmjj+F-e80Q)}~u{?GIy&g%}ed6+Y z!4Ecn;%{$=H(kuy^LCTn^n)?^c%70;kbjV)?7J=F!9;n#Ri7~1N=4Im&lX$@iV88A znQBnyzeKBBtC7_V6|*WqvQs2YveWn|pJfyjK$P&6xKKxr8U_3W_kZ@ys(D|K2SyEq zW?QfSbHU8h0xaCa=Q0T->SN7jC(4r?nP3nZz=l)Wb1Z)Y%q04HaT2wWLY<^XY7c`5 z0*f1azJlpZv7|22p`)cs3PHw+eGq#*zsd{?USqhmWAN>rKPeyervlF zQ`qLeYMvv;-#*vzWW z3!v-i9f7#zMeI@|8=ZOQYHGi7BvHBeXsX!=S8^I#`G65a=y*rre7FBI!}|5<=#*Q+ zTnvfkRjdsfDKS>-kN!S%a#wW^zBuT1=8^ilM5iN{T^=;$m3$rKAo^_JTooSG zNeH&7$`aq%zfD`b-~aN-$i)vA#^4u})vT|Vp^5`JhksQP{6z?&8O#%QK(r)Jfb>g` z2jeS+VA-55U7E$ujgL_nmRhyfY;c^v3Fh{v0HGkgY`yI0zdkiI`cwoY183?J2YD^Oh*flM>=^tZLmAHTb#E=yaGSo% zkJn>1?;P)CHa}XEw&lyqWrF`gDkX1ix?wo?Gw<7-*yXWuwHuu@CNVTi&;hK5WaF>I?#`+J70+@C=MEvL`N+AFL-)ExC0NVPG zvrXk3IcsirPCeC&4Vmz+A%@+<=FRRczo@7NAvuJ{!kqzIn#*=uINNM|`iyEDew>n; zFl_AWyK~>Kspvu984trvsF}-XT7K?M2tJwfyEe&R_0!Lu2Ukh7mAwnTls7vivGp+G z6J3AUqRua5m z)`GE!f#Y6*QkI}Spmx2&-eNX-93{b`j1H0>Cq!} z(QNBENY|U8Oy}b8+`Br*$wG5H!OT&i(QM&}&$4I|lyFYPM{7`GMGCR9vZi7dtW}ve z#l*79IrQZHMEtTpvX90mhTvl+<=#BL;29RgjOhg_+e2^&7zFAU<}9%r3Erno>9`I6 z&)C3i1=0*4AM+2r{`AS1NAU4!Fb*Eb?h6_F>Mlz(GPGaxXpk{ z=Qf2= zKM0{=0hoAQ!%0w`MMN@Ct)c6oEKpEazm5oh2Y6ul_bkxmD}L|P(b2ioR|=;Fe~E7e z-wONIwJwDj#ttFP({6xw{G%D3R*h9R7dRxA!0ct~Vz4(G;0lWzTAz?0tTP)cCcmlj zeat}4_nv;=T@sNw5TsbWj8oc=XLU)V^!8>WZn*YzhOI>UH)3t^RpQO`?m^04>?xsj zI$UP{%`$hU2BL8(jGNc&UvN&r8FQz*i zz7B+{-oM;E@kr)&h>d!YH@s>oa4`MhoES!OhyD#iH~w)1a;=l3W`4M7@*MRlF|+E` z&gFcs^YZg`Ve25Ur4}-}PZ!_4KaD|B6%M4WX4ST1BA*6!&2j;%C5yb1`5nR(eF>)@ z>w*quFv8yiaUS>+8h4RR+Ht^Ttj7nOb$`X1XqWn%_;n6~@tOjJ-0#Zg-*;#hZ>1nH zuWE)ZeW7!IIsk0xtJPyFBBiT_x?esrxxQ)zsgW6n>g}JMM1bCpqn1uNbDGGzk$A9P zDcV1qi7Y&_lsW_n;D6+Cga{1vj4h+Bbl&)QzgH8Ew8gt(aZnUZCp-sEf0{WiAnV%gVSe`%Hu8 zWUCIXpZ;FpVKOX|wr$?G%bMXCLg?E@c9~xld-9eTDzfKgHiW(fvWa;#0259Url!OZ zTfR=R?v5mnnu{NAAPpnj*LMmR51jqrKB$^^`Ag&(^{}%#omX0l9|ml0DhaC%p`w95 zRy>-0NBT=AOE&z3+7v;!7Y<7fJ%1iKiuzKkZmEY{R0oP3Cs+N$Y#D{Ue6r&|>w8-Y zvIz&LsM;e`G*x=}IGu$s7jXoGIT@yT))je(0~F=H zO^V0Kx_hw*w5OKDV(*3#U^xK3sk;jfivy$Yja#(s|21>q$RtW=NV*ngow-cUDl65Y zSIj{nv{LHvf1OgGlQ|6bV8)|9N1vS` zCCU0<2-}pa>t*#4p55MF(}e#-g$YfD+{AFZzlkphVy8Fn0t4Cm2^Hp2_6{3x3Jces zhzDKMVeCc2tN|OPA-WZ1{S*vXff1}gu+6U7F|e7@i!xesTB8`Y{A*IA!-916we!V= zS{n*l+CZmzBj6W7KylFsq}_9HroBuotqvnOmsR&jQ+oWQjC&SS@+FAkWEgpbf>#<1 ztG~PVLpI`}-XpY!?v8V;r5xkM=+O?IW^=#a1+P>dX2OhDpE&s6(6>d!X_YJ?Vz(`X zx7U;=hq#@DSS(Zb`Y4+F64rhw6b@}0O_O_Q7*iM7@8gg$IX32g3gu%<-1#W|KW4P< zhhuEvv{MLmX6={zyw66ON+)t!`fI=x6@;HCF)~Y;O7)hwRRVIi$io$k%f_K%t$IMC zsi8rP0)ZEIQA}L9t5}Dy_`m#w`ZzZI)pItx~_!rA?Q}D6TN4JW;L_f<| zqZT7#k$Ynoy{&Q?BMmF^Ji=wPQ&Lkm4RK=>)8FGUq$LTy#0UO#c6M5VaX#85KiR|c z7X9@DiR0Dogc}TSv2KktCSJ8+47y1IMU=Y{7E;PzxTUEae9B=*bONo0QOpF0EDt?< zPNLO4o5(oej~o`Kh5jD*X!u!=gl8Wi0}ulYyXU){dCg5O-x5AGixMOF@vDb%`6j-@ z1Z1c2p{D5Hq%8$6qUBrFn?<&7e|Mr4aeO;Wm0%M~XanN9ySp{U;bHa@tiLMvfRZ22 z`AwN!9P{?ZmeMkYKPHSih{}l|Nl)Io%z(8zOfl&2e(cXR&`ia4586B=2K)LI?cTLZ zcN-mPC&x7$j3pY;IgqX}Arm!FkDIRq|NFM*|HJ{IC=S*91te>!v^~DG$`T_15w~Ee@=RF} zjF(t9riH=M!49?2`@7L=64X*$Z{NP>$-JftggRn)ct?1Uc8o1}b(UbN7PKF97NJ@f zz%Gw-cDe^gzX5s8=V6}Iu;>%WPtK!T@$JW2N5BavA~9j(`=4vPX}xJ-XRBtK1z)by zt8>jmP};7eB43}iWllD-uyFfZPbjK!q%{}&1)f(R(}vnm3?4NU@GPmQA6E?ZYhWPr zfu`Nr`H1m=^q;J>3@Ys(8>a;`-gK1kxJkv1uIPVlo(BeAFA;k%V}VY`bpLdkk;XfK zlXJLp$!iebTEi(;GLe{B$WK{ymye7YvJgTO!SsW`ebl1Ls;@j+`}5(4ABAsv`;oAw ze&y1oeJHY6lfKtS{?*E{?9yRM~#HD?gN zl+bFdY(FuJ-~?P7?p#y3UV>_SJI&XyuIsWVPY4Y$rR1FjDDE6h2u=yb1(3l020S!CCxDVE~5fxO0)t<#TW`x7mz3gn| zYIe-^<*{%hEVBAK?vi}FgpM2X-}m@)8osQCgvDUJq>8(l5i$w`>j+1z#2I+SnQWCW z+6p7NCDv1rZKpseE~`n@K_RK2%cT);=6}N@bIG_unI)2g`)~c}16rw6D44K*Ki!G- z8(Yr-@-w;>)yug%H;9VfdwlG$(cnIMmC?yJMXQGSde2NwvfrRzsmOEd-d>6fjr;lv zca$bSoqc^af5Q&mqPDXfdXK3-lQ3vthn^OnUmy*coRqKM@-SMa*e{OBGE0e{!{&;v^5UCDxWuM*-?wewS#pU4)F7AfB zTh|r&w@2fV!kPV)<1Izm4r?(n!}x%WE{mW=7!L3@GBO@l|3~bNTa(wmqD@0(qAAe0?6f^TL%O z80(~GRU+@P#v_-?_iB_=B^sW7W4c=;X5s_+&?n5Zku*DeI7|i-4VYbjUfbnwz15*p zWy7$zPN{s~r%E`#&>ta8#oFJVK&=tXl!&2@SJrI(4F6V^@h~)zxmsE~1h9|tXl%Wj z?rzvw^!Ggf`%f4Hk5RHt$1M((Q>LV(>`Fwy$Q&#?O>MP$Df+tkG~GKNN}~n6q~>ez zlbkJD{!=$OcF)i7(X{LrD?LU;@1JbW+~GFV+i^4M)m2-Jxa;q|oWY2-0#)B63ANXSL1-4lkld%g=>gu<}6jUX+;d zn5pPMj35S}CTP7wj*{_dXW)+`3bF57DV8_a$CMb2_a1Uuv3c3EcT}9a*XFYXc$Wir zs{#W_0HZ&)geU(NFg){BoEY%?mHOC_^FE1^UvI5U;S&4`K{+(ypSGI4i`S z7S+ENw?sdsuh#QdcBqRQH3`$p738~!@fgHa>{3#fq7Of}dvM<+=HC{rJ!nP0_w1tI z&NsbZa55;w=umv?Z`}WQcJ5Q#Vv4BNp@$r=sHV@w|16mPCIy&LE;-k^(J&@1PSKH1 zNNB}&x|1Qp%=Va#eer?h|)6X$-`horqIWHfr1^FXDCo6hP^sI#RW;39kE3VCZ_|DWjPtpvJQ0cJcd`Rqi zX7$KRAgt#cgRq(O^7r=sV$JogpBadBO~oJyC}+!tn1@xPXZ{~$?;Xf>+lCL9Q6eJB zh*HQXl+2JlN+BZ2sH7yx$Vg_BN|K^NMubQyo8oS0$ey7ndlSm`9v2$-^E~hG_r8DJ z^{qR6zn|;6&g(pn<2a8~NI{|T>240~_`Ed|N!QQf!P$99-O`A7!PBIT<;-?8v05>o zoW3^mZg;Ubxx@cYMnj{@6KU@KK;Oy9srK}N9II>pYy-HoZQ+zxmi9Qqozu1%A3fwF z)$j%0X_#&l#x5wTYi1_0l}pBfw@2=Y>4$R*N#usjs9GHIacUgOv6%W(bA&#Q2QIc( zuAS1U4e+A<{&7nQZwx&r?`aCTrkiqSuAx=#{R(OK(I1@7M6wVeLUT4dwQn-&5J6`#>r68 zddo<&oLCZH<&fB61_~^)b&!^0%NkXcGVzZ`A;MC6dyO|nxb7fU*Ep+bYLZ3QiZz|J zLGHfoHMm7Up@gGo2 zJoAr}%+QAqAFdAM!6IU@K~QMu21ph{AT+HrjEKhq=A(g{g!$;V;;d61*_cSxiLW(f ztA#MeL?rU-c{kJh2QL_^dBt@djUAVjtj^;%)m9l|lwO-ZWvUGp&@KpP^RqZd>aRhy z--Pprat2pEn3oXE9>{gf@edy^4;PQ%ZNP#YPJ4>w&NNkwU@hG~wBw^=j-_q?vR=Zd zQ6R87h{WV79y^xMF^r)X8~$2B(0HnGP>E9g=L&XYOoVy-_!KR=dhrJ&@$1k=ejPqx z>;H2hSxcAsj6 z9TVYNau^)9U(k6g01QDE3o!OH{(~z6F*F!Br5n?l$e!U2}8aXx<$5&<3` zxXzSqUqdBX(i<%EFwB1*9#w?ruE^1Fr{F=oVwGj`yu`uQNPd*!)(n+v{(CQr9RXma zvhtB6lL@!qQK!}Sxx;RTP4wCE18cDh&r<8PQXBR<#jU*av}^B)*!|s$!f;(QiTUWf z5Diq?FtgT6Vb9m6!Eav3;HLX>lY_}FR1p~j(;{hT_aYyJpKiNDMzfKF&=88jwmZfe zXQs@vV93=HeMRI3%-FUY8$%VG<1IO*uop(L=jv=$XtHgOLs`m`eG=l_bCMAl`HK5Y z!s^cF^gvGj;Cd_W7t>z)l%J-D;}qVL8H{8I0?Lzc>78(*wDl9_)S<*-rW zX)9TtB?6Ua^4)q+D!riznSi70)ppU;Fzg$LVwrZfX3wt|Sa+bJw%E>OZ)*6}J=+o3 zI~nR5gb<}+5>i1gaa+H{qjv@km!b+&ojU#{amP2LFbGs`Eo#K$@9QBwUrtY# zCIrSQ5cBDbu{T&#-N00uIAC!8w( z`I-ce4uXG}F(JTi;8BxpULV)$+Dy9Y6R2|@Rm!nM)p9hvp{>3PX( z=jK3@CT1Un$*;@G@fI^*G;}fxWzWhonpAv9hU3e`bJcrMPC4uW_N5%Uz*MWK1Z^r! zOgUXrUH4V-z|N3x-k&D5CSn!c_Cm-AZcy*o5b*xw7X^W53eo~qZ*Mf*rsBHi{gO(_ zk&Wtx03*lFl~x~MBE24a0 z{Cp89{ab3iUh3-n%!ulw7aRK;)kU_Zt&Ibz$h*Di5tl}5aLA7jKQC^0K=2LDl;W_| z81K#WewjDbd(r{M8imCD^0<5(Jv9Hkd1FN>s;EbrMalsik6s&V7@L~9XZ_CG+{mnGj6XlxFSQS@oE>c0 zk9fC6>Q2nS5bpy{`u=l=z^FRFej(f6_Xjp0C-sNI!dBQu2J+u?efdKGM>G28Wk*NH z=Gx^C)$_TqUaix~vc;;#ftShJz1baC@>izy2Xo5QiHwO+JtZU{K!bd_{l)51NbY^r zxq+FDKDC-!M^-aiAR=CK%gWD84i%&*7b0@D+&!LbuV?Tw-QQC2>(^J8T453^ zIbD4G7%25zR6pg<&$tv$b4uGOq78}{)P`-T8+{Gw5}fSNP}p%>C1&vs_1T16Z5PsU zrpk}#F{Zkpq+Yi;osjW#9sT?0y{cIKlb}O^#@i5`+ux>&%PFZ|;CmA%6{8_(0uWD&nSXr-VL;tZ9E4diPDx)fqfMBBak@ug)noO1*cSF!i z;Kt-Yp{rsZOE*L=JJg+uIX{06;&AMeaFDI6;u-tf0?aD@V|cyK;UzaiLJDD#YYC*< z0rP&Di`W1LTj^3DnoRRt?-G6TQ1w}l_*k#Oqr)Aa`sk*cOw{sqD8_ZZ_bbToGB-Ae zsmcHO=If>F1__liH$K$y2@Mf%11V{GABCMfs6V-U}~?fh9ksh#;3UZ~UYu#(ax z{d-w(M?5#4wALR|PM6ZBIBLz$l*2;{F`Lp7N&}@rawo%;E`-P&dgNSjNc|V2!v34% ztxe;L=VZnxEUeZ-AbewZxOrg>)J75%-vO+sl$A;*dN}1YPXEYoKoiXl=-6#0EHHrd z>)G)~#S=gKm(}&d`t(*}a`p!r)ADpHqZw=*eliHza#iX*qWpxl9-E6!;^3(>nnyQM z(yE*r7Rj4**av&p!A(g9DI6}JZ2^%+2m3e*_ffVtEqIR!kaP}}c4G8Rm3jO?12p9q zdMvVsl;J6u?84&i{)^C$zQe7gx9rmDxU0B3v+_d4_+`0vW#e_gvV|y$Et`4_*pXynRA3GhUOY z8i%f>i{agp9D15ihIr9*Tv6Y3S3D5KS{hmju)?UCQHqF>YZQV1wdM}&mhMzt|&xcv) z*`$03K6q(-V*$oTZG1HbWYUC3K<5=jvq6vYR!mrR=ZVojxB9cuA$DE1@t;xYkxDsF z@(|D)XylwMwyP^fO@|YM5POEuLKuJ_+jzV#HDOhP734v@ii&wjZNEdZ6^Aa;O!u;U zGg=By=BDXBFZG)fIi9jPodNr)GV@l+EpNck_dDBV|JM>SN{d96Fn6S4-V!;RnX`*H zJmeJ)UV{10+0>-QxMlr=H#;qi@Ipq3QF9mh3&(~|;&4THh1ceh5b8@XIP8pIh@1VJ zFh*(Dfk;d!)g$IvomGjfVo1-{<!OS|4JJ5;{a>mXzN74PYq!&T|Z zchbIc|8su5rrCiCWOq9-kSRpJL_{@NCt_RlKOU%y0}AcC0}8}CerW-Wk6}`#1n+UUR*PYh5c4kd zWzW`5>AT!ITy^hOsV!f)4?XZ|zFU^NYVAtP@IQJL3 zY^O}*wx@pGi?AlhUqgWtu`(QUj8^^sTQ={-Vc$-EWSaY7133#spVS4|wFj+wH^nN2 z`f(!gaLm}$&PXqs*V>Uu-*0c6`u61G7gybB&-iuDD<)#rn;jT=uUJk`WkUtA%keBA z^oMaZEA0meq5_+zZ`K)m7zE^icQ9$k|D)cv{KRb#Diep%hx{E{)QE_qbd~kHHJ(GR&A+E->HK+UfA9OX+ zj+^cU&UBHrW!l!XWYdp16>Yu(g1eCih)E?rQcr(VI}pg3K8PLp+h8$89zRBu}#yehUZ z(pKR{)X^EuV%s2t2?iS<#mltr-#z@~X2uTg8*Du&v&T3*nl{ZPl-20C)FC>m+>HlV z>kRg93STuI_F>@#a4ff=CN9&xub7~9J;L;Q9egB2FnBA2%QnaRrKWOUlF-y!h3U1# zvkkqERFCe#6uyih@yrz`dDhRoqDh=Xcd}9w8g|9oiqU zh{akrYX6MspIY+H1_R^XiJIL z^fPz3u0I62uo703)B#+wN6u4d-`=#g<2FY@ zCTilc5<3f1J^4u8=g0v2n3K{j=yhGBVo~>8xm=emYsG$4Ic&32F>CY;iNnfM=U(H^ z+7uoW8dqcQiJan#0r(}iwr&Qy*=bt=ez7fBJ@=VM4SxtJ^ zq7*4i+2An3^aW`6!jP%8UObJoVH z%s)>PJkR?)CB^allsOW?p?We7E~FeBSq;xYyGR&LXnXFSAU0rJsEm? z-?|Amk zHCeqc6!YoX%O$8rb^v$+5x?L9+Fx9K()wQ2t(Q_6cPhR$J@eT}q^nf7yrRpDUxK?y z(&w^J;LjP)J!aDnb*rbdG#OR~?O^L=8>Bd%>dWdY2Gipx~$)ql!TOQoA^jGLwx*VA|tuqWlIK; znT@2dy!<7H{w65&2AW)=cihwL)s(-GUEJz=E`}N^O+22*6ym#kQ%JB%)N^_e2I za}f}J@Q!Dtth(}f%)I{gor$Mt`rv62Vmu2)n<&rWXS+fr59TC&bpx-El(H+gKw#yx z^TDLR$rrvqMxFEMc$}{N$n1f2+!xl;1)tD9!7;O~{uXNe_Z9*2>oLUfOaCT$O&P)l zdA!TY+wY=cZI_3rVu} z<$pHtJkI@onHUI?*14zEWt>!9kc}ldNr~5#Zj{}XEXT7qS$@l2q`W-u-zTxwQ|hjw zSi&;8_gGreOgGbMr!#@M(@ue$f(pU;_a}bp=H_=bro|aL2@9^k4T)vl*XmQ3M)}f~tzQoGn>@u!`u4S2wq%Zj+*B zL005oUHAjZpn?xbghF868(LdigBN!K&r33UZcOUJJayeI6!wfbR5rV_@>cEFvDQk) zVl+3GOnn~B)SDk6^XHzOeN{$#-F*2Y&WW{6C$K8H@}8+^HteCs9QGc~(+K$b(<}?P z)u=P`b;^9=>Sw_zC0xp`@|nJB4!vK*`{#s_8D zBzPEke0nDeTfJtCc~4G`__}94GZIR)*t5ARjIoK@5Ud9VBf9jPHBZ+gjXAf~IOMtv z1#J+kl}ktI+A-j?WS;USWtx#`Ye3$W{PyAtFQoPA@3%m{vc4itM{2!e!tv+MZ>vP# z5Y}~&TBePl1^cobV#8qMnkFG5*xikJ><9Nk`g#*gPqy18>!U45gHo0l=d3v%+<`b0P&dCuNs$?D)on- zy5FML1h}%0zn_(`F(2#WzYQyORI6wZ<%sR14W)#mm(+9t=f9knen&xmTrtvRhxSuV z@*o;|72LQ7jOPjA-IxSLald?spjZ$Sk5DMMb>P%0BCgMOq8PvN1+ELb@0-G&`35E( zX8pBVv@PxJxcN+5fF~;zWs=#KP#+WG_^@Pv1rOtvg=Po**)1Qfn2J$*VDc#;(4;gf zDy>zj5o4%IsyUxEW9S^e@}{VWw9kc$e&z)~a5O!!>zA-#p&ke2nsuY@+;jV%H)D zTphLdF~xVk&yeC*-Pn3;>F?q~~+M7>bIs?4f%9o`eqzWb|T zmCYddyt-O`s}*j&S$LkAUg(rX!g0leR?^4dxqle;)a4j+Yn*eO)dn4LuIR15Hh5u) z?FPUBlR%XY!v+CQBbb{(N;d06gBA2+oUEl@^%~bW1wp^3@Ro_jm6h(B`ng43o=cL- zL=U*f?%4i`-gJiV6p8if=YJ4M$HRw0 z0BU8ntMz(4iKRu^!JBx9MT`3s$uNbkD0CC2lvW=8eC z@@F0@GI6_tCv#7vhuP~rFf#d`6<3z}I*r1hYMs|7^YsyZf`K|eZ+_r!40%^Qsh99A zk8b;#EoKLHjWd=mp!z2A1m}re?69VPGp|^fzqfMxt4XvHlEIqmw`vClV@TkBarf_M z=|l+6t`(;p&I`o3)?>aFiF~NX7g^djiFTB`9fzHCw&)GjrEd@v3|ze0HJ>Iln^1Hh zDS{B?s@y(iFJdW1Cj#({KIS6F<#*$LIFPoy1WEMmY2IeOg)c$lt_b@H*I!i?gLXm7g?C#C&; z$+H?KNo6ZRnoI-a?S1U*kTo3LI9a+Jzq_zx<#m5aiL#u#|9Fj&xHAhE5B{~_Pf&NB z-$LnA$%3?9;%&GY&)+zlSx$b( zhu_Pb*EdK+i{pVPTe$v?a^xsQ~F!k=l0p*qk z!F)>XK2BES+2*lP82z+fTxEM5y3j9A9nqwUz-a<{;_A#+od9=H#PBbxzO9ka-13sv z>x<<6V(TEjqZ!3FwD~P}7|ZvMTv#c~8lSZC(~G>FFKV$T`h_KwmpGZ3D6vI37Pa*J zgDb$kJ)VYFPw`b>UuHAIKFqQxJxCx|Lb8UrbtTxJWLS8i*q3Ms0c)(Fzq^H%KE2|A z!t;QmH)8V9O`u+}k}IFPgkw{fO7W&i z`cCAeEgy5E?CW>)az(h9+8ncZZmnMLAc`oy?ecSfoCRwucjX@+<8$g3K0{oNQOFcS z{x*<^J>kij zt&3#eXyE?%lcPH^)#iESg+T+Mr>o1jXBTWaCt)*5n(C@z-G1!44)q%!&D~ezeXMgB z9XLoBm{xqQ)R9agmhUW&EynY;sNPQ;EEtA0E>141XWPjx$>= zUw2Khg@Qv{5^nq)vXehD@+2tU_9&OHQ&1ii1{PpaE~2YPSvNf60;tw6_o>&(eR_K9 zI5uV?f=7DXUn20auyD&u>XD&ps}GpZ=Fj~S80@0nX;_}TQ)4w{*>#JlmvpOTjdvwl z0`*j?*8w?>Oz?=i%;6+06zUBinZ$@jz62o-8pKH>s)5gM`T zXWMl0Dh)o#6W>sSkyLIrgecGqOeU{U58O7pZDxNNRU&)GI(a6dR8UyB2)9Z5rOghG zYlgE}!hy-3YcnitXb9M2vucblLAq<0-KUZfG9HP7J7yP|2I{C^TwHeK$Po^GWbzx^ z+nknqx>FBFxzEZo{&%doO^wZNnR}So7?ZBuKVfe)nBP1ij?$P?+es>h7)j(O4OLf!1 ze!fS~+NwQS7r#6*N_jc@?Yiqq3R<3;DWuz1&%|)V9~Hq(D51RQ{*oX$bkLz!KfYj8 zgIPDe{py#uy_E_2E#YD(5|kW}C*A^?$gDLo-A^Z)%Z(8%0S}8cooQJojY6i+%l<%a z>7UX7v;5K{pg4jc;uPr#S)#g1*T}$tk0V?9%#R-Cw&kmdwExrDY2T63Y~sV^5rvB z??mlOj7l*r!S@jYP|8S*cg(+d7HK*+~<*HiB%k|2(m+%ZV zSmRo`6F1)Wv%tZp|0mM}ui3X^wz8CUwK-xmhv{vUK@DCrQ)Yb}C*}ZqZd-~*&xuE5 zM5YAA#$j)wx**a1a(zkyn~xE^fsZGtJvT59N)kWzNuaq=KYR1Z&(bPe#fxt0hgOHo zSO*INk3W;BFJkp*o7Yol2wuqUS?eV#5E6b#h&L}@&r{mLz0NCjho`{9o3AXZM685& zX{I8Itv3#9UVEK$p$D5iATS5mq*hybFS@7j{T2Fo50I3TIp8X@?DBiedha(!c2K2G0a;r85THZnlxEgmli0FdqpgK8$rZhVD=kYa{zB`p)TJor^ z&2ly8avh0%Yqr%S0IjsLP1`xctY{wq(4mi=&Umf}RgCvG+uuodjS%(5u~JVnY(6a? z3R(TjB4%4&PvVn|uNiU%-EXbZGf7t1yQ=W&ftP1#1rxvR7yG>Ba-a1Qgs9_k zR`e8uEbmH6q?F5&rUN1mO5slMJ*F6%B?{JH+tU;VV>;HGtS1z|VnpL%BCrQv{Z4lM zIzkg+BGm^VJLi5>Y>LHDD%pn{?)vvq{KPc3R)B-SM8$tlg_1}9!6FSrJ!SQP)uudF zPXTkNyY9Kf!1dA)-#6->0*9`_o;`;vANzQ5Xg`by(9rKu=6d}6hjBBf6`Fd)tEy|; z@yrg;S;_VM(ix3H)@C7tA@`%!LZXYFb>~sm(scNYlwHrTvxLKgxWLJDVmC639mka@>cG_wy0a3A*m)FBUKUw0(Tkn?37NsHPr3wIm_o{9v2lnZgcVC zM-288k1q@{aqvs&xv8)1mv}t#JM>)jG`)26w~vD`+h~?+lqx^cCG)(F&UPG=hPa`u z48girbp(BBtd11gfFwaiv z1+S8qS7j{mMj-!q-;)DM{?_Z{6~3V;Q;OK?Y|ZZ0XXPrVKl4iqaG!^(CR;j16v2p* zvG)0y3@f7nu%W`c(GJjx3E~pdpJTbNF9nUTUPWHw+WV=`m25}dI!y@qR#f{RrhjAu zp!j#CMMP#89+F!_g}2r*5G0WZ$I`n9NSjw6 zheFNWrnd00X;XVkizf1?s|h$7WZ#Ki^9!kSZ+XX5Ca9pGKsMf*hjE$6%iVuor52tW zYLu_t^dQvs1_b47i4ZzM^&(hsGdOq=nKVRVZRI*UpwB!sU(sOnl6GOq5-8c*FJ4{5 z0+Ee(g(A+;70JTZ{wW#)sUw&rL@>e?UED1n}tTuMZrJx<@F9U^R zJ84$gc+}7m>IdBA0lwQxRLIj_&KD_qS8lZFTtQy*cz=LFS>tDp7yE0tKdFxRHIHgw zZ%ngmxnWtqfbmF{D)2M>4^89jio{&=uKBJd?!IZmhQizMoj*jPQ+VJ`Z5=HwEj51m zfRB!emgAf(Z{z{)WggvVg9H@!Or>lPCdo02&gIL;|5Qw?9K5|7;V zYCLHN_`|E4vY=-ygm}0oa~H?$X@G|u3+J0w+pSaN`IQTWb?EEu<~# z;@c9bOL zO>q%p3;W{ZrT4apG8zw?{rqriLo7s_OjWGAP{q53;|CJWpzk8l>evn$;^fYb22;yM zqRb^0Axu4Nokm?*gXjV*q+BLjE_uThrZo*O(?pwY|YAW=l(BTq%vD5`=2P# zd1jbTh_>WScjsO0HoFW4*{rsT)kyHyhEa?G_REpLQctMV6edENN$b&3m@$_wNJbvW z9%NcJAVWX23X@(iP*DY4)wb+Cd-v@VL{YQ(WiF9to(34cQRU;uw`JK#0fcZdr|7Tc!u|lNaUs)9``@5|I}`m+IvI+5Kn~nwhYN%y8QOx3%J|4HXk}qa5+el zrcC!T(D_4kum%lwHE+*p)y(ZOI{~z0G5k}@`MbPZ;3K_+Tw)Qc%0*);x95GVwzf4} z$uIeED#LqQO&sZ-_`l{(%S>(}%~9QYs)L)rjzchJMM(g)ske~tz~ij2_m|NhU-BZiJhg85Z`#i;mhfEKhFfS zNGtDq7i(n%ImRVD#!r<+=Gz@S0oA+(cZ$HK?dipc5U)8ezp4e zvl{OVV3(SJVGg6yEBdRyPxRVLaJ6~qmtRnt4XL104^fpNJe;aL;i&Z_)EIITNgK_B z`Knwa?ij}YBBdkWhcepyiT?hw(igL$&)zHIVY=O?z!gPZ*MG1x5W;{6E5cb`^)547 zF;(qEKTMplc^;N?E;2@;VeUC*shW5EA_JH8`L*|OjWKa)NbNYK7?r+T81&Ez`&FMv z$CYoK_rmVi6RR7zH`&UpMhNF{mIkg%IQ6Tmq(q|gWgJ^Ug%B~oJBfd68@*jPv;f}>J*AksMW`$p6rMW1uE2IrcL{I6fOG|9FxKyuHQV2$`Oj=YTQao5~ z&5=Avx(>fQzvM=EcyD|cN@(Y<%J(!*y^VQZs(EiYoz9YuHXk;cj(*Rn3`28m6!HD9 z5TA+8YqK-}eI_ z3=T;xOR9)ezU&B{MR;fm%;M*Ybw)p&QMKsTlK8WC4)qQ#ElUDaVAv35(M08}(&}~> z#G1a~G~5cVKx8HK5Uk4>cBydtP(Z9pG@>8C=WRqyeQ;+$(%RFcQ<1d(?~dFHx+RU0 zBjyL<_Xkp=oTo2C*V&z=tL3EQOKfQbDi<9q{RX9I3joY{q5ERf z_=5=1?wO(4R;3#FtbZ6CY<|=A;-0BuRCTj>1G(zO&wlnCykf3tY;3%qwp(fT)bZvUI;OBOJEvx>P@SG1&sEIqJxBOyS~Qf&JK1n#8n{ALaPMp8csml_RLbDx$|*jE#mTf-S*pmmYJrKWx&|e@8Ytq zA-=7oZ;r5ix8Lq<8us6Bi3 z_3v#do2dko zxHSe%My8asl=L;1B=#no2!dI9L7J%~#$!+%WF8f#g5d;fOe+Em#MK4}x)(Y~6MXFZlS6 zgw1LL7EzcUwXnFgXy{mn33@e&`aB2_+K{Tzp*{Qt6wc)(W8j&NPDV)IjntN} z(eUTsLxC!_x;9zM9{a@Zt1@neVLz2F89CTX#83CB)!EV~Oya|-1w3lk70(lmrr$(= z!h&2RO9>PQOP*VR; hqa|-ACLjk7}F*$}Fy2545_GNyBOaL_EK=3P$>$aR7yE5`%f5KjB~)gqKC z+C4~2*6uo7KLeKVRk@GL^A(Krx(QwHzDKoKp?LsYnlv z^3Fr~Tj_;j{%cdrZOT#eCJ-Hx%BmExV3VQ`av^#4GEt$Q6xCW54#Vn1W?}GL3x7%7 zfagyNMgHSRfLhEoRhw;hMOU04XxObxSL3Ft8MEQ<#_r=vx=F8kJ5D)5crf)rIZsbf zaZP&F6M6Zc3Yh=GQ!A#RFrzwp$h(OU`sg-!hc?!eJ3;LPf|)-L0LN?9n)ZVzOkj(%mL{$YY;x)=m|>26gjWCMoKN)t984 z%0rk*E33BVu)-1q(gH8eq&6SR@koXLx?|@q`us+U@r7+VUgP>uaz899LyT1o7Bv^a zJ@`Y4;<@7mz}DG^*sQ34;aYK;Lq}wWiHq)2caT98^!0i|R{|X6{aN zwC^*`e)z!hk~UBbRa?m#fCX#c_ZVnNGA%GyTfZEF@NzoaZnEEwP*85|Z*z{s>EDSr<@`27HVUPHTh!{}rlS1)GA zM$hHTSoPsN7*+}i3B9&mZ4{?;fu$YwnzWP!grox(L5_T3<2Pp4z?IwV8%kji5)yJn z`>wR@y?S9sWd{h(o4Met+=5i2tMe$OPc;Fh4m1ancqG>-?vLJj_saE5Sj z4~vPAB$IB#EdpntQ1Dvw+mg{>Y$42a!g@Re9X@t3Y75S%g_x za^e#O@YvGsF$L+j^%!j?@hn;L9wc-uq$}isaqQ8w7lHWLam$anADQ1vf#frY+`_PR zkSBet^`YJMKOmldtloIa27W+Tqc6<0Pc5%tP~dws+z78~j8vjLjlp3AH2u=eDeRm^ zqJW#L6(^cLbs_2Zkd8kE*Tla*77b5iNw`0!O!<#322;5wNy`+>leX*VVC<&`$yQ#! zDIiZI93{v8qsl__?@o#&sG(6YJae0pyqDFihy~4*w_Dnd+xltWU3-XZ>+%Me!tFrz zQCmdWjk_;wPht8D(K_jqA(leut1;II_EaN{39t9qSgnmz+OXAN@7~$0MX3RBEwMl) z4+ybU`=RB2kpY>-bMJm2HXk>UD1k6R<8w@%=}L$@3>@!8Ph!378%k~4HEZk0;Bjf@ zQdWbJ#!8?zpZefdd~zR#*utpz<-S%Me{X+0AO~ym_Wj82dF|$isVaXhI%xKx_L2}Z zcGkG2y!n?i(f+$TW#zb$!7p37d>1?qNM~5h-dEd?&V7A+5VSniegWZsg&*HL*g2c; z?|gq5Awrd(o=%)ZOQkvpE(oGcKBt=vQgRB~xs=>h1-%6)uQETndLOaC{&j5E)+Id+ zS^C41siBLjkLj-ck0_ZzOZ3>b*`4c~+pHoX{eF+i>ZnX_YDGhxO=J3%#bNHUsi)w} zqi50t#l}sTuCh12TxmSqhz|1%f?w&I`1QTrft@lhI8i)IAfVqpJa|sC3+~B6G{sBV zHsy~lH%*M5bNIqR8c&%R7Gxkngi^?W1Q8tfyu!}^Q>6+#%Yrkv?(&BZJIWH!zB^lI zDhv$``MaDY52Wqqsqo5(uKFA1H@c24n9|74!>Jl~vFY4*o)9=CMMbv&N3S9c=EBe; zFp05+!WR)mK%HOKSbHf_BB!S(oSf3^qihJrc;cHuYU9`O)z&PJoqIk#(?HOAV{2>1 zYQ1vQG5&Lk#oKRHCM8vc)Gy|+bMko)Y#0Dh@ScE1CMtKe(6pjv9$(fiwc{Ilz69;7 zImwCAO!fBkQ#LcZhopzEqZ9~tQUltC{A?NC4y9m|jl0@)0;vRAn=?olsWU|R^wiWOCc zE4qcj;(txW#?PZg19nYdtT_`M;qb9TqSs#7ns%(B0EGza=JUQVaOPOXxTviyLZwC~ zq2_%$ib;}1wq;d`eO_;-Ho=wLAEiEM{T9(pP4r7|TbonV5g&z1buv>r>bc`>7u~Y* zU0w;4&JPed#J>p>y&-wl_NQIrKA?05(@uLBRClG(q9S>uYD0FMtFdApi_(loI9RN~ zsH1tFQ!NnWeLodpl{-P?A*8nn;kFT5wX1(H*5yx3!Yf5yit#rYZjCPJ1}}Ja#;_HT zXD|$Icfk2%UBZnMdV6rq&ui6htVbRmQ|5SJZf#8XQJy8QTF&vyM;%#3G=WxN*k#K9 z(9auOu!J=@KB<)+_ zab&j&EphbnzJ<$E25ue;kQl++YZmAEdxOt^8=pGD*uvV(qO5`X7gnA4Xr^ss+&1oF z!s0)>D)8deG42Domw(8bG^+T2tGcF1Nh=Y*Nt}B#K6i<0TGf@28|L5M?7m+FV$Tn$ zRiHqM|6_uLM}&t9qYqO20UD5u0Fs0)y|-u;kt{`B+WPwm3yX?MDUT5XCVN-zjuRfs zBrfljMP(#_8JdTrq#n~r&`ruzCPzoFGb zq(&YaikPvXvM0*m`D5KcM3Q}Lc#JVbW*RN;hF<>s&*zA|U;m^_2%;Shy?D55@nMGQ zk&n06qLo9;EG7!>HYDYS{DS+s5n(2)L+}63ZYJGOLL7kK2Yu#bU7!94Ok{HUbZE`d z!-v_vp7;4303R*tHZU~g@HyjyQ)+i z%lzj@+>eY@#LfTuca$}?GSbPd>$`wJ@bjfWnfly538Nz|O2n9uLl%89hGb%0`--Q( zfhljrmjprl+QJE-RFCv0>Q7QdPW+(sI{(gnMsb-y0!l*t_j6C%=tWBh-LG`DQ=AIR0D97Y^SU~**>p(-N*AdR-= z8C~b>Lk*`=>`EULa*jG@`1M@idA2Ue!O4K#xt!k&L|I1%Z^W9-J-!K$;j7)Yq!pWxQ`GczdO0FUiB-yur!5FU`5U0+*h4s!hU5Zw8mcuu)Nq3=7$ zm-Dwg~tT}PuyH_5Pb4{=FUC69tTCI5PYKl&R*A<|uu1L11?zNV5WXO175G9rqeOOC?D z2d%H(%6Zo|PF*(2Kh8SpW}ko`a;!WPqN0t5Xqb+bMPIPDUo*Q=r_CbYrSfC3_N&!`CQXhEa|K9~ix0GZ z@7a%rt>x&kA!T;(FHcApigA)?&i*@e?Xnul%e#xCYLvqm$fVVr;&0b4HiI>4)*C`Xe?g8aWuzpDY!aY980{Q1OAc)2};$WDem z1lf=l&L!`khb+8d!)U8}Z;l+?v z@sat1JuWO6W?ZR{Nv`E|xO4Aol56Sc=!C%L&7%B@M`G6LeXkkUl|MAtz%#t*&yT9w z^a5V#JU2x*;qL1GE7yWl;qv;6^|L>wr$;<3>?QTK_W9?M!2RS@ryjecjgfycd_>cT zpKJ_;Qu(+MI2(?)+t9aFUiZppHj!=+Br*r(bDw3zldV?#UoZ|u?i~v~QV5=W6C|AP z=c8Vva}GVg?~983zYFxVEk<-5KgiOFQ0uxK&)tkexbE`oyHa$gcJ-8c@uG6hyLImG zV#wuRW+zu9T$X?)TWslUO|DZprQ%AHNdzU!*R`P{~FIuU0 zjgC?G-5sPef5CE)(|Q^k-?h?=(jG5*W#~Bc)OW3F43y^uuYCRl?-OW%?)jXvcmB5e zeI+t@#6NrZa7WMY4C`1e&jmX7;`#H4yP80q=sK(F=!2r&5^i}3FRu@He)raY`Cf(_ zd$LK!2Zv9lJLy>q7|dIZGt)l{Sk-hHHv!w-@az5oFZY|6_!T8`H~xweEG#TW&i8@x z&vvet$6g7^*s*|27eY?8jmsz-+k5^{G|7;FYjwmA zSy3fY1BlhbgBBixWWln_$xrN*8*V8-Xo_-8j{IiM4iuWl!=~Z)QcjL8V z1{#>KXcZaxCpDrMB{x~*_5X2`O+8V>efi>%{5uQD($XBKXNJBaINn$SNLwp$nQK17`_Lxnpk9R;QXGHps_8io7 zRXCk5ICp(94us{yfcr2|YxNvi@TWw*32gsN@)~hzPZF0E_FEHAwIrmsw}$@1_AK8o zAOF_!mn+ccVd}f{#uucz-UjF{S!dA=TktnWQGIpaiHeSzdw;J@fh9LzP=dJud zEDOSB~)H&?#(Sm2gm5 zGMkR|fdjF%O5RXMyf-fTsLw@aMi-xqFK$}IS*ckXUPZyJg&|t#tNiBKuxA^{tk~}z z6)xC(ksm+l@7Bjnuf3cKv+jZ_q4V+U%Lm!UF953sv zF~`edw3TOvn1`<}A_tRl3Om{DJcuX-G&ij0ztD%+86IHl#HBU&ZoR!lNeX=9-aV0V z?MvDS-?JCvxk^M*!q`&%NrBPd8Hix|Uw0!ox!9*q_5M2d2iCS`0$B)K@~7Ca@7ue5 zyB}u0ycrWH+xu9ma>Vk;Y-DOqqnqq7uxwq<~v+ zW!GPb2}vi;QV~Z8Lf#@B*Sdq`@WVWd`YzTN!A1XYWaoO6*6LV>WOiDPdHruW3E-V7 zij;rt_D$s3FMoHiESHXcUFzM?5E=G1aH$-hxc&;ah$I9V_^)tF&s{0U^d}*4j$nS9 zxXd;=WOBJw0K*BD1wPp{jJigw&sn~>K#KVtG-#%wqJB19>E|jr)YA(0I;dDy*HoRi zpQ>&{0XAEoxaT~(cg{x;pw3qF@T()FHRX~VdFsRUiGa_^MQ&pjH{&zl_?4ouw*a21@r3P(U-ic zR679dr##Qg3ngQyr37ZfJw5Ob1^ebhs-_IDUaTe|MPt$BBXBD@NkYoMfxllndjZ8Z zrh!A?E?E|xr6nqD?TZPJc}Cx1`15dkQ6pOdL7CNi|Bc01dAnGKBNE2 z_-w)^MldS$J?OP>?l(IUYYz9Jc;<CT zuUT0a5*+Njt|?&h#>~>CV|;IgF?^E~EzOEg4rkiE&(BJzmlh0!JI{fGR!vc+G|@rL zXYBXGVL{qDm8d-6l)7m-UwXpY0f2z-K+bg<-}(4)Cy!C_EaHG3Z)(pDzocb~5H#z~ z>(TxzIP{@ipUiW&n3YKv78d#|s)Up9kQg6tS58%O;$Ilr@BKEasiGbbV8b|!bBtd{k^pNXESA^`(*&DT8>B77id@>%RM z{6of6`*iZjOTpJ*jHX2pvvQxPeSfdSulI3{3XCEz$EmjJ=(Q)=+1cmz<2%u@5`pEq zt_|y?q-tmcZRRR!ZLe#o9WrdUl}mIIha}3~oO0yP{LsYU8GjVh9{idrw3N8T+MLE$ z2S(71@p-N`9lxk(jdbsJUGo~J{+a%nX|z?e1jYXh_<0SQ>`fvcXJZRJ>!?Z7rF#Lm z0}3+4r7*6U^kTKthmHK&s$DJp+kp!X>K^Stv0^sdEk#4_hoWxr%+eC1dI|v|9{(=i z7zZxr6F(gUgqs*tSpD?{RU~ZT4^g|};BTj;bOQvirfu*6w6RRbl zk^TPRvHd>|j4|bVa;}C@22Rzxym__@u$>N#4Cl0pE?zzW^;zLw*9p`!D6l{kaXL8< z9Jl`tuQGbRkhbU{*dR-}YZ9*nG8a96x*fmmjUwqU_c53W1EOo5xZ%C2$!78I6IU0$e1C47v#$TWa1qprKaDRZSsh=^T<_s!Cke;< zw~vp6FR;>^;N8*ct3kV@^}^;{UPGy;lDv1*hYP96(<1vuXv3*>pXsVId=2Y=-s>ZE z(ROBCyTfFCIM^vc#QrYa4(cH1)rBA3JYYIX&GW+h1@nz!!PmYUkz7Um<0lD9)P4M_ zyX-doFH2j!V{`*94W*Ry2$j$|*yMBjUfQ`lJLWqMek~+k9^SQVjKNIjX*=o`JUN07 ze%O`8SMEKw@~la;>c$#~A~p9px@UeZ0%iHpk|MKJtJOSUl1X!%Xe=#A?6We8%By6P zA^7z!~&i8Et#pUBGxvM}g%H8_GB zEVSkXk&bvP|1f-!Jj-gpW(kB9N`I5Ac6^Be2*=BqD~82tR&;X|NB$6kwhA+ zVDAyCzV+@SJH8LW-{5#3Z)$4TgBFX2`}+8lTx^v;Ury}){e8kOzNqzqtUMN6ql61| zrX4jtzoMRxWwbp>O6qNX8ch1=7DE%rc1clI;IFrKCU$YPdr=9HW;0K*Ib4lea!ADP zsSP;2cRp63#QB^EWuq+tHTCedRZhcIp!zq1lT+gKvh0Jj=ZX^akHxCK6H1p$-{m^Z zT5mjc;rY!s@9kxn3yyKVEGg`*wYf9iU{KdRF);JOG3ha*b+}^m4$h_1c1G=?=wYA_ zHVWps+)?vxw&=%c^dgX6pO13-(RB_5yz19wAf;`ExzI!oR_1Q&$M*2V!X@cGIh1`d9GT4A35(RZyEpX4#v>?Qai#B~t=KHsaMU;OcwEcHa|vE_P{YlLl`QY8dLy|sbd;nVA;dDl-SqcGH6zJTNyTB#qb%@ zeqH?MrE#s9hraQ4ZK)@UU{Epz(R`Ku8dfF8G*n6A6>Sjfd~o~eG_v9IUwO^uX`Fz5 z#1vV)#lst5(wZ@eqp z*0b9S{E?c#+*Tyru*C6o4sGFX=e2j@gdXG1ta)T-g@-w z5ujHKn!3!>ec z{!5qwjvqBK(Kqw%a%lZY+V7vsTYtVz_wU!nomYw(+@h<$@A72jxKMbhO^fOXPy1wz zkjAuFRoGP6lI+gNYD#vMi{`6B9t#Zbw_{YVM?^M2-+P&*4B-Glb(6HBSb2N$!DK@& z*OAx0RBOM2;nu|uR|YmvwDL;XF%*;)f-8}l6xi@Du0LXsx1)e}Skl&$$wv|{GfXm# z-~OfuUsZ-c9IiG;hX5DXk?)GK55=CRCCbX4A2x{etN!)>*}|m=KtC7`wAysqnK3zJ z#RHbPT3}^7PjmaM3O77vb#9FdC(%>APw491K}A8e72RasVft3O6Rj`lM*q1@tjeaq z1|6$=bbb%`jz_^Zx}f9jlk)plRPVfCMHk7Z2uVy|-{pH2I@vJ&1qG8AOB?CqIbL%o zN|7-QV6VY&(6{o$E!;t8Y2n(nqMFiGn#n*icnqJE^yTk{0*iSoq0ns{Y&Jy&CtspehyelvfFhKA$w+Pd$xOJlXIV*SR)%2d^Wr1g0Kn&0LVj<^c zssp%3e3zQ~-rS?)_lf7uyh~0Noa0l5=ls=&9i3|dU$jGKi;L1pCaHAn5VorNkmo*$70JOJNe`bGPanc+WhfU0I|KaWu6n_HI2`<%o|^hX zIXgGk8xMwDLO8mkoVm7NkB!2HOr$PycgPGynv^~XO7aOo3vxK*Z|}b_Q--{gBI^TS z{DB3nX9Rz6{TpE z$V1Ef`xa)*!;b6PqAkmz5%rhXKCeb9TLXDOAg;_HZ~f|;P?3YxPwCXE;^r5SLtYfp zHLGqN^eU>jBi4~!*T=KQviOO?kRlct?snr{*v`MWXw8f-Re4XUy^In)C?Ck&meN?? zE0l2fORhbHi@;12F#IOPaVJqgyuC6h>EYg;y!dc&E)e(C6(f1oZU}o>L7xI(2-^tD z)>2{BSv)se&LuT?0ih8ygt#wq8)_krfH2so_3y94-yhHG@O2Qu%W2(9sq=|V2JQN5 zbF;cqPtlXI{inU4DzZGUOzNEH`Jm}}=E#lqeZA7o>unZ3RXR6%ucGjdyfgqXs>Q`! zEPse&as}J#tme`P89OTYS&F_&_jkS|Aythz^f=`0XO&p~$^8k`co!^h$vNeD_JRsV zo`uU_Qlp{L`hm&5v}c8GGgtHrzB}=0Os~I?GaCib8D}`PS&g4^5nV%Beb_63_~?tU z6D8k$(Js$y_4|^!-M4pR-byzdVr?Pf+guj98@m-v{n&k&1(5;uAS?WVDEu8e3Edrr zHU8u`HjF&ng?xE*L}jj{pg%u5+@@4100DA6vh^tnNB`?BkU+Vklnt8g!u#s9x$S!+ z`sh?%U4WG(1nFBHpM7&}S?2L}!A{rUWu0Cy6JN$TT@+UU4*E2K1s=txLk!E^DB zkFL#0#tg{8v1ImEf~T<&x%D)*eju|_Is!W?52ep4cX`u~DYbW*QDveEU+bumO>Ys1 z)HT(n;kFbwcg$2uRp2`p9ur`K_F_H(bay1>6#U<6K@uAQ3Y?5AST~z3)an|_>~12= z{RSL3EJ4g=R=3bH)6i9))V3ME^BezH0?wr`?Pk0JTPKfD)fA{?j`j3bjUb^n=O?tD z2UQyT(?my=Tq4sSTUlC$fSY|9fN^4fHndYsWzO=#C=29`k2UdUaSt*W-4v7mxG5%j zgaO0sv=2=MC@v|sK>xe+c)*5~R9iN}4PLE<1b!n43%7r!Chc_f2+(bsKu!2b-fDM0 zJLHOfTZX$KP{ZCsS>)d2YyF6Sy(u!;6j$bA`&?LWwdKZeovuE5tbZrHJ|G7W#>>G> zC7{~Gtm)eT{YbItgI=Ff{RCQaZEMDLb}s{#Jf{XG_e>eBTUp@J^5?0201H!#@9&cS z>#41?Z#^|16UdA!>~&5;gk2mvtN3l+(PRf2vB)?Q61I5e3ijPcT;|U$V(eCXi*G;^UC1ZdZ892mil8I z=1M}}w=fO`o6m4{jm)VsL0%CBYu|NHq=OhKCscLwKO&uv=sUJ6e)e+Bl38t>Yax3+ z9^rT#G$rEIaM!@Q=D+jGD9d}G#8lP9&721{DDZ5<=c!qnbNq^g>UMoBI%p|Iu{vdu+nv1{1B4Xsl`sq3XIbO61_)IijH?@=6}8Cy-Uchez3jEo5noXaxkArb z@5*&HJFyc0(Ba>eRJBb{*|~*@F9-h=Q{>iyKl1tBn?&03)z3n^yTmRd`_M#7jaI(W z*3YEG(ekKJmF{B|nvTzeJRDTeZ0JO-E5ZY7xLw|u#!-TXPYd0>Wy9yX-q%Qs?#&xdMZlfzHp^p zTR%heH(vbXg4Y_B2m$|2Q`zv z(-e9yuVp!neNqNf@C-i2_71c@2@uQ8X&R{p)!NmUVmEh; zRjxlb^0JuiTCrz%eb~2J6?3iqBAcghb=QAe8=lVFy< zV^ul&g&FqE`6Rj`s`=}m0jq_3S7h^X=81KZ=l<2Tolxiv*nXHfX?^RP8ssRBf;S)a zb+1cb^GcmD=PqiEi>`m$$BZiMFRKjy@@ANQ1ITD!gPqx#LBG)bM56u~eCWUPnTJ4d#C_^%ZRNxHy&s<;VZJNrDOqgwPRPjDO0f zn;AzfU~Fic`|f3(=0Q~5O>ZF zrl56)eX_DUtpE?G?UBXRgW=W$U`K{TWw$?)M;3E}zm7no&RL_F(UjShy?~_gyxfV4 zm$4{b3fLQmZl5_%akxRtr!_CW<2_`h3GeD|DzvY*m6Y&x{Szvo7e;fJeCVERWyjl| zaXzkih`Qo{Ay8f@BhlN2F;SB9Wg{+L zRz0Mf$pxj@X@kcqF^1+*tfpDJy}Vli!2McePaaS~=qGky2d<~ghMscAfLN4_cMu8R zT)~yQs0xt_ApKK?yahf0(X0ye^>?I)kNwEpxOwFNakD_@_pNP^$RJC<&=AsFoGaRQ zr>-(!zFH1yPBCgKkH&9KyAv0dEq!q%(4Px7aFYqLF|Kktxj$#dd`gw$F*g@ic+E|6 z)II-4W(8b%E30 zs|33YHfYde;ZjPpBjcsn&*ovu07@KGW0iPTzf14=bC3bTgPdT8qVjpsq~7cVOD>Ri zk&VJ2e1*HXO#&co({bfps_}dRPBzb$$HCkw+e==7SWdIps)cEJ{^KdMdil@>NEC+< zjKoX!AhbItPdizYvs5! z4gO+$#nJ%T(P1eNZX)^V{;#?+CO`!%9Xm{Gc*QsJ(E0u=rSf{q=NJVSDk*GVfXyf9 zW7N;Dp0gh7$PoZ)0ZoXkhrJJ9`x!QdX`=x5-E;{cZqu!x*Yn)v_N`R!@<*TFW_W}ok)x0{N8|Ne zXLLkBsM<1-?s6h1m_G$>^zZu?_X~}wPBsC6Rr8;9G_=24b@a5VkDpvcY8-!{&sW0U zdu+qt!VY_QYWa>;!MUl(I8hH@n>^Tl=BC6(r^ZlaiJhB4hr&J3IWO@$YDVpPR_5gz z7adFG(W{>D!hdG-O3BaBWYQrQ8@=G38;2Az%R7&ryurmYhd^lDr*XG0z)Wd3NoV>p zjwgazcdUO@O_J*#J!Kj0e!vy6;KW}`b6f0oX$JDdgdYBy(F36TQ&JuFzX^eD&nbgk zHh7XHvd|X~pOkohH=f0(_a2KbD7o$~xs@;yTEc~m1>YMz-5g^+aP$tP)I5jZ%6+T$ z(AjL8Gc3IA0Es1{4T}MkGC8}a4J^U-cn~y{QKk_&%F~q8eq`;8XrIer10_a#P|=i3 zzW5sQIzmO3#X>Z^TN2zk%9r~C0x`UM_a9Me+V_g^1|}>NxXNH+dxwq#;*M4#VB-Bv zueqzhtnV@GnG(9m60E=giJ|O@_Zgx9Q!ErfND?bbsl`2$1<#epKp{ee@A2 zkylxQ)!$2FBDx!NtC)8^UaOO>X{QgiL z6IRBX?L`~A*F&92G1RcMMs_;sO*lQOi0OZzS0eL+5{joTTleaJwyBR)s%+#oB2ce$kk}5XrV_Wxc`~-Csnwl4(tKe(*l7)!x zG}`)C#D`(gr^Chnm%Va!gFR zcU=bJ!3tmNsVkJ!a(91%8lUHUoVA(x2fm^Gi3Qr&GdLC{BkH>*so)Jg%sJp;Gi@ka7_2Juoa<_JV2n_F7jqvZp z-bzy;Y;|+tg$UoMWK2q@!sh*CSl+oK@@$3ksp4wM z2wX|2hDR_B)JpMPh!0$dDW`=r;c#T znOfi2|Hzjnj{Y~sY2lAo+YH8B_jygq%cfT_%E)C{AB->}glAHIpR!@X>2C;tv4p8% zXB1p0UPv}S*~Nt_#9IVWb)X(3bR3lxY>E&KIU2&OqGTlTm3px4`+>Ekb>$scto;nM zTa|m+%>Pm?C<7wkM7#*>_0~SGdaTc-37O`Asg0*{b|N7t+gu+SdawT^sR-FSL+yqPu^I>(jZ6O%tJ+deQXF2)kj3gT8 z{`Kz6iyZqRp%;YR=H4Q5p-ma^82{xXvlk`izH?Hp__=M_MqiH}lPxxH*n19IPlVQh z5e+xBUo~Ky7ss=|`FVQnz~MCj{f~+m#N6?dJ7`G4E;Sry{;89-k+j>4aqbslLnnE| z9i}Y*|IgbrWEpL8wKF-!MljNh;WyGh?oR~+2e-wZN8F})4L43fc*}&5BLJA3sBpl| ztBHASA;RSn95&yEG<-uSmcaFF2wXKNC9!KyrPq~@D^2_qJYP@RO!oVjic(gRQJ(}e z45Srb>HkPt`~a=oY2tpGD0iI&fu8cM7+z>Q(4cTfrr5_%nHTpH_le{|z^pxeE08GG z8}<(j!iH2GN71s+r?4dO)fj=!%xGYP?gD2WI>bvZG*HK>hB< zjGS;ZLT~O6$STcZCsh^petx3P@CEGHfIgR+tHz}W48q5VW(~+f#)(KhJ>n(?!t3H+ zLQFpB3H!+rzqWhzQ@2izHgQSz)&`s#@!6NinjFUSe!ad))v)J0LqTEzPq}A)^y3gL z(4L(*xIm~k6679#@vyrqkkex31*H_!HTAOekIE)Zn29`_e9t_SA|q{%S!2n_guun) zy_VerV&W{l9B{>OtfM(gY&PzFYAx)x*9zdnX;*=*pv$%iTQqRf55RR#+S=dKpamC&xEZUFVVa4Z1_NoRn8 zcMUOrK)S6%)(7Jpsi_|KBScKboghAroDr>S7m_T?gGEd~F^UL<3wU^*ST^*7;HOZ_ z-6yAhlz$y6(lfF|u(!;{1`s`!9|r_h-Sq&x9bnwIcnzn~psFuSKLi!h{}HsD&44auf@ zESo$BRCe*%P3YYSZ6;V14R^U+8+l)K5zn@}REGrH3zNR;xys!Gb%)=HK2kD1O-0?w zbE}+eA^hlo%hHmM(5)GFRB|J$fk9Ug*PeMP78IITf56{=M3wHPommU4j!RnuN2h+) zjzC`W%TBjx1?)a;a0EH~RXfer6jok=Wge|hPd#8gVGn+jj%jy8zGjfw)gr|XKP^Oo|)qo=)aQD9P?~> zX2UM~h2*$8pu60tuHc?6ntX>!{#~<#(an7Zl5x;wq zfb&RDt-%N_V;AFeGJ=t6EGo{VYmG7E18?nnw|m%=%9OXjK}oMm(16;MtViezI`K2) znD}VU7*so?^B$aI-R_~VzBX*h?lRQmvFPiek4zk~%%=|}SFM2MUFO4Lh-g*Coi`rC zH0qWVnr^HgyRhe@yeZ^v0ykIQ+QFks;z*C&z} z;pZT&qDZMPl=Q<4VL5bhv>nI?HO818frNViR`&G`+M7|ANa`=&*VLUtD|!936+MQ2@Hk`*YShd(GIKd zm@hk4+Cg4$fXJ;>jt{J}u-Be<)bTw?BPE@2Uxhh02ROTB=^q*2=e4nHg(D<6>{jju zK4mKO`kfzLCO)$O7O!iaiAUcJe%ON`@m^@NnobaB3kZ+zl!tJK1mjv-rOAE?$$|&g zGzD%Mxx?0Pw3S`$=m)#-?6KNN4as)c@{8((h|R@U^%V7=0dQf8;;UyZkL@OmYA0EG zS6wWBeBeYOm|@>Dbf}}bqdvmHgbpQ3hU;=SB>P5wUfOL1un;rCDq*fBozr}>$l)w@jz<4{_v8=_Oa4`0l?+6qqgW+T^{|5 zRlsrLKTCpXpjXH}NRH#ZiwCFnIBQxHko-yxS1B?Bxw-(Bvt2eXGH(|vJ<{`P@#1Yj zUrAOH4Q%f1B)9TsfjC~HDRS3$`&&kBr4L!6u=0Zr)c@d93bNoi;2FPwWnsJfS)pdA zX=CEu1E+Q#HAW*vLYL#12r$dePzavfd6UQ;`N?)pvB%`Alz_PnySV?GLsG6#N{YT5 z&wd&GrkM%b;l8o_{V@=lP6}?UPkHk+b%4Z*?rIMh`J}=s;5*KaRMb6Syr5D&%+6WB zf6Gl`?CMwBqPl4O{9}!M2*0vTqNJb}SLUD^DgsB*60m#^zExeu7S`7dIXkZ0|HX~9 z*^tBUrrQGbe8hm=REkng7nC;*+j1_iW@lG8 zPxTln4{7eKfI#e}*-Ja)SOUJ{$Twm{gyEZ$21Lsld0&{gIhQ~+V*dJ$m$Z5PZp49h zNa8qkKeO`#Q&+jX^Lhms+>W`|53XqR*63LUydAYi4OOo*+E;~)OGI`Uxu@{4sKF&p zpYn(cM-M+g0*~noGD-|NB3jM_B zA&0@ySr{cUx3B+wF0e%~e~kM&`QXZ=Sk>Z5+E0VPgXoIyGbacO2nQUrqkh30$d{*K zT%}jkf?6p2M@wi1b*_v1#hvqx6^Ps06c#qQ3OxpG6f5D~V`)PB^?c)n{seUk5_}*< zMh2P*ZBKb>Q5d|%aPtHVVQ;aaFxYg#@^PzLrLxix$C0Z%1HcnVMbimb;#SW#M@j94 z6#ePioW67|q~Th}=iVH4gua7Afha}cRy)=`PY&E7ETEI{6FD^Q7nM8>wR0p|I~z>N zvUdVJ>E6U2<{Wm!T;Bs5<9OCo6f}#Wkb`x>o@z!8Rlp@yfq>_!$}t(>kJ)W&{r%=Q zkos#mw-P;Fk-J9X_V__ERd=Dy7cDG*4MVOo3v}tz`=Jes=GLw!e^Gs;^qRc)=4$UI zKNJ+k5oOpI$<4Jn^9A`kBCsy-r$l)#Ufv*RO}2R$91q7WQRma zNI%xKD5sG$akO*xza4*a9snE1<)PSS_}!$ZY(Z*SHs7kMe^;-;$6*8T2HGcz3}tXg z9XqX`(i?4nxGSOpwg72WczL$n!SEpi)3N8;M$kG!?V>wyj%w%9SBGxrjwVntQ)}Bb zGUb#E?|)P(hgd+koc7e%V#PhL(cPdgHhhFP*1`ToLy;NQk)yTw! zC{hvJe`&=rq5T8@E(!l|q1~a_{6Mr_Y`QARSz(HJzb%-1@eb+kM^$D(f=*X{sDbsG z-s^}9P(1!KYC>#7ah(#eHre;onQc>$Z=t}35!o9I1U8H^nr}wFeXa61-Y04|Y2z42|Dp`>J z3*8uAf5LG{Zzr2}lq1qs-Aux=; zEw(E~{??uuiKk~>(TXB4^S+}zTqk|WPfp}vGOaYH@*r$RI|NI3c^y}vP>H_f_;ai+ zpaJ{%1|}QY7US6FC5NPB!K5|84P7v9?Dd!n^?;p^vtO(paLbN^b9VT97cQTBUb|#i zuS5TyHbw33(?`>LymYlE-9|JiITxatFl@8=Cgoqq2n+KdFfItfu%G?B1$u_QhAc?@ z4ctA0H@#Nw-KY~>vIFIfZ8lcf;JBN&h;5o13wqX?C_5hboofCM+422NAawD8w^--r z$vEdb{Gqs@1((uK>ib<&p?BL{fPD#1kR_Nh1%WEVC%QeEm2cuuO!YuxTTU*HVD?Iq za+fgTOS8bF`=K_?f(3P5aWwBaEoj5rGS6vGV>N%L-5YqhfiIyk;r(n<;q?mP1W=yF zQM2S0Kxa}(`X*#6M4{8H3QO6v?;fEJ-extkaG`KxxH2m?6e6pap!_&*pqcuI1{f5o~EB~QkB#zl%@KViuy^EiLj-Mc*nd%<><>FU}+qU5X{g9t;Z@vr_aPZ1>{GK zVPux!=%wftDXU+{fz?OM5%NmRv<~g`%VA(awk-;_4+sGjWNPY3;K8dnnpFjyg$|gg zZ*gpVN2q|SjLHe6Km57V957gP8Q(c{5{4cwYwUV+{yzn14xM=q8OOEX09J>xNwrVT z{dlFQ-8b|WF~W0va!79D5DC$y5Lcc)#mE%M7>|6 zTmg#|=SugFGiQ@DG3K6N0yp;f?h{`>Ic+nwQxJxo3F5S2mZ$V8?JVuI2=m-)(3L12 zIH5n*u=>)v>P;}Nk75Bnf+g+@;vzdbjDLM@5#Y)qq3yDW8%R6)H?@F~1srTdyeT{; zxc%DuM7{#;`dP1ClG*rYQ1e>C-rp4H7XPh)Sz-eo&nZo{eX2fG9#c$coPjHk+!ym7 zaZlqBGTq7noJ%e9t5sx>+ z?M_FSv37G*3CgcNv``X_ow(JYT$$t(6=(BH$C2wlbN+)N6e>f|`0&4}QP(VnWvwOP zZ*K|INTCieap6RjH%aUc&TN2_?8=vi<0QLYr%+LI@YpyFNW#8ZwAwXdW%P9)2j?nG zf`?#pOIXuW*xIggMjJHwsY|1sl=K=2$J7h+w0%q`Q8p3_*+d9)#y&4`q9j48&6o3I zZOw&D08@xJ@vI+vl1<*0c3K{dDu`E3dguXAE<-r_(_Pf-t38_FK0wybXIRjKkdve}kQ$`rznjl{O?83Xm(R<{Bk1??W?wHv& zD3*@01SPMtrtc$tA}(DqS>uGRBjy5k>%iZgg`^Uz+zNr) zb#=-XhKr_E1oD{_h&%yKr^5f3Cit8}3{1j0i#$Tns>L4^vg%BtRV_)7s{|zO4FI19 zGhYHi`38^zxEA+=`0X^g7#2|}E@MWkUx#gID_;bja3YbZ@ws*P?bj2UPJj5FCBg90HjHad40(bh1# zi4D2KK!;x1s|?YRfHOX{nh(wJ*_4-nj5i~?sH?VH=?%y}c3!38=6N#}8$ShLm5^`W z34FQ^V@f%ZYhLvP<*Gt~SNJL(LbAG~W(-SC9KpAs*`eXU*+GdW9)a$~gbo*fgZnTN zqWJEB3K{mlMF_!oSCQ7`nVS_1aIVs5xBeUkYdJItgs>ur=!hqa<8WohzZ@5ADz46@ zgHDWoRBMEhuGG_5fL(oJtY4f5JlLM}+LfQ;tVI?y;W`v+;;r@dMIWGWfF0SX` zQXjNhsyX0o`xIy(6%cNydd2EQ1B-H3_rUq$;z~`{?AQg_#WfuyAjq_WqkZy52_viA zFOp7C#la*XAk^#XZHSRw3b`j}uKyo#bStL%P8@uXW;*~|o00B)SPOm&L(p?KmXikL z)X;ClbuKVDMu_E?5fLv<#-O* z?w$TQR@YM!MtG9^B*X$7r{~_Ni9iS2k1!`Z*y|Iidt$>?R14f zObN2_|D1bXXn7C4aP8@PJ4*DS1TZIbL&H#5SEV_<)20X*iM&Z@LLb@>RlCHnPeL)d z-0}Ix$bAi7JF4WgqR903-LydHg(){|rJ#t89zJip=6}2yQ z{2UJglT>1ZvMhHG!x}4$igMtR_({=j50j?dkxxS%)Gbw0&ta|8&~gxJz*j~&|Ji{5 zKl9fei65feFyz#ywhnV%g<3po(ErzDKXo?aS0j7~D zZ{rJL8fuQ_9nK#}iu|al#fNNPA3ZJ0lKmXojgqm9XSEx5zr&tzT;b#DmU>(!_psxj zQe6`hGDt=?|5kb2T=OC?x(Nex_ZCa<#vcN}(aa*^eyFMHdl&?OJBI%yZ(h>9!u}^T zZ`IWyE)(oB(1YDsAN?~zXo(R&)obx(Z~BZWEh8FuRfn?;3=u9#OMi$J)Xg}fjP@MS z184U7qcQ^!qdXiQRz?{ooZ1&~Bkrn2z?ce`O%E^uib*3JhoGCo#%cg;%MdgnW`+gH ztjGU+(-`!oX`oxakgEFds_DoAd#My#o>ssnZ>D-P_(;NSuZ6@Q+ZMPHncOIhLn#Fh zLNP)w@MJ>(AKK%Wz&`B>5v@8E67eGw_{l0Ijzg&c1PSHYnE+)C`~Xhk*u9WSa$0xcb9kx7rWh&76BemDxil>&~G9v z%Q;;NewJum^JxLA=QrVQYrO5QfEuQ{+s;uqDLD%?sh8|b^33WiZfC`)v&s`s00uIA zZxP6q(S5sza&H2Nh8CikEo7Ng7?)m~JOtehZ&xpbI%pYgL#b5rd@nV1J*#76*a`); zzF7Y%e#$C}K;1ki-R}honb$WSaOrwzEJt^S_(n2jF&ri%`~Z?i*=u0%?z{geLF@c8E70j7LKolVR({322-ugj^ z<@8U*PrwZT593(2wx5Cx2pcLA$yY4o3Y3p@B{Q{h`;r8=i{!TPy z#88Z!Q1aiPv_Dx29$p;vlK^AJ!Z2G2XiR2i-BOvCtFIrx1@#MBpzl=MDi;WmP@?bi zNpUMUA_+kMvOk&F$62rBvXALDxAv0|Q~YvJgw~{PO*v|j=tfPYk))i2@5z>W10Xq8 zd}5MSSbqeNrrG(Qm)bMA0bkR)nqx=;a0(CK+v^BU0sfJw>vS?wlEE$?e22*C0X_$W zgAox>@4O1oN?Rg*3rZ-y7D%pXF*0LFiBVi;{6X<^G2 zVo5Ng2dY&nennQ!v076v3lHOtR+6&B*`qO0vXVQ4;*O`@?nU)FlrO^8t*LQq>kAQ} zsa6H9o%uUh>r#PM!i^Of^Nft)xqU6u8>Jz@&1Eaam1vPVn4t)s2sS9Zh+uK zzn_Ph_u|;>*b?xcc`zP8cc?onvGV!||7jpoYI|Yijo^LXA&~K?Fmh~SZ_PY+7`0f} z55N2xjIUO)W94Z~75loW^@WtAPgpgkg^ z8`YW6EGXu^?i6?M)qZ#6D31#IuEb9DtuOY*!tHzw_q2d_TK>@RF4!Yy@b0-V5wtY_ zO@r}aKZ1koP1$$`K@5B|vK0g~&jd|G&NC^T0|ziKHF_9F@gBbLCLBagPYNG8ndP#` z2NDw+>UqQ|U5WfI+tprV-^+UL-s>j6!6LLL=11xHAlMFta&vZqcs_fkb_V!~Tw>j@ zjgj=@v5W6zpq1dZN^}V9ECT9z$yOg6V4bT(Juna3<6GwTD`Aex_Fv9<#ew$B=9G?8 zqG1>~*IU7cBFp~sN+VEQSh6>&9y1N`2Aodi+OG2tUwM9;vun$U0ZQVD_fKRAaWEWs zr)Ad*tvGp%e0&2x9yPRY|q}9mV6us#3JoTX-cw_iBVj#sd9%1K^ z+d3S|2xHlW0>!)Kri%v2=5{1*0q zJTpO(OxFcW$~~azJqwLB&e#KllpO4XAR62EaSLdL;xGbvX0L55R)o2kwq<;WT~OR` z_Cw7IgFgk4B|4_WpHs%AN8e3KABBgo^h$-JpBfq>PUxs(0P2iPIE8`;AbhCW@TEo~ zdv1az8^?Q<+u-SWyplc;1Gh~%2Kh64uboz&lv){iRQ(ZZ0&x~*e?3gr5dcFXSlK-8 zWb?vwI~rUeNxT$Kv56{gY?Tq#5xnXU!eKP|*Sy zB4geSkZ>;6DK(Mq=zjeSL2k^oUcfOIU|qa1LNo9dx)=Iy?aGSU+;N|1E~0@AT4)2P zG1|U!A~IPz#WU~DK`CHlcGXJaz*Pd4TqyZQKcz?U8kL?&kS?!bzCOY-3R|tP9bqa@ zpvjH7YjGUHNPYlhoeQd}d5K#A1S5%`-Mi<$P|kKdLH`}Yh>(*nttJC+m16z;s%wjN zvJJ)Rl?R47X1?@%ga~@ zC!R89l<3eXu>eAHPcH7wAuEzKaCeRdW>W2^3V6N3uzgN!G&PEHfS_cbv1=am71eWa z9$?Q5Mu`HJn9^K*1r#WSw>r*?Hfz+o2MUm)9OgrhK>|HSbO02kw-W(MafG4!<+}4v z9A%`3^k5|cT0xi(ti0+$yL_RK!lF$LQ85J%bH$ep0I#(~t_`qlS-S1)=BZk;t_gx_ zz%_KTK}a80HbEdSO&fGCITzR$8B+sAP9J@|yn8(to4{hyOv9P#O}udp_c~<3K_GV1 zDyd9v>+{YSad0pjF{^V{0AOr_Y$~I@ZfZcVaVWT%Occ;wh)p1rR$`y5nk3puO}(?V zFrFLNKPuo=%-w;`TG>*F^F2}H(+BQ9#C$P{50RwDG6#hQ-+5G^;`GYDFc9n;G|qXG zRRe>{Kd6H%1w@bF@HE<*E%v#Y*;CIRv5mU~cZe?=z{-miMrMQ6+>>wF5?u#^?pqd) znIjksffonV;X<7#{6X4M(+N6nC|uHsrRrf5rR0Wu6R6`lh z?Y2&R_(wvlj4x&oNb~&F_|o@43Fk+ixiZ1>KVl1TRnekSxQEqrd!P7;jt>F@ya@yd zMAe7fuK?;r(gsyFJH}b|UXqdzCKqgwXJM^cePR#z^SQxNK~FML2gejiUS+ej<03DU z1q{rAxB&VR`F=QK#yo_g3o&);80t*MNk62#g3LNvJq#6UW%NYF*wy z+8Kmk9f24T*A&37Y4cpE)2P{Xw;1DwPm>afe%1bhb8P4q5=U<E5 zHFW2bhZ^hOz-WlUAk-3R$u1zG&)ckq{NL=we*jy02v7L|s#w>_! zsizlPpzi)CFak1@3;x9`5(Q9gXTz~pv8#Sl-DPlU4i6AY3!N-|j%DQqjaM{SB?07t zkpBFzUrq~Al#Za1{q@Ijr#(dtkNhy&csmPwBWTix!T(?o%7!4vpWw%Yt_OU})&$}t z1jbx>7EP4P-BVt%A8phQwLm$u71Y>Hq3)+8TrAutstbyrYbvkLzPj#wP)WRmN%%^X zLH`nD_*4jC`EZot#?io<;7f;41EcwwyCDhmh`ot*BhXT$QtyB zJNxxU!u0zynj`i0un|E;#p*S=8}Qdm#KC1bRb9<8R3q{v@!S=GSuhU{E@2jfeL3vK zwNs5=@-Y8NTLhj3p)i;+T^(E0aFj@rr0lrHaL~@yZz>8gilJ0vj^gb`Ob4=*UV!A( zLSI7O6bgKpkUS0Xg@@J0i7M}U=rhv_oND%X!IDkLC z?J*;!jz>vJtcJ~Sg#iiLXWnAX^d#o{7JvaMHS!?jARL@i$=b(OyB{1QL0)lS?1Z^j zHL&If;U;ONL@o8PbD`zL$lV?)Px`B4L7exww&@|KC`do{Nwov-P)}j^=Ra+$e8K~u zkpyYieM<@Er@XZTfq1=^S0ba%(TmR1xE1gFu^3Ot>4hj;TcRzC$fQh=^(uE@Z3?QP z-NCKS00XhIE6hE{sIn{>j8qMoC6}YNI^(m+5vb`Mgw7 z6XFT$GFVU%rWO~4D=AqpvO6BQkL#66WbnM7{V1E$a08nz#%E-*{ovFh6b7T7IxMNnm>J8S8EHv^n&n!xJT?kt>tfijr(2yG!FPzRBc z-Y{nvO{|fs0{6T<69694MD40IL^I(dm)q4|O~2t;131BPi_ag- zmIRJ7XsjbdCL+t?Dx+`>a1M7B2A0c&Ev`?Br(qrAK_Kv5%M8xmZ4AT&uW(X76DWIL zy-)v!771RuTA=7b2imRqsovhR5}#X$!XO1TkqMM(7O(n|@rT3m$#W!JS$IM{NOuKh zYvr#mvENQf#yHD=eb{mzaOV4xupKXZWgS{JvsToyVTobv6>%&Kth1w+h2*!n0K~-& zVG<#h#EQ+)+~3@6$K44DB#2ihSWc%u&I!KFMe;ynI*#89Bh~{8p-H4)H?UoOzsgEfDa$^eIt~u+ADlx*tQn@3evbT{U(T zy%y|MLck%}!8Vb1cCqKsX<+yg_WpdLHU6fJtC(kQ!|sYr{9*KtMu5u2zxqF{i^657 ziT%4x2n1xyZdNsYz%vO<(6dFpSfa<4=+_WsM)%xWW1rgV;Oj&~h11Quk#_K*^AX#v zLI`YE=%Hgne1=zVwwwI=Qx6pGEgco-=uonRb9V7ib0ZEwz*0b#vM%cD~_mLYI+d$*fBH^W5H7`^~PtQc6{G|P<_qJu_zn!2@N=VH~LAN*@PG> z_WG?TzO!YlW4@7yA-Dblg&aH^qCnAqP`qSxDT@KI9W3lH=Fz$)i4EDMNB8?c!!K?l z5ctCw4g2k&=tEv1(eHSk3}~@$yj)+rT6I36rF|+`_whLf%0^+t$7$XlQn%+QJ}*hbYYD7!MY=B>ord z2+D!Yy}CFR3vPd;Yz{MMw3HEwwQ|akX7k2;>;iIxpK$Fir3uXi2#Xw_VnM{BQvzOD zMlC1407N)qFm}OmpN=fc*jXTA(E|zH>?u`%{$$`nTh@tb%Ax+nX`}>$R!J+>7KK2x z9POiq#M?r3(8#6`J_ZQsN`xeKv^K7mz~pHk8+{@SQX#& zMgPN_Oj?t=z-(wZ&ag!x~;^Wg(5$53uU~}z>7C@Z+z*{a2c__V{ zb6^}vAsS)0!2>wJ$Mzdg%av2Q;Y_4blPY2E-q!mV67r>-J=|zxEH|Rtb~f*OLN>c$ z7pH%4IUm5w+1>FwNC?$Ie{h52&DtNn%wG{0-g&Dmc4C7PEHP;^LmvUD_Yn(YM_739e`-t#H9;jJ-)Q84t8;R5i45ik)ojx9Arg?`)zwe zDz_TD4wZLU;xb6cXn(}meG23DpKnEaxmfz3P?R)@`>v>Y>vq5KCxE6|!ZSwwbnYOX zEZTd{e!Rvr6N&*nV_>Fc>5(s^rS4F@x>>(Z_T`b5_LH;laa@D(`x!M2v7u-=CRm8q zahoKHZwH$>4At|^ruKp2B-P?7CUlfQAz(|!lje9Z6Yz7al>6LUkpm_#c5&}FHXLyF zEXtR)An8ZQSwYkLV_b`{Q007ALlR*?J^iw1RhGcGf~~7B2b;HsEazu=hPO!)BE!_V zQ?S8|@>4$(U%QVC7wOC+4X&Ul#N94rd1ZhI0ZtR2Kjf_od0~q$!{)<>hI7!-AQRU% zm&EcN*=4gT?1hq`(KXC@a0w3ja!HWvX={&D$fgts!HIHJ%&Fl^8-x5?;5j)`7_Qk#_2(?#y4zpVOncm3%#A<2ur zGZs*?vqq9(=s3JK{#!F|))VoktQ8&JE!WU*V zY*2mjR6+zuOCj>aA}qU9jx5Dcv3iGi5)0bH$PTSlONk8;YssOe=6tM=SOl2eDVzL; z#e&w?F#Dyzibfd0uCWEXZV`aYpxJr+r27~W*w~%|oYP?-k=f^5 znJ&KiJm^Z7e~{qVDBlTtT{xYT=spqlf<<8i9n+KQRNOFNP22W0dz#QC{k>0+OVF>L zcn^Uq_5eAH{zE8S(J%m!EojB?4Ev}{I4lFU5|sc>ik#et?m^@i)MLl4RCgnE*WLpW%r6c^dv7|*lz+W0qifg2sp_>7=J9gK zN7IPz&cdk^5;q@l@Dh>u2Umgx1qY1%PboP%14Qx~^ocQV&?d$Jn!^;-18i$vH{G>B z3udoXeWbB0yaCLs`pEJ%G;igf0%ietO&yS3S0l26N-i2n!ZSc9c!ge#Fd%*4W?fSn zvUDVR07pQhX8lCBeR_CbUmaJF|1S;;FX*1M%tv8TbXd;sDEp(6p^-3(QU#Kb#{)8D z7Gpi6Vj!uP6@CbhR~UNrs;N~kxeTyh>?^wd^U`QX&Vx6gLOT@`oGSaW8#a}IAd8uO z)IS+m+&Ll2B3Jrk(Eb5nt+vkW4`*jRKzOssAE2ma)X@KbqHMiDZ*aGO|a*49Uof%E(^X>vz3BsB_MJ?sMPw@B2^3IgcL4 z=kt2KuIqYU*Y&)f*Pw^3y_oKOFEP7zgY9z3O5LhH0a}%_5<^+hBO_Vgo9H7BDKL2I zp2>rOS_F?^d%U@Wu~;=0>mmyfmeE-7FE(7Wfq%$ zy8Qh?-@9o78J+|&iM{z*XktyUNGTpdxLg3LzW%`X=0eD?E_Q!A9CVJJm#Zc6wAoIO zDzk0Ol9!=o=L3xNma5#-_$QSRX=q-+Jt%XuV}9 zip~>WR6RtJ_+fK*6I0+khJ)^k4xVft@e!{q6W}M*z=o8h87Wa>^D{W*LtX?Xh{_g( zB^l^*yA~Hf-j7)blhaMW(xOE`Aq?Waxv1b%vAqykbq!R3L)q`A$G5f2Lfbq9{?5l0 zohDl8Nf4O`RYkb|vG$w_#6?&j;1daKZt{>9Fxw=ne{YZ+0=Y=gaB*mCgO zRop`r?`bIljW-hpyVF(blC`e4+rk$3Shr;@gzSTrFA#>h^=SUqM+r(PUlMxPxDyZJ z&y#M>o${W?Daja|J(uN)3P;wNgrDHHB;!PyCYB0iTp4?XcW(9p%=N>SN$-QGIbd6; z=d7cMShyMVYb|3y>A9x61lU-{(F@}YQS0LjvkzoL$UJApPBRL%1bk^K1X<)Tsp|U& zPP=-_y1;=2GSg-O+JB^nU7~~uqXl97|VxE%uxd8j{vZBS^HI=_rS~_wNT*bnE+7kTuM!Y_@|UH%I#CJ98R_TQPu5 zFY0{{)3iu*R#)66DZWMKfsAagd4YI!obSc@fXkdWf`HG5IUeQBk0ZFUIcVA-@k%Dmu3+j@p z;sVuJO@Eg~fK<(bx3Ca4KY;YG?}3k(@9RO|eakI^d(RUKV$_o&)RhMCaPi_NptX5* zlz=|*EFUZc@Qm~(%EJk~G>Qr7mhPuKuYPw?(>1pbKM5`{Gs)VyJkw`;PQ>G0Xi+7* zWB;N&Z&O37DzmyEO*Rh8^G?xil6S-DXM;Pj<^(x5K@$F*q&0ErYU) zEFQF?SD~=QN|q*i&1bWQ*v1*=^YAGc4w2L3l#i!AyPp53Ma`B&or75^ zOGxA-z&JaDn0dXWPYRbCV8AS`2-w>1{N08hZ{MF~_fh32pA$o7$wG8VoI&wXs1^zg zH^TjZ+mY&ZX0cvvUkIEp=YkM)6iuLup$wqN|4i-9!Kaxx$6@^DTB*b$uoQnTv=n8g zELKtjSj}-te)asKhKzpHe$)OROdx9O;3W#9A2&WAn#o`!v;4w+W|T$;Jxd9e^C zJ}^$I+}fD+_TE0>WC0CZ0gwwAloeIjMFW&R+3${|Cr3l$CY>RgZq3`xE2y~MSS;v~ z>F(1f>b(92>n+>+3&%pY9)cK0%n+37i-}TQykkrddiB><>I1M|9kzVKfNusX}Q05|`h+WMF7UGf&OUa#) zg}@N~G4*g!O4TRYJ1S>NnnN!=^Qt{Nl=8JhR`B!PRiAo+oiB6>?)h@y%LNV>4%2gf zY)OXdHn9yR(EdI$5W7yl2VNpF`SaBqw1Q~Se3oxiI0yy;S`0q^r%xGhWsffAlIZR4 zLBP`&Ng@c=Mp`nUI{NGaE?EK zjJumtEtkR^C<#;~s79MJIQ343OG4X-FHjbenYIN_?BFjex)FJJA%TvrS9Z$H%$(X$2+mB!AmmBnIB6gdvc|Jw>c9Kk$?3A$f|*<6=j`U~EYILw!MHGPQv z`cqii@vyo*MvxT(P4Nh-e}0r9YGYuAi?lwV$vfrw?fX1dQix8NSFK^6bBz}^CT+sj z&O-nZ*z6=kMe5DZ5pULyOs2-37TdkB64Ct?F1PNbWkJCf+D~N2{<2<_p&PaSjN9Ch z=o(~tFf4HDKtXsoBf-S)0@84=Mb9c7sG#pt<6f zRbg3;HAQl8>{m$LscVZsE*xxbt}I}X7!lDRS(n*d7JYFCvi*L@(_bQs6QGKUY!umA zXyW+m*$#!l)d`DU&|*cdPDvXVkCvqX*@koB+M$@%9rJ6*wjF@x!o=&5awmyiRK_b1E|kbMaS4q1j3?C_9=WbL^~ zP7`qA>Kfq>v*>et52ulkhx2K%wyUM4Bedif)98=63j8eo97$K+J*L#)@M2L)6gNVI z{?!!~X+9%P6Y>=(@4E6rv9VI=-Ps3m*sbPBih{I3UlPo*;x!t4;|cs0B#K)K?v5U( zaM#^ocU}L(fM7KvL;^Rj>-n0PQBQ{^hfSXhv2JS~>m>*~WPSHdwvc1*SaCBMnX=-K z;@nFq1eRWQ$~osZ=|Biw>hW(@%pYB*2tVFXT|2Ob8h?CD>U^wr=PNS83|!YH5cCOs zl2`$(+4dYg0f%hJ6A0W<92z;gG~J^Iv(n#zoaU`HmtxZ79$!=CXSe+9q1-A#bFh)Y zpNQwQ;91U@HwGqez1Z?shC)!5u{u2uUp~K`iLI$a;&U2ld0Bk|*}rXu+|+MtdaSPhfF= z<@mUEgf-;(*0HV+l(75)5uJB$1x==1qk-mQHTTsMFU+v-OrP4b$j~kK{ksFCCxh%- zfV~`ckWT%BJ<7_?fqVutOn{N{nQXUyx zENBlL5mi(?LN1OSekJe#p4XulH1RPUIKvc4OtaJ@xRU3I=L2YP+-TEIKE%C-8~i}z znj>!$gBH(JNQK$xPKWi4@u=yj^w>fn7Qkd?q-1M9xQG9HErdR|OPutV!*Fk}7hN~v?M8Z&vrlk5d^KMu2n+V@XdX!*v1*z$i4Of$PrY?j3|OviX+*O1Z$Q(?ki zQxM3ov)lf%QpxDC{weON?Rn<~6HpZOJ$ZM?bG(FE1KC(dc$7xO=mu>2^p3hU%D%=J zzpZ``skkCTK)MfZ6uZqoHV6klE~TfZ*NbxhnMBKT8NYei_oqI>gfYK}&9e@nWHbj|}<>4xla4vE43Q(CzoKwCJ$3}9z9AAa{f>P$%F4>2t8#p#@U-eN;cMSW z4Z&4>dfaR#vgCvQDKL=TK25v2xGV7JMQ zPd^=$JIuIPmVT>wCG)B9Y~7}<<-N4=>6qvj!z_D85>Hn>=Hw90bbs$Q;ZQPARP!=1 zI81l?e&5pu&%De->`zMq(bgL`h2iLevkqY3hmkjbS{yhw1iU&uXrP^Ov@nUq`=G3( zL~EIwoqbp)X=J=B3Pbpy>p&$*_Q`{;II9iIv@MeR+Z3djM_)tXa0EIE z;gyxbeN+hDucrF!c&{)NvyrWACmq+Q;oBoU^W@DZU_Y@xQO~7EdTu*DnIL&}pEQG! zUc_i&`gzMalcw7D?=tyE{Ofd*2Pv;HO19_===AcLQK1f9p*e&NXL&ct(ZQhsq{TRp zj$i-dDVTYyIlu!@)KBLL@_9sx2#Dj)Uu3(6s%^e>J93jku?9~qSd2WY&35r#1t)M? z5s8v_GqX7jKYH|LgRdM3L4*aOngaPF*9M09q6X!@1fL@$Flj2MUMy=^`fP16HXIxJ zYR`>`NTOP2CzzCQ5buFLj%rm5-fxj<9EWYJtqI+o%}wBGdp*N@U`qjtDfQT@Q7A56}>68lnm}Er{a^FBM+Ok>*&C= z{pK4vEN0nw6%!Q!#<{yOUI#GQap7=p-60R&l)Sti(hsDTTO6Do+pZ-)5+5s{e$6lw zz>Mr6eN7_*#~)7$7tzv>jS<(%2r@@Db4>=A7#kcqtI*_S2@+iJ@u$8lOm7JMf^dK` zJ^GVNF!m4sOJ4dFB}yZAUOwqjr?AEEQ#U=WqgRaGdFQ`8lbeoNRk4Sa$ml(kz#3T7 zJ4N64dnx5pmZ7VgBuf&BY;0`kqa0FKQ=_+x<204lJi(?=-13%#*4@|Ez*jx+fcG2D zw;WPMjJLolp0bFb#8hn*Ji>{zBrWP%n{c!X+vNjLs`s||;>@bS5*3;_FKu%8H zFyN0oWlz@K5aYKSVsIZ|!MXt!L?V58*-n$9W$I&!a0Wx{DYgH zl?msA=A*rMkg2aG{$w?LULlk)oSI60i*EUK7nrJ^Yl9r)l%m8lC-~G^c z<9qFU7j^5fSq_U%S1~_kPit#4?IRdi%@h#S?WY&pt`K359h&A@3K&Cpt(!k2FNfym z=MUSy?LpiZ1s>wQ0wmDxixMjfGaw+qzFms30cic!Mt+YUj{prL@-cfU6Z31$xHUCO z&_pga1>G*`iPH&T;Jy4q)&}18UpiML!qc*Gp=z>R!^~Jfz(cxa*In(q@Llg!?|?Y3 zpFe+I)6seMIVHrIVKp)`@^P<~rDZJ|QvEmAu{|_oc=M(m+H<|27|qZ-{3H915&hUu z-IMF6xLv1*{uI|9Rl`g=J?Qe4-f?gU(5=kNQ`C2v=8odFQs=jA;NEk#G>@?%$}>RL zC9^?_I2(Jo4#|pEkN_U`Jaqb+mXKz3^s$kVNAkgamDnM&#uuB=V9~DzacJ{KOfG5jVUitbBCa~y6Z>`z!2l55 znH+h4z{A}9+WPwXTlRqFi=GPDN0nSGEG%~YAmQBcp~+=(oYj{p$A%dD!Y)zo1+;cr zq!qT-Y$i;)=@I1c3>2KxjNiR`H~yNfTYUfVT*ueF`_+44(qM<*@_0}k9qeN+O1mJ# z!b6eAufe+PXT9Dqqpb4P!9Bmpj5xk+1jpz0hPB@3&%9*8ZnN4PRz*ji9=5(V4VQBG zYto6|U;8+5{fh(M8=V@B;TPx^rpo$~?b_ln1{7>XQMLZ|w=ZH{#e>NWu4BR4Y!6=b z!L0C6!ZQf?5a_ZpHxEutO?^6+&i4hzrJLpp=@bmzyZLAr%UV8Mx}rd%Gq&|~u+wT# zG2Pn6%uGSbVn2TGe#DTRD0wP- zdO0?B?o|+)^29#sIea3;v8TU93r{{}&$QrMpClNTLDk+qk`rb(t3&lu>s5&)yX!Zh zI`xJah#)3EFHw4S;BxWsG{TaDv!FAPS78YIyyu!s5CP_Cs*Ki{Kq_8#TW5zsU|kB@ zmfXdDIvBvnwSH;;$e-;Vxv?6{vp%gIW@g`gSNl6&(*#c<@!3BYO?3L2i*8VmD z3N*^VW+X4xEyrXv3}7U4?^mJ8-(DCn8G9+wBF~k~g@J$A!iTA|e%U)fW82|z|3Xku z^HrXB$DS`OIK;}>zh0at7s2oqRBh?Rb?3RCLT^Z=fLoN1gJ@G1_5IUs^yFl>G`BQx`pfiKopcrOc`Z zt<58?BB!UPIH`+wGZmT_SWgE(q>nbIKWcb%z?;(J7USCb3kLs!XX{Ok%mnH(F0F>| zdqWR+A5R*+iffLCkf4GA9q5#nmRdKp0*;Qo`wg!Lf`zgEEE0Cl<-8V~j0G**3_%!{ z`!CP?2k!Z*%p~#Szpfuc1-swJ;M?Mwm(>c|wfC3n=cZM>etl^d!u)nJo1sR>G07PI z#>r60hrXQHJ!>;#D0^J)5k}lU8fgX}_e8YK*+qteAOoxUEF$BVy( zzkAl~xJXD{fR1#1uKQBvP%+chJ{Zp?=lct!nZ3U#RANnx5b+OJMcOu->j0un>hCASU_)f2kghBZ6w~tA4Aj*b zEOVToC!v(<1X_I!kZHSQZ#WB{)&iAs^m88pwDZ(rSRAqh-eLTY*!D(WpzN_KBuLrI zx2>X#xfjvyz$5q@3_8ED#mU8W7M=OpPRw4iECjEoIdxl5lln#ApnNu zvqjm%7aO^uVD~| z4>)?9Dn}n7H*lneDG+UeC<06*a85zXtj5l@AK13TK#~cAtsS3=xDnBo=H~($Wls*B zyoo}F70Sx>L@~)G7Rg;Ciu8C@8XpVe8OD1Q9jAxOxGEr?DZ$QaJ$0P$+Cb}h=8qc)hY>N|3 zu49&*N2(N?MXlbBfji;h`(X1FqzQj1QXH6<>9>N|iC~s5lJLagTm8!uKQ>g>EwX#O z8~<{=@`eBjId6~3j!#Y!l#K(=#{}w@oVTv8UN3C!WL(!1utd|UO^mq2l$;L%@>{IIY{+4teS<4ebq_7-*$d#hd3w!ey6gSFC)F)qQn zM-(-+zC}owO*7Mb$A&n%B$eo}d)T|Z?+XEM!#MU3_Y#RB2EJOn760hJ+uN1rHvlBW>oEJQGqmZ1~abrwc zfU&EIk6`b$XJIzawo~tZbL0~8wNR_{Gli!SKOSm5OXuE@M+5brzj|@PxdAI0BzFp% zcH2GnN53E;=Q;Fv3lv>0PozqoatIfMnXIU6|MvrM&Nw6zyT9zFk|8MABvRz}+8C@g zY77IxNszON5RYb#Oj?}cP!upp!JBcRkrcmrmzb&ml0uUm<_ zXcj0qSA8(BRfQ3}bnE-goJw+fQquYeB%d`d_Q)x?eZ3;))!unlvs7=gZ(-~mHL(cI zGi-3=`jbZyFy62%{4J7@0Q}isq4>K4gB3W}(O&vX-GMj^_k1@W$Z65YlV%YUW@?jJ zL9nhPKy9v;dUNPdR4%d89@h5EBEJj6(<5brTRC^`-1#hgMNTe&Rrj@`YMxjj?C`N& z07xL<{smT@$|6kUM%7#<}A>TVIZP!H!gGg!)w<>%#wn5rCBfK?{CYCZO<)Y zqy23gV%I|Heb(tj=%~@767acc1l@UzLC;N^=W~5Kx>~;TI5iW4j#| zw<(S&zBOz32%JGjP?U%|0T(wn_WeWb29<&nw{`D)l(7FEz$@+G;2_q@4|CF5fVu7p zo~|2%XB^b5L&N#=mCzEtS$v0AH~(<|j!g)y2afG~Hcp0{UGByvE$+sJGh@ued^atl z!YL@sBUc+J|5W_JZ{sD3`&_iI^xkhjfa%N@oCz+v!Y(`J_Dwy_KK-gY zN##F1wFi@*Y?b(6f59&$wHQ1Eb?Cf%&aFzdjY$im5J?A4U4ubcw!ff?WP~I^&m#P*Q3e z`9;0gt~|K@Y)kcghy25uh@R6FM(8JHY~GWJo7$Nq^3MokjxfT=WM&f;`uznH@rJLX zYQ^k4S@~}(99L1lwD9>E|GMc+Z6V3c^mBk`>F?S;7I{zUji2W&?xds~QXX^Xj8 zi&w+(_+?+YzFo)K;9W|Mu^cD`oj7sg8~I^@md%{gl#6BeC7Xoss;wsy4BjMs>45eSq(eCufK1Wc3x;szvul))3TZ}7_f80sO^SGq(wP* zB#&l%YX7;%3Yn2_QX{EUWIRNY7pl6i%?9fv2>T}-Q#(qL7v0CxLAd{AL<}`)C=ZgI z-Y_5?uH~2_>v~%(+@4@ zrUDI8~iPCh?LcIjb`3-fiZ@lBV<@h3x&*iui2frfs5q%%k5a%dejdL(xd$ z@c#ixyk>0s_>opkO${ox{h3%!S9dSWGlo>rn6R)-6A;adHbmhk7FQM-RZjM`%&r$enn#$jIHNEP6A!yEf&^DZs=jSmM zY894ROm!7)uY14qUUAa;!UNv=3ZATj#;LMttHUyF>s>YSl~_hlp2Kq zbPc?6x@R`(^xRMB^sn3c{T!>sF}_8Nv7`X_|6HqCsNqggoa(O&%&bH@2+9(wX z^f_J+VUCYW>CNT9aQwB~w_k-nd19&Y06XiRYVj$(bCDiKUix3To|FzXsIPrku(2pV z7};{evs60Q`t|jP;bei^9$r+f++##+3qzBqZ2NnEKo76;$7q869==uupoP?f4uMcb z{M@;VbqynuvWH1uPsTkPZYUPEj}Ki>1fNyGtRYULRLHOuNq&Ah`s{t zg5Sd2+~-~o(!uYp+IHwEb+Ae-KJ9?8Cm5@s++C1c2Zl|KHf(zh)S8~tmcuOAdJfsh zu1l$RgJZs372quP%XLQX!U2;1e>iZ!Qxj?FZ11&nmhlM*3F~3ni4}OwNrZw1~B&YD-do&#W zNWCezb%4Y5jEK>Z?S;8(T5RW?W^^GpsYx??3YldZUw6HTw}Q}8xGu7l3dm03!cG9N ztv~09BEtU;dZSK-PamLzPYFl$X3mBUaV}2t?0eF0mv)n-H6%&gF5p)1+c)gtM6_M2 z{Rg|=t+Wj#YVKCrpbBZJF{o=L_rJ6*dl-in*U(56Brf!!hpn=GV1g(cI#Pt&HEL$Y z7@2{g^>PMLOd6-vlQb>`q{!sF!cbXjbnFOHf7SyCrsLJ#{}r z;nNT^#E)7cl4_RQJoYN02h|R|vC1jAiWJ66U?;rF>8EY?T9L_M$kq)k3W*oXv}M8I zFDNx0fR}jK{J|wPaGIMui4XV8L>kOQR_ZqO{`)-Oc?TEDJ!em~h6HqS~d>H|ilsd)QS!SED0G8285icEog$3Y&mZD6-PN0n}LHy8H}4 z1kV1G9IwT|ee5i4S^MvijE$X-NaGb19xL18=b<{~+$vlEShe~p?|zfv`*4VBZH+x^ z{>ULZy_jPE^gc3>OMEuopt54^FLV!BW4x=F--2kh?OUl(6wJ1g&>8Vw~-jM_i9wqRtzM^&?P`T`8}+oI@_$D#)jbMswEE7c>t)GHCt0VfKx3 zs5yqx5F>LxpG$!-OHH# z-OGRkstMrjUlS;#mtklqQd(B_Znu}=W}MY~?%cUQo$C4OAhvf<2t)Tlc47R!OQ8u4 zz(hnO(!7&%E7vXGXL@|~rffv2Uawb7F|2eG4KY+GVvfcu=2k3}kP&Epuya%SqRYQN5YO zo%HoS7XT8{N?QXX6t#{YWTxnD>u;cd{t3jAkisA`A2kAei`&_~5)u;m?pycLb8~~V zpcy*B9l}h|0(ob*{+g~IcGhTdCG#xfsEpE;eIq)6QH<4(uXri7KvBNWBT+V&IjnB` zm72cIl=Raf6$f(89i6g=&v)v0`-VOAt?@>uRScM4%xavYDqdb3Av~spb%gOM17A$oFWd- ze>!SlYk0ksLDyra@CgVcrdjlTcl$QK>#_g7>9exDEbZb_wrlhe5fQ$L4NYICf0;JL-+Ov?gbDTI-xXBa(k>1k(_zOj>Xhr#R9MM zB}(ldU+3$i@pDZs{$YzpqRvFne;G0+TF2xZ`&gKtVnsvy@L6T$sKe8ZWrm9fzv$stVAp&XIw-t0P^CRGUVJ%JM9fKM>MXdo(f3-o$yY?M8FYc# zpbJXT`5<_Hr~gcXRR*@5oSdApiVEqH>!{O`Ir_Xc(4TOz?C-%8=1DVnC^a>2#xcTKgjc$U>UdiE^u-m;3f_w-=z2VqqkULrB zy0X6muc|W`zCyZ~l195%?gdtZ4rUag;-#1Q*K#h|XH30P8A+?FaMKFgwE4hOM+l*+ zaaO)ZXsX;yy|}40&hE&lo9XDKl1-oVq@1#Qw}blEt;4J*emJ?dipv8@;EZL=eGyA+ zSK&A$m9HrofKD|{A*I5{PWOedI0OwgZ`y{&rZ(4sw>7ykS~HF~;ri6_xThQvhkv!M zejhlNEX7~@ntK0xU(?CSNyf@5bN7j(se6+X6T2*dJ>uoRdSJs+)cU~&WH<=Hh|u_X zNaLN#du-{-u3wQr*bN9L3#oRey~|>-Wqf`oR1lWcDP>+oiB+gyG_5&Kpt;n0E>7kp zs`GCg=Fk%n223&vGM|%GP607nJ^ajU3!jB2xE1VzHFzBPZ@gYkdp-i7>o^|=F>%z! zkKT~#`#anvV(#-F^lWkkeh}2t<=Tcwi=>fS zTyb(mPdr%FXjB3VKqcJPWQ(jpD6kM=<~GkVd^FrO2XVU5hIGUn+Wuq?f19g;7i#|l z>(0>N&#b%u=2A|bI#okt*n<#f=F)5K_fnlW@t-UrqZ+zyjKDB%Nt;ObW&_TZyi4I4 zN~PDg1Y_5s7-0gdKMZRAav-!xy}A6}x~FozGq(}K9cAAH37`~mIjx)TG1V)U8^@Gc z4Y{k9GF!0+4dmBiR+?~p7k~H<4h*81|5&3~Cb@{<6_43${2Gz;sfLRv$JlvRM z1}zkPW*6vE8#QhO5(upHOU(M=UpiKje!!PSF%kc%d2#xXkB4p#10cjF+q52HOTG>y z82Bpkjo3$h&c#>$n1&FAtiGjNO<_+cfO^wRi7iDM@VV6L0BZzh;6V1wX58pX2>+ap zXia%K`0qaJ;)A`qAWDQC-I9ixBv7lMKvM$pa?{d?3%k3z{(DM*D|g;Np3&W#lu_D? zJhuJj5A;iMh;|zrHOJAN>fi0Ur)+IszOaLQwb>le*{S^p`}sEgR%c29Z-iZZm&sjE)ohlr8eBm3W85S@ro zxgn}?B5u~HUT>%WEcMC}dU4)_#A_6>7cR1{e)S8`vtRl|EnX2T-}d%{-a-4i{2R{3 zDo$ccevZgpG&Z~=z9ucQ^+5xhwE%|=S+Hed&h&C6DVc?I#AJ!@8)UYzYXexfb^%ch zPIJ;H5H*4s1n0_l}n?fpzy2veq1U$H@j}ry(&%5?dCc4jKFle%+7&!?z@K28yUxZf zZ~OGbcgL;D%1V9Jo6(AvZl|1Oi>n0KC^_29nQ$%m4+f@AI(4LDkL-szNNEu<*Fe6} zr%I8+Q+aPpK*9a`3T?7#=Y61GN{3GFI=A2$RCKE@?0~&iU8{KDN<@a;9WEn*;ovL7 zu*LA08qmQf3IqQU#M&D`I^A#g-P)~I6^DtH`DcB9t9+=P+r30GaSV&u)#IwHY;B)3Ha6y|K;R+eG8KNfriZqD z#L=z)caE;7=lG`1BXlNF;fH5LNqOea%;bNcM$DcSNx*MZ*VScZG1oy)1T3z(CN6t= zdOl5ONupSqupK`kcphjUcHM^_`}x(byJWfNl9FFmy^36%BuebX_3&TJ@fZT6sZGJE zd4A%pz-+k+d&w}#7-feb+?9ox(q3UX600LJ5Be_wSnR3<+G=gJ&>`31=jZ3tKS!>) z_9H3iNErtbjB5VdV3dJLHuFj)Mb}ZhLN^w|;@MRn7?#+uL92dbpjOnv>a2-CtK8?ZPGQhuiHycmWmqsGt>h@W4WA{%x3V0ZIR zx#e&r)HX^6-wXbNlfg}xsdXF|YGPOEeG9$tZn2SMIxoinBlK&LG|W{j|HQI{Vp$1L zmTPrwdbln}jvw5F`Y#-$@zV{!;5NUQz@Zt8YV>sSApF?DYp#~sO4o1eSTXCNp(=) zKi(5Ke!$NSI80fr^NlN)d9?z2Z@YF#e(w0lQ7qhdHj{THdH6yr-)n#eb~c|2bKLLU z&-(m%e081l+~Z-%N8L@^Dw&C~8`>(7{mZ(q3RVdDE8Tx!fd`VU^TjpMH3UW{qDbpM z$h+`x;^N&8A3i8+Y4O=ZA*15Pg@3Z8KX=AtDb5SI`{pm+6->mu|44b#YVxHB4UOf> z#?}QDwf7BAaz5jt$>n;NRk!+bg8D|dxwx?IFM2CaPSzXCua}4AzvZ}H$@ZiD3<(Zio#J0T1CV*Y#kW$+eb$(7JYjh?y z#fz|a=~h{Jbab@gPz%~Uvg#?AiV3`!bmG#xq5G>@drjOB{GdIEaR%}`%vP*CfxUNn zsyCf@_Ok+-*lJMX=3+5Vz`veG5u18l2MFJY7BOAqb&Sl|jDK{6NCCVUD-=)h?vEVf z;Y5z9v&KzRI}Dt|1og89gJ*&&DFq=xmhBVoj&xYNmta#QjQdO{V ziEpQkIWfnsc!_X*q!N&bLd869ryIdNA{BELsJ`zvZ$nD02B%BMFFwQY*UrVIaQikI4v8YREX8f2?+^L zEtpUVwq?oZcrY>Wfk4xJs6yXP(<7*J7N*Hk00M}mFF6cf7}%aEI0-W~yIqtvN}zcH zKY$H0m1s^zTH0jl^GjuIZT?Wimomk&&Bob!9>FWi%e2@?L52q!B^UgNQN$MvJXFH6a@rKKgL z+MC7jD|k)PeqQU(#G6Hu12)j7TmWX;p&e8<4}`FWsQe*+XtN+-OS9V2ee&6Y`}ImP z?qAGZ;+rxwfT&q$zM4vQG?_W&1Zqz^75}WyZsnHwUQ`(_9IY2$MYYq#v~zd%d-CkX z)GWo8jZG82>npdEatnXus%<-2&q|L0kHq#gJ4)m#_Knt9Hb|G6e+W(6rV2k=fjz;} zT3W{!%Z_Nj312%l>U0XKRuraChFfh z&c0l)@Vk_=eT7&$JC`mfWinmXeC*CvU}}^9o(i^2SfR6#KqgpG;*f5~lft z?z@+GIA;o$WXS!jx-L4qOz(YK>rPj!vevg#d`7psQ8DxNCvMHT9l2#!w9~<|_VMcy z=ga*1qN!jGn#Ju>rR~Cmi!+5{*j+m#I}PhEb|lLLu>oy)23LbZ84JuTV8gYRV!4jrNlP<7i%b<#ojgfaxbpMoTUoW^ z%oLzJ;9=;+qZo?BF;&cecS7H&)O^rz`r`6if6Oh1N3er|v;fd(f3${>rocdPokx+nd`a?tL1{2t5S z7$heqvXkKCy&nlAKALM?ZEeJaCzBc6diEIwo_7e4?i>gfQ4y-IcIntPAVTT6dbdH7D4eS&x zyWuIO$w`P}5r3vtR8%D1q$*f1ziw=7JSX!c3aS>T|I+$6KeBaJUx;s)kodb|f&NK^ z730(Q$h5nJot>R9*~q!;=2li7_X-FIpv}g$Vg`wOSWEyB^{BHlGVmv76NGKMXOZIP zrhpNk#X!N-zQN~D=-ho(E;J$}u5}=8cN8ZkOH}{FsKn1v($JtJtfm0_%C3X@zQlBP zb~Xgm8<79?ZlN$LdDJvTNpakLJNpM-!NNzfu0i9a4WP#W1$AYqb|MgoP6e zu(GicKpY#0fSO@s-pGswUwXhh`tXywcZe_j4vdE1%{3U+sU)~`SaG$ZWQRUQB38+o z#c*&$At!RB?`KtVm#EtAr%HyvVfT7kISNbTVJ`qEgp)|l`hLF?fzgeDB&1o zNF6*sj6GXl6ib^u2}tR&uNaJQ(47a)3?iM*$QW}IbFnO12pXtzOnxQo&~%xUBQC}S z$p1E*348A$(oDl3FYol*{@-PLnt(l%14$Mt|5n6(W!J$di1;*1tXP)nDHTzChvGZ$ zuF{CmV&G4&$4}Tfx(RAmb*~L5nzk20i%>5gjU|X_MQAH%XfZw=T4g!*6_$33OPC%( z`(-FcB`!aC^5pMv3b*sZFr;#sgPFKn&^AN|(|>nU7)t@tXm%!OnhYyj$`-&NFCUZu z!zwoG*IcWaO~dyt%CnsO^>$D8!Y5N3=Z(!$B3p2l;0B5`x1T_#cFjfsCHA}H1ut!l zeTYls>+wUfp}-R~X&NQM8YZ$UXz(J>=KLXJ(^j49Vd!KVVhkx8;b_0Ls$fZe=;LXv0!-rCxGEpv);}R=wFXz=4Zo zMGuNVP^7-52sY*J;84iL#pQFtWEZ3c1|Cr=TfeCaw>~*F)j?s5%9SHmZ+qZraGl#} zB@0BjA1=$#;^VSHWN)|H&U6*`Nt@ef9%l#w# z*G_wE-cmAdP_>0yiipdVV93zqOvUa@FA4i^3PB@bRaXgr`mh zKikPwQn#Ct!pkjfee=q!F*)N~$fU!{#&Gx{4Vj(b%k(R_dM4LRLm-t-Ul@PRlBBO8 zG}!5}EfOs})BVRwavE@m)V*1QU84)#iPA&6G!Dq%^;Y_5^bgBAU-s2d2;7^z5?RMy z-Lzc~C^`76q7TEgvOxxkUiqC}UD6T~@2(->u?R$Ol{R2bKIphwP*chnD=>4*Ij^L>brF+_$P2>Ya#USyLoV_gxqE5IMck+BM{M$gM^AM1~iCtaJ6*x|SGt zV>7rXUFT}@fxzZuYg=Nar}x~t|Fx3e<3|SzkiPxde=NE06`wCC{A#BT=c52mvYbPf zWil(Psd1yv7dV{0y*k?3aEY=YN8tD>_17)pF)GCFA8uw9X@)~aLdQD)!c)gbPMX21 z^n1+xOT>@{9Ax!#N6<$c%n^H1JjYU1&89j&%&x5{84;KB0j#SuR&Fby4*1(EwY9b2 zCBK_w=H^CDOj!ELPA_0yxk56xA(4^@@WaPgeuCz75E&VTbWLE#`t9MDEiLjEwzd>j zmXR+SFZCexGBXPNbLHz zc>oRKtv+n=v~)4ba)~6|`y!^`8B^qu9aHLIGgmlJROq5;>yjh&<#Te?>YIC~96Arl z4_N{B<3SL^yA}_>3AaVk9*JZEckdhUj%|a%&1l*-e zrouJnRe#RRl!v1Mg3{QD7=%`ptPV4v=}XPeGNXj68Ib@ew`r<+(1LtgK>e+qmFi8# zR^sEgE~{hN?^L6(T!rx-z$Kk>&hTG7=`PYcNv?3~CE48yW#TC91=ZtaX*(Y)@v43A zw~mn(5)l%9PVF+bgw()W{tojm&-u$RaZRqG;8t2vSua7v(svoT%?ymnzm)KhvO_<~ zH3ku*v=(R`;vC$Z)>ffdy0Bf8syE|4f2BuwXzJp{xIt5Y-JQ^BbpA zZcT+@RQp_jGDxO+V7qi$tI$IpaGcTa(AgW>M_j0~`kQ?6cah{g`hox}wzsv>umnn> zONEm^eh?S(^6Kd#*}za- z$CvJIvuD~t%v6|U{2xh9bH;T zZy;C7U4%;4=H}Xso?fA+9Tgo&@i;n|fF}5;C)5x01228^@$WzP1?e4efVRyo%?qPW z-_s9M^ZqZTE*f{K%`PdpD;O?5WU6Y=7!mLcALrOPns{#W_^`3Zg!RXvvs67xiHCJI z{9(oN20byZ&lJ=5rZBYxGzp=iRn@4WWtCe14+l!2-$*=DL?Vdl`?m&%j8_;s^3XFyv7ED0!NCFG`#C|+ z@`DNw7So+X8KesL8!e-MqPYlDot?LN{)>(?l#?G$Tz0Vb)x9;W8pW3`I6=4dy&`BN z$D`<)q`?%;ePn_;yH1{{tVPAn?QGThSn7k44znqR)t}-~8_=T;B)O)9eYt!ig~+t0 ztdFEpVHhE{9H*xgqZz>jWDP`07TBJcf{d9%7wk#+;G1#m&Pe7=Z|#sc2Y{Ul?f;8i z0^@vM=Mnr7FeRO~$_nVBjtMWt(YfbX{CTpcirBQQtgPWkh2<|Hs%Gc`i<&3y8-2-> zx0N3m-h@DAs3;bp?GY&0)ziZamDKBU)8GUdpW$CigQ^;BU&lS!9^$IEzU-z)MQC)e zU}m0;%~Vt9_)wi4G0y6Iz=91bSJ+2GS(&82bBGg4Wqdt|;4Oe*lBnL1!w!yqRaFMA z@Ngy-^_d)$4=G6b-p$N>$6h!}uC5^iQw{~i#gE{VgMDVh--H(WE5k_b#qh%iT^UQm ze+^9kzFbZ^fI32E{{??0nI9tIk>@n1=WfC!8}BHb*{>2;_XRy;-3)^Khb4;3`nXR;E%!j9C7wTOh4~c)KovPiLLZ*d zePw$3TVIHF5MTQJ7g@4??}I2KC<3WDzMM*5@3|)%;Y%slcEYet>Xd5m8*|Sibl^&H zR{zhj5vK3kUdbL;Iiqn>i6bsr_vq5RzSTr%U#wN=a%@5#CjwIk7(d`PxhxOZ*iXKa z3rrWp#Fxf;GBzVTIeE$74 zk=oeU*p4q}4{N9pgg_seX+q{19WPv9_5bApRYj3TnU@Pl4nu?H)?SX`Ee?UFGWIky znWZ6iE~AakEAhup^|d#pOYlG5Bfe}J z)W$$n$QS);$mMk0K67vuHc?qy5geIO%fR{B)tykTcpM(i!Ii}5=%Jx6(HWbH`f1HT z0zSX~dhh928s;to(+X|s=c}Fm;7dgm;^Pf}CYFfM#5-}O{IbELFo>hid;XvN>K~Gg zr!5D(8^yOwzkjZ_Mq?<~*_Tc!PHmU%Hy;|OHi&-8kaSn~I2=`WGaI2! ziBB()0aJTWVmULnK&X`(x1RJ|-*652vlNdXVH*OazE_Pvg^WfC%aaQi8RQ3|3!}&8 zcj`|!mwxKnBjXgHDc+|a1MJq@|1&T}``5@_{|aM?V&oi2$;oG5YiMZH0qkMygT;ap z?<5i2|Bzqc-QXZc!?RgV7|5mv?qv2PcM~nVC{ttN}IYH_By#&{FDxziBx; zHB}&&T^D{Bx)48<0ruxh0J?F!QJ8kBAj>Fzkd;oA=f<9F}< zzA^3?-ybgne1UU#_TFpGHP>8ghn~#aTRN3U#<@6X=N$mGbE{I>9*@TD(DTaSdNL_F z`?|J1Ln%4m_+HiisPpXJ^UlN`TPuK%rFRD+m?ah^p18P(CSL_BL0S{#v*GeN!MzS4;!BVh7fItave0Vab!53dzOa$0C#%2l`UWgwMA-a{>-t4oussy72dI(=3~$TWj;qqZt7Eff)gn}JIZh|u2Whx1&OyM_c} zF#iw&;&YYKHjX52r%*Vzf>Il$25#vJN~XR3bu%V{*)suCB2zT zG?iQ3lr5P7y7g6=HDgroyRVblAD^|7tId0$t)gDt!#fn?-=F3xk)rw#`4JdhjAF`h zP&d&goR?Y3f4(Dyx&p*87-Iz8Yjj*Un-nQM562x@9=eYGzqrls+;#5K??22#=h_)v zrG-hl(o_Fqy3+efLuV7#>EX3y7;w2{Z^z zTdOV4`F>r{Bo!d9VAAV_T2MGtsa0?RC+qBW!L$NJ_s72A;KOf{K2J*$fjfMu0eYNM zFr)`Ck+F+Qk=|@H3(a^&i;GLhm{jv~czqvJQ0Ru#>Q>)9I&BGw_i5Gn`9IE5|H~V( zX93{s6mF@4kxL5DMFl*-^HPKb4(K%^uipps9dtk|nmOJNw&3c=r9qu5U{aF!7+LuP z$lU%IN;?0WY5$iBRWC?UPJm+Fn*6@M-GdL$y>(%^b9^h5ZqUz{m^(c~gCdS!85>)` zDTFJka(0Vukk75?iIZIkwN!cOG_Rf(432HEr6O% z>^L9mFatF9kKnfTFMAD=I@vinasX4W>-b-_0J8+}KK<|8gr-XwH>IaZhr?TDK~Zzz z3Gk)A1jYs=FS1*OWVr7lAPeBid+v8oVii$Op6-5R@6&-pZli>0CFXUtf54Z6B`2F$ zUaTqYVKIgpI!@7f`UeUSiF?3d3}y6fz=Vt>EyL8nbz%O3;pILV&C@d};fV}Ns-5f|BEPf>Om2(7FHe{}!Avt@3< z6X26u6UdQ+IuZ#I?go_cSicCpmWQx!YTl}*rJVCNU!nkwHtB^kQpj?oVI`>A4Ntr& z*LLRusmz1aK$hTFvtC>FW&F6>^p?b_>2bV=b8qht=`R;B+6)L&>K+ENXMgks8`#p>61U+=D|3!R- z#soE-XHwrS@eeWqH^eYrBxCdH7GNd!8=tj2i`hXagSXMvrOUj(~09<;0H%E$=VUl~{IEeKe;6=NBGxA9^bmP2#K#$JBK zh31T*(LL|y1OqP=QE>eH{0fg3(|*qy`E(Y>uSHdNUw1l~VSw6tm!$VC!saI&_GI6@ z$hs22sZjR?JuB!qRisN}%pf78QWY3HDiLGkzn{1eRn6;Y6l@x^-?qc+kM9;`kB%_S zv*?kWKDx~I=(X@yQtzeN>^k6Yx&^K$%USN$2gM6;b*b;sz5%2Y`Tjr7?(Z5na%*#9 zL4eByYE0*Vs{tl70@bL#8cYv8gF%%%eqs??z)TDM^a*{R8_25a5YcHH9ut~%gtQ#c z!fFM}t_*;M^%`hf8b~TERPLJ?8#6zbX_nfQz_7gnNr)u%X<_t-1CsRZ2}F`eFb+&V zEbKaaQdSg#Gj4h}9pmt9G9(Dqn|PNW*Ug6tiDqnx%^tbd-(M;YwaCksb>-(zRC1S> z-`G_U)l2gaU3P{_*eT)<`IL5Tp2`NK^+f@RcBD#g^D2q7#~_n|lWrajTUf?@0L1mx z$;nMnzQvQl5z}Y!E!MKIsYA>cJ!jLy0@|1Hs%!uK7T$Mxq07qYo>vZ#h;O+~7g@=^qQj z4!%-;s|FqIuYBGBow(m7F$^*kS4?7TngVtDWumD}auY*UbUzq9_>jhsAjiyAUesd0lloH!qN58hF2mb!WK zw#pr7h7OUIGLj2}K5bJgb8{pY2JWfBU$3<9Nqj+^@BJrv zP^i8+??IH(5_1ykrXaN5)+H6LTPhBJhuS5VXsdT=%vvS;_`r|I-*ImBB1(D%Ea3aI zuhAfGi`&XGBHMwX2aYdN7k0r5GAsVP!Jho(JG;`TEcwZOho2)c2ksas*oPdVbq`U9 zV-(OC{7Y0-RKREmtC#t*yrsZ0HkB9+CQX)=g2pJ&LI^Mb%q)O-IA)m}nI-XLMs}!>^X{_=sb~(MWa`- z%fq>L<4-UwqX(%u$!6i<0Ep406lXE|ZXFvVW61RM^pgZWNaDjd0A%nFDpL2rpj;8nMT&3OZx&`M^50;JQ`p#!PaAB4!PBW_8raMbt?mYGNQu8w z+Wz_++{9U)boM{I*+U0k0Y?TPFxzmAo6FEI=yD9mAHGCtKlJ-C0TVK4tFAHiDHR&Y z8P{d>6TIY&f11@7VY0s2`j&K3_Qb2)K<<*x*5Ri0{NSA%+cM3}CSl3z?@k4qKed&s zfVm14Xx$dS87&H05xUGJ1@8SqJL?fd@zdR!-SRt5_N$z$#`ns>^d4DD@}-YKsNkxF z2+-#`wD$vQ&xFPr&w`;Ja(7=&f!U_Fajr4r$9G3u%g{fbCfWK*iBMP`0gczj1~9G~ z0@@B}zCuiiw;B;-qNDjATM+T}p~N=eTzD!$r@xF&2b99Apw${Gi-o0vvBw;7rn#UA z5F9PW9B*v7v>u=mw#2hE$C%(1m0&zeglZ?i{+N?F?Q?aoAN^T?XbN<~NH5E2XmFEx zf8WxY6+?^xG11h@(y|2Po+{)kBfy%R#H)NAlFb!C9A}+2-p+<3M1Dv@w0`7 zXNTIw+al*@9-S|K9W#j3->iZtUF~DW+;nu0-@IVm97z#4?64Ae49zJi!HL;hG}Sy< zjrDi(AJm4=u-77lP`~xHocMxP=M&BZjK2Vxk1m6yprJMs+&s5J%ZO_cU5PQ1xH3kRlZuCnW`6&9eZay@MW%mk8uks!SL zyn_)d5v~*4_3hZwl@`Q`)YLHc!2lAdthv67gF);65h#E^DBuUbrZ~>!$H85C&-`alUB$*) zmzYQ_mh!Tai4%ESVaoXUc;X%y{|hCl;JmT?J#SoK!rDR#?Al~Bgxb%3qxO?r(iu72 z4b>^($|)~)X2rC?cxj#$U0EuwI?WM=9?J?K~=k77kloO(D%x+FTrXN zgPi3X>1u<^kI*}=aa#{sJMHoDk*c;XBdjvVHe90>?W_y$Ge5CYj(0)UY@d7tvDl~> zv-nW7?(GGy)Kz^Z8$nXUa}-cHxZ|{x9b}!74g_X)*;db)*LC1-)>&{sL z{nJY@BQFV+=pFWO=VUaY=pgk3N%2-obhVoF3$l0w<jgX5O!PPyv%GJ3d)=k67$Y4MMvEN(G8cLw$X>Q)BI;sz64@ z;D)tZ-tz6+x2Xv3Wy_9rV5=Bar;i{91TSh*4*KxlbbDH8MJU7KRDb^pC$ioH_6DRxYia1RR99Emga5`M9<6%DqpxJhC;}c` zrwS~f6>ag=T*jbSv3gdl@ItBaRm*;ZJJ8?n1&TzbuXCwCW>yy!JpgINWdKJ>ZzZI& z0W^_-d&(FeBi)H}GZl;*Q{S5@{XlQbJov)E>6NbT-HLJ`&~`^%!Nv6%Ia1Lzhhl}N zuC{2qjgs+M;qokIm*3fdZcYyrL>wpv+4U((w6b?UB>LEm8DpKj>qG(G=G1B7KI`{j zUE|nwbv)H@DaLf#_5x;U)7g6NORc+xk1Z*@B~ks~u-m9_yu@UZt-Jk@(1xUO51R{r z6F=y~BTf4|4pg@cG4SpZP&*jik8HXi;x_jk{8Cym%XTS;WtQ-zSAz~J zsV>VqIn9cLim}S@*y1ku-r-)3Tg}N)NruB}&NdsC(A3POjSHEvPFB3ElbkBnD6tG#t|_duOBvfI%cX7OwPoL zh8^S;K=LSI5lsLLk#8rRJP?nJi~C4<;6eF8tKqHlwwD)rWK>ke8s>Zy!H~=3kzP4S zHeKzS5UfX)HFqut&^&s5GX65v2Yi@+zi{@#7f|UW!9Rw6>8w8g8vpeLv?$pNCn0dr zA8hH}Ed$i1s9ubsX2zQ@d!Q zIox>R;x?(P+|aKR^}2xSrP!*{GHvLgFL;Z2W0~FbJ$el`!JIYE4GIbY0}BD&s^tju zU6t7_Ew$x6b+s>LUr`kNNWMJ#BD1k8y>PTD)c|!XSSk=FoM*w39cW{-dXrTHqFUd#U(|Z~vm^4gvr3X}UT+>ZEfrtIp4Rv}U$ptE zk&iDq36z2hztUCmp&10&p`qmLH&Lvt}H+zLimi9t;cZYoVvon-cqTV28{H zqQl(8SM%!BZwc#W1^N4v<#WcfZv5ITv$+%68l^V6!p0{3h$N%ET`5bWx=Z~yr5kUx z@B4?_AaN-+8rGgqotz%{IK!rQHF_6*yc6ArCqhFLvam?Nk}57vwhZs97)(}@++n_o zt!6gx;&O?O%J!j8WXbQHA(TLV$=u3{53gZCgnsUG^n^aVCt`-D+bQtZ9FE-TC%12C z$i?K=L{0sPGGKM1tEJXa&p|h@bS7l0J8qP=yP83@bXAh;VBg(N40cfa(5|O+7Dhn3 z@y&gVsrIP)>*qj3!|cUFzgb7GZj!NKwm^J(H;4e0uwZIY7V<-uviUC#E)YoQ~; zC+?+gHl(}3#YzbqUGw|x7jtj$yxI8bzFTzszSfafz9&a zP72|*qspIMct0M2oeGYLT*!r^N;=7Cm-DZoWAh;T0-Sc&CsAK1J~&&f4-LC;I1AiB z&^k3WMPRm_4wD3myUo(Fsb+S2ZjRI|7wsz<8sHFH^fURSDh0liWDd7(dll4e@hf#w zNnqV1B8*8bS6W*;abV{Ksh~t+rZg`GrdYP7y<3hf?v=LsdJmhml@*O_&D48C)(V~T zbVeh>&@rM#LBrOT6l~Zx0#U{3JgUGHoYXj7NLU~LYzxmA$Y#pPJ$e%>;Gz~{-1XSV zbu8a#c(;5&zB!;V!(uPqwMV`*WMS2UUM(Q<;-9D>M5Tf1zGv)yhlq$si8UfC;DGHvbGDt^-{X5)#iXExQig$KwwpGzKi;PdTdpSl55v#o3aIL!mzAO;51`ir{|L%b#zQ z_p}B}*OUjRSB47{shxlQ`W490p3_~tdx5n22anXVH1`G$7fXvu=NGbvvIgf<^@*iraB+Fw_=3fVEK#8M zj&r%`*AyiHBDCM12ra~PiArHe3Qkbtkd0mgE0-iGbq)*&=r4ldFBquSZWtD($h+TA zTVK`vpr)NQpv_$AXKXQVTtC*^`;5FYzz=?t{{hB+Rx{|@Ncv$JYtOuq`?E(s05nh= zfS;UKEsk-XukE^|cq_tMvn{#jX}JpTRflvP{oRQ6g4!x(uK?WUYy4^_Ir8nWz>c9$ zw}DYZH$U*g)X>mp{Dh~)0r5$BHLNaveu-~<^fK*^{QRaNP%223=t0Jr!W9r>VDpOu z8Zri(WzUjBM2Z7zrz^Ggh_EbfL12$OUu3B3j?H|A_V#;>0qV8z{w==RZN9{Kbe=y8 zB*c!jalwq89%Hn*P5hBKVpn}O&D<_;|I7XY(ZTw@f7`O4Ta)Z$bvfMzT))~^c zpk@=&yYAX_x9rRe5!9T{`k8HcY%^K3f_+sY!{lj7gW6=En39?rdz!h6NQmLwPl5AqODs zYcwgf=ie7U$Jn#?5d#RouI4QTjq`wEQy!DX+S=060>z5~AJfEzbZW=qtbySjX_d^| z`ad{$a$r8{L%AOpKo0>YV@lc^KiHLAm}J{`CPi5y<=QVQj6QLUq9$M^pXJRaM0Lw| z6nTM{{w{@zb+se}_I6#@%)=dY@%yqm?~qYY$Ni;2}g9y%BoDzRR}>vlzW zv&?Q&HzlU^JF`$`WlO#?ZqBC-QmtL?Er_S#f5_~kBd7HBYe>gz&d2`w?CoBwr#8x4 zOiW7PwNHHQa;xoNa9P8`o_lwxHqF&K3YL|Z;k3aYG^lTFsz?=OspUS)*$q2se5Cz* zdr)2Q5J5e3)zjMwiD&^=+1^@r>UNpQwsK=K`MW`s0UtF}eudF9oa<^Jn?e$I>5CPw zg+6L%_iBj5gsaLBjc<}g^FBSrlYnWN+eUwW_sa^bBB_K85#`gz-;UVTpOQ#FKdhNN z@pXN6l)yp+z*sva{88~Gl#`!pHuGJrhuibnG(GDNx;i?zQQvh19jFE7y3R6f9nT9+ zl6ZlnZ@w8oJ)c{3m&MrshdrX|tzg5?$!Xs>;+kQXc*>QQYWk(GK&!O0jNWV4ZaCM# zZ+TgL^x(4vHaxv99{YkC(aCmit}k6iS|US?36XLiCoIs=D%bGax7$BPnY84B0yMKr zeozMXDtlpB0I0cKLiQK)wInZiG^q6iZB(1FY*>}gUQ>J}!2kZ;J5wz|EEV(h=_WrA zHP*o$s8_s%o~o-y*sXA~E}89o&#y4%rvUwr0rty9A_*J#5im`8;s*9e|Nbbu3X|NA z%InALZH8ZT=(&wwSmmblb9ynU$JqC0Y0lIAP*kQ0GNVwb<_~=(S886EZ>Y4>XU}N{ zFX}+~6CS8uK12-?M3fm8YTh6x=hwrm!v2F(eN=T9vwp@=%oen4PaffI9cT%Rc@XN- zUvfRHF>c4f=>6=U{UhNVcsd1E|7@5GXj)Fl_M$+4$ggW9bp8Ue#wN)X}7(lrQDr6cXBcZfuYqz!ToK{k6+M? zaxjvx_Q%{{u~~C9(K=NUvqB4EEkBi$?J5C?3p}_(leoru-hbcvdGI@XM|G4QT5wvp z`z^*UBO;S+-?GEy==_2*bxYz zfW2LQFd>c?P|v@-IW#n6QlygC;Bp0 z82DNa7g>`2pnR`P(Be3juSD=hW%+=qCiA(V$9E{95E8|rQn(&0>c!Hbg>xKjSl~!VAENd$*^&?SXj005z)=w>Db>ib;)roKV8!^e<8EHX?Asio<)68~SWjXTk z{L6KbNOZnE=tN$Fob=uHtMx7YQ+wq#c+e`-x0gDsRXO)itxhJ7W-dFDm3*4NkXSFD+#Wee^G^I35fzpo!Jc^bn{27ghkmN`s6 zCwT55yyp?}#-+I)d)CW6%k>~z>rCxY>rtc~X z8=GHeR86k?$@1s#Y^}4_1Noz6+zocP6ufE>UjT!4>-F%WZz(vo)DzRm+zCw-eye8& zSE>D?C0D&II5_S`|2WO~^GglZt676u5w(s#esHB5b@B@Os67=_-jVk@trFM=nULN+ zvF(wo$|^s_1mBx}`10-@84DpG1v1N6giDb!6uv}vLM3uLd5KwOAf){M4h^5){Ogyv z#t)_}8@qmT@Y&n^M@L6yT7vl*Lleo;5sgN&@dzpN>sMPqc!A;%tfnLR47v99_Kv9l z3I3dn`gYNFM6+x(Yq1v{1~D9WUW$kwM2*eTn?WNxMV*|Yd=^9}9=b%E!2Q^j^$1Z= zb`Nl{r(keUakV6+9G80KppG5ED*3`e{R*R6Zhx*oY1TmZE5rIV%_@*VHw z!|{OCiJAx%snzhnjX&j^n5UNU{{*@R=%k4 zbb6SHX0~3l&N9RA!=ES&KrhXZ(eTxP*3`0r1p1iirK<7M6b&$16$om*216?n8(16Nos}&`Hxuq;)e*8S7Ox zz?LfI_(zj6EPS^QA8)Jv5Fp-Ez9*<$)V*7d>JEde){Ch>QOstjOH1@i>6WjRxH`(e zw5mt!K&;c(ORV((out~ppRuRqA1M6pit1XQekij@fP}!8BM>omWJhc^m(JMLR$raC z;KNLargv|E!F_LF^KqjoP67F3lH+TRg5Kb|bIvVG2iCVYxaVmoR*`VR#(eE^mdwM- z{UxjkcyMl;VAd+<3(=n|7#AljFfGKR7iUFN_|zn}F(I*qcQmA+EcPkMj05<{B| zI`3hvPp^fvegXGdc+Y(YF=8n~r9IsN;gF)FB*6Vrj+j^_liD-cm*Ygv$*i4#Staw$ zyA->(mze}4#=bWP-y{ojRF5d*d>YotyL-grJ31PouNxlHoH4l!v8l^%V^MThu`?y35w}=R-o&!5?cz89%(wNJG>Ief zdQ+INpW!>I@~xkw+mI}{!E_*NJJe*oL4%}A;#%vsVgPNF;vHsIvGK6>HVZ5HzNqJe z##*{V%ZU>ya%-x02TRC)haf;VI_c_2V!+@%it~Mt8gKzG?;Y2#+=Dvw!yP3Tzk6>Z zU@l^NpDQiHDPfC|kFR7P_fCj%aKib|cz{^Ig`Z_Rf`*!nPfym7JQJbE#oArNK$BhO zPLy}JhUy_a+2V+T7WFT{mFtx3@$>L7Y;SJ^qwwHHHKp5*;^Cgv>0ZU^?{KtSk~x?_ zzAsnxEHFdp)=}lI5!-G6B>E^S(e(xbVfJT-?m4n4Qi0ucwLsMWpFghuZ1*gtM3Z&C z*Mj5au)d{iU@R#uDY*k4XU%4S)m!1(FHsoc9Q^*`nhP4^g%m;Ky6%%S616jTar%pl zb@(Zib47QhmtMq_ZS#l9@Fu<~wiVD(6i(F@D@=;wD1LY|R

;1A`2u*08ClzR_eFq=fl9v23sgIZ|z(_I!jPJdSza-amUWBu-hY9jF1f!RcyF9DN_N0p@{=FQun=Q6PJ*i1=WMg zd-0uqpwLfTnlBfz$fE0ddO~9O9OSy<1+7+Tf*-eZbokReF*(|=7zhA2=`aJWmE&3O z`%l+MNMb1+YJMa^yFn(A9wO2KUFLdL5aH3;_5g%u*7JAnmwg-T1*SzCtA7X>DXoHZ zt!S~x{YZbCj1m!+WxBxXYW{NTSzi!GwDtAz05YQNmq{Anj($U!QBl@y-~q zB4hZ?)sN^kkEFuC0de3m9-cPP`}`25JiA?|TU~)yxwvB4YYV8oeEXMA!oxFR)dRfh z3}Y(jT>TB51@WSpc~6;xU-ngyn}w09u89iwWlVM}vR)&%vrE^gR-?6Rj(TqK>(|}z z&T7VaT4ENCu+Gr(nA_GolDK$$oVxkWtJ%F~SN?g5b3{`(a-GIfLFX~MR~)e7uMwMq zZUz!H+mnI}n23^?uUz=l*u)+Y6CZ;G-P+3xL-G}xRN2m{jI(Ac#W_wnW98MlI9rn! z2U9CJ-oF9zL_=;H5-i6{zz5RO*B6%dAz}48IeB<_IZx!LPu!eU(9}vbHMNWy#CdLY zI&>1vW)5;Iwohr7t`^jehNa8WQJ}7@zcZEs2otA+e+daD3H@k8lNNRuWrBafr7i#; z5aGm;D~uZ{XEOdW9R~5}=+F>8NHU_MqX7$6T*W5!G_5%g4tV`sn>JJmj*q3A(0AWA z>_{}359|P0H_1uvqmJQed?pdA8iAzsJv z6#8trG$LpfD)Hj8n6rr*@b?DJHhxoi_J z!}}v{-V70Sa8NZHIFu|p^r%02^s|DOlH7%4l7nPU0M24*A&Hn4Q#AXi8~DqXp#`&RGs56(*EJ$pLG(X*I}7?gRfnnhq*lcW`m> zMPzJjxas6>OiWDJ;h___$*uC%%UqZ7<(XfUr)9-KK$Owc(Xpj?XZr1ony@Mo0%}rv zqcBP!1F#S-G8<12P-Z;n|9Qjzd91V5VrXnE0d~ad z+S)r|5Nfo||54FYCL6mwV6C@UVoQO0^FBAXNwHDvQpAI(c}D@Zrd5mihZ?%sFNy<} zLK~GCn!iE222xlZIhi(rrJrMBB1Jz`<_-q}lhJQGZdXh2P1^j;?2-4u|86P3A*Yrh3ar{#xR=5?s2 zcNuuyZGQ>~2v~ym^pTVwTRq3yWlgtiDlBt&8eZ|GJ1!hVxsV%_rCNnKKdvVZbw z4I@J3r4ma^pBl9V{Zk|OCugQ|MFSl3Ay4E{!@J`RcfH{8H?@>sFLh&i-^NC(nUA)) z&t(_=g)pD~Ez{}&MbpKs;7`tIm;U5Uavb12%ilS{x*B)ccnuYWHm|7Y_Iy`t==OZK zw#NdVSNB;e1AjKm<$T;He-d`Ql#9qHjt1T53KZaOankCh{=w2;6FwwzDrnfllNKXI zDM>e>FmSR17{o3Q73$x>_UBc>$s(l#NO3z>&d+yyF|ySVNL|5cLlYAdaR4YrCnxc| zggzrqg99FM=qEATX02%ky+>S#h`)FeBBI48G&DZW0va@cKzbG!0#{dggk7BH*z~fX z#Wic(wMz5lSU#T7)CU0~wx&Po`5963dK0#{*JxBNAb4UL4{F5&%#{MY8K08k(m&q< z1wo|r1!`|V`VZ9P_qbPmG`^k#f1Z4{lxcNiLqnemzdK}irrLoKim$rBw6wmW5hFLZ z>b2^mvDfH`r+dd(S537UBE+PUzv|y(4Ubu-nf1*DQhieZE`zyw3u3E4nKOHF(Avmc zCZxJLB*#G29~84Q#wN`;uH>?%fg1wf`ZxdTBB8DxSl%Y`v>w&gxk_x&w}qHa>^F@z zln=V??0lR5{&ZM>#h|Hdd_nlRzE5}*Y@M8QLw{?B9a*13>fb9(B@@5B0DqSOVjY+o z+VLmZu9C2&Ahp>+LAUbVdi?rys*Rq}`&*d%{;f|OsCRxLWn0{nA{wDTKmBqEJRZmU z${=|=6i7)bsYS)iy)+=*rkzpVVOutJR&xDA@ z%LZ0JEG&Cd*vUB_1KNV|2))n$Wc+nq4-|9%*(B$m*7*>o(JG`hxMt`kq+*x$7r@@A z;bIWa6>9dTCc0)Y+!GLBWu%zbDl{KJPu8Da;&8k%0Pc!tkPIZWBSTOx}5k+4LjNYf>| zwHvd`ORly$YPjLTKNZ@O6+X}m#_>{)QxI5Z(XB1?vq?JH$^ct0^A;yD`TF&1mvCn>XZ!$qaUC8@%uNpf5pRDG;P3xPlK}f>nE*QnHlM(}e!4^Jv`;rM(__g{M*4#t zjTz0dlXkY&b<(@aHOmRF5fh~XnZ$TU3djGGFLd4p;y(KewRIfi7LsE_Iboed3UU;P z3k9>E{@{rjV;Y;=qV!fGN%ca70Hy23Bc~}3%qZtv`!T*vRP0PBTak@zvz-eCa*coo zM?Meu`mLn;Kd<)Brz|uI(g_M)hhxfKqdK1jqIcOZoSfc7?xWeeSXY;Z%%A1VK|(_} z5E@L1+{@_{4Pw9T~>z6|QHvsyXWl8t@c}ryrO4WB{pt68w z>hm-5xB3`t8~cu>Oa6;s_M&|wZG*F|gG2lG?;k!5%=L0&UDa!+#yJ+bR)dCJSxH{J z+A}c$6|kI3N_q=l&PoA)#4-Jc^%wD8Zyd8bN%|(U8FP&9-Ac{svWIc4 z-f@zu?@Bd#Q?b`F%5nsH)&A3ZZ~^r_nk~@*@SoKl&&VUbtgNkp$s}v*ZIIPx3&Z?i7=+=gS3fqnwoM>b8h`hUm39nE>vux=DLXxU+4Dr#y~+glzXubLF5%0e z7NcQ*#eby%KGrqJUk0g1&sLItBC1yaMTX{d9H`)P&zhE`p?Vp2^YW5KZoUE$<7U7u z-&Gm8;!mrBhhJ{1B;-9Z8VUR_X(oI@LXeU2XsB6kO0Kz5j5C_}WGn22g@A>p&`*wU z%o`_c8!iQ!4D;L5-gs(RdeP5IbBYRod0!uC#IZnq4~}O@ixDk2+T`6vO}o*vV3yc? zyQiMnHu-vP1|m}_J&Xq1v`|m~WFn`S9vK(t1q-tTs#$?mDYGm=_Q`kRTMv~YI;9?l z6H27z8SIWQSK2Mj|62_87s|#o+8Kn^Y=!5xfN78;8pcKERwJP)6~ZZ$fl%2atCN1> z!(zc&=6Dy=bwjI;aVmxPbq){dm;`r11P&u6V?u2U4)NwFxloXtqB$0~>IyR7_zpue zKdS7?4YmyIH3Uu%yY;J^fgPS6 zT!SgpyFLu*S&yHdk;X;kPgkNv0a)DeFi#-eb0B>!N`%CuI)+@2 zEu^M^LY7;#?zWDzy08;j27>-QfZG<|*~&kP12E7$AfR7aT_j)#zhWoJI8e^n9$qp1 z?Ol>hqA_B+2^f67NQmDa?)^3N7HCnsFUkScM_@$_j<`NL`T6bFE6F>d=Yf`#6sp&^ zbps|*oTI-hz5~1k(2+g{W%ne9v-o;w8Vf(;hoWymA(}u^WvJo!pjnVL=;7Amp@xc^ zL5M^%kOObG=j0S076qaU;JB`f?iJRpFRp|E^}O&vB@?G}3sKXrJ~qyOe0NIoSpDer zhrjx{ltfbnY`1(CR~K!4-4FR5Q+i*_ixhV{yTJ?;EWM;7z7$n58674}_wo+v+j*?9 zP6wb@7X&2tcD+w?N2K`unJGZJoS5(mmlbu5)zFKyI?5u^J-ZSBpxBK2uV47jT7M|J+gpP|a( z=f@WxX#m~M@qRDrISk-=806FU_V(WA<74VabEl)Ddk%x?fr*ExnBXdl{g(CPu66GH zAC&uG?5Azbv(c3^mBPQ_w!*@%8Co1JZ9p;QDD>by&4<>fj88 zVM63Qowg8E0N zHLxm;aKp~Pf#4PZO;JMPlDlUD-VB}Kjgq{aZ=tP*GaZraz!NDynpbD+u^!t3yLP}I z=?{qRmzT3`1lpbR^Feo<=KLGkZ$NdT*k%_Omo8V%Y~W1LCt8kG{G^D#I|P8Cp00CD>z03(Fc)g?0OKhJrZ9I*fLzl4F@v zYpOQ}#ax&pZRLpTGoKfC>BKmbWKMXkfXN4iW&2vtd(_b#TV`V#1tuvvjCIU-Q%YYl z_s>%?jE0cYEjqE0hj2jn$+%Do zXDl4>gB&~!S(7$|B@tB*`uWC?1sd7t9UsH%e>X-L;lXb(7v_VD?+}e~E=1@^)1Z32 zXFdjO->^{K3uAfFJk9$lzZ%whiWB z+p{FE^!KX{PfT3PEk1k$|C*h$l?wwI@bx8z%IKwa_^ozT`%;GLXaNbgF$G(P@bS#U z<3^dkwx5?#mxgMlK$BRq3L|+v#J(RR;JK$-A+0knzBTf?HagHtI2F!N6^-m9xe5BDVJs z=F;19xEMWWtK$Wte|F@}mGzQ$d=8K!3k0yY!QdaCc3|K8*Fq{a^+SR(TprxT6~HOD zX&)&g4jOQ1QULto0{=!2U~9K<1mUzGj;5tO0bWj;lBn)(N|CLO8$QPOl{%yBc!R)g zaWRMHz>6+_88)JJM5VceNZ0 z0EvVdQd7Jb&pGywYBhxcoomkvq^>-uUbt@(Z-DF(Od_5cE4KlYe4LmlPY_GU8U>L* zH$xhm>rb3nw$m-PIo)*bsBpZ=k};L|9H zsqWL`?pgNuLz!(}b8GoUTP-jcr13n~-*iR8#?w6b!Pc7G3smOthGc2T+dZ&7)ssw9 zQdP@F7$hyzZMc`bD*D zz|pA)1CG;lRd>bFFe&QfkT4wd)U1JO`>dbJu+1*H#f5c2gZ>DJ)&UNI6ck1Ov@Z1N zE9rvjiKfb6gHQ9X5Scq?5cSlf8+DQ|_=I_*^4BZlr{%R(E6l?E;HS4K;#paV#>cxN zZ{ExRS!#ci`~5}N$1eq`Oh5;iCMPFnP9dkhf_oXq^y6XCXYFM$CdnM=sI>QIb)Qa z9?+~lY_29_w*7*1M>>ZV1S*khupMb@a&o1yiI1NB{WJq}Oq67gnZrXI8D~u*OwO1f z@KsKelLrRa**;D*(5;1!ZGjRxsH8)*9Obx`N7w$$sh-g9ynNiKz{~xirz;Soa5}bb ze0=IBK>Go9t0djT=f!KqTD|jkSC>Kp>+7ND^Pd(|f&DA!DAx{{H>!z#bfea4ivlsZ zWx@*wYM9uUjN|zg6S=twvX}!N;8vS*)!5a_WqbToah4M(WyW1G;#;2|p~*LHkdwX?fh++DZq{{@l`MFOLQK7Z>*tfe0kJ>2vFttQhg zxs^Z#%I-!1t=E5k_dlPGaeL6kISR+QZncuG(w}RpQ8-KDvwBN^`HZ%}R4UJ z0sl^JrVj9U{+ympOgl&buavCRdMNzGtg}FKzRO?y(~D^FNQS|LKzi(}AEjx7tu=L+ z!jIGJj^VTYQp4>mALXPI3)$&ocK-UJqU5FqVXK2P&F;s|xy$qBfi#36fw&+PtE@Byxc4!hw(M?gbBbS-$-V8Nmg*z)#SE9-I0R-@yfP5@GX z|8d=froLTzxZ9_^wbLs<=_rA+n{c`xDwX^@UTYBoZNc!TL_!{4@CT5S0UHuor9SAe z$Yvt(BkVS!4epd`u6vEtO~E16pnlc=O_?G1tUAk_o0ZivHD<_7_K!jXUgZczXP7e$1l!Wy+qqh$IU>W*Nr=W>bBsuq~{X1$DIVtp%pHogUUbb zx&CdK;B2No^H}#K%pk1xT~sa9N=5YoBMR={zi&`%q+6nb4c2&TtH9jc+#4)1y6VnA z8NmB!J4XN1v71j*EN(te(edP^W>83LTVj;bpyw8T8`x9fWD!<9Mp#|l^U4>d%a`UP zQu~{(#xQ#fR?Ts2`N+FYS41OzP@V)=?G@+p4?ig*{T)d^--gm|89$;v!IMt9S!ELY z22!eEvB0`fy=05jn z7Bjf#$R00A)*zT?!U`6W(?wZB=>0_W3LiGZz@b@1)Fa+zGqJRa~ zwaJgby2E9vcQzZ-8UDMIyMzEZImyT!Kun#Lcb{p4V8Y9;5C8k)(>tO(X&I zWn;@5!H_zgwC$yZ{YB7~0Z91X#v|hP&!_V|g5Y+A)B_1)sIw<}DGfTvq~rVXcg4F9 zmW~At;Dhy}+sNJMp+*D>fBiS65WjaP6pXoVzlOCIN4|!bwLYpBH7NU+gFYYj2vF!! zu5U=V0%6nILak%=Ac@Ll#Xh!6zUU{Q(JD0pM442seg7(9P+(isn9AG$Y2SOp!eIb! z3kF5VgdJFGq$$bX8hC)|ZH(ZtPZx7ec69{;i)3b~%on+PRc84i&FOvL05;8v_8vU2>76}1rhe@~6-rAh{ z$-^!OUc7CSf4a7SX{T(y&Vj#*KFHOMZ|o7F?rp3z?w`^U_k+FrFP|F$t$RPb{%z(W zFfnxJ%8Ty3hh_>a1{;Pcm>`&bjjR+6{nx8Q{u+V50eJAXdt8aTd=k&nFR^h*6eR>E zzrI(%*HPuvnVAEb3LTBmL5EcA`ms(9zxlgoRE@6U-}R5v2Nf1E62!WgaNVHfK&Ob-roI1waUu@C2bY_4 z?(x9><@W+|+ON;c$50s)8k<|*&S_nFHCF5QGW9e?902-QaV(cMIOwmVqPnkauA`Z2;BidUxHu6Ai zDz?dhen%P@cMNT8l)+7Y|b05!vk5=jhog4xTbw#*xDA$gyns4J1>tA^Ts;Nk((D$< z@gvb~Y6suM)_s2m|LK>rpaTSq1yA;KEqT^@*w?_?T4`>6J~=mc{Xr7%{XM9Dc!vut z1MjsxheMlc0^nwvz^Z&F#^)~7n_x1hV_vfGME_dGP`Fx&p9_Mi$=bSC0tIDINcFZ47 z5Wal-ntu7QUv;E94-BM;&}2zO3+ntDGp{y-Ea=?$kW$~&MD^_1GteZ&;GO`vY}!A% zA~{+@fn1i~0zomtBo972WMT+7L-fdzsQa5pNEn{X7HgRgC*3(Wa#6@XUQl~{3>Mn) zf>xH697mgAe<$Jv52~HY%+JpUC+I#sfjGg;%t6|hc1hKs!5q3Wg$_VnT?JwOnR{9Q z6&a(~R~uMgU%!)#zA$JeV|Ta9%!^xA4d!9Y4p!Z^&0S5-|EpE4JZ(t*_{)fnA=3J- z(HXjMd7n{d?NUW=_8VrZh4AD~)D85MUeq&*NmbTUNc%i&-5 zghzD{_`0h5;OjojJfH`PEWVuRnoWzC*^V<@eyYq$BTbBy#Q_L)hIWLiJ_AZ zoKPG@D~p6_Dw!tt>YFhg=`&5!8L|p5yu>V$yI0_!XH9W=u>JPBv{939tAv)Kk#FGA znZ}c!F&!N(?t$jnRQhuegda8tFP(EPY#8Xj;Mc!oFHPkW!wPXZBERi9Y*BTHz|tw} zE;)7W*z_AEvr7LN~H3X|V` z3KALk@P5a0laBie4)PZrLPfP`J8|CZ`YLtj@MCeX1`-105=IRa+k+Bw+`s>bXkZtP z7|7woP)wYL(F{nngo5AsFLqGpW)tIV;-l*i5T4bd4VRXe1#?+~1DA*!PYQ10zs|iI zCjw^MOXLs2d|0(?cmPlqiFVVGrl6mrDwmHp!omwLW@9Z~6n<6xleM<@%$9KF8ESf??JX*YrxaNKd_nH zwcCu8Yp|{BvEKq)MBSCSnWtM(=+plQre*X?_p>}iBK-}f^51(z{(1=jm>|ZR_SC?@ z46as~%7tiqld@zs@lOqnkB+7)rZc4GpTpVoh9L+tH*W$#%%GA-3GSm0(a*%^M4IY9 z56sf_4KzJI_9Du$@)Pi2?Omr@h`3r1ztp3zM#t7=qrG!TFK7WdQUu*7H6qzbc~VNB z<$XMV=1e^3u+Z}oD1Ahrb}oAET%>$2F%IS>KT!mm0lt{OSpt(167T%vA8f{AGHjq_ z8a#{G#1?3z2p0~u!+)VMfBsbQ=)7tEq@l4-{rWWSPIUSmSE6YMCx3*Aj&3Em=Ec@o zPglIA^6YviL1%YR&!g>%+N7%G?P5AdwcG1=Bzvotxs_C}zCCflna+-C^>wYX|1xUL z`$`fqKe1Zf0pO|Yo{y65gTLL|FO`J3St2!|oHWC=;n^-9c)K~7nEJ6!e^F2Uh#MO- zn_3KELyU%u4c8YOky9VH5slf{8$24X%bYPkbQXfN1U~ ziVzDB&1XYHrAGpQZxG=LCx%OEZf-_|kIIltaGYYe`@8#YWMtoI)rq6OmuO=M24rNr zIX*a)KZWxjqxnaeQVuy0lEECc-^7o^nF59b#ud1#JEibHUrVS4(E&XJ!#8M!%t0rn z%5lFXrcpU1Jxa>HrE7T;a;Y2@ovf-x(bLwhA)BM&C;sh3`x` z+0A`(dR4pSAU1@>_L|yB&cou{w(Yp}$kXucK9`O1Z7Z3uHU<}lEFYW~&=vTSdD-c& znd4Rb-@#VFFR*phg*5MNYmX+1&D_WPNf1Av;r9=Yy(0j=_>*Yt-(Jv;72RM(Kvtx_ zwo_?xGK0|L$2vN3NNiUF!j;OW5{5v~C@Dea($>-8!yicoXQWbKU4QbrIf7KPva`XR z{T|sVQL|Bm_a3By6cO$OhK1%XN*MqBPVhd%G@4H=mk$rS`pUgX_K$$R$<2&Rx50Y^ zbmpx4;!eFbu%1dS#? zOEK$hrV)F-WTlDZRrVwCT3vY&eTxk#L(%@NFq+0jd|^S@_K|=$D8N|5eQ-DP@0bW~ zVj83?pG+gnmORwFssZG&StX~{LVE}=gg@vm;Z6U?woPEEaX;R#ZA{92XFpXDP7m&p z->W7VwL1OCaCqTmo-;Z2Nu0jT6jEl6-TG(xpRCuD zk8n|JJc zw32-FAs2}iVK{VvuU=%iex3Ys4agQJpjAI5JPQ^QrWF)iL8?%%!*5l{>T?afHS6kf z?R)P0ltMJFxq!9G<8-7Tcl*#C6V6k`OrK}&p0B<9B9`3kzy(8kX?el(KTd5j2~x6T zyG`^}d>vCxzr`F=|0)EKgsbN{SZAcJpreArC`_t``Sa4UO%BUv;BUd`!+p z`-fICi#4K6G%Yjf6xQG6B)3;JtD6FjFw@ed*pQ13wHB>?>)P?Hrp~mDSVlt^a-yeL zyiUb-z3g)mhdwzRC}(uSMLDVzZBx*x2awKmC}nia|Dm5TFSM|8$4**Eb5S7k=uSPU zRQylgH6AyAuYHoVj4SJY#TR6BR8rWpPTtc1Kn|B>o+*SLgkvuk_LKy;@Z~Hak~6#2 zT(pN>z!s1wrWEc&uDYG7u(0qtE9-s03#Vw!E!06ieyXMA7EFYYO?qXj0LhZl4r643 z81h}V+k1ArXz`Q-x?pnlyZ$Xaat#YQZ}-feU|(|++aX~C;_N~U$w@2q?N`G{RAqqo*xJmPex=_Ra zJb8DchWd#%LFGIX53gZ|LWK1ELjqTG!9S`wsx_3iU7%zMv#Y8Dhor`l?z3UVYG(=~ z1&tHAW?~)I!;(q41J(2N%bgV2MWYgqKcs$@ckyllIb)#KmsbdE~UXk}R%DOhL z)5gwf)8#<6_4KOPTnQ=9g&CERq6=8ZEU5-YM^S`9k@jPy9tA_Uq(o0z@YB#h0uU50 zLbiLa{s{9kc!}%}G|ahi;7|Sgzr?|P7*V>#N#l_SrefrAA_1WxX*Ea!0wAjO_w@T z^f|Z3>S>Myo*_+v;+M1#=XijCY(yQCRKfh0P_fH*N4RUYI%_Le7&rK(?>PSj&A(_r zsP_2?CkICeQ*gCnlEScSV{dN+Oc-(lDru+h$Y`fE^3Rtj9`nxrF&RQA-Ahy@wz525 ztiWJoEXE#gB`_9l8dh+^1f%FPrp(rRIMQFa}#BDtKt8<4?mbwy~BnPAR<_s@l%_+($K}=@X#@ z`?&+ly^%k0S8voF|EM)~WF7Ei`9pPgYSa_HDkRI^Bt$DTai`8C^<3>G3Rh_N3qbYq zmsmxvTarDlu;rjL)$b%Z^1aELwCaNIL^vuh2jttYAW1<_A(K(je>KVQ2* z7s$WQf+Ld-)D4T|xftBSNJN&9Kv;e$xOqXJJuW(Q zQ4A-@^Y!sIHB}<|1dEiWAfD_Jt%4|ULDizkDyV9X}ZySNU@DD}q5UJ{9IsEI#%A4)`y!mwms40td= zSV-secr5g^BrE5ci=eBHysjQG&DP(q#rB(Anw;i5dMh)J_R2Z%Tvk>|q=yYi@t_&L zoRgEPzU@-wmSb$EOscKnTITu2`kJHm)k4ri53TfW{!L?xH$MkyR>!By!w^Gyo@&=2 zDPHT(4WkK~4Y8!H88r$$)6=AZx2BZmYAzXSuahZE9*VJ&Tqs=1G;IjAI{er7ga_}* z)fq}V18k1{Yy(jFO{4fwv)3mLv%&y~ujNWm39xF1(ZmZRI`;peWUmoRd#HHB>Oi+s za))zCSr5|{-WB@7$kR16MOhRnt5#AjM_@{k!Dydm&zD%cxf1zr zgT+n>k$XMf;+4fbX1GmK`q2aeK(qGeEhC598Cb-~Fd#o%V`d=LIC%w*>_R&c_%mUY zhEuy^@OJWsF^s79YEga9)=-+ZAN-Myc-~x65)yw9Qv7;Vxw)5+=6Xb8xA&@YIfMOv z>Gj_sI$L5kwwMgGCJ>PgWUZXP-+^&F@LNe-T(!mV%w|lBjC4m4n99RU0|N#t zrsNW#XP!9#s>U3L4^tvHIIk1v47V!r0Bez~DjNcLkeGd9Dbg?CR(ssCGjnC;h{572hx9XNkp^basak zCM!c)C|UKIWLErkCliPT?<8wwGJq`*uLOCLnGFvm+@E4LUhI23>>s|W5sX`yV(Yh1 zIK*;O;?$p6y$Qw}qhxDgZ;adx_q1ICc^OCD&yc=a2pTD^8CA}{WBhtSsQo&5w zVpf;C<@=u~`c;v;jaIxnFTHKv!GpoGN})X%&O>+Z<$-5r7An`j9lWqf*BHZJ`lVJaum59=!@<_`w$ldgTmm6oImdi z2?C%6WVTp~RLA6`p@NFaDMUZ|r8ZI{o`uBhduY5blo-x?<;a4b0|)N|OBFPr34Q&T zlR1cW=7UA~$dw{_Ay-OJ`gJ!^#ee#gaNps=vuBc_`e++oqYA?126dok>&DmzUoMLv zp;M>C&8;{~pXotIy!(DkuoO7X$#MSSt;O-5p)@SR-r7?+W?w_^41qbj1y2_#8QGMb zdm3~ZsR;iCi-v}V)|9H&LU#@%=o@dwrlu_KRs&avOo>`-U=6RWYHs%bQ;3sTrDW~77;S21N}@oUSighg)7%D#Ed`juvG2U=P@8nHgMOhR#Px5%(j9V zNahfKxMp^+LVS zU~>MH_u}Fr#V0P9nLYQ6cjZ|U?wdWQfQR)Qk`GoFmSead_17R8?Dbooc)rsfNWz z76r1iS2}%I`8F6)StTu)I@~OVcXT^&FQr7;(EFM3Lddjx{J8hZlmw!3;T7U;vb36L zXGk9VdEkS5uwGMgRHoc##SRRMjCr7#`=8zQv^v$Na>^#ywqPhJ2tQBmj7oXk8{Q?@=E$tpJfQ>7_Bpg+pIXF!G@_Te_tPo6Jz6PULjci_F?30`G2qe)E zFPr;g{OERd%Rp7a`fE&yggasnHiwM^!psW>`x*TNWqIe{5>5tT(0iubI{@F1@YM|d zrGHKyv0naOLMs#m{dHjA8KIJ^MDCcUzQj)iY<7M50d-4_5S>#eAS$>};5J180PS48 z$nn?D+6fa4LI~!(%=1<VL z`=C5nT#HbzP8+)0XW~ZXgP(xJ7iQ9+V(14fM@RC2xJKP>bC0)R`eNPeF@^@;Zf(O6O)s> zA)_v}@vJBNP-}Ns9KSiVBg1!?Un7z;(yl?Kqf&6ix>r8>p{rD-rviwZaVGS$X(UuhW^Fo*Nv zi>yt4NNrL>An`m+qnH^6@g%ACW+7Hl8;n z15*v6xsr!>+Rz<^mB{$fS*r41lfC1TPFi2R0ZinD%8?`Oy zhN>b_X-fH<-H>k$RZ2uCCfX*1~g&1^9VW9c-Gi8>_NNl!D zvbcF+GEpsRm3DaE13~vtnNpaK&7+PfECk(JgT2lc0Lk;gSHF!hrGR~98%~XcTp#w# znym>{gdBw?Zz|`ODWjvwe@c6yIV9A~z~DO?INmL1BywjjeGbaD-FX|thwi;W0zOQp zYdq)4t|g>w5V7TuSUXtXQRb;$B9pzu>`k{q}gY!_( zCG%gxw$fX@f!bkS9md5fphU8#q}_CWj|_w~U7Bc{!4TUOqa48Myo!L$V-Mv?9ypY3 zv}kU*Z#iB|OFjAHg9=u`gR4|(Mbqq&XC#Mf9R!zfun2>&VV-iGxYWVFQOz3YDPQ?h zd15^4ZMBMaAmF~v#6$r_X4jsEeympr#EjHLLrgtxq=Fy*%=RRZ9G-ZKzw;M+E(>B7 zOmCKHU>qGAGsVDIJb(6Vnj*|8mT*{N3>1I4&ovO?U;^Dt@TaJfTF5Qb$^h&#LKtbq zJ~gdm(uP5}wI?aWsgs_%u*z`o_RlwDB|$2SnJ^MT$FK7emxJ7eqa|4xO&J9bF|sfZ zfb@G!IU%>MNWc1`m67hb>CSkTfC3xIaBjNLT3i3{1*F;J1}4(Ohbf}kQ zqFvqO7Q!WIZ{kXR&zRJBxjIFq{mkOADspa{vH}EQj~)B zZnTDrW5dY<%JsyY6`soAr(!lJ8GOcBL7AI1$i*p7Hg?;RDTI zZq$ER#89E0U?wOfhED9B7w@!(&L=XY>^o`YHBuLqw4T10<7TBGabUMJ ztD}c(Q8o%lErK&Lm$>y5BxaiJaSs)m?QV>PYc{n!P_Ad35GrLh+?u%=;+G z1st{B>FTAbY1H)VnIlms?lf}m4HvJ{EOwnpKCA@BLI*0!mLdsOrN&XDPIWKNrwA%veAD(DaeOno;M~ zdImcS)yAUgqFddoRY4Imk*;UMYK!il-sXNRfq^%|bpW5xvODBjpFUCVf$gB1`Mu`V zBsCM|7K^x!uSxz`yh)Fv#HLM#+h^Ct)iG}u7V%Nux4h)ZJ8Ek2j4IVoVvTs;yGJqO z32|h3xR^CL+ZPojrNo8pAN2BP)J|vWUO2b2KnaVi4*Ouz7G|szYOP^zOs=?nqlw(k zu2M`@U6oIi+9$N6{Pama^b)!Vr#~1!uC3d`N%PX!Iy253udV$?Q#>3wbA+5+JLbbe z;bOe-D=N`vy_n6k)rU21qQ8qw+39}Dw2PgUGJ4r%gc3$`ON*w7i41fkgk&>A1lHHr z2b|tQpWP|5{An$@cvSuWaLD+C-2o9FWxWI#XaYxdMTSg?zl|z}X6c5@a~aL~ji|PE z8=cPdw33{jw@fVlx5MV@oqwXX{X~tM)5jWBOj!YQpnFiN&3TQjZ`qgBulSsdzx(ck zUA%dU!RMoke&1Hv7dw}1#!_NhG;i%Ld&c0n8F8Vwci|TA!Y$bOXG&Vp(X(Y~)6vo0 zj4l4^O*sNbYZfK9aJ(gEqsPMb?YqR-v^C zM@?1N_U)(ZiZm_ZfPV3k{-9a-dZ%;8#@6(<<7#gBdahBC#njHqFsCp)#OxcsA5Gi} z897x{qM^o3M!KD>LrL4ooAmXo=cdgH?a6Zo!1fLJPoLO(_B%FO;&2dVn5DrC9LVM* zL+@VJ#3yE;r+1^fc<9|E!2@`REaUm;kNsmj|7Y#Z^pjxY;x8=0U+mrTuV1y(@ojl8 z*;&Bp-i!< z)+giN`T3m~(5L6~b?@|eZR;a8hR$h~lD>GcQEj5|1+~FQe$Aiu_)}u}Xy$XTF!%Pf z?K%b32M!J@Fn3VX*tpg$di#D3kYX_Bv{#Vt$)XC%r(`V|?JP>xj8@F*3@{R>SqY+k zt-by25S^BA-W0zp><)d*G8gcsc%TTw+4*UCx`N1SPcib~Lg{9X6bTKkP$CJPxO{3d z1H#N|PVF>Hqjmemma*sCj;AROVm)W%ds;d({N&{GD8`)F+M!UkPQ!T?^t?uCmM+&zuNN-{MNv7o6cGc7aI`I~xI? zU!F;}DikZ4ac2AqYP{O7AoYpU_}RC+FYvL$!Ge;pT5n?cP4;>^dneRJw#z`vR`w|3 z6HyNG{*~dBHy>_3nmMRXSroPtXny^hBP5Yg)*z-zOiUEcRJ2%wG1kb;R+yO9M||=? zv(=^q#{c~Mke3rGQc$est)bx!&54ZqDtZRr&)Z$|uY7U$vTRe~-8{LhKIb*j!Hp1G zqjj#umLKD37r`tXF@&W@gxq*k=;09Mq^A9hJdW6t%#4%HRJpq1!@$1o7W7jrh^xfP zOtdq7^zPxp8Vg5wmt_niGwJTT`u&w~h(CezkvP5Q;@lZ4D}{){i4hMcw(2duy+Hgg zFN_cKx3EkWfAiQ|Un}!@$(I;Whz=O z9)EcbLsKsxE01dWJS*38*G{LVahsYgDsquoZ$uSswenceY%G@nR3Nhl-~#vXitNz= zl9^j!Pq0+OkmoZkCY@8v7=_YRN_W%Gs0tFeN|YZUewD~)VCJKUY@9+F$i3ei2<59* z*-Ea@ciV)tyzE6W9>d;yNO8nhYsD;-G8Hj#_P#VjUjkk$4o(e?$ySEdILWtvq8yA? z4xRLhohBS~o+kgB@i_?tOgrbM`v3`@e6=_rQ&Lb>^#`?S?nDpq@bIvvg~h$wLPB=U zm&Vv?Aa0)dzrc~XfX;y8fteZps86>mk4WH`74f9g2;Dw=J4G#ezRmFA#&QYENxq1A zFu7J6vo9}F6e1c(5Vj9ym9U$4Q zULODQ`W$q!nd&aIKYb;Ia>|g6f2&5h7?mK|F=3<6>N-Sx$08+EDVju1zn&qObm08_ z#D|9I=hGO@6cp4VkyC+fZE))!5m$kJKV8%%j5jPgUYYXlJf{pb#M}et_ug@#8rx;w z?eEa65?k~?qo%)qzulRHS?&U zc-A{V6<6Cs#$YQ05xellc;`H(lcZe<>DjaR60&jYpao`XHxysyJ|H0+XLmgiEMIDH zrjGIw7Yv`2rMIo1wu!tK>o(2YZ*FTk$8X*|XsV8nujgI<=!Y*0hi9gmdloGvZDaW} zXdR`bS=hf7>XVVwG*|q@W%*w(rK&WO4ouiEG!AvJEDx5I9i4B~Il(fXLmijlb4Tla zY^d9nOx#}p-|*nZ(>vMKw9C)XH^#S)iI@!go;%(iL!I?o-e9a3mR`|DIZ%6UO%Rs} zxwT>e=2RyreY^v3uw!$kiDA?N8dFFEm#`CM6>jDzB0RkvW*c#^}{<=CK|({x|`YIbYQ$};D$e*)AF*jCPUu< zthD&_>1Mi=q$Edl*Am|im4$~A;&FDc7osfAK|MA$3VRf%qoYPATlZi=PMF!F0e=P;&CGQ>uYCk&)2m?(c@s_u*~+3j>d~fjtI(yX0^>X8=R0(=a;azwjFzA87v|_ z@>W|eG^{o(`?i@5KH*{O661PiGsV59M#g-=@zW({TZUIVhuwn4_wfUJnduQ#ZtCf+ z=HchOcqdmU(zWN(mc9Q8;LG>CE@!@q1s@xsjq&nx)D=d?s&C(3-c7hS>`=Q86P8|y z#rDtwM$mc#XbVYw;g)8GBigX!dkm=sICm_xkOE@j^mi7k{gujOc!o}rRcicCOPf*Q zdxkJIy}s2)<>D&AbFORMs8i?k&Leu<1u(wANT#O6& ziMw+Olf+1#FofVWz4q@LH(X(xxWWkz8nakF5|UL@LHWz z@sUMPFxJ1Vwe@j#)>hbCY6Okow`}t+df$ufqC>9Y)&Fu8-c^GF$gy&Aj>EBLEOxMQ zY@QKcw&v=-`ZtdcD=I~_Y!$sT<0_K#Abtv8(OQz{a=a*NsC-r;e@VZd$a#Zm?a1t9 zzn80J)~e=By20GW)kAd~k=$MS!_9FOO^O*+)F)#!!c;8QLa&+)SNo_W2aEZHNc#|; zz!lT7%zDV&gUt$WuQv$Xq;+*fNb)=e$K-+H;^H1?+;yIRH}or1op z%8A7s6`={mrqwr#!(HKM z39`S=&SRvmXW!YVo8g)H-DNin?cF=_Ti96+A;^JZ9~#sfpAWFw+DtiyA|s#cVUrs0 z<3%yyYqcODj&LAYN#mT0?7 z0rHD^!5fsvqq6RKdd7hG(77X9f-6Cgo8iKFWt{~7s+t1A8s$^BZsChjPE7_?PcO+78(b}43Y^FOVoHom8{2o0BprQC+c7T?2@6r^3_{`ZSB|A0_T!R)FJ zb`H0DmR{|W@#gzk1UnROe|IZbBNmaC=RH$Ua@H+{=GmW<@I2K&r&Hb2Fn%I2Ir-bj zh)#>0`S@|<;5X3Ax zpJ(WlfQlh%y|q;yzTx_8&fS`tcOkXG^52GI^aS&h?M=x6+qqWi(T}AjF!)yrLpF%N zx#@lW9Mj-k_!^)Zv?=nkvwLw`O})6FV$og^maPSMCIesk5y}~*`Px=FQ7}Idccr_a zeSY$T`{#bGq5_+_Z%gOHY6ri*c$-`Eh%&G$FzrGnk5^ToWmnrTOKPW)j=wwL6Tb1z zzJbl*&N{<4zuo_n>?3l|6#aWaF?Q?I^NkMUm6ympZ%?Lp46;n4sQ<-234eFP4=(#% zcRL#3?@vkOZb8HE*?9`QFm=$sc;VlD1z79ksgaBgs-WVF!PP;#zHLeG_Of2kvY?ej zcPp))5z+7Gq`fG(>dLu3czjOA`Fw}2CoUb$g z%@Zu_5vR}xnu0!1dP4EOoAmk_o|9=qjHx@mP2cgXUD(;`NNUAWb5m_CMG6+zx+r$6YXLCD-#pKG0D}Gv>8K9 zh$9X@vX-|RAI>x_Pda0f+@GhN{yq_R?!6@HYx>ylZn%!bNju!(J_%~WWOX5ymp**; zyY|4T6l*~{hVS?O!vj$9l=xb_wFaEsMpvxofD+GRI(y$2ufdB`uwFcNWG~}G+xy6M zCm0R^lj(h7HN|jf_4n^zVF(_~5wd6tT^^eJ2u9xh-x@jWR-r+RJT>*&o{?)_KU2>g zP{s19okk&EcyK^K)M`pNmuNA^de|dAyQ(Rj$;-Nv^3toOD3bg!uqynjN0iAeUkAn8 zJQTy>fAXggWK%paF(D33@H=iANw56Und%F7j8t&=t2^-+^UX+>l%}92vAz<1qyofUu7E)4@tgqT*?TI2QwkCW0*$RFh zA7R`zfh~CUK?e&e}}?*LBc!~ zQKk?Dkl=|o8>TwF@Xd1W(>&$#pkm5{ZnV+sY7`VOUi|)1 zNL`vrLwM&9NKNYgnKBvo+-wfy94{?Z252HA4mE!7&x5VmY_Hn2g?$cjkhB-NTIWkJ zspRAL<&G^}lu5s*|9@N-9NybDc<%GZBi9dX z2F5t%PdGs- zwQ3dZ^{6*HoWs|6y-w@zJp4r*0Ye8i0UUN>@lH01~5zww!Gi zhdQn;Q>VUTF@8NHjrey9Hf*dU+S!#twYbHx3zc??EYl&xdwy6afUnW<)9Av&T@qMF zWwSOKJ590D7|TPIV%wC^E?8!<>*h;hkvRXXZ!gYo9AQX zg#es)2kK7|?)~_DWbFq-{fj9R{Gpqq%HytKyS?pQlB%8fgq+dhA&o02#&IDCzL-h&0@R%4;OY|!k>^7CDM zl@?>8J}El)%0qImuBecdesu2D|AgZD0|}1SE4iTo<&OnO>tJM3i+5#{P<~P(_Uu%* zUqdh(qkvqf>_}PolmnX)^DF8~l_yEEV##u(ET7aJLVL=etlD=|>M!^-%B*9wEp)OnmYOWi~Kl zq5FI_FJ+x$-oU^ZmwVyOMqBu1pu$}=Jr0-eG=io*a`y}J0Yw_3-79m=n=)Z!Rx9B= zD6*beI!f9^wtmcJvL5o?nqOVlK^f(qNh6b~6L41}49G-j=mNYJ1(z=39={4g*F`gOKv?pYK(~aEqsG=E}vQQ;pW@d8@1X z#sW(JfmIedRgWp2-}=fyFEjBl1=&+mjuh8bH8G1ED&SV2AUa`T7ibZI89Etu&9ZVdEsd zntiD0iK{NIwsRMFd}JVD-g@doJ?}V3r#5PTX$;xy#I@9_@zBdeW%m!op>dfS_Fm-X zSqiQ7LCs}HdqvEYq0$&rwp%A>WXM?$0$tzw{Ob9gZ{sI?F}7y(f>QY`;#EsrOJLLh z(kB2LfYO)u36l`d^!k_vG-Lnlzh>;;6jQd(o2f*fBOxKG7BlVt@)|(x$dpwBrBz;d zcY)2tys?Flpd+`Ii_Wo~g(&_3A#WFpIeGi7VdJI1a7{3zves>AwR~fcw_Yi= zX9+61UlTfgpaCQpwu*2W+}xX;1voQLdd~GcGhn4Vbm$PWrSqyDsl$uL;?~4)6Ty52 zOLIcEBlZ$ga#x5XN_oMMEG;buK$}#c6EMlj9dLF9u`^bQcX8NwlV}pN07y(S5IRIt zxW&jK^9)tphgvVgy!eI+O4{?M-!zs#cS9mb%Qq0~WQOj8E>X;$)NVhxUhuhX=sCX;=|HnX|4@B8S#Jock9W+l}sM zHXr`8t9x*@U~#~eA?T{EsbPJkN`6b?GO1_9=Tnm$5~jq?7|wp8pEu4ub}(=1%CiuI zLeyt>%QmB`;rXFW30|#sny>GNyW89G_g&Og;Vpchh^s^d@zv?Kxmi)tC3G!OB3IbC zt^~Fz5KN}YX=h`mMlk**CF1I}>hx97MQ9P~w(gY`Yv4tgZ$9J*^@qv;WOjWO6<*dQ zDN;+r7xKNgpkFgcz(qBq%CZ9xeOxdp(M)>gXV)|2_T1Q*l}@S}p{LTrgO4fM>RxVD2X_>PFrn?MFK? zbm0w}BPQUPl-4|&I>aafH8oqk zZ{_dq)ijPxWRVdq2*Tq@Rssubq5rnZAqmBFkKi=A<2QUNh1Jx;ZLEz6pDl^#c^Bqe z4K55-9|flK5L;lP#W4iiATOpzLt;n{iFNBCp`mVht1L&acau3xvg@+)-Xxi!i;V^9 znQ8ukketdJo;902>j8A4bJV_{%`w`3&L_OU_zeiq=Gt3|Slgdzo9|xH6Z925+Pk9W z?Y6{?P2v;iqRleuvE0^YV@TlsBFoxORbTz)49&j7{)4FPDG)KVTw5;ZOOZlAi~qkk z!#|Undzys{%}ua2M?9@?C%4xr@_2MzcP=C+N`=PN)$6NTl#QrpjCbWj0CZiD%j?YD zWNg>Wp9B}xSnV0Ogq?<2nfdE}tZ7pp{TN_KjZUZmZ*<;wVc?ycWm`ge$5Z(_1m))# zK^0#$n|65o22}AmjlLx_Kd7cou3R$BRW2K$8W^9R4gjtM@r)LhL~chmfIj>RHq;1b z4Fhi^ct!;C4YGAv%`E0M-b2`$weH-Qd4yoiR3tAwY*Ui*OPmrX$lc7%!fJVO?2zLX zAFoFYG_&GE06(bo4BKn=*aIx2WQFFHYz9uwiyIiPF_rj8@mzxTp8BE#w_VYP&liB! zfnpEsB^(6Cw!*6OPYn=7!1DG_mDQdW;s3}VlQZtf=oDZG-#f)5jtWj_d{0P7xX!_G zc6I&XlcbY~APgz-AUd=E|AH{YxY%L)vZlR#0g}VPAP7sH>s*lEA?^sSPUJ94k1*I4 z_@i&Lv#Hkg3>}6+>jGUHZ*{}Tf_oml4EkD!(6Xl~Q6hsY^3XUg!g_kw6%8?hq}&@4 z(`yg?GHZC6(JT?(d*JiS8i(7xV6YM|enZ{dO`WKGP`8l~of9-{03x{{Nkl}sKDmm} z9sFTWTieO%)2d)eYkVoiSH_UL8@-jY3m&z2Z?y3$x6L;hoOs67E9WjK0yR86^*%sI z0A%BRZQiu#1S~r7hJn5cm9#@s?Zg_s1x%i$RL{zB)#beP!)vV?o|P56TeBlQe^3aZ zgGYorQi|>wnA_6|#G_1ZsV+*Rko&^js8_LRNcp?`anH^K6d%B-L}Z+;hDI5?;|)jtuccgt4r9iI)72>b!)Wn0J*%%cjfDAXuWV3 zQTfwfIbJq)j_QpM_Y=Gds*%~-Jab;2A)d$p5ZDm1{B>MydrjCQ;O343q>c`ez!|@{ z*^}W+Z_l~dcZoAQUh4~BT^h{aZum#|IPwnk1c5ndJ%QP8dV(6e@O|~tIQu!H6-Okd zInaUX<3APL>Nuf9yHulW7P}iG;rZ1Qk)cE~hKKWR$BJMwR(bxUas8s{;2m^yU`mC( z&}JT~{TJ$x9NVpQOTCdOt_U)F2j{r4R6pP{c0XKuAgzGn=9hEtjNhzcLr^od)tmEr zJMiqjc@ySnbhtL61S=&ZyZP|#nldN;L9SjQ^|OjRFRZzYyeDHCMiH!fXacssK}S1# zbXT()gHYdr?WM^M)5nj=7VGc9BuVidCoRGBG+_sORB5GOhY|1lHPMG}j@Z{@yt;ME zJ1pq>wL1`V^_j472(aD89jNh%zbVEVUSp)jzezi?UrPg;lm=#}ZefNCk=O`?IV>sI zAY<`iO;QBcFnjH29{W$19r!lFd;r25o5p@Ud^R|o5v6_kZ1L&YNZ#%Mwym?+IOD~q zpwza7qIre3S6HZvW&UH$=gp7B`7;obdlkc?200HXnZjkAz{QoA!bd`##P+7b zj>lBG9>|PM@f!?Z75lIwCDrxtMMMXQhKkzwgnCoKpKkiZmNV$9I4I#AMN<&J<=Y^B ztdMB)JCe9v7lpli7IB*!z{J7MT#MWJh7pRd%MWcqQ^F$@N^LAUL6QJ>jPaE%;<-sO z&^WRRW*kB@`p#Vz1yv(=8GoM&4Pe`j)+@xG`KfWuZPek5I&oFzv~Od(@^%Dqxyvsj zj6G`J&Uj<9dwMfWWMOlyrMReJ^bGF~kD)?=&a(G)r?vEjd?XH%)CjT0xLv$H=b}dS zPtrH@_BA8Qy3-iQ<;DDtBRLz1g_uo#!k+QfO$JsBF7)LQ|Ie2fTRFYZBhHfh0EEua z=M1zO?1>AhH$vjgSGBbCbPCx0gU}Q~8PYzu=wn0lPIwDww2&tx}I$Z_2?DTt{77rLHu;TUBMff;B z0p8fHt=H$+;BRgy=vb4sv7H}shV(4B@rdBQ;ZF`#2S@b`uF0##VjqqE7;jQItvLjC zKX9NY*mEuSakW(4D%N$a-(>0k55e0o@61R0MQaY^GK6@7188{GV*aTy0?xQ>ad-@T z7T?|9yCQA{?K?pCZ*R^B{l8_d|Ezf1%b(q0;|NkG>V=ZcdmCnuA;A3iZy?~5@H7$fB1=GBJuSUBPGysIHaE)3;Yx+Q2k+2)PKf9LEe+y9g7LL1c#} zSR0cRFT3evRK@&os2D7}RbTkAa1u0HK``ULQd~;xdVr5PeLJYVD}Kk3y;4uq9?uO6 zFz#m4Im&3U29}2(gp<$OAl4*t@?U`CKXcRrjBZOC$}J-c+E*pA0>r7I zR1uAh!&ns)xoD}BCF>^ew3KXB3}F8*+LzcquDIg@J7DA$jGBM>D~+YS89C$w3gXsW z(E?@aVT+)Mx|)mqUun$3jHyX*`EMeWQExlKI8VL{`PhJAT{4|}IFgI?zAK7Ve*+Y0c0PQh7 zf-pS2_9(?_(zVNhBqx@{$dk@4GH!hev!6CL$R1m`V5h43V6rt{qxTmKfM1nlVjCD4 zeS_IDu&?1pZ6L%Iq_K-?{s-2%g8|r3Qku?(zN7!TO(BzSRZM^!NV0wZkzHI&>UK%G z{~Vjq+edE@UP0;2fg_^QwB(guCr9&5`uPhI8NS{?N9*U8fZ4sem}^N1N9|e8ETD%6 zIjgJerAwq2M3C~kdDA_2K_7Ez9~|n#M|PTPSezbm`CW(6Vu9r@XA5of3x4@gnGzC^ zKf1YLLrN#Pe)pxI&m-y0i8ShS%oahub?!llAEn=bP(?&9uAZ}5r{zooP)`!#$}a+% z1V6pL_7@?w{-o<68{ni#@Gy6*+ zx`gXNXBh&y)7JZclga(*0ug}*fH}KD&YyZW=K_v>QAY;Ir$a*^R6Fh_0IUWD3JBub zeJ6EV^cDI{ykM)gh0J(0X?#QB{UVETf1SBcYa<`rOV7v6PD~`CUB`!}55h6zV^)!{ zYAtH%(%`&o&G4Xsew8naZN=G1jzuxF5N-*%F&v{;2OuY&q2PPU&nvnxss|}?zO8MF zxfya}plu{e$;qb+x+)b-(xI6m>C^w&TO_>#QIt-aDa`RFwP3iYKD|Xhjn3|79qR8{ zQTgg`NwWs~fnP=6SE~r-xX+31faf3Ydh5kgLU$B!RRxr9Kz!`8AQMgP1TB5R&FG52 zUrq)qLkRI+?E7kKHr};A8AKP@HQSW&CW=e3~uk4g$1pIs|hqw zC^}Xx1-drzF#Oj+#<%ojJSXQSJF*9Rd-(uOG2ob8-65mU^(h&)>O16vbIxhax=Kwi zgV?_KNqzB?yM3JCcVH}b;<%vG&QmTzI#}G@B)gC{Ahz16rYZWbha8hrYAMU;x(e+s zs@^AlBmgGq^K~W->YvLiP{>67)~}}(o3c|h&z|{KUIzVB_RA?<{%ULen}3h~dmqqF@e$^e z9X)z9Ej9IL!S70@Ol@i97&>%^%j^G|ErJf0qu#}jXajwB)M-!`RLQ)1ef9d;LCRTE zKkH5P%6FsFFD((O=sXFDDCDw&4^P1AOidWHkCruzy|)o#hD)pQfO+TrPRA`$t{|)-*VZ%IxHhQQA|fC@pDEG-#*2)82c}-}O4DalAj{@%jG#J95VTy7u+F z#vR_IcyRwGvV(NWAN(-JO@|K?PEUWyzL3dw0HnCk3jm|_3$?NF`@!*#4Cno>+;3l4 z{UEgpuWaSzEkQ1#PeNbx9h`3W_71!kQg(avnP^TUPrXsH_xaUt_s?WR#ZO3FXj*%3 z#b^A*s|{&Mox75snPapz2H3CpC+J_IrJD;(>sT}ETRKy|`YnC~FVTpudkb!b0J!O3 zk@g}z6+}b67pI9_0^8uj!-x8AHz9!5ipj${6BktR40Ib8MXsK z{0LZ$$(&!M!xUMhpzu9+mKz)1?n1X#NIL)^As4FDYZ1S?CxShCj93vYmv+FM2^)+33-Y;Cshg1?G!MMyaC^2G-gmRrzGlmapDP|Dp#K0)XmxB&rgS!wC*_6|<8VpmB@-NfWi z-k-$;7e>a@VIO(Fg)-Xrk^0=ArG>V7BzTXtLPy>n;S+jkUm9DCp|ucJ3ROx#`}=mJ zg1&J&Rt#~W7BJB2Bq_L4vVZ)T6=kxyKNh+X%2zuVg_d2zmk2bethR@LyV29DW30~I z_m<X`Rsg8DJ#u`n_9;LJCi1}L-7dofkw_q#Woo0~4f{j0Xz5PA{+r_vINvY*C>Qpm61CMk!WhMX1rpM-3mTW5%+v9(32l{N zqD!%B7yaZhcr~lBM03B__ly_YF}p}CzSS%%kJHps>UWUT@bd6nx_Pi*ay36mKIXYO zW|Y204h_*}JNH{x`%F3-RA~;9EvRfHY5Gxb7MTzx6@KDZ*1B}s=V^xcxhsDL)2sW= zPcCcITc$r8%PnlZtRn4>@u8&zRT?b>BcxAWUzJ1k+tCVY}T=M9!V&5_R zS4e85x-|g~V7y7@hI0~(RBAG;Y(dBCfZN(^p0a$oZA>@w^PiwY~81 z9XGMszNfw_9Hk1%q+a+$tGgz8TTocUAuxCqwy-1j=!8HyZ}c z>-;4CYbdz^gAtQ%?aQ$)D;{Ww+&{Si^klA5CDE2UXWy$OG+?q;ZmB7U(9 z;}`$`Soi1snNNP@bkh+f67OjufqA2InT}>!fY*Kh-ZPPi>08w`lz^EOK)1c`Lzk7J zX~uE5W5mB4!J@{$=8mbrUVrG&MJH@eg`3b`K>E5)l0(b2q$p1&%`ed!%so~GsjPQm7C0juWb zye4ad;r#c|O1Sx!dhHwa4Ylz2?Wu|GRwPWN?&lT|W(;2odx>WDnzC5fs*lT=(qgx2 z3Fchd*a;d`hRdvCSzErn0LeM$(#eUkHkU;t9sdW*x6^Tf0IZ7itSD5Zk=>;$rs@xo z(H}_0MgNOQ#sob(Rq0kpgTF8E*#5qYbN&LfxI$om>-?uiOaobEnjEZ~ak%G@1BFhF zBS%=fUYs7CwR$IU{uAsR0Itdf5IPmG2TBGl3giJ0n-2IDN32^{2y0Na=xoMP+2Hrp zk8(?`mmnye0)*shxUAKv2I}e4eYNXQIyoHGl;m(elU+tjU;ltQdPw=7imHAuIeXk2e_9ruZ{ z73fK_baTjC&PeZf3O6s9>g>5?xq?qf+j~}Zb0NN;_i61Cp_d!=vbW=$uv+T%RN^as~c zvyLto3Ok$Jf3fjp(NRt)$B8U(TGW6BQoraHEv8+zz^lRr?43~bH==LTp=%^X+9~ns z&t%SkG|a10-$-MD1U(~CZxtdM>g4&oQnDXc0hxknJC%hT--X5EwrZ1(%l$A`_aG+rJj_=Gd~{QpY%t)hL6To> zl6bKkM-6f>@qw;S4Lx&>%-~`?D!$6xCdJHe!rA~XW;9&XaRCIuB;@V{!<#~AEf~tr z$!UY1#R(0mI?eC~MtK(jg95PW>zFMg2AAa^gIzw#I_oJ-^qo!guq>a&%_g{uO~2r} z>ygp6Cm|Vkos6md)qh>C$8`%*W8)NZrb?^gPh`z7tk`W37m48CkE~fNL#`J8bccnm z6Y*;Ov*DY_ebCl}9+rw2LVs08;H#(N!_ldl>uMSri*H$PHf&A}w-n?22+Uk-*_=)m zVD)z|QV;Ws^LAL3zfJox#!@J9uHgLJrSItR=$*7o1U6`3CF}sKF6tY#fLTD%VFUCRq>vy6I!o2f)(u>8A^Ix%80)_^_zw z=D~!2xQuyM$~xay69S7TFe2+Cj7L2vbd07i+ec zhX>S?V;&T!F87IEOv{6LBnE%OTKoshz6WXBi1=A?FD?;h<>C{=7*iQ@xHuQJ6HCCK8ECT(t8?7=O=@Z?4?iq}DgDUUbrx>0DE7W6nPq>y<4c zDe62LUiC9W@bZbk_Zt}ne11*2n}I*w=?(Y&!A>ByIk<-08MISI>2TrF-dST+-*t2N zdEq_;YoUfo_f3VlhvDG2##8eX8B_8d)V)Lgo)O!!hN#h_JOTp8Ix;dcD6c1V&8zvQ ziYi05t*ljeNC*sqfbYnFRKgA%RvTir{2+j{)9i}Ic>CD!x{wQi;?EmjscMVM2lL3D zRu8e951*khIt8SJa!IpCYMJ1E5*~hj(hklac2PqR+gb40eh{JaIoiRQlL?*Kpwf4% zs*2h;Ez|u*qjZ}S8&~dv%T%}+DTf9FVoqt*;X2K`uH=4j($E%$4zarQ&+&XMEE+AI zVbOGV>p!?@JP~7^jwBzy?=E++i+66W;=_+nTsFJ2-Q4*7I3hP zzrr_$!#i!m;6`cEnIO1b8dX*Oa%#g^LH7nDV|lT?%P&kG;e@&sLW^c9a5gmz<_0sPGnK~7Zw&i9>Q!Q2=-ey0VHjD0j>sS z&?HNfA{{EOGa%K0IzS#DGhPc!@f683)WYmWMc7B*yrFpli%y04yKcx>hM{vYl( zed;EERZiXAY3R8xBCKmq>X6@yCU0w#YtY!^P+qta3by=pO}HVehc16G7;gb)HN@NZ$&ad@9$>NxB!R&Iw; zHV9-R8G_+rD8j{5 zbSW2j=3F^&N{I$0fhM5o3)u|hy@=Q8>*A@9od$o6d2ca`h6nGLfpoVOrP*Ey-; ze0Y6AaQgSXW!L4TcP(%4lqZqT;j9Q!I=0DMC?pa3L{q{?6AE(~vtK#N1|wr0v3g9zZse=7X;ir)b`6<)N!EKj`jSPK z#b0dk>iZf{`>WKK_UUJdoPA5&0hg0v!X+q2^L7zFcj#C7eC*)a{xACVkC@4AZKE9> zA8JRl80IO)_S2~DCYpUUHTKG*BbZ30;m2%Bd6=)>M>!G#*YhMKGO>_NQkCW^DVj6{ z@FLyi=o&&zIZoaTp)~YFXe^g0mH{`ZJGnckH>O_pyV8dZ^(XCJ#4G_V+(!U?0%rMM z&V#dk(*>b~8{de9>Fp8l&V|?r&9l7}ORLwiiY)`3zI(e9!@xPu^IeFr%rlF%635-e_ zv4vHJ!RV0FslMEV5u;U()H;FlL8-KCJo*-X`d__9%M(tr430r8}r(#H7^wpi}h2)yug9ml|M=R%e$k-j2s0tJlE8kz2*No?975{U9V&C>t zLuQ^gM<~5LbTN>m+|mYN>&z|9Bcor22W&8bp+1sc9ME%`{hw_H0OW~ksrBI8*|k> z=1X5Cim)b3UbiJXL4m}61z1_SkDjjXb>!Ai6O$uCxL1*lvrEUo;DHJP+%a7 zR%t6QEsas*6(UP$`dF%w$H4DR-g@)!_!lJXpDTJ+gm<7y=ljJrV-@P>l?&#|EiY2; zNLV%Dx}W51(at5SG*{n_A9og32!V)o2jNPacD@5MYN0&WTDH21N(`_cI&;(0{YIAu zB^^>+bVEr?>S2Z6k7T`ar$dfPH*_32a`BqVezzNXn%lzgWo=r`|hL|_=9r0PypGuGp(-Lk7S~>&t zCP=moA6C{KVTlX9Q$rdTjv?{-U^~URytx0#P)U8i>Jjv;+7q4M{qzeA^2TggrRC+Y zf`zjy=`@4JcQxmy9LK)s^-~zW6TPbK`mCtVKpqzPu(3A!ZbuK>9VJ{qrW%p%heo#~ zmUH!)nj)#l4d-ptiGMl#hm16rrj5+!P z{$sRZTzI2|!hX!?K$7zlVxgNr-I{%BUx2#mYd`(_qQcAZGJ8A6$_PK_@lLjLVm`U; zoUsG8pSz7WL!G$iMwJ0aN)nm}JJI1OlMSwZ+{5$$49K!ox1Y!UmMwQ6GKdfR#%k5O zIE{|wIfq*6xzEMntl$k=HT6A(eG~had#zSZd$*c+A2AWPUn}yPfC>eKtpEV<&8~?o`xhFLDf5{ zutwi8@ReQ+@h4Zx(PPOX#WG36Ll1Xr$l4kT7RFm$&Tzj>s8gzYz7fzdZ>9cAzJoQt z&@dS!F5LOBlXRg(z|tT4M7w8uT=?{0NN4tW^cF{2KbVCZCGAte2x24~tkJQ^Mx;k_ zX7K##toNQr1bd$z+Um|{CIw?xuFuN`4?CrW0|Utn)YNVz5=f(@`Wwau`cn@B9503X z6?IdplJD8>1&AmzVjJLDfPIgso&Ms#$fZUH*#yx-$5NGlU!Njb_@WlQL2v9$+2-qG zjB0T{pw@mw0_O@==cbQOWG@QA4v#$U!FJ>jJ5MDlgFTIrxxGsHCKH)k=iy6daSg$O z3N6MqVHYiPNk|{UR6qxI#H~c{X z+5dq)yiC#9{K6H=&0Y~QXZz8;6S)~eh-PwjwIhHI9Kr+Ap#D{)v+>zEtSzml3U|h2 z)p+*x#lfyWaPoT^&#NnUIhkFnGJ{F6j?N`I*f>o&TcM6A2X(_T19fOZLziBcHk)(E zFZ?Q-k!?2BG)$}zIc%Gp`HAqYa3sUt-hcp6nbFG^xk}H4R^W25bu$mUXAIp`!MQ2A z@@!ww@pIx)nIQy~lhL(LAL;L{to|r>yY}tJ3c30eV&VV>-4|I3woL_b0VNZGL1FoK z?lvVpGE7j;$zI4PfPQq_A5Uxz3#11n6ciyfu$ zr|Dg|f}cx7Mai9{_L^ZUE1jNx^;DRD%5v~`^jnp*i)Ez~cjF^R3(aQ+NhkG4pQgaC z!}q~45*WJ)r4xcx`Ol&M=|M8JXLK#P?iOFK@W$L{o^zo=oA<55t~~wDx3AiAg;uCA z+p^0}V0C4+7j-&nw%ut}ZDMcZ!mqSYAYi%grhJa{W$&SHh*-hi^nj z8nt}6K+R8dirzU4K%c<>K){#N)9b5@>OXJcdHT47tvPcMdQ5hx8c6e)hpcUMTlR0h zk~wXw)-OCkPfhP4H0{jAcH-9dd1|t8^1JJ^v(nhn=dHQ0mngaM`U*w-?YFCSsoMQL zRjrarvC6>2A1A9sf1N;|3C&Vm{I5wO=56UGx>D)sJfrZ|1(w?%zT?ZziHb^PFDnHq z@t-@gF;-X|>P9Z!ROTx;-kfLgz=}yjjaSimQVeZY5$*2QMb&YV zg&gi0>h)3#B9%TxUm(1smPNW8=_4Iy#VFiv-qZe2`;s3<%4tL*toJ*9`($ltq=$am z2syZ)Bq4Opty+ytb2+N+T*KWQUL!%D1JBdQ62={GIy|0_X=)r)2^(nM9N;c6UyN8t7vM8ImpxhM`hrSjT&>WG+g_5}SwtA8G524i%qX@XIirw8BXY1xqfAYUQ=zYG{ zse+BI!@Nf=Px}%p^1cdbk*D8V>?uHdQ7;JGC%dSlQ&KLRwqkOj>aQ*>(e7D)_~fF{ zf|C1q=js05rHKyT#V9-{F18ql;@3)6Wel}x+G0#XW&%rtu9m5;-;}mFglarKwLiA8 zG9mORTE?#vfM}%ub}{QLYc~7!DJ}Hti&O(yrE3*@lD;4ClnQ*iNLiPg{hIGGHC%~I zi=EqJWHi_`f!623hsbk9Jz#?K>LNp#I$ByZ(3}``CvIaiNl;Lbjf3OZu+^gl!ptWk zq#!AcTm&OIY4Z;=TIy}v&h?JujK9^(p+$=g`%&4hP*-m@G|RSR9t>9PX?|_TuKW}H z73MQpEf;*Ri$`$?QT6M*^XlzAisxd}Jy;ce>s*@Q-FIFc@d{#1sNTM6`p#;)13C!h zBb;$g9&4;_tblI~@E7S9&b<{)zqv1W?Rou-i#s)sf>8JzDB-w6H|oM>^|fWCjqsN< ztLtbot`0@%{4$6YYvL$%Wy2;Q7875R!c|D)lODpnn*o{Aob)g(Gd>tL>3;H9m11&! z6Mg^Q#!Wjj)7&MOb*8Z9B;G%26L7C{l~^Sw_G1QwiU@^!b#`|C?6b?r-;kGhw+lMXXBMwL^Y@XrU<_C*&s_4#vyKLvUIY5u|<{gUyYy)#;}~!6)j{9PK|y^PHihCJ!~cYQ>^SMOr$O zHRHgF$cz4wy@wA5pCgI{NfG&hyRb2)$F{Q6%LeAkc_u4mye<5pq+JOGBD*gIr9+IG z4={=T^E@;)zY+bDdBiGYE4EgCKDMN1VqoO<$1C@oX4K=AeSm_?RF8&B(~B0Ided5M z+A4eZHm2XoI!wByC?IMzTBx^g-wutAx?O!QYuztNNBZN(kGGY&l&1pw@#{525YqHh zG}w;zwvMF6O{PD35#An-rGVR(Vf$>CG*Q!e4pAI@C(({DIIV15qRev3ur$eu7lUPa zJ?u$3sJrF6WQBncqk2ZVKoySY!p`tbf$JHjUQT$P{nwxMS054bE4A{cJQilt>f><` zT*ZmHcfrSx?MMoW?pS88C%-nRM_Flqxj*;4XIIan8Aiz14Hr2tCkZ(jI4;k}7QZeI zomai@$8IFcb1V4(k?8`S(&W-~V&;(iaN)RWvJuuEE_@^OZkRJvEPoKkE22 zy(aHpZ~LC2D)GvnL+{D-mDq&~SB4#IizbQI&z8*5g)DZ!wR85QLED0We(IM{K3pCe zANNpWQM^mMaUrDbhkL zDSo{SSpJokpg<5?DTYhINBWSc!%doxAqIjoqq53_uXhAm#0S6KvRZH&Do1owj#J+l zj;@Dq&bstK6<*m#l;IHC>erk?G|+{r%qzE`fB$gizytasM9?(Nf{t@sP?Oy_$I|Ie zlsv)U*CUuAOhUa??p+6iwNcY3ma>LY}rl@WBg{P+VTaU<gDtjZFb@5l=l|%PX2oS z@}S-02K6f#nUVxImrV{NyCe)sc>(PQl&>EMsjXewvY8FbcKfc*P_o7;R|t>DsRrhbu3Xu4IFP?EZoPItt0-^3dv5XR@%=FrUL|@Cuip-pERP7MKsA=I|2tv6w z6nMsmCNg`zTjfqK5A*!_nE2uV1aQ}~^zxKa;SSN+QnzXUb6zDYlP2NwRBC4T;X_m{ zKk+fL$pW@%+}mK4RwRN7I=_S-IXIm2FTWcqw5^DW>#JWM72TJ{Av^;CyPfrXM@caK z1F`vz5BCk7%^{$#u5Q?(8H6LM48aQ+-&QLz%Ja1tedw{e)AUv6#YH~A zXZ4N2ysPpT*oZ^0bq%)+_6;tM$6HaeQqvcNFFovO&ayL)UbRcp$(pq*?J4%P>|fNt zPyms$G6>8$)}3UXFD0n3fA?}(>z#hVo%>B{%N2?~!&4%k;JRUbeXVIz?}6If*zxHc zJ!aDzsaFplBxmVjSGIpot+n0{?P?jef+xA1bBK$l14SQJs3H1C&e?=coluR(K%pvg zTaWL>nI}I%LE)O8pMT-l!Mo(;_b;;v2%Ktpn7;8%2~~&#lEtEiQ*TRNm9MO*&}H0M zQP0IP-_iOg$OCOQXq82rxPP^f^6zjOUU)MmZ@v}Re%O?Ffr`g-vh_Hg3zvDK(elg< zhzcZySor=t8&b;`p!4kCes(Y_Cy#N7Q;CA$LxB2@-_vWdOLO?DMr(mf+3M43YbKRD z!$O_*-AdySfgWyq{Cvlb1gR8~hs4NKryIBR1XerhzVq_YEca*iqF(F9GjBDB<+OI* z51fEwoje2X@%n}8haP(2$rqdlKle}P$Y@d(I1PMJO8ac2OJ1e@?!fcZLY2qw38(jr zcGli*x9K9CX6@>r(rnLVU+`isENOdqlPEb|`Ma?{`tc>fNR2Lln=2dx&|Uk`p+iO8 z-CA&sTpao0++0yjjqHcI;T9TQGR$qA3Uu1sA0#ciVw;`c}uLrIR* z$8d*@p7_IESpZp5NA>7<6(cd2kmqElVE=lN9;r^YAG2G%d8ydomC|3~GP$PeY)A$y z@&yuXNrik-$nsG3gzl3al+m@dTi(@kVq!?`3oT;vgKf3wwjDFEKEYm1Gd_!xrg`&? z;I#zrcN1zZeQ(Y**SW(kF!TBPmbe^+z{+yq%ek{v{PyN+D-5@KdFh!w2Sajc!)(jE zd30|M=HfI)m}xj#7i7v2C2tI+teZE<*c7WTPI|JMI-j+fpiWxtWSU|GZOx|KQYM1E z^XiK@z!+VYH`RlTE?qw5{c##Vyo(-$tE;Q)9n}@e9uN0IDm@?>xng2D5Zx&U?m#t@ zcL02+r@*TxXdZApMF@BQ%AvmqCyc5srB0e-3B*Jf7L(q9PkfrY#0v?Y+Um_#Hu&8j zdGL(`6>cBlK(gE@NT;JXxX7Yid;1pggc!YCQ&L_fCJw26y}*~99oZY9vphSqJd;(1 z31_!RsLPaWGv3;qeDBAZ-lV*RR=dTVF2P>=LzqM);R1-<{Ri{)z8$_Vo)3oR(q0yi z?J*FKja*Y+u67l-78hUs)nuRn!f%^DI=^Ssuhh-FX+5VWUbyA7?S`GAK&Iu_tTQSC zd?0mpPmI0LPmEzo5TU!fyC__AaqQspI7_|DfhVY_JRfqY&ZbG-h-d+eA1ruHzE{3W zN+DmDGGS%nVX-%_h7)(7Jt-$V`;PwXyigI-vMTjF(Urkk0_J>SKZ)ui9BWQF2hKCZZt5Fe>_gq! zNHOzj!NnrWu-TA4)89wXCn`L(9}JdwCSC659QK}f$2)vuo{KY&xIb{?(=o6WXOglm z`Cac*`aLXVY0$+bmmrG#wz8HGQL;%FKb3H6wy^VD^Tv|-vR(H&5p@fB+oH!lle36_ zk-Pj1%c6_O6CQIbK5^p2D|ECgaaU)2c-Zy*`}g3=rSizX9sTGJ!j*TQQaEYFq_@&2 z59BaGEZ9;AWD+Ac{|t2@zhJ@9$*~pxq~wkWQZHWU=)XWZ2IRuvq8^K+66(t~k5Q0N z?@$NxqQ)D?w!>O6x9>bF?|swiM~$i4bum(MCJIAnNCUT34no!O0BZ*m1(e0LU#xi> zUw^zWzoR7aD=B4^IvC{$&{>3KZHuyjbhCN4(mXhu5OnU(0Jinv zYYu#j$w4b1`aAT+QR+E1#aV-4l&a|u1HY`hvF7s#%?4JBLdehmrI{Uh*VnAacuH++ z2a=t@39*1hc;Tb^X7@0tXRt~DTL_~jc7%|i#rG0Hy&@!~`T4r^@W9`IFB1g+AmUb7 zr*s3P0ZA7;2+B(tCd(@imWNA)FbXp&n~2zTpE!NmSNFM(mrS1KPbuYKA2*qC3c>{_doNO2ne9c}*OFn3YEzh>B!Izc%4Au80n zM{=m#7$@zlCP*{Ak-zDlH*2`%<+ZpzVV;^@qJ=qb1Sb-PvM1SyJuYm=omqCATUfZx z;vdg<<)UvK2g}&xvf~h))E2b@%cU4W`o4ZTdICf3Owh(3znE`e#j)uB z0Cc8*KX7RISR2jOAGY429|D1AW#uDSKsfE2mU1=zfiV;REf+Iwr4LGnAfH%a=1jC#h7y35f(^DY_$oAY2FZH~&J5opb<=j0I z_(3ogpA#TpgP?aMadqn;0mQOh)~vgdvn$53Mi4L>F&hf~&DEL`Boxf(o9xKpF0*kX zXANt^O~fSn%(ThYi%O>!Gd44x+uUaaW3I1(@_g02+*JL#->qyi7h}{>Hh?4=FY*^| z5D;G=b7Q-7i5S{CCZ1fNXU4|(@?2X50M@VxN$7P{_+S6r8_sr3h zgNO*=PzBpOakp=au@C-i9gu(zQG@z z2>c4K0?5YlF4vlXSf3kgk4<^zItBtBhR=7L`8UoNzg`7m5f!%}ED!(plK|v6LGIAI zyt!0oU-?HHp&ZYb!u3hV>mg|ut@}`zP;Kio5&NO#3p*U;AKNi0%ont7;f^5LHML?Y z9IG45qxvH5-@mpiooIH?9|I63EPDVz7(~4>;)VTUfYz*PezDZaYa+ZZjRlcX)H%Ws zml5x!1=VVS8Ig0?AE|kQm78^_Z)?Aor>7^`vE=hO^Pv9;Nl7ihGYGG#{&AMSe?6iT zL1k5&*Z%(V?lB8L?-!c$Fs^lJW7TsCB}C4lrHe!TsNZ!umQ{^2_1kqcbiGZu=eV#4 zATlY5_2BcX4eC35ZM&M2ejLXGF-)H`8bIHKfv*eYS*Njp#eboV{#Ot_53&p@Y)WAh z!b}t;SbRIgX56bMr9(&jlo6V^71G_A57eh;3+!|u%&C~T8ig&qZ?v$Wfau$wMxP^4 zvvav${1sxqL_GEl*MgI zC+0^BWTSm>>oYDs?M=uWZ?=#y{wLxfGSqiaUpAn<-8JNIspAM0NyAH*h`wD{%s_(? zM;Zzohu6_C5-C1Cl$dfKmq`NtPAk&A)UTe>-%;%z&2X5T{C2d+P0z)1j7wyp4{Ujx65ytK1q#C-k(05f#w<$JbV12#|JoC0g^} z=h79&5VkNEEXSafJ`Q9pl7H1E-z#A7*=2rzy7Evn6>*3atSt=aakC00-L`uH@Ht+B zE#Inwft{y-ZwqE))W)3QFUG%lh@3xExb|RjR1y@aP;^@9e2;!RY&+8lFv6ymfNi9309XiMe=ftaJ8 zjKGE$KqImf8E!%v&)=WWCDDrUK9ATQ37O&n1}bP3YXeoqL=~DQGU%UO@EUc zBR6KrU_kyQ+KbSIz~Byh*)_VAGR1rnR+r^+9Y;q)y_opK?2DbShq%G?9y|K$P;^H# zCngf6t5}79)7Ii6p7R%J=EQOOk~Yn+7wu7Q0T{i~4?yCokIbTvMMD39W5n-73d4M2 z_f&9jG)8pL|@*IcXu*J9AFyzECwu*N{bji5y~(BT`|mwK^iH(F z3F#XA{@W>OZvc<~gEpc+CsNduG3MH}JX9U|QT%-y3aI?@xKheT_Wb1m_MUc9a(QfH zBvMYW>qx9kw*x_8K0ZDblT9vBnqOcFZdQdgLx}Ybju-VI0lV2^$LwyuDEtEnoR#S= z&9>RQ^%qxCrOnXs>6hWom(0xx5w-9jR{Gs>)OKTfc=~&tKbJPI5%z~8-eV`8*@2QU z?*H;!a3vQ9i`!9}OO~J-fvOZYAB(I48PheZ#A3wWgLD<2~HM=VxCEY$QMg(ts z6cHCMJ@Xc}Vm_O=-n{kZRKm4!MQS%xl0fU&z1K**c=)(dd&AMq)i083{(4NNL)m0o zKG_?bR`A-X*1aeN^4$c$JhQ-MWG5Uosp+*gi|Jw%(!ItFGz%VHtAx)G!0LCxK|;A7W3S1tblAE^kmm?Ra9xhtHn)CP5Uge;wYtds@UUQdIiXis2|AR)jQW^^u;L>xW}Y31u|1_r$BBlUs=X_QOFHentus>q}v!g4R!WO!7EL#5s6L!A7wKGJJgvDiVMHw-EkUPuTwD zjzXbMoI2$WsE@VIPG?27HJ1rJZmTSq&%-_@<+%6)=OOym-D0^R)Js$e#VoOvV4KF5 zl%cM+xbJV_rIBSvob8TrL-FFTwN)<`#S8m-iQ9Tq{xS52@1hCBkOqk`SHrc_Z8Jp><|CV zYWAxmb&%wQ3z%;%M0RIu|893)^x*%ORWG8aDpTW6AGTbesyjt0Y(yS+74DZEzdiwRKrlQaT%3x*SNz7oI^d&@zgqE~I9A|z>9gCL5{$x!j4!_OVt2UDyW}%H~ zuhLN4PF{LZK+B;G9ZQ^Jax z^tn;u)J_~Gxzic(DNpO4`H%9PNacD z_Z{6|?!6NCy10069b8|jX5DZXDV_&a^4mwwRtpQ8Wd3=vwYR7pL9+u9X?#vE3%wCD4y)b?05OIha^$Q1>887fm$)uCpr|I=^#-6NdCle<1 z?5~#{9UTRnp&zB#k6G2zZW-9!L%lgo-RpgeCD7dG`!YyOquumKd{Se?)T_YDhj-?tDl zz5%&rekZBALkInf2r?LFMN1ceNO$M7r)^QJ(EO(#C5~{DTxn~XQEx+%v$X)gvz}3& zd~qYqf({Esd5fKtP>&?nUSFAiX{@9aHVHS&-p^esW(|8==Xmm1r$sq4p#R(tP9Hv~ zS7d@znMTgO=@L5W@3cZb-4H&OSiVMwB-PCOHD=}>OuIIPoeYf1G2%_g+BPnCL9RPa zz#~fl5b)tPH(XJD*S})Ne9N6tez^w_ddT-_<-S8-!C7#M*SDPFNk}l-ZWtS3BS>4C zuTKPNblbUVo12dduYgO>KEM5gkPC`K$$F%>wJdd_HI1=zeZsaB5$(7(fz7qqUiHaG zin%ksN$%0N{HtDTmwKL_uHIa$p3ZPt%}6nBOTU(wH}##6QR*mi$10V1Cx}LS^1W-( zSv`IJ2cC=5aw8|OCeLKZ5bWG2ei@Z)n>%5ojQlb6I1gj!>jIZz*II{(*DV@`0$WO< zRRv78jIlhk7l-}WZlH)D#TpHDb+B^t+FR*ZJ)Zb`z}hGB>PD9+?+17cd#SU_4Z)CfC+2W_b zq+Kb0&*a9$r1o@A@vMqYwj1Y#&y)$SU|cVmqDpN%inCcaE;qW^uI3J226znD@JzCW zx!zj;BH-ZW&^g@mz#Uhdg8JCs13oa8FW%6bM z%)&dB-UcEWc=;z}SZ%k?p``-qWAU`&dUs;Z#OpMprjlz!f*k!{jx#D0t#)m0*ukxA z$2vMXQUhm_b;@0S%{FG_bLNAdNK;tO{Gu;S$ml#tu4Z;cs__$->w)LiQJjd+H>5Kg zgkrNy!IatBRP8!vaGfY(Qawb(Mb#EY#Y@vzh1sNeB=o+wG*jHd2zI?RJgbeL?pYD7 zIFV&?d{|&5_Fnd*gby0*;Q7+R#0LbdP!VymZM+Y)x`Q4;|{a2 zjV5$Af-DgDoTUX-W(A6@dnD}GQh0$H#-+0Fd%NT#yzB#pA>Zt0GLd8VJ}@V_QM#1` z^khp-F6&L1V_(XTEPLDmM`7L%TVoX!olbt!mmLb<55G!#O)K}<^ry*(UXVkPERRS1 z!dH0*g8fWn;oW(2oylKs1+8!18Y`v4VjIn+GvG3!)Skj+qDb6)JSH}l9+s+~4V8Wh z$yQ+q2+N@4;8oxq*aUtV5&wUO=ZHk?M}i&{Z7b6DfPd#Wl;1qAJQh(!@;^U=41-;o z;0E1@P^rteu=Ce=WU%%_cWz%6YaLBgZV5T1Bk7)qBABhsB%*uvs2_N_dLE(LVFJ9+ zXU2l!OqjNNro>-vG8iWGwH-$7hqfVg5yxAk`iL9gx|KPOjVWwdKET&cg6-=-e|1e9 z*uHc_-Ep@)C<7#>eTrosM6~|k0?fWPO}%M;h=@prpeMw#3aP%^Rau6~XLQ4jT9abX z;IKCJQaI#G2@EH;WG?l%jebeoeNL8SnCWnwf{<=Y?sCkvnY|wO@cXpZE~Ui^_t4U9WZ_Hv{2X&=o@nl-GkzVmppoWcp|_ zwAX|BXGv8ke{2OOROS>l>>pTJTUR=G{E$jEYD%oc33@ zQC4qkj7T;a=0NoK@R z(X7Rc8q=?r61r9rc!odtM5bD<9AHB-9ZsXhqVbF_p$D#T#Y4$RLwu~GLc*Cpl0A=F z$~DOPjl2XGngb7UBhx6jCz)iO-^{OgwDr75GW953^yId)E9^_!%(1F#Kw&)X~42jm8MmJ- zaMb>6zwbB&pcX7VQ#B}C>kymkbhF5w?%oqdMlhCFJvu{JplAsV-mcA3&mIyn=6WgxYDZUF5(%wr#BTIy`p!~i$ z%n>o+p2$AxS}K5tGW@B!jdE z)B9-&FU_i~&?z?NK$jAr?;`6;3~6(!p3G-h+mGb43_mnhe*2dctd$oaNo?2G$H;V0 z=io#~PIznipwMDg=Gsho>{vqkhv{)sm(_RGeEj^qLs4FyGqrArMtd`uZKi8G_NhM4 zWLUHlNOxcg3`P*6R1zK&WyRbx4wBJqf0W~Ir)D>9HJw0{6(F!&s@IFIMcD7hs_oLi zLPBsl;#|STol>vhsv{gccq4@AU&BGgSNq#N(GoAhh!{c(n{iB+CPuq;5iM?Er$u*c z1jK{zTl!ie-3tgsYKKX;<7!ZS1Pmpmk0rRuujW&LrOgJUqRN8LS~xBU*u6MR$yEdi zP^_cle1l>sOdZxuC_-BXy6t;iCwi&EWy0O7L;h9LMxUaT(I9txJfPlWD9;&FK0za8 zHRmgQEHDnkG;-0UXFD&ukq-|sW2ujaJHm|4b;q|XzW?YB@;iT|bPayxqZ_DN%mpJoaL?=s+|)B-}GqAzH<`fNM7<26g#`)kyIC<~B4ar9SC@7tT>}9gG;4 zN(qU+3AnX^DzBkkjm8Q?-rPqjo*{y0FHmTOBx}N8e{LZO+~sku?TJ^g068;}e7NpyOThHw6FS# zshh@JKI zQZk#FUIGlX+%O4xj0KBbm~24hYBy(+y_Dm|Ynp(6iB6rSY4{O$3zvlw&LiLK> zAH`0i4e(@Ef6O!SVs8^Ef>A~j$lG?vAEb^|zjz;W`;$cP_l=E>p`ocw*~&1P>7z8V z)bN-qnL)mV50N~RQFq5?AeyuDMfml%k-i|qAhh`lx(WZ#EqkE^;u!!6cQ`72x3LyJ zhV=7IDRTd4P}DpPsm}x^m%SvWb?Q|5PA@>0KEnh|fi1N!+Lj~;Hy>?Va3?)065)wc z$~?+f^Uh3cPQcQhY2>$TlxKSkR>Kd?6|9n%Vf{|2gHB)ZDgv=M!E=m`8rk6BfyKPq_+yc?@OMekAl$8r1(e%GP@bOGC?7#TIPQe# z&v{}PXq=8y%)3bSXy`pdT>)f3UdWxhmMRw2VS>nHSLSpH<)GDipIc*+Cbd!H=TCgq zjR~rZgC+&*3k-wYTR^bQ__n!quZ=M497Y2HDjHf31$rjJ$30bDlG%l3!})v2`LC-w zS99HnIEoXSIOe&zV8qDiLEtj#{-Cy@<<;GU?RxKx7od)pXJ{pG<}sq3$c~xO3Ec%1 zt7R*Y$y-q%3>}}-6H{&AJ)N{?4TeUj{m`bTK6MO#CxL?Za*QUJqO7tpn0WQU9*Gpp>8j1VCjwh-BSk2I9M$|hyc?9J~y5YOK4*Zci> ze{Z+ne^2Y-dR*7J&UHWcb3f-gZ`yh8PP^mlg?kDkkw_rm_TWhSd}G=2I$(@pc-hTg z?@K4MOxI2*kh*D$-?+Pp)^uNx3)TIs%{~^!oNNlaQkkv(<#a7k({AeXCf-0F z0WGwPHKZ1ek08roXzG?ZbEONYpH%K8#lu-SP4Ek~<0|z7)?WMrlm8Skxr-s;j5j|o;(Mm|h`CDx#B?e{-GEumD^fKB+ z37tQ$Y}YvR+Bof1R{UJOFppW`V5q>_L^gGn5}aR^CflzIvLgsD+6(SHbev=@{sr|G z4BBi^rJ}ge3?!1sporSmmnubT+ zdOqE7bgG$J9s{P&SiDE`o~nSdQP7Xa61QOxNU8x6Eda4FX1Fwwju)>_zuwX8qkH`AJ@ES0k89@kiru!qo+`$! zzjaxC`~N@~I8HnC`L>lU=FYsK-!QBeLFnIK=9@$2vB}BCT5}BpxII!Gz;aK9cs`~SF})Z5a5iXU>T3Ii z$GZgQ_SoH6$oHei>+DKfM7aBT@=FgHIbrf)3qzbRB!(}n(sxZV^dmYjMRRZqB+GN+ zTXV}l@Wch~jE$$4i~Vn*BhYACn8gn!eez2uO)Xlk@n3s2TuI2|7iL~2ST8bHYgZ>8 z4E%QZZkvNfIn01bs^oL*>&njZ>%%-tpO&ERMcm%R#ZPHku~F{aqPl_G*_I@Mt$SB~ zfjS_Grp6_dXDo5TPMC>{*$i_?buM_Q57t|p}Z8aow6Yvv=1)w2ISmYnKffz{3L6i0jM#$tkeDS6> zD`4E5XxL1dA{H}J7+KuWSYD)P=U4YxqwAsHTB#|W+0dTyofa+OasK_KY*{Vl*eV5G zM}v0~=3pL$L7d`DcrZoP9Pv4(k{|c3Fqh_#lA|pMFcc^>e67i~ulbbL+perg%!fBD z_2@Eo290lZeh)fzw|_=gOd#p5(pMaaYXbQxoXX7dv5+D93?IKAYqdt(s3b2vDuA#j zL&)52iz-^hG@Wlx<3Lmrz!`d~~(ZBg9G zoxp|YL0Zj+2Q{OFm@G(&Uv}t z_7`>EG41uAl*EMtSoF)Nl3%pb-cwUkujKfXEda*()a)=8&>Lw(Z}79n+OH~jclrFu zfGBdGnU?CP*@jQ;XWu#Wm$;7GS`;?@I6itaJWz|wAVE=nQ!SS*(qbak_WO*l?)8;Z z%G(V$w&Sf(L~NL3-FY<(J-dH&554CVKA%L`Pl$U#zELU;l@wvZfq(eWA$CY+s0g;9 zn-^q+VYtxvXnVfH;fwPITGBEg9fkdnayDahk7Vye*ssp>3s#-Wnh$%(fz*DAh&-wn zIFwVo%U6@jeIO=MEwP;m9}?@9fz-IKaatkE=H~R)<}=1|xH$*N-kYV?8YEXTg3X7QsTZV6C++PRY=Gl^+K-L6PU z=uV(H#sVw|zd9+Y;Y(1!q_jrDwYf-7nkyLly8915v$o@~oCI(jzvkZUE5%_%>TnSE_gnt=T! z6`Jh0v&wLF!#TR}?#CIk&N3?Tr*u|Ic3i=>p&5bwOc7#!r9fjJFYG{}l^0k_Yr~=) z#gwAR8X=X=Q~lX*%DQSFrnUc>=&xX?P^yYlFaOzkC}X5(P<OUYUS|`sOUpR#tOLdZ#huh(mBak*rDh{Yw1oUZ)rZZyv{f z!jKEzOT7-$Ic;sp5;}GpzT8EI)t#(Sj+TxC%=AxG%4S2FIywZ$oeFoy@>1*ewBf0| zX{Rim{>9RABQ4d<6v))$`G@ch&N)=+K}fymFGq7l(YaSCT&?QECr#SSf@0=SYk3-B z4)GV_+CgL9Q}zD$uy~@hG7ILC?z#^qxL@R1`PMKv^oWCkcG+$;c(~fwZhxC4dVnQy zk=04u*7;MAQq4DGl)hJMM#+Lo-aePy)Igi?hmqT{Sv=tJdI%8H+A-mSB%l!9&XR&U z{Nd#TE;E!aM+O^8w|@Z@1l#ti<>E&}&z3Go3Dq6?$dmeOgriE`bf;_7{tNx1iYBS=skFdU! zcdwi+GXn+pw*El);Z*p8)ZM&Xz_9=fbQ9dOMMT@bU$o0ExleEU>Z1$&(Fe5W6QVJY z^X5RuQRLAX4DiK{@J`hb*jh>bhR#lTX7f(HSCDV8uvBpr_j>69{c+AIR?>Lao*fEc;1Rv{{AgLeMKKHwOj2 zY3&CJeCJIm|9J}b9})co_MFMjfrH!mg`txGnoE{%U3Ep>K(?jP&_^`-86}MWJZu&2 zChGHnD4=lGpy;!6OW`fseh-V8Pn1>TT1!O&8}luon9=+0H)pCKkolv*Wpl3DJqD>J zM_T)MO^kwsEKTIr*dDvFKa}lBW+B|y-IKHY!T$|Ohvl{_hS7Y={(}B_#LLo(i(<2Z zwsT>9B7>Dkvg3aXbzUk*tN+TsktF>T%ABAh(R4X<6mx&k2f`>lNE~F|sR>0=?21ElK&Y5JHG!)^mjD9`&2i5U_MyR zn#Y=%qLuS`t$8Tyn9snAg`CCI+Yk2#u`?O~*5KP2(7&xJfS7)LZ#D8w1#S3Zn}x-G zeG}Xk%Qe4@z4tiE1N}0e$j1=5c<@83F(!rQi@6dF_h2h68e)pGz)VOK)rKfGm?2#rqL~5>G@jgklw^0xT^q0O1 z0x~Y1p%xRQpj&I1cI++Ib-^W1$Da&KGv%=F8s&8`~%>&m!nk@E!vLY-ix-? zISu}3?RJ*!uYbP#i0BLOzrG)AK8O6TMkF_PUh^_GD0G>T6YOyo-~ag*+Yb^5|69Fo zqq-Lm;i_xfjgvRJXyC6Vo`Nmc*4N&hzVN( zuiszD=Qs{7A3J6GQID;;$gLCkFWya!jh!rYY?xc_E{qv#6^v5s(X=UQNZ)Ph&QOT$ zV&$M3K( z^qJb6Y(seW%4KN^hUn9Q7X$K`U0sbaFbOnUGMUkPL+?J}ua?vM-+RocpsLCyC@6U2 z#tmJyD;F+!l97?g-o1PG*hL)`^iJHUy$eVF<&$?_1;DI0DX+s?UiaG4B1Za7?X+?} zk#zvWNz8{Ue^0#Lq1t>SFD?b z6O+_kA#iJMzVWrDCOAp)txwMqtD2fxP*fDp=;-LBCIca)!}H&Nd60p`31jHt8}r&D zy9=@V2GApmK#+g?dqGOBu9f<lLSj(pr7~;n~Qt zNNc#R^cWHJ{%jVm!3B-5Z}QH_TeF zvB)S9`i!0kt$+icSw-6^SLKaHuF=WWhr3<#pKzj3I!pm{rtqF^nAl`R33?a*3=D*;?^>=BH1ef+8ZgWMpJQpI*Ik z{509lLLXIwiIb)i?SyNH(qP@JuT}Y@o8yiL|7)(1QRxeublB?)u=CnK z(4FyU(B2|G*}uN{-yVbZpH2i;7(_X-Jf-5B9lP$1OC-G|x3j?+0%2HJ2b$hY!sMyD zx=OZLPr$;W{VCeC|NC&6Zv4G7<1g(krqJF0=^@Ybk&V~k+DyA`T$YFjJ`Rg|5*gQ5 zowqIfPvIp=yqOf#cYT6l;8V094d%bC1DgBr_CZ-#Wa=9jT)BQd@Xp4)d-qrhPV@7t zq?Cz2x%?(^=MJvF9{~SydBg1TVc`hmBIZBOauYZLdruDc(cK+;xdkig9ejfk^WX^p z#2!yhU(PwQ_uC9d5Z}w>Pi2b!h`k^0Jl0JCn}Cq;BrEI1%a<>6KCJoj<=LZ0m|tsa z55=qJ?cC#t_WzMTt_V3$-e>dav)uk%z$cV6wm*#^)56%dxp&DRuK54SmmynRpPY@0 zE2N}EeD%JLnOT~ulXj3F%RD~%$aY7|AchSK?C6J; ziteu5TFGF6`Kltcki#_z@o4ORXPm(KizBvp3hhNXvtax)Vpt^Xvj02iihEDVp ztB9?RFbi6bD6BA2oik(r9x4>-Llru6?lA-Gu-sf3z5;|W^S47|{{%mFU(yYml8u`? zbYjBf6gzv?#3gn0*ZACUwPwiW5cZR{=J&+@i`DEqvw|bbpl^-8@RUlc7<3n!|Etgb z7+>*(lvN*g-5K*P2PrPkXm4rpi}xR&kiucd zqfhxjR?KY+@+P4U)1@lFY?sc~_du>acDN2yv|R;Lzkvsf2TDuJ^y>4xDn8DqQvc!v zcE1#NtE`m#`t@sIP|(pU5q^G$PO-6_CYFfZf3yGc31vj6ntD;hY1P{3O``*1Zf=h$ z!TS5Xo*iazb)|;&?McieMw`GH*55!W)>MaZ+^KwwAN$_8KU8~bwe5`U@7u?Dbsx7T zDYABtvFp14Jbf&xbNDh!r}7Xv(LZ{z18xK);8ysE*AcJM&PDd6x;kim-SLvVe7Y-z zr7XJj|3`cF=0~=LskGttAW#O&@9=JxC)C`V*vmGSZQl zSHDpAzwMm{IOaajjWq6DMVSv@6@}=DgMT!H7b3M^HWb;LbrcQZMUFr71&1G2A# zADXqEL$-@A1y>LVNn|FEH6^}utu#zzk&l40vq81_uZxlP=gvDErK1xwFfbr{>C%%s z@%sQjP?(L6@t=Gc38S0phBLopT|Cua%fT>;UBGjth%sJj`)!#dQH*afFb~GX!Nxx& zIAKk-xA7TpF*9GvZmkSQ-GOa=#;BF8hVEX*?Y1<{c!&d=OYE!!=W5R)`);snY!eE= zQwAvlInc%7+6M|^V|WBwT>Y*Nc;I_ry!KzyjatSO zGJxv2C|Nk>P5R0-#Wt7q&Kkrn1>G4;$*w;$$0fAYoOS0)Q(-Vzz@=QHZRlo@N+5wA2S2!xZ>E{~nl$xC+Q*6CR0Da&ZQLE1 zdR`N~rClZFwq*t*4l=(!KaH31r5KVp4Kl7!Xu|U{Hvo(AhjesK&du4`S^crrB+J$L zdo`L_+rdiITpK=H*S}d8c-))bC{E{c4My5u(SN_(BdDYJe0;V=UfeEs5oj?NnLXHc z9f3!!fp2;smW7*8JalF<&+Zw}0n9wi%w@M=ZtKbBcwgd5-OAb+;lQsJG zZqW9*b^lDz$t(KM=XDmei_4Szw<`|3;??t1`4aQ1n&Y+>%9#OV<8 zHxeFLc!$rWyD1EvNIk53hdybpZz(sv-^X5LV^TU%W>`@rUCXqWzm>Dqvdc98>oZ2F zTCBV8RMP3jrtUfvx21$t&zH)$lP#1^_;92>tF66C`tkC!Sn9fuU2k_#|22VhrAvQ; zv{SSfUfVKX@kMhWe~z41QtwaG4;AG@=>GN*^*C?|ci$IKoDb)^i>)jE@9WdF3F~u= zPS7&0He9eJnTSeG0M3)q&fMg2nMdYjD+%+^UfVh|&7i4>kbodgugV{vcQz@{TZ9q! zq(2p`3k?zOwZBhEb_J9>e!f``yS&&+9HQ3I_m(|j0l>;8Kji7p9L|JITC>h7TWvuPUn^(DCQ%jEMk_<0gg>U5_$?$S`WmDQB- zM$;j-)&tGOQ$@)o)NrteibG#9AgV%cYjSKobkZz^!oM@d-#N<#Z&roanj}hikg{BT z2BG$2k+;-eU7PgLfJ##vn?K`=>3!Kr)Tl zw7FqcvJ|!Wx8ov*U|mNh;6T+jmjdZB438#-csXnmZwKV0aP~H2*xMJVM+DMqcyh|V ztszF$&rhAv{pg)R>64~as%}3&!dMkRzeMF0Bjr^Mr&_%I!kC5lnWQXlktsYTIciyy zPWa(Nb&`J_%DQX{w{CHQ9|-;gmm3Wxu4Z`Q(K{-DZ#%hKE_JFOm(1w*PipfLM!nB| zfx)cu)zD8M?ou#|L>Ov&$}78@#W!b&?Uwt!uKiYx^Nn1x-}JDbG8T>{S4d39F>UiZ zezv+ec`iCa$i}jDqvfqU63yU`ca=F6zi|pTi<6TVnR#q?|0xW^Jx-l%wMHT) zS*TkjP&6tS<-|Pr9FE&BkbU2uTwMYvLbxFGaBAY)vT~~W*V)0E`0H;j=sc`8(=i5x z$eoU?JI&D&?im3`CJAon9I?wG3NCcUBnY;%9{oFtB~e95y*zKyep~YM{AS~&ru*Uz zE6*V1q}6LTOv_qa-Se=IEBkI;P?62JP^T6@0c&(4zb(?C0-H=IbgP^w*v{-!Yn$uz zipxYhZ%6S%a|I>-;WA~aRM$$L^7R|=XDm?N&1*_Lm^%aLChP$bz1S^Ck%mP(nK#9H z!1BL!EQYg4(7ya@T^*l<2b>!O_J?{HLNPq-Me-$l{DnST;3aQt@Bt7?T69loJNk%; z3|1yA((6<`{Pz_8qdmjK;WOW#DjcqEdULU~(_#6yPE)z!4rZ6Vi9iCI0YiScn(ic+ zx2ofWAKFBF;Mcz7{lMwDzzIDUf(;_=E*O1JIUe|+6nc>ag@g>Mw1KF8u+upG=@;Hu zwM%Dsm;w?s-nCvs>Adqhw}HlLfiaihoO_uX9bHkIYV{pA8C>TJ->EusDfnsL}fkh zp<@g}^h$3oJbf-0A7o>8NgK<)>@bahfz6O5qe39=z;9-LjofYhpB8HW2Qy5K(8r;?Z^#KcV(iD;i3o);_NB=TxtSgK zfsEz$<9mPzU@z%1v{5z{GOCAf;&#=v{kwkcHW$5BPiv_rE-240U^S z?e)1pi(j9=A_A}*#|AU?ss=zb5?p9Ctgh~Vj8`(!_9uRlR%y|j_uk5=m}x2P1oC0b#Y|AEp&j^*q}7Z3C{vkODoO4k@OAQl#_hz2idGhK)-xvK~HT z4()JMva-sBKZD*#=CRWuRQku+zSw{>j@2_J|1oA5-N82W$9Z8|Eo@Z084pC`DerVC)P|tMh>o z!Vgz1488ku?zIdQji(V(9i;d&`!>os2ui8tKKs*-Q{Md^ErA6aE#wxo4Z2Q!7?Xhc zVwj)Rl~t+{KmsKofpS(5wVyv7a|iw;jYFDf7OF-f;aK=Q-QD)P;pCN2SwLL0-)Nt@ zN)l1F-o9Y!MPb^4z-iOY9Q^hK{$5+DqKDJmUc~f^U1qI`*AvRsUxdZ#xCXOaJF~Sy z@phUrkbo`6sQmjz^A(=2zXHU$g$#?2rTB%WX6^&woJ?&VEmW5?oHy({05O(;I48l$Ai^dz z$E2f~Q=x1$ASxt8_I!T$|+8G?^$0wxzrvIy`Z z1T10)1_ukrf|Z<DY}sRn^2 z+Qh3=-!p3=a)u-J67adVXuTr-S_L=(+T%?&XCkx-h6f(53~14ux|PGc#{44VKB{%<_mU$cO?UxrgiV!%fyj&$2sKq)Yp zdLc@Qy~4}^$jqneaX05jzvz? z1%?-Xh(@51hemGgO^joJ*lHqDZ*AxTiqP|~PU}3vXU`E*aaU$wDzdc)%e~vQ0yy%A zK4o?t9B|A5BD3E39EbS*Bq*O43qT@XvcvCggo(&8(+JUDKh^g#m&`C$6 zqg`-c=i`Um3CXH+AFp8j4-m4{Aj-e8eH7 zkcAE7PRB}x;jxM$o-|f#I?!}VF+mn!6KB_44Nv(?yYIYupO-fr;)ZWeJ|bC&VWy&L z%hbE5k*$hNHuj!)$6xXiJIrt~U+{az8fnWkQCJquic9^N6LNn>bSbgJSv^anRLx;K zd0ob~hQsK?-@~CF-yk@aI>0I6+Fw6MiQwO|Zjy;L9ux81T-;B8{GW03cAPfRZ0`WTz4YvL{w^Vtm+U=oAtq+^Ie%`1(dX?zE#a%IH&+7ad$C3`AjgI4n*CBKs9s zJt-;{B9E3&EmW$BgJ{m5`UW^0L93yc&&m`e32G{7FGAP=)g%N-ll`jpQ){q$eYtPa zi#ZnjQV?y}$epA*pA?!zrI6@JoJ}+9ik)5$`~G< z?m(VgPS+|O0EeVS3$c4-3DlrlLQ5drX*P|ISCRDGJl|Vl;VgDIiHEwY>*}6X4_~hp zs&@Og4UYt8zmIq?PCvx>m(2P>&iinN+oiTOr!GzPaO`KO*#Tb1`qNBaIN+86-r|sN zK*YXRAju&2{zM!O^e`73!WoC+TYd{}09Y%!1XNrc5FEVJskVpNXkdG}20GoBj#C0MVhux(C#w<3GOyGHVeI=F%1&TZi6~ zsir;9oGMCxeR)P}OU&Y@boQ5?YnktO+A-~jz_;e5w)KbSl$v;vvdXR@Q~55I1`f6z4qf=_dvxb!_UL$KRtA|W76hbSi|^ZR9qnJArt|A z3AB8$1ioNppd|2&q5hS{2byga<%qvL#y3d6ng zLXG)|>~`oqWgzzh;<$UgC50)fnUdCYb?-i&iB_P$6|1)j3gi_&nPIQ_jWl5V0~2Dz zC^0=eJXlWLY~Vv(`f}LFe^%&hDocAo&7%CaUdp=nqNAjZzvycN5GfkYm>Q8NevIk8`}`-Bi~r zE=hg1j+yQ*w8COCJlKQ8H~GEx$p}J-?e|cg^nD)J^@Fnbm&Ui@6Ml6@jC0Ufhq=gb zX?fFGd7kJl-_0u;gukRsb8)RT-`E$bua-NQFiI@5e@`fPs@H9Zq- zh;_3=6c_88OXZZB*rn!uVjVg6f*m1Pa`sAuU8IIu1zPk=g&S7s>YHCZWlj$FI&+db zrr{e|cWNjg3G?iZqQlY@SGGYzC>Slygs&(2c3fGzI6v2&1Sbf@l6NF|Tbi4t8=Bw> zomZdwKt7z4qTN+&*u(^S9?eEYg)S1dXPFbX%KJU3Pl$^4G^yItwSKiDAN|B{M)C16 zDV&yP9!#i0^D6_+(i$ydA-drxm$Hr_I1|pLKMCPb>5ROnm~b`l2=fJ!8^`83?;?o( z#}<;|+I;n6&lG<<$P#V4^FY8h(Rg&WC@sesnRNDrGPP+dB${b4Bgi6{twO2OQizmcdbIh3C{0)x9@Hk(v0T=@$j#FB6dhMq#PblPx95&`4gskO-i$2BIXx{)UGYb@ZDBkB> zla3omEIHa3g{{Z_{dScj0>+FIA)XOVi_&X%=ROk{QB&0UG& zZ-wch$a#uS%JTBZ#lBd=pOoCURO#Lswvo^V0G059hlQt0uF4V|;Tgk5hMMS4S-*@= zYeVY8R6JNTT7mLpL%5(KLOqIO5goJzwk=*hN-R$&M0{gDSTKM^E}YHYY^^Nv+(}e#i}*|?oL;9T%|a**Sf4t{S8-|jPV&v9 zqu++0&xp$1ss2ZV+$5#bCf`%kDheNGeHPg0<Kr-5CS1$ZL}G4M)c_&b~F}>XO4^ zU5GghC5HZxQ_w&#^IW;8Z@p8}61mDPouRUMH(FqJ?k0L2Wd&4q8pnz4!|KBL9RPHL zrcHPUMs5K{Hm#N7o!2?6l&nltNEF!qoj8|dDjz1>5hOKy}j%?IR{B{-?Xv`kD$;WyWa+KB;}Z^ z4qO}8LJaJnH%i@f0o%^gPP_v$@`;dT4mAdLB_fI96nlW?v>CXufyAS3l39RdwE0G- zd7>NKUbd?GmO1q0{h%H_VvSMS^7#TYn8afJYrZRhfgW-DY=Ys|R{jEKWY4E2H3M$22<9|INrLM7=~WTu?ggo>Piah*Z#gTfKmf!Ub{(XZ zog>1B?Ki;!vWM1lHEwDt$CN2s2j)lI$-*Nux-a#DTXS8KosUz3GF030>q&pDP_M(Z zL5MH=jnfd@|B~tka%1bog^VLecwG%H!Bcz{oNrO7+#L+#)0lT& zgw7*;{T4Io;m&69lBk&ado&P!)lwVYFYE2|x?xQxJIr^EGaanR{~-~~fN8T`P!*lS zRj8k#$dMyTpb@pt>9!k=z!-LWq&%SOE`2E2S05g8aa6>!UhR45=5Dqk^flZW=ljYy zLc}hstz6jYiQPMlx8xUvq7|eL zo_m%KE=vMyvbxiD;LDNGw)7}%1`UX9?<`JqjU^$01D`(zXOKpA|kuU{mj zXkF|933=A-_n3l9-B#{FM(zDr#3BqlWy`T`c~q{6F>Vn5%_U1wc7xAq;rzM8X+~q? zV)_A~-v%b1JC2yqu}J?{1_iNU=V2vUv1uWD(V3q9l|~_P`p8(C-l!U!eoOfJp0$Rm+IZF!!g5F0g;%esWfIF{WASA-dNSZPmaYfr!pK}yX|S~)R*Y(S~*>|R$m)3_CZ6$ zw_b6~!5H*{Ir2xww(?Q;?j_;3wk}pAv$`7vqf8*B>X*vDlOOShVjqu8iy`nlBnGgj{#DmhN!IwApGd1krWrgd zVe)y+9MStfP^4E?-pbKd*$8T)rgJJ~VgCyB09h9YSVJ!Cb{+>O=W}k&B3mwzbhJ1} z{reqCg~XWqyP!nS=mQjC-=kYGyKP&aWfBOw!zGHN+s3&@qRwjgW%mURy>_@x!o85rBMcEMO@G z;M)sN%ctd8C%ncemDjl4eixRY%@oU-M4w@+X(1gHt-6= z$uvt>RI8xcw7rf64oBfSCRJM6k_gCMwXQX~3Oc!@LrPGFk88vt!rAPk6)L7TpZNHh zk34MLY+9~Fl6LKGO0vBL7QY5m%2z}DsQ9XF&RT03)`ts%B6YOr@LORzxafMScv`I7 zjy8g_2~P`1*{*v^ygl52N}y#>AhhFrkuTopE@b`29gnzLbg7$}-to7aN|gH~r6NOs zyOK1jAF@2Uk~1vwkrUr0ZHdcL5_x^TTlo6=)=8Ns;Dn84#2CLnnb5op=Mr@>Sp+qB?s7OIPo{nV*IL|7yt;nsE+vVWAC(I!e0m;_RqjVAex1;D^$nRJ}F*BI@lC}+2^&~}o8 zqsDj*_1@8bYD7|{fu!g@P0itTXh|Vk?z~ab*#rVrwL;7ITX2kMxwN4$HLsTIRUJ+* zH#Qs~;_v=ZFnx!*6Niok7R~e0VS2Idn#)y6RgA#A>r3_YL;Q9I!vikRRbSbpnvYHo z^rsardKZk4k8U>+jpTZu|KKB4bPrOot7&rf(#|mq#QzmA{L|W5X4tiCZA!RlD_Lfk zXZ}5Qq?H7ugw8Ix0D~5(@&cB4bm@SX&q3jADla7G2iM$7kUlb3g7$j`pOvLHMW&6# zR|ZHsvJC=8%c;~upncMp=J+e|aAIM|V^ zP6AP&L11ODcHjunsarrwoHOedc=tA@r~77!m-Pkq=tg!~`6?}XY+aR!UjBKv)2q({ zY&pmmUVm%53lzn=01_!TwH-TIgek=H4x?l>(p(8B-&z_?&PNJwx)hCeSO>u6nc?z& zw~~LoO^{yHbLMxhXGT!@F0}v4ir~nm0{4>eS)k<#oO29twK?0>+#_$x4>CZ1LAC+u z{U8&?z=tgtF2INg*n!voz}eVaWMeE#+t!!~IptS4QwR<$NN>TJm!l^6>RJh&=F1}- z!Z={C<%p+qBb2^`;pWu6It!DN-_C{E(9rM#NW(S@YPm>}Q;@AYDq3dHB-6B-PsL{> zkXB<9XcK+A>}xP<%}ZXrk}=}8>l|xve8j`4mt{39mtK@=k|IgIP{Xd7lD3pqxIwPGajc7)MLYW{<`ux=qkC{9X$03{!? zp~z3(Cbvv5z4Z71SNUb5NO`eWEs76NKaE=lFpX zUouYfoRkk2XcFm;2FH^J*Ij|~2>t*GJ3D|r?|YzLF6PaokSXj^z1UP34KYoP_Yvke zxdkH+5({Cp)Iz;Q}q&*Tc~miBPUER4UcSk?JEB zexd69q|~J#KfkG+vqpiNq-N!#zP>!-W`bw@;^hC?G+gk?xJ z{M6TCR6zBs8AO}}?*6E$BBVL6BClvW&UhT6K7Um??bc{+M1Af()kx1LGRf^8U$``j zTCvqW8$Y>;(gFEJH?-@D9ez&1O5F2O+@;J;*4NzPY!oG7pARI`2+RGJtT-t2j;88C z-H)$l&X}F)t~#K;uqa~7U%4xZayuw@vnBB!bkr4@=WjNZMeKmt>AV8{A0I)og*mgi z*0W3*=kb?mplmUrR@!Ui14{G?oC^B|RsS8_Rwy$xIsdqM;|3F;m0%A-%GZsRkdD?^ zye745-9L{+oLvv|2}dT`{ow3zb}mEG326Db$+Z#P-IXL3Zge0{$=Qz>8B73Zw zf57MiImY9d1aOTEGo)D;vzpgbA_5A4JEWx!HC=`?&)ajIs=S`Mkf?CknsvN&X`oRVdB`n$Ps~XyNH9}*znfcDJ_+jXd9QV4tJbd*l6^Zz{y`ar(77iuIULvX zwqXS6Am^KiKZ}SOz&<*;R2(=i_%Hg)8AiZYd(w386aW-~3vnP8m4&t?1f=@WhAe+< z1ChF(7$Xk1p4o(Sf)T|uk5);0a}PhN{vk86hPZ-&ln}u+q@6!6tXP8vrVy~RKIoLR zY}&t3U-FgZ3O{%<`Zoju3D9J3;(lwNf=(($*u&9g846BS2FQ_~|JK2kr3HsJ1$n)ovYN$FITD25`^@*8x3x^obegLEy?+sjx)d{a!4FJ4jcj~m1Hazh zz*B*nR}Z%`@kh1wFFo7I7FUvItd-bUPE$^0GG~@|33^Bqv#o=K@C4KZA&Bi$qKm_P z(?!rPurto7<0R#p^{7J?A6 zBA9k!m1K(MRj{5{_O%n31gX7P)`t*3<9aQ-Xwi50b_=pc?E`MK-=}9kT5lKZw+1Db zua`a|b%XUi8X+kuoM$OtKvzgV?A;0iH*frpmSViRPyOHc9PJ2L=@AeLG~DIyAT(fh zlit`c^RpEI75t84-ag$)Y+%ve$kk$On*=)wm^lK}@H2qRGcYa6>&F@~cY<03JPnY^ z&eIEk#H*UK8B(DcZL#-gxikezA55w@k(^2X`2eG|=M{-OY&Xb*_rze6X}N4He9nUd zj4@(8P+j22<${Qi4MgJE^r>4#(?&^lGQYFkD6`O9L3&@FGp+OqK}pa>BdzOpu5H&P zS(!M>zJlXz3ZE6+lv7k0AMD3sXxZz)I>%j-kC&sbswTM*{DK%kSvtBVeKiYz1H0(xVyw;xs z*~5y3dnlvTs@S#Hj4g;O`n2i+w+N{?3c1He%_v7R=O@F0;j~nc#U$vmx4;!jpQt<- zJHN;(CrKA;V=^WKm3^d*;~@wu3ns1)&N)`+Jm5PHVpTH;4?qEZ6JRgvs#emL3mj9- z1o8bBfrCGXQAVXcVdqFRUkaI-c<`;3kSLY~H9&3VnAgFC1`|4h!EKK7*o1 zdY2K+JW-iA_503OgQI*^X{aNp5VOh9$bOL(TU0 z4~aquN^3=58ob(3N(d%DPBp=q-@M_HlD=ezINlGBBRWN;5328Mq@$O9fL{KtnBuVQ z!q!w@d0(xmk>Gerc5V0T`s}e>&1B`&TYbUOMq*84!j~uAo$->b+^MoQp;g7p#c{sP zJ1;a@p!kBQc!OLt!E7BfSFO&PTQk*KZVf3Hc*xNuKRm|+-mUPb4~H~X)q;osh}fkP zysK6;C#9pU$|t&$w9CtK%=>!RAMRGMNKJ_g@418|wr7XR`>uVmc+-IHC!UF5!o@qG zpdz=kG3>AO_@5L7Qs_sKYbDGz;Za({&D~A4O*flE)A&$n#T* zali~Yds!EcbfryVlWn>jXC-6}_j4)0@F+SaN%>_A^Vxl0uvX2-$%G4S>Dtpa0+L^kr32kS>Zl-JXjGBdZjsAR(@CX3pUd`fU zyRo-c2#7ua?(~{$td=~&T^Lgm3AFw|y&Z&9LKeiGr0g4@Q4GO5E7YUi6*pP9wYe4# zPEGEiukU0xr?-tm1l5Sp1mufC;hg>o2k?5OT1xah4Gjp{Z$Z11w8}Fza5dHZC}YH0 zCxz%VAIT*wVP@Lay1<#qWt14`Ag-X(&r< zB^^^poV!Esyc}cFne(+a{$6yBfrYLvpLt9h4&=aWXjj*u2jJ@l-G*2RYS2TUm1qv+ zUOiz+Bsj-K72XUdhb%p}?t)r}@bT;{T-c60EGNuo2fhxP63kbSH+YVVf%tc3>`JNq zf=19ma-!+St5rX<~BvSY!=o=2&+W8Y_2>z1VI}Ml!Klr?~~1$tD3o; z`L`+rEmTF_I0&j4vJbMX+h>|15}ZDV-Txd(HJ$W|klPo=pU9{HQi=z*{t+T}PL9As zesl4e>b1#ThmM`D;G_YF=oyuOL>?bveaS!qOP5~p;?5Fep_Zr8tAUCKqOW&HU<1EzWqD3?1Yu# z9wuX_piu6z`x+@@HkMj4x--|*!fcMzKgK7mb%{Kwx~$8_7_6SI1QilxMY(sN2nWT` ziCLto^nuD6qYqRynzY=|k^xCz)d15yY_tUzoqYkcB-0lx#YM#u6T?bnc1#YX0~Mxz z)ckVubLYB2B~O}tOjVqoUFjW}nGJ78U!6e~5UR!F8NyQqAFxTsTw+4EmIJmBaf@WV z=+dpK;;}~tMEGsSC-aBP=xXaSY59YQfQWJvAkDBRCO$b(`%V~}Y_$PLsWrp+{xqVS z1RZW5bPI}_h3x+|>#3{sV=+44-F$-QDvZo7Nxg@D_gZb5c|~+j?k-34tWcRuy|=+* z&e4M%j-=f2xtmDLxnhoK&}xi(w>qjm_O4ETlJ8n+E1u6ZN&j_qo=$#R$rt)iBwvGy zh^0y@$0)WX)4=%{Zp6j94IF92bA}s^njOUx+N#Wa>J1PXF2Y__5&wke>2@smJ8N%M zU=hE>EA~*g_O}Hhycw82g@>sblRe9J=g%LVTfGBau4BY1WXkiQQ;?BDuiqVqx4$Teu6vq-UdC;GDA3JZ3%L@h|ND{+H2AV1p?KZTz>jyCkLvvuw1uoFY-rZD ztI9f0+$eHb?NR-0+AgLP4*;dSui@i!XC$eQ zmG4^|V^Su&o3a#FD zu`Exvfqtavzz<+|WK!x4l?R5-BDlXnY%K-9@q6^u{K4-U<{_Xj^6tdrfZ`I%fiD^U z!*+f^pN6^Cf&?B{_}mthr5`+z<67261nRV8;(oCaH`huZe707S;6F;oIR>1KTf`KS z$3_Nhs1-)$?dzu6;ljJK#P00{7H^WrD-^V!DH97f37xyGCdKJ8Gh%f)n^zRk4t$%2fF&rmi>sY_3^Jh_jH zQ@gCY_WI+w->=S8NQX59v{xu1ximEAx6j?7BoQ}A@EBgQjd^gaiOZQtSbRE`0 zq8yvC)|uq=XQ^OyM$f@o?;3M`&->{N^GtqR6Fp&y(ouN-rFuYHEzgGX8MJ7o3+$o=Jx; zb($@3Kw*tStnazVoq7vauKEMt08g*RGOy;GcPttV)ws&wOEvar90Vs{VNFdc55cK8 zm{|BNSHEMi$W|%c)TZ0%N{8Ls;f<3oZruxAqvKrhJ3bO)lC? zLl3;deGU>H2|eW~jrUU$Jwe?h5$RGOJ55wRbu^ggk}Q zk8utA*w+EnP@A`J&K}{L1;~!yL}-zL2>O8d=OebxWT$$Wgz@Uh{U{3EwhkJE0Al!r zJ>RKSuH8Dllv0h5QJ|c3a;*mT()@hWxEc|QHZb**;R#x8puuMvp;3tgGq;6O`n(bM z8OVSU)sXaV|iIdFQydF+1zOb^nHa!Tu+qoqhWtpmCfVD$Z&2#n_ zhrcgPbm$GWEo`>G6Ckq7F>>G>ewaIncbMk1j?Z-IQlz%Zd`VLu%`I5V*z(cuNNWds z^VlUHqub<0?I7cu({un~yy8U9o}}@e%0Kbt`C?ltp%j`=PqxML6Zm7ZW^x8$lX(;2lP~0zJlT5 zD$0babWZcvo3@bi{f0)0l z7=TJhHz?Ab(q~?%kNCcO@3YVM{bO$*ajpBlt{G#FF(#bxkdtkD;mwmA!Wo#Yh^9@6 zmc9a^DZj_s&ABU=3T&@`G=X;Qn)-%XMJIH&_p39vfBL-+Vl@9*#8-UM*? z$8W$1)exv30OLTra&HPcd4z6;*D*;vu^Q`utLD>H9{B#!#?~Pv!J>fCtJ^Fy;ty{l8y?j-ukxAF<%oNSs z^E@TiY^~>f>(+3irOthFp_^f1;a6PqW?B75Ko$^l4}w`4Kb%I|CR9 zT~1`WD(qqwYvPVNjN`j%^-jePTSG>mS-jHcOZj~IhK?UYim?QY7N3JaxoWE8H2u=WqLZU0C>%?2V3JLpW!G1Zmp*CwI+BPY zU{6J{Q5_4l%aE3O%Fb|~yW!nsMOUbhM1frLIY?)&crkI$tADtd&N%PVlRYeBNN%!N zLd4YxQAN;$ECmLR9@I4Ev_Zz#+q^hGEniT*$*V=j~K0=LO3qo%gB1}CHfwUFXO0sj~ z_?tQF45jqd1?ophN_gBLGbtFqu2lu$TUmC=#fEjbGxe5fioI4tpTfQkZ*UKv3z1>e z=ssg;X!vaU2T<#19^#U>4pB9?{!(N%QO?Lxr{aEGOsk7*z^)nBAbH>w$)7cVXk)SO zpkVZ{^A7iCcLJ!1!e2B_6+3J!C&ow3nnl+h8;vk1rWf{b>}4Mu7~K#s$(2| zd0=qxc}SFgFl$7{h>bwX0OZr9j*}V*Vcjxj!of#>Gxtu>^WLQ6khWDL3(LI+D7vNq z_Lzp(ouL`~M@-1c;yJ~58uLJ?H3?{cY@f7Rpx}#tNw#3JVTqUAxxS96 zE+d?XoZ(qW7dW_9WJwhMxa4~qcp6BOr^*#MC%s{b(80cZ^O~q_Zbt z&e?0;hp0Z*2Z{(&TzZU$Uj|T%9b+I#9GZhfIFx%qS2t?dXArX757p}qYgq4oHH!v2 zy}D>%=-yn<)+HXMK95H9Ib>U(^w1+OkDd|N@qy~lk`4>{ko~E(yOs7nPtmo5Ug{&S zN?{7!`grrS5P4LiXjt#spzv(6-g?a~07X<8YAF_Crx4BTng;@Nt|(r>6&kaEsXq=%1bkykf($=AhFj^6}zzyO&1?=lj>pLCraIA@oR zv%D@>DeT~(l1}Tnx!Surp|=})3(9)7wT|w@esSLTbanD1`HL5w)Y)8r3dn)hTLkM^(#E;U7Kzvo(JSnq;6$=Qr4FSr33E%)>(OJzaWi=i*=ibD}{57qz)Jjznr@kwL0I+_a-3 z1pYCp%b*Gx{1*xZ9>ChI59V#9hqJJ13`1@8$@n-}38Lu%3G3zUre<^?hW8F7dT2lL z<=s(@_>_GNH~CGz95QLkh#AXlD31cjs0PH?YojE2ufGNE_u!Mh#lSRl1?JU;6%=)% zLriBT#9ZbRhVj3GRsX8Rlwpjw4mKv76_jF&XCdLs?Yw^SLWVy_HOQR|gcxGu^(dB~ z(CfK15Rk)sw7uck=xGMG#5Zn$JTG)|)K~mKShL**l5nglgz>*4m{3X8Y`(;Z&)i$> zya1?`W&jl-8ST7z#B-QBZfD;RZ$wH%wFIEsM^LYR&n@A3 z*|gM*mTJ8`+*ZP2#_sK7GJoP4=v?D^qvX;Hpx<3=6k887c3MWekAwOk*&-{acn^vcoD@U6Zl2~uSWo^B)_$~zSJw+x|3lN1>lbm zU3d{~1*D2H$adngjyWQj9eU`cqhF*;Htf4khTPn#(Fq?DnM_!y_J#P&utS9ESuNZ_ zAYCdU=xZ4=V2v=@Vm)bhJ%BhviT3wCTG({b<$q{gx99l|Oqoz-amSmgFDR$$%cqQW zh}(3^3Ui&ZqIJziDz)Z2N>g4t8=wC*miC}jxV5+%pyTx&I4_2iJAt-mUZ%{}g1YP> z2FYbGmOc;7afPUkvTbixT@1M0%{^}_SkQ12dUZ(Xr|nq+l{eBFg2aAeK_XdKd{{OI zsA1Z<=h8neh@Y>UYWHzJLz}pK$|9p%TNhWWp_4qixPlcbX$fAk{;IS-&a#)vm_@R! zTHhfu?acxJrqt`TjcFp6O*`zXQO zK<{tqjkh5kQt^bg-z)ujS%1g+2dK&3bGvEF)04^y;XIu|^(^4_06VVyj~fiK{!T1A z@Q-j(P3#w0Uz@8NBpze9eO0wqY>XRmn&%-j*|6eTUMyJl6t{CP_5e*puelH_v(rqV z>5vk#LD>cDCo5`;^bLu&iXk*O&Y^9#u&0To&><7)d6C8Nng~l>I{N+ZAcOJLd4%jvSeWcXPRpXtYfezn1{2YvvCVfjLk5VD`MuBg z&yC5f10nwTriJP@=P5#F=OV(Y!fU8w05`BFcsqJMH%myZ8hP1jI%3=ru)jrdlu8Tr zXYj_y(Nu?p#%(uyXtw7b%RU2~5yc*F;@y9M*?9R@01IX2%A;KtH1=QwW)2L53! zdwD^=Y;X;E!opA!AFOEK)tag`lwTI5C8oHAJDG%07@ocTKIj`CiE-RDL@O+0^aJy>v9 zgctJ>;yG{O&EBNp4XfgrfRRn8f{a9ofEmO;bsRyDte5ejDJX?ZD+lIvFJ`&A1Mb}1 znotNte*+hhBb(W!^rp|oV1dN z?5yn5WYuK4*?K<)mqfNlU~V)ob}uU3<(Rl}J$?)6y;I}!u@UVEqLg86Rq#ZD`NCJy zh78fVJ+%)0jY4gNQlq|y<|TU-h8=O&h@bn`FXsU&aYDbbn}}wmN2<%dQQ`3XxAE*c z$MdSCk=?7OGn~UiDCeh`?RDn?MM9E2&o8Ud>blNyX~nbWKPFw3eC zyNk*>-?BO*y7t_tuQiF2d_coX%B>h&iode{RC2y%DaO8^$g@+TNjBX|@ML|GA45jG zgNA!+vS+?aeNbq_#oT2Lj>9qL)YZiGes=rmM%U7smzX-N+=K2$WoO>Bmf@^55B?3n z9`Z$2S>d}B=kZWm@Xs(H=t()~ByY5YIMeAk#r)hYVOFgQ^B#lmT-$D$%Dr31H_mi`nNxEDa$snCq(exjvT zKjMkFPtH~y9K0Z0S6-%1)?Im}7%_y9nP0C=2EQ+VqW?VC4BP|YEnZEmW>vfWHa@AH^_SyxPcFD3)-EJ z{gr_qH^&dvA6DuF79ug&={yEK-AxO+;4MPG;>KcrS$lx8`1(nEv;B$d2Xb+3)f$@2 zN85%RGCVX1*+&-qqo{$9L;)&x3tTI6VTkGnh4eRWOXMvS8{i&Jb(R({QmUbT9r$6c z4x*0&kNaJd!^WH_fnvU)D;uUAt!BjJPu)O(_!4^jTCUl)nn~%MifMQ$gHI|*FEovv zD{1M1Nrt*qMM;{qPwdhnbZ(EqkTw0Y!=#LF142AFnnilvTTUV)^Ban>u)Gs*%ob)L zj9dx*0xK(a)a413MfGFcy`snB-L3B|Op#BpP{a<&ym({n#Z0gzAyd4fle?mKr&pFE{ITi9#PIIys^uEiYjsWtu+gdx_au~HFu$cnc!25 z3ohzqQ_WMF3#+cZV|E-%jB>ko&T+}4)!qeYDjpZ$Yd0Vm#b;glGuxnJ@%DUKYlCs$sn z+`mCJnr41~tCg;|?t(+;Y7svr&fu3qwp4KhiqcS^74#(E1}D$wV_bDtX&(*`Xdcq& zOp)w72-aKCsw-Dg$-D$ZOn|?T=e606jm1F zet{bwECKBuh2#zzf9Bz=t42uC!$mage*)eC0Rk7}hHyRNOH;kQWR>T{BSr{&&sUy= z6=OVQJK?9n8bhqM*Tf2q0S_#>d|Dt>dpEI^-F? z8l`7L)UZ?Tb;=dn&J!g8U&i?BU|IVKpg20Z-yU%KVALeU$71o;8#cgo&A{gyGP2u! zY~7%gXS81KH6WxmszX2kXBQT3C3x+pDX^xn`eI^QN1*SJ$Olul?0^kaxgTya2Jt4F z;5pB=^cXp01vJ{6EZ!mfFj)R88%{|)H8@+?s>i%yxk9vcyS&NPaHOaKmX^!!^$J+^ z(?q%jJBlp{E1t&{8(ZDS7Rl1mbrMSvi5yKCr|atL(1`UoC1;c5@K*=&aJRLY4|1}K z-A~|GJQo95L6_Pa4|F}Tc*%8T#t%nw?+4UJfgj}ez|6w9`Z4cc#$O|aqQUgMPe5eo zJTY|`Hw|TB7E*&}T@Nl^U+jz}v-9<*A~Ln-j1Ei_-|x-b%^h?XIIW>_>2C@XTB|{Y zcHa#aG=C2#N?HQ{pC>?*4z!7Q{qhtgFDS5s7WJlL97<0Qsh#v4Szvu5X$SK*cL0IEfGVs#!@&1N-QHe`55V5X zXr`OlmrBd_pZtj0RGAK>E(>|jp`;WQS_e$JimX5iW4?^W*txwc83ZM2bKGJ}f(8`? ziJG}djY-;8OHi@}*WMa-NU&-kmPXz*27z8E2&gg(dP`>7ftKsHUhIH&HfYDW#V`0jzo9#V# zQ+8jge$PL0>_gFB&9Y*#?H|EHvKn*~Xox?>_u%>kK}5!rNuK4?=5QGJiZH*cO#Eli=f&Wq=Now>=z9VWt`4~*ysL~%06{{Yj5TRdMW zoo3t>0~OVIrGDi5rb``_-iX_Qnp_e;&S^Ni&-wNzTZd95+ZHc_$X%XQ z5g3s*OJSfuU0$2!R_hd%tSS@EAyaNKqviPCmU->4{<-w*2<>a4iOTslt6^VrHqKfM zA#@ZMZ_KUl&`8H@RN`S{+imc@3+O%6cV2GFeHD|seC?bBx|iI90!(R;`j8r?ta5bq zWzSF-JShX>dnVsq%3i(s0IxPHBARqDY-})F=Dryu7SG;7hh((qOuFFOZb!O=-7e9R zAR8=Gm@ieGdK_7+%q(jAmf-t{hZ?e0%0dj_9n`>jR!Zp1H*G$T$l0DhD);+5r=va2 zF4Xj!3qXsUPuFUTfMEZ8n#TRv>699f#eXgiYF@YoHVq1AV>$z=hKpq&g@0ctLRZHO z)@(98btD}Mf@&w(3zG%s8i1z}xyfOwhpr^XW;e;{jId?Bp`P23HH z6y15g^G|op3U21>-m3tP8q-Nl^_J-&dQkR{wiEJ-^u&6O?bneTc`(t=QOb6~w@}BM z?zT$LJJWd?R^n`#nRn*btxcDvkTYns0%gbqY$JQ`j~rxH(r6LYF@&MJ5V*QEZ(f_y z?XyT)+_=A~{k7@{G3`e4gGNHpvwJQbNwinxVS7qb;20`$;1=<@GwP%x)vsi@8dM)l zvOm_6OQh~*61Ay$@%8LxtCM71M{4e3gxTkh9j@uQOGd@IB~f#2cK41Cy57lMe10u` zCUOz1%!b-IA7R&xy9;w8oqC)hjtKTL_I>N;FQ`7$3C8WM1yIRo6*;C--$~5a=`ZoN zE)y!^D^NK`WV~op6hD@8J&82T(lXL*wz`(c;q#P6-=ogKi44ZuG%7y;`RPPci}d2z z+tiwSPXv8_g@Rz%#Xu(8vlXvs9Iyr{Cpu_yY`>q6-^3iPU-j=4skz)5li>rbbE7qP z9}J?l-NZ}B-SttiC1bH)W!8QKI9hiRNTC@eTI70qEykcqp7!Oa}FJK@;Tw#k>FikRYdy|Q=*y_E86se-QwpT8UbvR+HR>N*CmJ_C}S_{4{&kaES? zNWgQ;x~BhVRmKUaxzl5E^g8yJN_R*Q#&iQATG7ieY4y^A9_6e0N`fyEO44J>i50z< z328<(zxZpuU$KSt-vvbYMC3a2-=0qHO*H_HWh<$-eRy=?VTshWhZlG*rjku()K7x!X z)@xSpF1J=_%tJ`?{-n>z9d#d1Q-+2E!6w`B4NS^T1V#VbOG%RlaIF6^Mc1uL(jSRi z3^*H!@JS3K;Sa6|AF?O;rzgQZBYEvks;yW0YZVWQ=Rc^co_NU+zB!`AUP_~iH7K;E z5x(NTY#bU_;iPzS<`l=BLePiQ#=R5nb!()NWYqiO&%Vr9;v>cNDWEMw`eHjwAVcpG z>PsxPT~pM*Uby3M{_aak-+>!o2#N3554;zI2;2EcFdyQxvA)^~JlK=2alK*Pg$~(p z%v+r^WVxp$mk9{*k#TU?V-6=aI`cl3JZADHaqO9R7K~sz^KgL=Y+uK z+7le;l;1=^JCXrI&kitO8qcqO^ua`)R$J_P&7((C`}iBpMl&iYKGMHWU_Il%c}y$s z)A2@G<>DH!Gy0k{v`|XzscTSr zj={wMzOl>^{4d>U(o5tRyjI!ic|BkoM+ByDCP2R~{2AkgIh;+wz#$R$PnxCSD|CPi#1l_A5GWH9tJiX1> z&lc;&EAqXZwL$I|TvTO>XHgFUCudI2ZQqF-ckVKYX4S7u=H1Ez+{6)pWN~R>gJ7)^ zO!K9TyISY+!<55!JEZw|(7cUh4FjtNzUv93c>aTa0*KO1qdlOCxw>-<7+&~hQl9tXzGMffoYE!EHt0Dl09+~6p~k`d}G8iyX+znidA zH3*1wCOmjlKLZ$si}0Rb7Ww5!spI+q#kGVo?-RY41Xyy9HM|HkVz!KKr8$+j#j2W~*~W>X=t?$$F53oNLG_KCM%)Dk;vj5U}w zN(QZU8%!I`O44Dz9lye^FuM ze>S02orcpBe)ok;^sj$^3oXPQf&;4Y`%Im`2jPg_D5c;sjF6BW=?IEGzt8d;l4-(t zADdTdzs?Tl6@1HZ7UErd`}`u-`YAm*Y3`t680JbTetGFl$QaC&1$(;Ws~Wb`?f0 z9f);|s*EG2&V_3#6Ow!ezh|(Zpy*H>Bm-qM6j!po4Bp_jmpp2I36KUQ4jbnVEv9JNv~w zu_PrM{@kd&*DYQjBBbH6zPJ=YM#o(xT#K1#m3Z8{3btG>_2obfy$XTlV{&7itlq;9 zlAWzi3mFGehe|Vq8B8>z`*3B9|0r(<(BP#&%yu3;i4LHO^Va>)ZGUf?1{S)?Q~WwWSk^)> zExvPbGR(p8!m(bOSk9{a3^_>ZbI}k;#`fwfKo=FCU4Wv@ttn(P1m^L;W3G(A;(k2j z!odaIZ(m{l@FVD&Q$g0HR%ky`?rt-8H|vd%HSvLnkVNu#20|H0ofx-5@Me_Ndjk?s zP`rAO4w<=uY{IhBb8}s`b<8n#uoGqg*APov0@~hXiiS0{vj!l!do}9*>_QfE|hhMoal*Qh@^sxjqHM-F{Gy&s_J^L9M^LtnQ_c=b9v|DN>M1y|xG z=$bT}U;Y7Od|~%Ao=*=G7v9=09ZyV4grmg+sSjZAuWoO83Ii`cbADFum|yfiAPBGFCEG$F@f;@?M;N~aJ0*?d%a1D*PzdYDkB1kAS_ zfjAprsSmXLxOlz|Fd5+|v;^UH-Dpdy84}7zY%=NrHRT2bt3*(<3-tHWo_&G4$0s+z zr84bLuX|Rc`zUT2=zN&~j)}iHHzUV*l2%)z!1jB2w^Bw8fQylvUoSi&&+``F-R~!F z!AVi%tvYcZc*!c*VD+F+%uZbfkk@bH9~@zltK&G=;7FO=?tw#rwVGy z25y(5O&M;rsI#1amV&Z*0+>c^AhIz+m6x)j=sPZz1gfMc@Gv)3VRJ#0Kna+-<=yzq zz@c)i^z}Lm_i#owU$nT#+RPcFlTogdqQIw%Ow}zB;|+;{7hOBW=TAOrcL%=*tmvNB zX_pbF7mg;m>DflYD4806BJaslE*xJAHpS|`)W+))DBGM3{72ORQci)wu+}XB+NOj$ z@|eRHgF@%qtsy-tw)t0-uM^3<7k(8|0%*6`8$W}J(_hChdz5}ameqs?djd|EP15w- zG7rI}Qe~PwSy$g-IIwmg=v$VN`H~x>&*C1XZk#)4^{m_`_d#{5IgA%mU_xCxHr#gY zelEyJI}HMvZ$KPWa1veHqKBhgWlal@X@5jr=Sne?9?hvVhm4H=4=Za)fn`8rE(gAe zC7M1lI}bdlT}hJ+EOKZ0+~jig(L&=x8l{`ye9{4a2-NQ-bk4dJK7An5oa=nN+ug!e z!tz>l@L19FB}{v(#3|deF)dDD=Jx1b$e(JS{j}HA)RSj1gEHG98J6_|%n3ey@s82j zI-q|}Ye+J5*Ms1HZ}mIO{WF(C6S~`FUg{yeU|?kd{^M$p36w|xfw!x`J`|oQ@TUOr zXL{UXP7Hr3Xw4fz0d5YSP`kQ_(-%wdF|-K@*h<)3C=_#S-*6cpqqC|J$h{)?9{<>L z-Pb}th=jw?R5mku2V;I-te>n0Xd+u+mZnwa;U49MZweYWXS0S_IiK4?HlEn z$Mi7hC9^>=(FpF`bf#UsZgUFaVDGhOuynsKiR`;X_;%P@%&^ldnRS|HDR9e6mk+b; zy+|L|7wk(Z*+zOw8TV91kM_3oGxb^uac1Fc6r^FEV2LueJH+T(qX)3rtOH)_oEy!o zJA5edbZV#Li+yIsglhmVCyL7e>-r_g`T^bZLnO|1VxYb|dmY?&Z zRqAL@6JL4&!|B#c!^EK1HdiWc_QO@IM{fw%6-zju<(Nr@bY&iY7x?Az2|G zd>M=^2K*o~iXNA@-}5j3-ku{k>vH(W;X+jJpMo5z1ExSJAW-(!L07wIWi&aGJY(h+ z_|ggiA0DRWYNcY`_=LI6YT+Az#?U5EVtDs~H#y{$W4+G0c>airkX>M1{g9n>2t)yg zdk1yPBCmjj0kz9BrZ2F+#X~xuJOxt>9PxX(7M(4;1$JG~{zodIZ9^Opt#JyB@udR_ ziO6jm^@#m#oc%!LD~kRCt!+U)s*~Z4wl-wD7}PHD!IB`oMI~d23iZ z(E{WIxA&HmxLeFLhxBM{;DF}2u~=o^Kl6%mHgYsD?)(5{&QU=y#Jpu}d~ zy3n^H)$BcSeDWSCvv9eB(lMdImCg#}EKN{Cd`z=$zlb$1`w5dCkdL{lXSU^vUsda` z`jzkqZfY4E9oSNo!aPxx~y}&F#2k+{vTg1PyL?h(x%bihrV~tq`?ez00 z7UQ`j8Isk7v8TMxtiab-(ONr<|N3lmJ}7w`2diPIduWZ@c>m?x^xJT6Jz>^slsyTU zY*f8R8+qhdYkEFXM1ax2gDK4y<{1kTRQp8ussh?H>@35jD=qa^BQd#dpsJVm4)eJE zeiO2iwU8hOv8;LJikq2T z>VJ@R{ty(fI3m2;a0B+{#m-<25p9@LT0nhF`r)X)V!tnNZ|73ZFs_M#;~TGrVmMxI z!z=*s3hjX^6CV`kF`R3Vdlm3*gFUgC3}c7+DiC3`;4OdA^&IyiXB29Kwsp*0!~Q4? z)$`%IHswf*gkOjgqB+xE&b8nD${^4Zg9VWLNFg=Vuuvf6-Rm7GVxZ~w>sJzq?SSx< zIb|^0Q7i$ZRJ1?^mUNC#;(Gr)FCJvCeD-eC=1SPJ$ z-!;~p{M6_vys5L;{;9VhrnYHG?Y_;z^OBg5oj%nU{_W=0n`2!_7b^stC5_gbQjPDf zL9wV+cKj3R~ z%y9}Sm4TF71soJQeVU)43NZ#}H(`rsK=&{zy*8f>F)6-_roeS+3p+aU=~w}*6n;yp zq@tuh^5xas`bnMDOzL8M%z~XoA*^@1PzpXlZ<8gBL%+gA!vbPSRF?j5?T76?Ey(s3xuI$jm+4gjB$XmL3Vfp6ablUgby(d4XtDw6ptWl zzyJA#u#=dVE+lt-I>1qds0bWa6wIz$$_;_d=SM{mt=W|)_oe`5Kz*WPpEt3~f$OFc z54NZ5ryXrK zA#ZkoueQ17lFBml8WW(Xbf{P_mRW|aNBZEjF28S@PPq-HJPXi`L*qSwX$03jBm)By z&5b7=`lkO@zRZic>esdDbECHTGhfWLOwd|-Z>zk3OM z^s~{W1nP6d+(U8zhKx|9$d?L^6A0f_18(T}KDVb)Tcwa!=|J8+$rWRxdnT6eo({~k zJ}UuJq^NJ{Hp&^-fdv|2GtHG)aoj->?&R#C4ltlo<-_Ir*q z6sKQxu3u2QxI+vn68`652vNodPEx`w?n7Av9Rp^ds zC7m_1rIeTtX@(*2t21#e)TEqik)jCD6;wzyLVmW66{H+(Y=KN)Rgwb7(G0xyd7;k_ zA*-GUzBtX*E@)US05K!oOmpbi!baDE;W{%n_R`E#9nL4_92v?iNM9Ijt2Bl{@I9sb zXoNB)&gQ7}M(G1(tG)JgziW>m&hjOvgZQ@p!)W*t9EKpT2&98%4S5U!_y)+vj}TCC zqOF`{n$K4L6u{?`cIa7iB_6DE_=S37r76xpFJu`uPtyg%>kt7NS+|y`lPjvL;hKxIp!kmy}fys zwSRAY_*E*hUSZWaYoK&IHM|X&_2+uvJK+@d3p$JCqzo{ty0@1u`KidBZ7j{Cn#MRJ<6eB1@;0lj0u-t-BvyW z=Q>5kALBjN4x8}+(dF06h>pHZBcGt)3)4(cFc-2%JmkSC5uYJ90U~f7(sj0gH}p}x z(&FqRv(SND91irM28?cC$oBU5Gt}ZTDv5Y*xUGKMzd0;&Oe2Fe#P_{Eplx7=CVo_> zFlWl{#iyblrv)|z-(!jnMbryR5&tVutRc1!T7x{W2@xB+ra6VtqB$EcMj^Fkt*2`E zGd>nH!VswnH4MMuxOAKIlAL)@dgCwdM^XA1*n81@qSwngogA3?=%{*aE;+b1#A@`a zp6!R-Fke$XoC#Yktisz#Bw`Ra8}sL?4$$|l7Jb6}RZrlI3FoI{LF_0ILyf@wD%rcY z7i)|WlMahJ1it$k56?uKoxu^HAAN|UFZ`)!IF;~9`&4knDqFV&vID!eK9Ir};ZTaP znbn<~yJK~CCxu8kR2_W;^UOAHcbC@LiSxAtBAzig(>%=Mv7k|5{R#kKq=Iip(B8v1 zCGsOLZJ;Y$1drlQ;OaHzrS2SUO}7FCf|JY$1qc?4?Q~s6*u}xgXV2(sU~5hQ1eOD> z{c2JXCq)fh6|kYhFd)LDLbPOeYrtq5(`#Tzjf1R$;vJpiWOAm)Y6q1z^!G{=c6}WLxbqha$0AndLM}P3CJr}Yt1zAik^e6?23h6&z6mey(dt`F%DiF~+ z!T)%_@rKb?!3&5)xfNTnJfzd>-P#PtR{kU<_>*@iI(-#M(x%?}+<5+<;4N`JfGG8( z%3vt=&*geTJ{s_w3jl=*uLvzZzryd|eTyE!6y|`2);7{0nH6-$B~TL|whDcL-%f*d zf&lz1s;~|EuRDR0NBAb8Q?-%7JmuA!%qer8yJ2JwexL(6=?cjme6Ce&fdhB1Jo2j0 zV21`a64_5Fc7nT12J|l+=^nYM3K4~tgj6hcpN^{mLgi+616utS@CDE+v^VLgqZvq; zt{mvAFn?|x)}h2Ch~x9%Hhc}+B(yTG%9Vffa}p`o1MW!RiZOn| zs6Y zKGGgkL)~I>SPDQ3@d*1Z5Dxl!5>7)dz=LkV$T8y- zg*g3|Q{4a4bN#>k$JqDqZ+zOzPP_F&4Icn#az$XTJ*=+5T!iaiksZhWR{;N)Pw>C` zj(^_GZRA(|&PJu+@Yb)!$As+!Yr+En4RAlGtd;vGk(1E)U=uIDn$4XBb{ehBM}fZq z?LV33Km3HPriLuUZuP0)>h|wZ#p4Y%tp=dJ)MBbdjuwr-m!DRtLgi)WFfa_uPfwv8 z4}11={P{qveMidaBc3t+j-3Awhn^;CM{n=3lV+NK@9^y+fT5-#aCOfAxCAJH!ap0F z|1(nJ6%W5%8l~7ru>7(=316E=}Z{psI8bLNMtR_5; z#yvLMe_IT}4*2v_l*y)3%zQ*1voA=wa02FL3gY_`9HKfk<68l6Jx`eFWm z^9#_eK18wxqt+ZqkB8Q@-~`G4muF`OzCULMER@$Oamg(K;}OK&>IAeHH9F1`sG=1# zZ>vYc8&ruzI(C@EN$I|pAkU{NQc(W=EdZiMW^=HkO~e(j-QYC_+)hET@Fa7Vo0uiv9?#EKuX5^H3FEoz)>%Smj zZ*53aeClWJc>w+55InPkGd6!cGMbEXpdwl<&wht%CIEV=u!*jM6H37tu5oZ|LpUs# zTFTyGZHm+77y5t)lb@zj)B&CD9tvkzf|df-DdqovGab7koQple1KLRKpoC1rxOZ26 zk~;u?4iE-E{gq6BGeAf!2_sQQ*eBl(^}|6YWUej*CM{;oNsk}%=!n_6t0-j=^3y8) zfS}k8MItH@9AS;yBXgBBmcQ=wpY!xz{$z{b^9UA6F?6R``+zpZrVKKU^Dp6pvfH*5 z7;ihRS(<%d!V=hRT}hs2-CqH|(=aI(0)I{MKChkpy27+in7ri)Xe>MPK7$I+5VmY( z!qS*Fn8RG4U{(LC(ENR-wqLma{QtdE>_g*13@z6oZFP9L|9#lpN+|2&0D{PJ`+K<| z$4az`-W|XnlT@(gu>ctAlenU{72uKQU3FomInm=f1-C2dmBf>s5GI56D7{8RM%dST zmqkL%D(e2e69Eu#82-ae-4@M$AMD*Ipq#=g{^@VfJK|?8FS$ZQm57htBWp5B%}M>Z z6L4-cAYjlv5t#V%s~!p9(KYzqeO*BcJRMkBvXBi%)P+&nFnof58XgJDmK;AF|A5A* zv&{M6N&;r~zKAsxrxR>_iO_U})}<`GWzlT_PayG;m!ad(L*;`@bJzK&Jmehz;bA<2KCVnlhB)fu z_F;y1%AUR+?&JX9+O2PBh+GGDZMhB%M8WAR5WX>w+>3r5WL9AUjZ;ffiWm+R<4)Kj zqO+4Qw|y-x+%gM3sFpv5)=j+_D6mCf=Y`@-+R!eRlWnl-%Lq{ybvTF*uzEw^h9)i4 zIs0Ie0ha8)-wT{O>?I6PP&p7v$+31!4XM~0*Ds(^0Td3@$eQ&AM1iL zG@}gxWIEAkj*bA{TYzhzT8WFpChdD>#LEo_ z(G+ZBUz8XK-w>Mr);4b_C;6RT3}1bMEu6z#LWM4#QJ^XJR>u#{rir3x)=r;P}4VUTmOg zrkI0%-TM0KO859zzdlV_Xj2gU;b9C;WJvNrmT6#lw0O9U$Rd0DNWuAGQPbRLn-! zmw9>?!3KJHX`f5CWia6SWeD^4kLn6=oh$(DQG+g?Q^A@Czmzb`-8XxRtRK7mwQvgI z`x(eF)_kSGr&l}Bspm5wIUp*%c;iDFBBNwwxAHix{(0retoARXBa0#iEezF|6nX(EM&_ z>a&F-ovl-lCnHGDU=8|38vijo-}V)^zk2xYa97ZldqXMk0Izsw;u*aW`4gVU@*q}b z@Qc&YyRob&<31ow{Odf)V9<(dm-%6X3r~3z5~Rc54Z#vMcylCIvR6$I1;k;ptG&t6 ztzaAeW0WJoC0nk*+9@n@!gTwiSDJ;OeMe}pfCZm^V)R912^3n4V)hBhmLxY8R_JL# zN=b!r@>XmH+#f7WZJj@$`QQAjW{9e7U|MA{*;SC|zUtUo$iL2a$5>rg#As-xD+1O% zWP=R~xQ=RygvR^j!(h+}vO+BZJ1)aI!sO?=(SL&EUtjXq$-&)(hoN!u!{cOByq`yp zgWY+)z>(m52Kw>lbbYj+^}dOID5)Y9ra-!NQKp2L z$=XX|b8W5#in=>_XRedHCN*3oGCEDZ{ezrGF}&gZ$yV9ESRMDAZ2DuGhTz$f4;kyQ zic0nk1x9hl6lz5cute{@ix#xi7S;jQ8%6>>xaZdnZ!2Ux5E{I)4Ap?R|n5_8c8_)ram&w%)^%q8|w;C7=EV7txX0 zjWPbV4C%!J$a175#<0KiGqCF6PN=e$pi)u?oC-<9n{r3o!Qn;Glaqud2b*VQ%`-d} z@3HMMQdLQA-HUT+f#q^VE7L|(u!97y(sdxn$|sx}6976+7EHkclGdOs$zGlt5+6GW z3HoBf3%DwIAW#4hSvR3jG|`&y{3ruvs@h+?u1oXdVgb4xpLYMd*s%QtY`y>6@mQ!8 zEg+nc(&xhx);~?J9E1Wpo2FsdeR2w(1Rp;e?e?dMCGm!x&An*9LgRkAuOHzgn1cZW zSmqQ^<;F?LO@RB2L)_;{5JzN1T33+P?iYe8AacX->A}UxZnJ2QmBb@Irc?8K_$^p< zb4^uy9zd&`4R$~x7O-BS1MukSdW-c|&-JGW8FzL7c}{!W=PbA-pI3z!&P<>U6T0pT zs;Fb3et)wZkGKuMup#rwGN2izf+_)leUDn#0J3X`k>mtSUmZuAaud<+E>XR2@n&KJ zA+m0r?r^YLa?RAWvJB7lh{7lrMcl(pGe8sQ0NSi2qGTatvQFh&3sf}_0q;hFO|@g2 zLEsg@Ax?Jo0;RaoN~aR21m>Vg{6xl4-xhK6>n%n7S3bv&0&{Fc%zpM)7kf70gN1B% zbU@ep);eb<-`^*2>#-8hvhF&Xpz(DEmL<+1%Hc$WUG2G>L4GZ~s5k@X>T}Lz(P?u2 zG(DgOsAW*H4TIf{ChJF#~qf22Ha<+&P8J+6)}_RvT< zV3g0bP)J2v2ub@rb;&uqE-oC&;VT&KfX$zl;CTL-Y;GflZJM7js?C9kq&P2CL-J*RI%Me`K*4M}dd3#*A4Y;ZFhz^Z(^GgZkO{;ZL@RJ3 zJX@#}$iRl(U1u?8$yQ#sA3+aY`SE-PwrM4_T+xSbPj7kBT>6u zHSp_g+*U)gsZ^=dZI}`yH2|7IoVSvjQWt6vEf3Z#fWrYVTub-kHdNa(v^U`opHSg; zv|$d`&*j0I`$J5~mNOiZLo>{VGMo$|ajfM~=t8+)X%1f^CR`QUhi2Z$+gB%09or8) ztSJI^*yYs#<=#k9DWK9Fh)xQM+srn%ZfFy9KqI&a-V`foXNbWAxB>Y;z?0OhnM0DJ zL=9kDX2GD2$aEF917^c6zdCyfE|~pigZoECz^;7{r_O05a;f$8L%SC#`RJII(6qxa z0X}9YIWzJ0Z;pLJg5Cftyn#v7q8M4ovH8!)Q8LtfT8^2%(X#Qxo`%k50;alTIftp^ zENXA@pl9BYUSC4g6H0cz#j~Vca*2dA5ZpdMEA+Sc9{?OE(G=kr&W z90CCN0dqA@^=mi-X*3lW22zF3kl6|GaXz1On);FI6}4Y`ZxmtkcNT@e^92yuV`B}{nPL~$9=C2sKzU=zTe z+Ef7AOsK1FaYPxzEj{5sViFo8q*n0QEUn-@4Nt;mGP%Z#-1?XIEbcbCt*PW|;E$bT zCLwkMXuXGt)4$S<3xnf=vhjE5UG^v{dwn~{5ow4l1~zo?ZX=l_u%Fv=SI~t_QcE>` zs^fU2;`ppm^!z)|eTzCgwCB%_+URHmRdN}|)WDPi|8gg32nZy~ldFx+x>kN{~r zA`$u((z+l!RgSfAp~IXhq2w47JqD(~O7Y$3h!FwF(ON3qOU9qhG*WhKUl^yRY`rW$ z!VX|%I&PwDbHfn`7M-AMGz5iw-6`s(OJ4ZxEPK?Ip4PhBN>{I>3wI8&;Q4QS;IDZA zWVD8F+5}m8eW)m6zwo3DCZt2lOR?r)S&ad-D%i<|(#hUoAcF-|XS7r%xvcFMj&XwB}S$bJME}^r+(-2Lc+U zuBg$kZ2j26KS@>x;H)=&+M>Cgwa%% zI;78WW=5BQe=>eZCyE}fYh6OY!^22)lCxWaG1zCd&}nLtK{4U36!eu@Fs}{kd~HU( z1}ac}WHt@<3Ws~Y3tDtEq0QmRKnJY3aFK#jUQ)x`!*jK>HwEO$wQ;LIVkJ2rSwZ~? z9QEgZ(MN*Pp|W(w3&1d_8215>E}Zw}#c)N?5s(#)#D$wf+*n=-=Q&#ryMhWH0{N*I zvgfEDH5>+^{tp=UVi2fUz?Svp_pxvd^AKtT<}`NqPBvY1Rsnu!3=5%RDVn;9SF?yI zeRBtYUYC1;tq!jVX%4GD1dt$mX5q|Vf~WGbEz*706sNiDl6Yo@i+{c_5Xv-jj19oi zF_YPKJk!~Gm~eLhE!l*6eR0LA6cFx<`J5M!s2_|lPH+tCExoH=vhYZ6OPwk-ViL7A z)TJ%t*Z{mKQpr8_T!It=vpmuyhso|kg0`;Ms3dTuIfaecFC~$KrKBV2u<{o%J|;_f zB8XShX)kDr#ySFeXX>AO3w5SzWFDBJxtrcXm1Fs^s|S2$BBren>P?+x3sv8;MOOX& zMmHb>r$-Q9Huf-E`?epmJ6zeN&v!6C?VUwQRq8iOvl}ySL@;7EL9dMj2eo4N2W`gj zD4$o(2J2B{#Dzm!aq}*bke(aWQr6%@*RFnoqVDOm?u$ShvsJvFAvk;$8uJXTDG+*uc2t+b6{r--3`uu0*0R`Qz*z+xO)T)Xc&Hx!YvLz~+8m~}0i zL-+-pxIi0rscEidu`EHHHGolZtU}#Os2nYoB>w(&@AAkAJb#ZzYs}P!y3T|IqXsvD z*p>tQ#Z@zbx0lK`R+8t0ow~E%(o0d|$Qrb7N%?zK^eMA~gQzKF=u}{2e=bn}%|pSy zLEHwP>{mCocVyV|^m0URv#NVisu%N*Uso`i%6v^|Fak9mH-rg88LnSA%g=l6h0j|u z|1euxyufhmbTs6)_oN)!P}<5or5!*8q!{C~HFLghNDX)QOZC9!z>uj92*u~)(?ERf zVj73xd^7|-O61~^%a}y)p9?>7r##hoF2&~nt=a?Axnd!FB%&jo4d(4APQ8mMY_&f+ zf{zI#XS@NdP2qMIS__{i25xPqW;17>fvZF|o2cqchnFKvD#RGI0O+J17K2La$Bpv- zZ52Cf$npQf*n5C;y}$qC2=Oi>y(P&^A=%kmvRBC76j|AOj}Vy^vLz$3rR=0cW@a|Y z9@(4!{py_a`E)+l_kUf#>vGQZKAjTYujli=@5j3R;b+2+Ns7!jR_}ZqZlS_e`ShTl zOHSWGVspI6yBe52KViL16@OTL zoAXA~Uk8Dlp}}EQ5p8_b@ZNb2rlNA_M+d>BIx(!g(}l|ExrL8@o4|Tj8PiZF@S+fe zsgZG>oBQ2e!>01{3w=k`pK11}JlCc^bz~n-XL|ZUH{rCnJJ{q+mCehU$?YzpIG}Ar@6fWK@TvgXuXr>jJ5=ox%9Dn}C^nAG?^kSrr zq9SuOs_Dmw>T!`cf)4c3xz~P;RKgy7Nr&L* zYjBD;ruN>9afZnPy4bW;EgPRiLD#~TtNME|f*}FMN*9g2?i7E7Cba<8>iQQ3`ZYRD z{nwg=oJvlXbYj<0bLPzlFnIXqYpQs`*12T62Efp!Q>bswNgQbbN8=bpdhMMY0SKgq z@x27VXX6#3=??EYu`M_?I*z=$j&rGD>U7pS46+C&@DHcHVPiBP5I97@75Q4;iWE{q zD;_AQ;hZcELJFKf#v@d1IBCkui6W4jUil^T4d2#^FmC}_d!Fq442(n5R;!OFZ0-7$ z18_ASEx5giE9cpSaZAuq7L(EV@p#D^icx5->3j-CG!#FMdmAnOG{&>pnqnEBZ+)O{ zS=8}SD10}CV(`jdEm#(NQPi&#Sw^wlwoiFh?Vx%GT<*=y=c1 zo(jrMZ20i-K5XHl9A^Lad`i`IJ`QnrhKYV&nZ=jDi$MN*ZEKV8EY`UAB$ z4PS@!?s7n|c$DgjJSkiyN;yEO~PB2Z;^y-|q?%PP&K_AH0 z)>zruXza)bq=%F3qHrDA{(&VpqB33EUgB1!dmef3Lrgp8DDNFkX1G7?luQKL3~PM(If{DqkgS3cPhm&8suQG;)H+G4~zFr`cv>`(il^{(y{vG!6;c^ zyk+>|0!mf=-HWv1FAXV5jsg3Ks??l-&f3n*>%5L~Y>_5=l5RsQGX5iL?1dq<;Q^a+ zQ3`-++F-CrHvQP4Sm`J6hMZ}dO@Yt2;0KhsRH$Lzsg7Jdn8DDNRv-ilfWO~{_V~p< zczAR^xx^Y@$O3afEfqiks*I~vB_j71b`;jR4=Of22IU2A75&!kYE!^^DV^5qBQu#; zZc+R*313!EqXhd_zXGirRC|_L7Bje+dRzLy_gU-kH)YpBwv+EX;=kIOI_ zG%)kMhFiE}HW#rlrX6oGjQct=w=pY`n&<&z+qfjxUw&K6cryb}u&4%Ww&$o;P%aA8 za?9A?7bgSOUeOFkd>fy#V+Ckh>W=ZM&O=Y=;Zhoor@5~S=Su7p{Jr|=&tdvEsGbfW z7!JA)%$v}kmQ8uI=#e2$rx*uf%Sa$%j|a*63f#S%Tz~%2^kN(bFr7g#T6X&;swwN9)w#+uGYUrPpF#NHI1N2SL1DrbPVA6IkqGiV$@$fqX`Gy_UZ@AYfE?>xH%D zOE65iyipUkMqRb~Ui#{{_mcxvqiN_wv_wjiOUxU*9gKh48i3Pfz_<~&)d0iREuu4X zgC#G|v%e~?h9R~UL2?-id_jA)w_g-3VRK;>@RU}+*kW2cOBGB@ZnfOI0pY{TBX%MhkJt` z7I$C)HFj0>yFh2f(ym)nQv<aK$cSUR#{-4-kgQ|!X|~Z-l)C2 zbvcqi*S9o3ZTAMHqLeReDwN{SJL_L?&dzi9`LPJ37YbRyE!@A^^X7_i;B@JJCY?(p zVuYZ|nDOa9<#V*9Ia?>SL%4Q(hY-GOH~*T{5rb2fx@p?Zh7#g5x;Ql}HnufuQnTD> z&o5$cx6^$7r0@T1ii~kKkFuv%A0jK(lmwT{i|;y6{Z&YABMPmU^&UQ;&7T1*bo;7u z^&d_1Q$2q@6l2X+zi!HtA8S_?5)9TYd?8UzsX=_5TBjWIH7wRDjQhwEtxQWa)Rte2 zUw@k-2sX3^1hp><3@E=d@S+&HSt#}q{G(TIQeI0(!fLYFCQdVuI8Ye# zEPCRw>~lCJoglWdQFiL4K+`|^GqH3td_><3V_Buwjtfep3e*>GAS%z@UvZ5SL0e(z zaRWXnvinT9`*6hYLEsile5Rmh(XaDBN4vpRyFrLRSQ#QpDF#26C)o5Ab|hQGm3&Sm zL#>$-%sO~D>PiKJSK&au%6k9;$LFOT(&Mr6&~LN5NQ%Ic%;|D;=`@bwH8PysQAlc5 z`VtT@KJs|w>rY;nC9MIP0#N-Ag62#3sJ8!_dQKxo;8WkDMMI^~K?rDzcGamm&Cx^% zij-O|<(kH(k^KxKew=^@sj0vbf%;%8$gYaUdq;rhZvD+1v{#N8XJxuT@DCR4(k6Us zr=(%jlFFco!SD$yArq`vL%rNea> zuW)#`IkHcv>mXu(zCQ|LnT8+%Q+WKP6T!Z4&cJQ2ZqKC8*ZMBbxu!^9agRZeg)HBA zr)S*2zO&bDSny-FAPOF;joOo3@1xZI#k(1=TCR>(n}coB6d_*#yBGeR`1sj7?!vl|2`6bJpO+*H0d;r(;>Pf zDqm`T_ibP9AuOT$=A0k|9wIE^O-hD8!1id>1{>2{o_nfoGo5Lx*0zeQNB|)`2kcg% zHCVglVIU>7HC?LQKo-)Jk$Zqe89yfr_1baxGS!UyqA_m{gQ4!VQz*6IOCE_;HfYux zM1UA{I7R;HE&{y+*bGDFkEJnn)^2AQqlOTj!jNY_82SV;jbXU(vm!gfMpxnZ<&}c} zjn8-+D(Z&w9twj3nmFNur84|xXr1SkLi;m9s1~%9t7zDQZ_U>u>1a#g{nlv7LBQ3$ zCQ+zFsG`Z{#F~Q#);N_+Vl4dVJD6uc>X-&GX@l|O;MPs%#;v5`+j9mBsI$l+xOkN8 z68b5o85gV72?UEYR4|4xK-0x%VIfi>~Jx zqOVxJtFs~RQ=}^Mvhk|gGf*|z621LJ5s@o0FD$;HL+b>MrR>~&@gn1FPs87?_MNQh z^j0(Z_8(9}hVkPReA2oPG7U})Xk!dkiBLOg>=9{eQCreSD!M4{2(}mUIt=}b18;5s z0}G;%q+c(Yi)y~%N6YP`QVBkQ60YQC=^$fV)s+li*uK6eWNz!i&D4YY(g$eGj@8QP z0l!AttxJ$EFAgaXi=FZkVv`jtL=_v0ciXFdj_$qMXxn;wlyefqdB%|hGuXJ7@3j9C zncYFnGiIWg$0YReM_0}lhCs{b7%s}(TJvovNMb8)^@r2L+|CGbs^a(+xlMPCw0x&1 z3PbeeBBK?~d#zdd0clrMvaoE&*?yI6YhKW}s{>zxg{ZtXKgicv64l1ig>KSMhE7a98-e+)KwW;$Zx zJ_!Abm#nJN2kCh!zB?4TtE5!f$JxX5ZyNHDgd@1)Mg5MOv>dQ_mInrs;n_Y_jW>u*ON|Ql^dMQVmePO2V8?zZ_!8kCt%OK$GBnygX zoxU>$ld)2x-nT)f!*358vI5%BsF=$lNH~rbOx0e>fqw@+rLc43SV%E})~oJ(TW&)m zl1OHE7qWc{^_{wJ?*+^UV2^ydJ)iF0S}MT*PS6Hvco*wMqG5&8|`5Hpbd{SHH^o{8wUo96pJT>ZPN!sa4>8@ zdC*~Xvo6ash506UOW7*GN6tJcR4YYVMZP%%@bMKj_MEZ&Df!pj;+5{X13ii6sq;zd ztBa_cytE5Jn*Is*>yXixtD7VGd6Ey@yBMU;Nl8##r_*BI%fTG423ea`fZ zSLC7hTHq58pR(1G&L)5Ge@Mg#AcBs{PTlX@JF;vQjN%U8c}WuIVc%0X<;xCcoO26h zcfHoC#>2kpR(js_=0S#vQzuXOuM%0j}O;quTUILxBMnJY{g-;_Aogu2mTX>jRxMFu?AE^kVEY zaBHte5^c}~4YpLx_76T_g)6Z`le&gbHGK@Kyp{L<=GxJaO8R$TRM&B;UY+sWTnG#x zgG`?5N&JrY8)<<>3;{fladI3QBJK+)?R;=`(L}L3su8%s(}8cH?yW4cmsJ6oOBt*4 z{RU2UgMJc~&Pi~3hLMdAK)B(X?ZQL&ef)Tv*Zhf$Gvi+gzJ70G*7ZU4CN~f ze)fHapvWIJm9TwEXfhf>4jsFekE8~?`?bxlUQSy%;_Kr+1`q9L9;0c)W zL8g}4=&jSs6`FJ9D|w{R2&RyJ*Fgv?n03M>D$aKKO_!i-;NQ=kY5*mEE|={N?~A5OU)9Bo2MMN(dGi=dgTEIjiy5ag zHGlZ;IRS5oIA#&M_h>${ZpL4C9VMhtDXU}r0P^mdtk&QkP(Br2htB)e5J)J%Xeb&mrisJ|@px=nFkrLR zNp5YR8s*6h_<`&Pt+b(pp{lSLEqMcr7wco+f@8$U4A~Wyh-LM>9T~OXMW@V4i|$4w zS>Fnhb%uUP!?m>?5X5tBN7?Ekiuf*{0SGRZihPgqH|mK5mx_aqk%!YpaBd`GYuJWB z?t>R(&=mt@i1V`&pd`LUO#~3*+krvUr5~?WZm=Q^ly*ZPWlI^b_PR6o%3#n;aRJLUYbJx|rMZJ0}5fD%!7EPw;ZJ-A$kxZ;jyJ+?g5#j^qwnXxFVsszY za!-B(mBUJnFG3pz*zK!97*@BLAig4J(S8NEnU>n$fpaIUY zdZ!M1o;PFjz3*GwmfSMP?biK36?7B2K ziOm7d(lY$Jx3|>p*&6#VY=Db)DfeZh!U( zHG+jgR%<8m*=IguGZe8CMRy@4AO<^DsK{EfgB8?8J0$J?u)IcKL%7WuyY5#s1GYEO zdXK`L4y!G;Hi1lCx7QL@K%U)SgmuACO}aZ@g$R7MS-Fi*WS?L3aJ(+NcpM-=>=jdc zLdK=;tQSld+3U{g9}?+qZJl7dJodl>l^YH}wf_>@u6hT5qw?);evkRo;H>(Qp?96e zV9{eASBQkOcY*%s#meyJc#JgFJhSxxbNOJ@5~9vS%}27?rmbaS&s6o1^{b|l0jFq% z=vb0F5B3X+7B#!g`HooD;3w_MlRtkF<(=B;B$lyX;=HJlLsV3MT!mB_1f!`st)^+(U4@4KjHL#g3@uoWW342;!Z^rb1^!o0CkJ<+(9rLcE z)W<`2i+?~skp*HH-4ltiEnINUJQy^MN1JIlZb z@s6Lu#ZnZC^2{tAkHZ_{`8#MqMHM-GvXt(HkUaTcoU;@G?5!^m?Eh9@N8`iD$dw@j zR`X~_=wW6?qPva%_}vZ$4OVIne=EEYK`45T+p_F&BSDAIhQh4;@xF;CVqdA4e=B~A zBFw;R?Y4QKSTBqaC#Xk9Q{ID$3oSn`+%FS>2f|!*_Fu{}Ex2Oz0lmb}k;dm&y*)(i z({eSQZ}Ln@EHH@16i60fExby_=cS%Z%}grWL-=lyutQ14f~{A2`=C zxGlNvhQ{dTniQmYus~$jC-PgtkJMoq!^`*yo}J8^%6kHXuioLJ;bfHy54|irD)CCJ zSsQt`Y)(;ZWGdA>@bDfsdjHuJAGU*h!?G~Hb?z9LvmU5rGyK^0{YML+Py!mDhPMyL zS12UXMA2{aJ2mpKT)8Oe;hU(Tm!>j zuzqldWK#_1dy@oT?PoBH3yF?XOo*^BmecT&|C=?w;EE(}p^dy@DZ@%?8b>;JG~ zjFt#j>w#@SjT|hR9;ElzdAbiT8IayT%s4adkDdlC=>Ja$u-CxW-vb+J(i8>(k}PS}#9MpDwrr-#Ck%N33^j!<%krCm z$EA$~95wo^iL_qZ+OWM0! zG>me$c5X2g8rF|NDp9nG^y|8Jqdmd+S)mWRzG@Q*s)UL;;CmR(UyvApNkt7~>W(xe zX-ns#5BKQ4f8$3ax4G+Wn%K?ChBicO3-ltLzI0a{DJ$sbGGj~BiG+CgJ zs74AyMnFvr*27;xX%CdH7q1cd?%wJu#1R;?vAy|lYy^KqolmNZ#L4#af~#)e<0`6| z?YbD-F=_3C?+BDsYxE%ihv|DcYc7ArPNF&yC%z^1Pq#|@aDmgc{cC9JOqy?uKYfp9 zeV4{hjw_M1BHO^s2#7PoQH?~BKW!3}UhiBBPKdb3(dfSXiW#Ndy>FW>!N5h@M6&3b zy~tdM8Ju#7(xg`Tbt?NvrBMC%vBRklC$)4j1jzF*R%ODv%^Dr%C*i%ld7l0Gib>MV z2UFPDDNf&H3o|AX31h#$h5skgy}AecqOyrTEG|Vaat^@I4`PW2M zXsGqgfV%}r*@w%rw+kbNwVO2re$NOx2VAnD2&?+X*`w| zSAvei+46WO&Z{KIP~D63@KOY9{=CY?2m6lyauWxkf1wgoX>_BggeCedw?WXoXZYFDT4qq}*qgj2=7Pp8*Z;{v?6B}IY^78<0nhUFi~;dgW->MdYecM@d!;S# z)W}@pqiB%beoDLr{d#*okN#XB)&yEI*C_8**I+i?vgrjp(OOOBDm zEc`8G;((A&3DghyYco&N&e(a{+)ktrpS@oYWng>>HG`)+vabqeP!QNP7r?h#*e9ib z5R)^LJG8C)T0L(HJ7w<7H;6+n1w+ewT-?1kY;E>9Yjraf7s$-B6^8Z3OZX6QzjDFrHRbNsO?CBdNev0L!h$0(%i$~6RXAtPY6(Sq7ooB z%oIuPTIS9d`vS_#uIrkG$=`JReX4ePeD8#dm@XLHNy9(F?s-3|Ht%(G0E%8!m*!;y zy@5U^ED@u zOsM$29i7;L5Y;}!A7KA~`2*nL22cAOL`{NIS2W{bUpMLhb%@56z(dO0-qa4_oU(I) zx6KA_Ht17p5tGhO=xm&ME?WKY_Ue`zXo+Lc1-1Fc@pmh=dLBZ!y6C#dI^sNMNS`KH zszLr&*yB6AdCit*e&79@MciPd{BN7*-*$$PxDd>R+weSGQ%_}`VA59}S@Bg1&YYJI z4Bor?K|RA2=iKyFwn|UCqV(|eooBW?Wr%WMgFV;@^NjIl)!D2wv}$&KSU1oK#D)l& z*G$L%MY)-geF?F`;N54MJFT2meY~3nLa||dwu>TOZgo9qvvnX{Utk$eg%9Yc2-MRY z$vIogo?(3Y>2K4G-MyBkp{T~|+-!k>L%L=I{RZqAZ}oJn{8IES!j@i@oPr$o@qxoO zn_wRVTIpD9Vcz7r5s@}-=+X5gz-a2DN?>_TG0l^V;~uLq08;K zWvuyCba#0{jY#p`$nbOTd-9^+&zZgB^0)!L=Fcon>{2NjORm}7YZsU9i=*Tjp0V=$6DU$uko34`f zetLe3|IU%h#2ToCIZ)*h>7ulFoT|!py;U6oxktw^W04K{3sDN*DV@M z)VbT_-)^I6kI7q!Nxsnp(Q`^(Wo=Pl;Flojm^|4p@Z5Pov`D@)HoN5Q)xY?&uhzV% zB6ZcHXiMloyVGFtNCvw8Q|!SWdhUdNJ^@ST)_GUaZI`=qJHWM*e{iepK;Y@8)jik? z_>GYC%L+SD$mMcaqkFv*p4^{6U8q@+Mhr@55nyIL%j!Dux|L?u{^Bky%Bg(n1|u{5 zZb-?aSz$x3wP3``tqSo@)ReDtc_r_{*QTi3W`G4?Ipp z8n(!>9BbSfPY&TOeJ!**5g5y$Oyyh%=U@Jl5n8aneB#w}5croJ9Z892*r>P3V9|^H z|9nJ8;u#fD8BqO58S~!J-zt^6MnZ#$e1uA2q0v&NMI84@X#CUhRa7qCl+gH}&oU8< zalsj&^qWSQyAYXvZ=%6}sC|`{=+$H6k2n{SU;3PsJI$+BeE%f+1mcN}u6P0kbr1U5 zSY}Pufyp_ZOD{QZm^}nl$6Esw%DW`U@@27F40dLEde>H$x%l5-$X{O$jXw?E#rE4v zc&TlKi|Q)5Nl`f6H@;>n;RJtJ7Zb~4VJv6vE)F1#FjC%8J$)sHnrG>j8HUmrKAk_J zT)Ez+esDQfLWYT^_?L2(R^TMrW2xJ()4LS3EGtK)IvX2V7$v=;<3NRet3@_K@`}c? zUjw$o6W|;SXg=YYKc*L-1ax57(se^vc@`Oo~eH>~0Ixolwix zxs1y4hTLSs38wwj(keq^f;U&#Lc9htpB_%Bm!9&Wn|Ag1EgA|65yrwHMARDf{Hzeo zM?>V}8g!%c#{9~;KK-o3*>@20rIg}lH!4Fe)YW+_)? zKn=kjP3DF&D0ZGhE*rCb${pWS5pSKXJs3w$`OJdNQCJClHI(BI-N+hh&w9`x}I zwCo*7TK#-)UR-DRnOd$Xz2+gz5#E3(-};GEWWz!BRWN@&mc;A|GaQBE}7w?@qQy0&oI}`Y+onm&`j#~%s1Y(Kv+Dj@&U3A5`1;Xeq z!p_|iLVW7ULg5UNjD-u!th4M5Tk;4MoL+TyNn<9pC5Go z7&F~KM8|`1buY+N^Osrgqs?oK$vieMVimFDoE+_}k3)j!-t~J8}HMLmZQ;c!6XgcU53qnE^mn4yyz+h8&gAHEFRKcvJA`*`=eX zo`L2^`%{Dq$QuRcwihRszn4kNDuLg2abpb(Mo%Le1aUsH@ z2?~qpwwair#7!&?WeC{Fg1a~t7?h7zaXqVSp>D)v`@|BozQz$LV)^QMbO<3hL0*0b zwKeF-?85Pwtrj1(82>nab`ib(U?qt{`O9PK&cXR_#XmFB*{vM7O!3KyF0&5G2MF^V)Ui3{$EEzu#GZL zJumj>u9&FN*pw*SHoBYy{i0>jV`qg0vDRG8k`e|-aiY`enD9g$37y=RJ`+<@%F%~z zoVOZqsla@eo3}}_2cMn|j%Xa>jhfZcs2B9)JS|vQL-aa{VaibuOUYMdLJ)%O$=Qwk zI;DYFiUA+SgR9R|Bc!ab?4llf?g90z70G4Be#z>-)fpjDik3C@(~Vr=@UuHD!|)`a zapaR>=q`YY%p#zmuk5=ZQ@1A6VyK#%MCPeEHzTrrxVI7DD9b?R1!A*jW;a19^4$Iw z8oyh}K)}x`$F-3Q9l^}C_AW7I;jOuuw`iE@Zj}T%1`XFe>~io zkr-rr-xo`XL=RO`p`!Y=WsEH)MMtMkV}FfjBjW3a{)=|7Eq$>JbWyS)gp^Q?xkY{^ zv+nP(dQCOqS70{yzQpr!bBB)oEH8q0i<%2+prR5>hz_yQ^rM1VPsl3mH*Wwr_hjuur3A zxzM320QWG0j7Y6iqIf6X;3D^hQdOBdORZwb5@(v7S@`+2pSa2-E=AasnddP^m8gJ# z-anxn(iG&*BK7x{e`NPgyqb81>k>@baUe*?uZshFJ(F9vf{95c%ZNs2>Mh~zkx>1% zOR=fg!Q_(Dqi?VNe5+^Aq$uLbB&*Xt$}HRR;GmHKg|bOeYLmpea~q?POXkO~&N!NZ z&pV-%9H}b?%K#b^ANs^|m`V-bpi%GvJs5VqxQ8l8QlI&ejps`-X~pQ#ecRRHBSlGxn5>fi;5ZG zEvfYTc9?xW_3fby>(Qz?N3$jL6Z3;-p-^c?dMYIwUbGr(S>UzP_mg51XnJ^rI8uz0 zXet6R9)i_V#C=KTwevHH*HOFn$DRyjTR30L>6<^6QG~8J%(Oi?c#)BR#v7qYhYLT- z?BcDB0lG?$D5tANMw&CDiZ3+!3PEtS20lZ3Q_8Wp(byw%f`ps{hA(H%)pv8@y%e$A zFI6ZGJX-?xR1sP&{2ga8+Al#Wy@LG9^1~?|5&!ASh7PdnjFL|U{_A#!L!}yzks3Dj zJ=fI(8qHIw&$4aRg)aSlvfeR>iD!7a+>phhC;kMbC}OI^bnZW&5nh~A^b3&i9CbWC zbgwvf52$cV9N@`W5jXr$=sATdx3$cnP&m~5d$brHJ|C&V zci~OwmwK~pWr&4Z6cTdII|iw-oA(orCU-ocZ#uXrMfV2grM!6RU51>ER=agU@BMNR z$zPkeea58;Z4s~3@85oa?0SZaE;oBIh={n_3kW#}NLA1!tcJS8`2A6R0gYbL`jh=0 z8G&5SV=Qg_EIcTlCDw-Q2zz#ww&?|qJ__oPp!h8%d}CTO zSLCXW9VN#Rloh-w8s6^!4OTOzrN08yr_!^MS*azH&$Pn$D60#dS3h1tuRU04 zW2tY=OsRiQiK1ne7B~K)Qc6b>dJ#*&-Wk-zX29=ZWhCGIrr&7@5x^frnPn?oM?+7M z`~oJJ(O(~0vuB57s&mK|arOwK+YS#{7;lnvh-aRCq(mR(XGSkxMuJ|*T8bW++%Xy1 z5l~E^CZH5hZzrpXyIUQB9V{STb5{XmDAoJzmv+R)@ONwysFPk9H9zwm6~N3%+QVLH zhCN*ij>?4mJpE{+;|EV6g6InLlf*JNgzK#wmHRidcaLQo~3cDQ8jXyy^9u2&jjNXW7sl}>X%2=}rizJ`}*o%xcYH-~> zn@c@fbc_ip)AHJj$INHy2g3CHSA9U*#IlVlpDO)-@$J`vTi2gCXg!cz>6ph8Gw(!Tk{laI9!3Nz&8VDP687N&Ybk5ud|; z*U;j_3tO%AU+_LG;MLzdP7g55~mvrwpWG+CFC zt8&;@h3=fttqmo&F&^l8KE}rdEqsqkN~i5d^1T4WkpN2hg39gUMzOMlMtglh_w}rD zn_-QL7Qy+ZYk(%i!kRbiP$gPYf1#Hkbcu|)BrNZE-NN3%NQQw{5?Po26T(mk87*B5 zLOhoENvpLgxZuc)KX8smw;x3vZhh;s`sT2$9B`8DoSDx4#?!Bv!N2Q#mv^(k`>4LK zR|N(^7g{y2nPcUx#3$hm$$}m!z>`4P;Y#7gQ*IKf7x*Y=2cO$VTO~Lpkr&B06J`&;jvW}9|EY!rz{7C@ijFfxwSn~pQOz!Z)?I#)>3qjBF`c8+o zTj&X|R*N?>e-;qPc6XuF+Z)$dk=71;OzYBR@%Z0Ql@T0|^ z62ogbfyc(N|9leYtt2TiS?x;4?}6MS9+uHCe!#lmdXNKMLMw#jOrIRDo><#BN$)}B zX@TSi?C=feq|lh=mPT*u#?RRg^t}d)4?smE3tr-Sp&0&4$pV22C5#)bA;?2U%13iW~F(38^(0GX5!E1-e_0^5D zc$^>p9s++}e+piYyjdM2%u8+$@aY!ljd41Ig_vS-g56lTVb98P85=Vr@h1W*A+410 zv6j~zD#8aPk2{w(f`YL9r*S)RYtNf`1H$3R!p|X?-DCAQWqZDGqlzQ6%4rtkm?}bD z(v>h>DMx}x&}OUi)c0x7#+))RGIg;z3nR;Qml8{Jk8@~^I^mEA+iB0$_2X^q#3P#k z(g5|nm&^iTR_xQruNGym5-mF)KYUE!qBn06c$PT8 zWp!GTBsXqqIOZT&A~M%1Du$kT_6YRU>C065zOY|Uxg8U}L8}~jwe`6U%7`LB5#*6* zzoIldr>>KGE*{k3v)2HWTIW}1|1qQ%6BFJ0fcl;Ho?o})*_;jXHbvl%uEL5#CF39~ z;ssa{5ShekLjIqVa2`vq{_CXpANTWb!0CaqUqEB|>M5ze;3r}oI4F;vGgw`L*_;HK z&1I!I6#nx`kQXwu*u@+cV1TeJE4|bBXc=6EXL#6=UG>NWnN~ndPJithif5#5qeD=K zJUyoYc$GIibU!;%hA(J0g($KPDx}AuGh^Z0ye3$RzGl|?RJOR`vO^O%a}*HdPM9!K z6t2SxT7yc)j%s3@;5xip6?X>PvsaCv&UOdq+`A~4s>lR664enDysS4UMr{Z@?$iBK z$^OC?LS?W7)-bW0>H2@!UgR0Nl^eyMJ}_UVU97?JKDKcbD7tBagcRh@l7lnBF;H1JvO<5`aRq5Q>o znG0xKN1sLPE5w#Jbl)lnD|5-&rXIPa5*@=hDTntSVj8v#Lj`Gw9O9hp6ZEf)UjA4e zvGO9Ia}x`~ohn70UQ0Gd$@(!5IleGW<7mBay%-%f6(B?L0Lf|T`xc~TEbJ~~ zKe?}}&THCPFrM;Qzu>b9oB<^Z9lh2IZK>ZzzRC_{^(EJ?&;B%H#?D*k#|h30NIXsJ zuTJYn7ACF)z}CYp!Ayq9PsY2frOX#@l2p7D85y3qs{SsgNc;KI_lf^#0m`yT3PbjT z)jvF*9ziWd2(+}UK9_1-fG{rHHs+MGsFa3rsJEVBS|DicI}+&cu2Pg*FGYqw{zBII z6{3;Wo`{*tF1xsbg-$j-qbEQ3KfsnKdJr+yU%fwC`|^A8h}tB4wk)u{m%<8xG*dBj zm}iSoY+*f(aWW)nnSl%^-Ewr#`1$w^p0e@hB|caim)n$o2|7ZeS-^w)V%j-7B~>m- zDRC}=pM;1y3x9PACicAve+9ctEuVy*2%HzR=X*bs*q7rel)*II5;wfFfb?>9<}uUIi*L7-8|ngLtri83xI$P z_eb3sm#u}n-)lW9J3rIClq<%(%qba#*U|q$5<9jLI`@`n+FNI@2D>auh*)1tfC5Uw zI`mzO;`Qy-j3En?^6#H5OgV-M&L1S&;PU(+hjBsaHi^`7!#H5Z^n+dZpGO2y9N!yp zu;;{0jQ{uKL$i-}F)aHMk995&*R7yQdh)R1f@xi-Wp_8UeZx+`rH!4mvfSoa`=jZ; zW79Z5nts39v-G6as-GQE^4W>u9~~OrG`i;J#8q^23bB7ZfSd+XA3xlAte^|W-c#xZ z59l8>dDTljxrEsm0<5AqJ}cM#HVe-=^7bdRF62Dc31XLsDJZO}MP5cA0T7p>z@WI^ zVsfprf&bA(5HrN!vveFg@O2|;r>u#v-2rU96RQSUx`lHde)NIxjNQSvQY)!_Y) z=LMy6)jg$0b2zil4vQocvA+L&a{rTetMBg7>iTwe+`_}3XGZC5m}layn4dh~6I7C) zGSt+k{%RwRLXF0n@{@#K#)_T-Kv7w?f%fr6o$_aIhLvWTZ3j-*?yy%*EUen4StJ~Y ze@GQV^|l&sGBkJhkuR-!K44ABlmvd89hA2`k3|5YRYx`Y4oZ@lLi29kTA_SsVpw-gb_7W}gfBw{8FYstFWiUi{Cr(|(6mpoB!LzzhQG%V6fvnB; z;1J>AM^4j1b2PBT|MllHs`nk?F*y7C@qY z0}@fb`&G)mH4)8y`hOP=8D4CVH5E$AA8*V6FY%hcXjHLna5u`GlV|aOfqCzFj2(*N zHSI}5wi^R}(h)2>MbqGx$0m@6)z~emths?o5qqiumeeQ?)AMe~UGX8lKdD8G&nGK& zNHg4Uxf~#WrPn>JH8cbTP@->w*76mrkd-#%Pm<8^$=;Wc>)imGY+lLy=1-C_j$}T2 zi7>*WR~Dh<5uhV6xwsA%;%8k1bhlThofOE0Px)OwW&eyx?Q_nt3>n%)s20N8BB!aq z01yrRI<8*P(ieqMk%Ml09D5rHZw$7u6WN6vDCHVV!Xn*po+d#37Rk{5n>dw81a4+I~kCBJvOiyFVoz@;;GwS=52x9X%S_QtB$Mt;GNqf}wF zz>8`LG`i}?XH|M)CTynx17+)7CI4K)9Y&W~rPNYlyGh7wY6{DUON*8Rgkxa zv?J0#WB36D(`l`W@X`EOYXUeXW!Wz@A7CLQxkV5T`HB!4xk&%Tz+pxUm(E;@m$uWZ zAnO^c#}+J%V4ur6)Y$Ost$>Q`pD?ToY4R>M&TLT?9rtB<(@MJ)3(G)QCwqZaj}eI~ z5Tncx8TUEfGxxWkwkc1td-L(|bgB0jRqzhq0FcKeJl{)c#q{GJVmBW1!P5Q5bgn=LA-vDhUj;KIeiXbn2g=V3i zb{Kx~9?z{uC$`pSuNimmf(GFyLV^XKSDV%lrQ`p8SJB6K zMoRwt8*tTlUkYiTO)wV%B{T^cnfZ`i+dPu>{cf;RP9?MzqEp{UhLN%A{o=nc;|?+O z=d96{T&F`UN+21o;Z(pyHP>;XGL5qw1jOHSDm1ifO1ug-gEfARsW zZ+^78aG{+e?p}F9~nhab~N$ zk4Yv{rMOYI<;#Pf50EIwH=&GBPQhrTo&)s%3bb4C@Xvvl+0~;>vwqY~+qT_)`iB(}*AL`YOAvD<-gu3ma}KS6LCS^VN!EM#MCbPDx(Yb@e_ATxwZ~ z^)=ejBTE3*T}Uhi=WmnXZsZ!7`iHGtO{C@+BVGIb~KN1)fxS{vD3MIt#y|z zD!;yujg+l(fa$V|?ZZaH^`RH04pSrdnwy=}03GG+)p~?Y7vdL_PFznmMYZRDn##^A zYUu&x3hA0~z~0kt*JScASis0k&OXDxYg=~UXrH_Vu3RlOFIuPMr;g$Le+UK${DE`r!>mako@tL-Khh8wiv#k%Q zk!mbMe&#gfT*t6a;VHBN({lHh-=4Jl{2<)gdvtMa345n#Od+KylHUb4R6}m`*`zL4yW7scIEPx%ztV=^M9zp_H zHXZL33t}t@2frts8Vm(0^pIa(@YiRII-*C4wb`jIX)bL-S; z!n4E)85l$UWTz5Z=G!CF*W;u^jWu#yP1+n=|%ZQ<+KBT=sZo>rZ2~-LIMlX zEFlei@A)*M*);&su{#eVu)X<;XnA3#v1*_tJ^)={7O=Y6ohW-BR)ZR?l#t^e^RS#v z^IJWXB66M&b33e((HW}wLL|4!fmS};thpmGe@HfONFi%Qb;sq{6;9U8f zN6XkG=#$Fm8Ge{AhuIR#Bt_90o=^C-|H|werJsJCA>52~+~Kowd$4txE@kF~;kJ;Q z@`okPk_YxSwVH87UpekQ@9!$*1yWRlWUw^$TBL{&VO{M}_k)X9t;Fube@B zkY=Fpf^0!-Q+UxUOJIL;(k)Hhf~3@hjmRiiYIohk&2gwvIa~>MN6joid_oND5C3p? z`dxeoJ9-VxsM1g#se*?J6z&wp%;pu($kBJ(#wZc(f8iF}=k@7sa~bi!QL;afJg9hC zm zMAE~$J9PXHTk2iitLgZeW8!m^nlLlS6VPl1Lcyo8wWP4#7?~7TCkoNhiUUdhM$)1R zn;-Esy@GM)x@{J0DM0b;BKvS3(bYk6(^qins*hJI5^Dq6qJtnVZ?IxToAk34$SXrs zej+KbKt+X5+6TNI$!Fi6h7=`@buvNx&NZ4O{}ELNp_`Y65h<4O_{8@u7NE{Ba<(A*v%!x-k3ft$nW$(#NeRTS6jsE4;`--$X7|D$vRJj97ICt zXcaaufK&s|IHH4P6DKPkphr3WN;_g9-zNJf9c=j`o7k5u#c8K+BkYO?|6>OCLZWva zKR!Ku*KtcV!0Hmffa;)&MY!nl0EN{+Z~_+o=U4o{&=}EQ|LA>YMf#Ts*UME* z29^flD=YIHm3xp^eYQTZeW83~G-$p12IC>*{5~Tl*g=gkY1xzG1fO&{d7h9gz2l@9 zh7RLSsr#zu;6JeHPTw*9Mh3EGdzNYw(z{f5itd|ODhu<1j;Tju#5a(+P%vTRqOdN< zBDG+rkObTsU-xOqh_kJi-xK4oGz zi5pfO+}vYafwhm`uZ{e_TF*aZ2Y=xwbWcHiaNDAp{l@<>GRiPu(|p_o8EO{DQ6F)# z3n~U%p#DF`z5|}izk5HcjL0fvXYVL0duEeF_ADW?_Z}HZHkFWwh>UC^*_5K}JtI4N z{?Fa|R^RXQd;MR}>v^6J86WrkzR$VNIoEZaGt9T567(f#=SriA`$Jy~o#1QfND{mZ zd55Yk?ZSOYupWtZJ>JR2M)2eVivQi$E!S7oz81036#3)y^?}XNWSo}4hwDyf!tyVi zGIIuwz-7r=Tl%wz341K862G*r87;{aC-D>+!Z2Jv8$Bjnn2L`RKzk~v?49*#5%-sb zqKyp=ec7lcbue&gh9j=@mHg4IGEv9HLG4;z;+8yN%qHyORQ#E7=$Eqq>%_rJ-@)Fx z)&+IgggOjkEb^FS1xn}{v#{ZwYt8a@HrLypl8kA~>kX~tP|82meSPneuu46rbN_i4 zUH|rhcq68qC&C5XWa&;Bo}DG?qAjEox%hjT55%~b+QM(Cv}B4gT*w}X+1|bol;Qo% zHKkCMYmf^cZ-)t!kX9k^?4<;ni+i@4OFX*+=;cX{Z?6bn4}#KKP+e0F^_fDglE&?& zHIN>#_El0^6vouj$`6C22-^P&2uv90JS#*v3El3p7u2GjWvUK85SBxybR5ZMOPSbf zv86Rwp(GFPl4x^Xq3BUqonCVHh8UUrC7&b?@z|0VW$IZD-s-i`1w zZM2jCUyHM&{&US z=H>n{T&)ANQ8RGcp3AsbF*zx^0g!QS3JrKy1y1_;mI;S56$cGpsWG`kR^69)Dn!p# z$o7RY#I8T~IeLPM9VtFG-m4_D^o2h9+0Yx6Wq@fXzhy_!&|iQpN_zAz|FD`>T~!x2 z=CS>EtK!e>f=r8;VOkXSKEn9wUq24{F!CKHlI7w3Pbk!Vg03nVI50+U8(*$q5*(`c z0bj=Z2%}7`ZwT|nm!r+iY8PQVYP}vErDP1~Zxv`QnEf;7lMy2x9?Or+ENZE~Ur3W| z7*Ak`g=oGvxM|;Xh$rLUy8s#W6ZQv3^-!Y-EH{j~Kxwr7(_!c=qoBcOhPpKlD!IyR z!ZR^Il$QgK8U0750*F)fr@DSb%B7|f;u*!x9N3ItNXrlTrR^W_H14J15kk>MDkP&p zm2U|4iySN?;GvTalLi#0U`b#E}lxcG5m7TqkXLX~k;SLFg zy8NEQLtEwGvaA~)(iGAMe(=B)oWObZvLu1r{cys(F?=S(X5E@JnLz1oYJI?)GvOR0rFMe>O*)eNL~;y2e{!T4Cxc)F8OEwv2mMkh*A$)D-bOP9 zS;btWv6pjtC>BXxb4?Frf|ewAk2EVKH%0;t%l4?5DzDNxdpoDllay98~Y@ zPJt##4!VzZr^pwtEsNatXo0-Y22J!E?kQFbM^0$clPDOUp5`j!T1%EfO^@2c@gE0Q zAna+2VHQ$t(zy>qhKXKHbEKfGkpdGyF73k4L1km7X`b2DM0NX1=D#UJ60g_U@$CkX zHF(xfF{vhNY+49t31e~L)q18vJE){Wf)z=p1P*;%&M(DL_WZM79i9vK&%lEGEV`A*vg`&c{ zwS#y)luLnvOnEWTH^0*HA1Bln4IhF!d1_1_ti}8t{riOg^ToK{g#hh) zW6V|#D!Jg=#7F-DaO7$eA(q=Y{?%3Z{$ctll#q1T-oOFc;HMnrx-cEQ2FBK%Km;sz zU$^wtt9u3+=^a?%vW1fHg$NO#i2~c22i)6`*%h7N{kU{fU{5LBTn8Af>x|JaeB(V0 zki<0KbHj|?{p%Y>Cm+8s?d3=tuyZhwXQj2tr&BEoT&Xz@oq#x)O0@wm-!rT?Dy&cB zwvTA|6u=T}X-wxGWfzK@^TDzC@K8j9nDOuQ! zy-m6z6~7LjiAOnHImC)4wB;fHi)*`1WHdd#8klMFMOI<=2alp+hGzifwgs&2rkL^8 zXom+QzS2M!qNMG%lv;=tne;_uyK!`Dzo6KlfvyNHhEKSMoXmWL2WAS*rz52xY0A`7 zy<0EsY?g`8#ehZ1{5tiKvxf_Dqj9OtP&)KH)boubbiEQ!*QLr45H~h7%4M={XY^IO zF$ADIs;|jw4dha72B2@fa<28`m)E)(@_o9VqKh^2se86_Y1gVto6MsmoN%zD!(=Vi zUlpxx^e|0zw6(;q_q71ciBh3m3iX4;kr6ulaY^amB|26ALDEfp{Mo0nk`#$t)gUiW zn4h7#3rq19c8xpnBr8hCpIc((~zS0NGcth&In}%{b$sZJWY`dJ1^Y zEb9;8*?2WU?KVlk>r*{-YGjF-E%~)~^65?TD5$nZ#C57cGD~b$v0bQQ7Q!8{5(Q*7 z-7=>c%tdAJmAahUKB$?&c`j(x(}7G z{O}<7y+qD`|0W9E_kY3UfZ{=_EvEP{3L|pJYjKr*aguC4FPcyMPOtniC!$ft6sIZy zk>l&zGw=vvp?meNg)494%Y4eZBn3lquHL;QUMtO$bgklNj>_L=Du+|uDm4w94?b<+ ze;~9Oq?t%Ndj~w9?y)*tl5ny=>cyyz?R+mcius<@h+B%TfHx47KNh2;bpr5G z03o&B-3(hSY?1J@c(kl~1tviqPPj8@jg*0ks=_OQqg9v<<)ebbz!ZI&ClBaYe%4{h z0e>IQ&%)EQszk{A?E?mIWLnuiUU$0LX(9Y1=4m2A!jHTtBX&lkKFYPldq5o37;%Uk zHW7AzwKQ))tS&`L9G-P;u>}2oDaUIYhvQ%4Y8DvX@>FPBQs|2KI-Cf$x0=rJe0_L% zwda$S1SOYN_f_rQ$1m%z?_CTio9VgJx%nobBW@2$kP)CwV#JyXB#H9b~#E) zh0r2aO69N+AQ#VkOR#=%%LbT!BcH0xz!&zTS1(vBJU+-#guG=uLnYX2cMSt~!V7F~ zd0+~r77x#?xp~(S02?+_lDLve=*JFaX0;-VgnGEDE*)6;ldFf;C9x+y~2>I7D8>;~a z&(>zF;dtUbSEi7UhOl&FffOrF?fqpp=D98rpEtaiJu2<`iNB_>l8U}xT>k6H^d*M^ zzv6Kt&-Yvxr38I8xkbuG+5fAP{%_=FfL_OOndastp3sB+-ONsT9fQM)gLuhlQ=EcSL&I3y$ zwJ@*6p7cNPmnqt!-L@I7Dv!qTh$hE+l*w*p1*K9nuugXa&AS=t7GGoc-gRZyuh4)e z>nSBQe^@I1-C(`btMkwS%gA>HpQ1_F@}*wrHPq`&_aacv7R(b-&Zt)rqxdVeUmK#Y z$9=|U;OeMOFT9j z*$x{)Bxwyoe6OEHCzLBi_a`70y(*lr_RQ_!U=pup8xzZR1$*!I!*G^d2`cM@esa+}ODRg`liN`AEub1u*+d`M09b$7V zNa>E9J$GkBj`^D`f#rgbuqaU1d4!Q&?LEu)aIr&fLVJyl1ZmnwTUrNuv~@sv;yE)x8ZzasfGfe zr)etVZ4G{=C?Zt7?QTFGwgdjc-zji(d}HGk4S#jTzw?uR{_kwm5rgxeV5MuyQ?Q-q z9>vg@Em*kueXcCNLk}5zXI`u1znXHt!pGk)zLQw19%aV}m7SCc1cCv16ZQo117sF=t zd@ajBZEo=7t*br5fi;uGyiJq!9s4NUXnPH#@##%gnorsW?H0{CGH}_ z!tekN7d$;N(4xCwk`H4?iw|i*^Fx*V8F~>Ef@WZTiEsm~^dUhw)Tjb(<1g2Pz8wu3 z(*=YqT2;0P9Ktsx_s{;ti2t)9mnK4J1tUrI;^{g3Pa&q2-4gY{1uz(+y% zk8_k3CpyCy2v?NNtrp3CCa}ODj4+xQ*5H~u9b#(L_@dV5R167(E)#$jQ8c{hwqo+cX;h&i%wQO1eB{wTuZ7v6_f z(_jbKW`o3pkUXd6-Hw*$%D9YEiip#kM#?={rNt_6TZQm;ctyD?)L&BpCqyH`ds@5k z1g#-3n$6%4h`QO`JAt*0I1TGGLFzDr-tQ{-P#RTb zP{zpVV-E-Q@JZb-~UFb`@|GDFC>if^a7gIq7R#ZH20TIN~7&pp}H7SAGkaHZH+*kI#SOX{=zx{;R7 z`NM?*FFg&^(Ir=u#me zaaxkLp`^*NWSDO9#b!y=9<@6i=x{(N{PA7~%}`sU#OB?#k!Cs>HPZRALSegu1X@sH z$%d2CCR(`?O8Y^SiJ3|E;GDWedJM9546>6i_X17I{XoL?30KhPza(b=>~U}W8Rk?^ zu5PBZJD5A+Wg*&Dg{=GM%vIiyN-;3kql5?EkqINdG{cc+czs6f-6MD?Sj)3~JkAco zo?H5|qo1S6B_8*=MRb5NJw2IC-gIx3Oj?DbTxHPAcLvpveG4VgXkwy~hGarS~ z2kxJ2s=v>g_zi@icsk;sn4X>>TOU+${~)a%M`K2vd8_58gK}@@Q+HO z&c^y)mKbZzT#919Z-RP5ymxai%y4paDWOi1mCy93+Y5abu)@Bquy4+35LfbbLw>b* zc~8^d^sa@5QrOf79J0e(8>;pjFX(j_GE(24V!VFFFN-cU#=0+R&h2#AuBjZhllp~8 zKaE@%|G+Q1Na_rsiUA{F`FQkMegDK;;Fb6^x&VB$SZ0TSUxAwKoAq2el#r$H1)>Xr zC7r0NWGyHnWS3L{5oG{;nNtPb>=ka);i*T^K05=Zit1?`x5n;M#&1451h+wkXpv3zYR%ox#^4^)O-m17CB&A7o%SA#5;9QWFrw^u2h4c zfm8o zOp^@?;`lp9<&yty6Q=ng;~pt4ZrT6B@qRZL;n(aA2TYEF^d|}eQkydWP&%uB5^Bwo z)cHc_g`~9ZuFXD4XR))hTRhPkeMy4m>WZYs`Dh6cP7v9$GM^_}(698?kDuSszwWb} zqo<6Qdp`ftec{!cxdWbZHT&x<+qRpt`R{$^7ll`q*8QWE=}hZUPB0yCz}V#0ZZBX1 z*@kd>{441GCy5!M?FvdHj7>$^Xvs|R2j?x)0cbE zrpLfTndVZF0*JAXHV3ZmX+>1Q?5W15QUAC*zw+9vaX07ATD0VBB$FW0pSNd(rn5OsZ=-hEXb4wrAeB zVQjNbKGn3BjK%#HjG)3C_{#p1QMQO>yPx-6pP=Gzb8d)^BK^8j%|9+k7ky{rU9-3BPhR5=w zuHo0o7NUbAuIPUp0@w(oH%g51s22ZkF#atncJe}M9dhB4rU5(bSj3rIQ@z5`Lc7fN zG06Ad@o=4!7DK|<2UTAV8oy@7Q4O__Zt;?ygI9aev;nWIGv66TaT`*^A=P;Liom-n z=L~wEh<29seK$dEowt2#2{Cy=lcdQCt4LM;iGzJ}c*C$SkMpsYl$a=e(?QwIzfMP5 zLFAXmRC1++L_`6WK42RaE6wJzI9M{*2g_m|=RV5AFx~Qj&t!8H&&}Sm)>I*_=Ix2J zkYAkGk5z3Bdt8I1ZtA%@ynVpex00Ym4X8S9h+;ZnYiV{Eb+S>=8G)3U}NasH$)hap7aps4+!Hpu*VJs9w z=;zF9n%_U6F7Vvk2mB%fE*J_qeome99UW0Yrj$+f>qlqSp*_10Xq0$t!U+txEgx0K zedZ#Sc+BJr)!nl)u#7S7u|w#9!>$v!Zliw3ZZ5H5{yaiM`|LkmPx6o>WaaqlewAXqQ@l!?If3#eE_2ICXB@lo8ghWqjZj;%8#ps<1{t+0w(z>(B zrVqTy*^qq?wGD2b7S0<@GVbB z9GysaNoGxzvh<~}K6 zpJKmp$B-F4NC3Y+OQ6)iV}ZBJDgkU1Mqs9C@i8mPdOI^SLaoCpAorfXq^?xN{T~lC zO*&*7{&WFtvY)N@UpMX73*QhmwPs^+R8A~CFwLk|UWc6Qs2yO(U1hh9@K0`uynF~3 zSdrCpyYo&e{+$t+wY}h*LJT`^-#Up$E#Lh!wIL@E|1Bs_Ssr-YEBGZ0{5{i2qd{B= z_`)!B)Z_3p=##a{cr9bi;Ifnj6gYmw$JDIC=f%C2N{^p`K8TI|VX!yxI4A%_E%aGZ zc19{3cRF&QYscN^G_I-@sP?7OTCvr-{2&TPzrtO)zkP_!$3VsTN~X_aR6!m#6qEb@ zjU&ptKD&wrx*mEF$@>GJxLKGTgYE{&(Trn(ySf~#ik%|LB!8Cdf&q4N8eC$H4_aVk z26K18G=q?N`AC0b%9~J>Wk>`ZMT-BH1TI6h=eEe`qZLL+p9=O2StBOI+2g^dsB1!8 z!*y@V4p#lDngP*4)F}=iI;%z&4It`xm>yu%Q?SBQUJKoxHW*iBnDoJROYy{DbZ=x9 zfRKZLqLgM5cK7a;l-X|VN&;eclOy*)gx7#tAf8r=Ob_hRGP#z(s{-+B(8$qL2D=me z^=tW(5{Ch|(_X3FWjjZM{|T2uK#fr~nIh5}-Z`Rb*AiYhB}q=ZDNPNIz~AN?DPNDx zm;+Hi9b_VwgTUzRjY@n^bg>LQ=)iOxSbA|VGkNB)2}-`Xoe6n?U>M}9z?X*+vP*_Q zzf!X@OXHOqbd`?<84=j|iye$z8275K-@aQ04@B-em(o4Es-K7PqSU?nKglfg$YG=> z)yDci4kHPi4?zmKS_~BS?phN9%)z?2Fk+T7U>kY~$9*AV+70B}(X>yC1qYH$PdeE_NGU>)WhpTc?STlQ70#z8Z^u zCehbM-!$L94%3EH3e-#RhC}dCFG$eRXTm8VnU690OIr88{-O|1h`_Sm)ZBHLbVou5 z0L@-o9(~>4yd2;LU7;!1&!T?I_wD_2nH`N7v4#6fv>;T1+>7r9WO<8auvnrtsaK5ESDh?)U0x(r#+p^)={M>+G_=%S2ufFaJ%4+B zUcgzR(Z@|s<6(WD9-m(#9nK|G~IIhX=7*n;eMJc-ed~YRO zY1p;SDdBa@?qs!F#$}j?^@*orZjXI@q1sZhZ>~?>R6_%PT~aM!ax`g}pSyV_dxt>f z6cQHm`uk-_=YnJQ^u>Xh)qjG(fBY)#+!^b^vP%`t3#^A=pNBeU0D7E{u)|L#mp%GV3ioek?T_2?@6XrX6{i7K z%-JG36yZacLZu-`_3j69M+|S-2P4$_Ser6${q%j0UHY-QlUn+w7n94}N3`8`zr-Mt zOs%G~tU$t*vn%95Of0|_B?_EX+dz}8ZZdx74&74^QcUE5NY_OLra=@8ja$J{ExEJt zDDLTIaJ=RM3my5=h3jwrx*q@iA&m$D@X|%r83zcRQ6rC)-0V|#hQ*2w&p`pz3K5B( zkB={l6!vd&LhE=_8}l|c)MUt*2e3m~>YVx5G9sw2^3)dk|9VLOxp1|2FVax>OP*-> z{Sh*9p{S&p=%$LPuZi|tjctB-})L(1n| z3_5PyHor1}DV#og$4Fl^R8Un#GAcjVip_!?#(p(l9DF>cQyIOw!7CPNts?|CQ8I{9 zj1YAbps$YZbzBo)6u$rVJr1kiP0&K9P|0}EZ63hBCHJ-SzES^I)TqT(pwZ}X0bL6# z(qH77IPWeB3*5Yr8mhjjX(aV!-%Td;Iu>SWimhuCD}|+U3E3F*icd+cZy?o^`Kg5j zMXeVZo(HX_G8vX1l2{I@Yi>KbABrI|52m*<;Jat$_{2oL;JtFceNUcYLPn)eg5U^a z^HjP|#L{OPJ|1weK_e`R6elJW_+ejx>yx9V6@<||Y z*-B$@#(-i=0gN)zL7foMdgfd*7Qp3qnG};DU^nBUM}mV+_`aI>IuvY{UU)zIXn`>x zoEl4StLUOcsp2m2IXnULM_?Qh}hoFTo53|f`;0&mf4>g?ncpZ=!G##5vZ$ zh?ijmC7VuHv&0Vx;&u9FuJFQl4Os6Fx0=!mgxFEeIy?`r(W65s(KsU*C`trAi{}k1>D`fH1%?p ziYgAdC!Ht#($nd;q1W~4=w}E93SeKQx(obI5r((de&GVl6MkyKBe<$&&%b@sKQV@8 z5PkWk4YVqFOzJWHnmH3=kpTi+y$ski8x0_C;L0Vn8{~00;lOgP^4u;&hS3V~>?}Y6 zxBujQ>ichyLrS}+lpa)q*jS@?y`a3=4BdmLnji${(V*T#-hG&3b$;g-7OC&I7K9%N$P zRnTki(JY2eSKZ`=%jdDNVJ99Z!bw9WeXBsPYx^-!$|K9C`4+*&`w^j%b=lXfOA6vl z%r}8W&<>Pq@J&4#t%*1=ai9yiE~i##H$9wyzA_gKCSyPe6D*dd>HU)nFiHBHUKkPe zp3v%6_>Fpjua8t`6+3r6{9lpFH`sSzrV?&M94x+V0q!LFojXp?y!UsIp*6@(nQRGd zm1v4Ff-uj5>1St+Gi`Z|9Nx*LcN0FXqbF-HWnB*_?q4&gRF9^8Bc|Nvw5RIk-M|$w za2qvidPH@QcFLw&K5hgO#`b2#M6@laQg;SVrTwJlGudt}!y=))M@Dy_Xs4x~UEPf) zK;Er*Izc`gGX{`k!IC&5M>RbF0R;f#Rm zveBDvtt>SO2o+$dLXr{#zr%Sc8jDx0Qo~WI7bO-|APW063g0R&Hjd+Jq;@y_pVx@)9Auc|xyh z6)a}b!)ars$d@&h!s$NVJ)|a@*gfhlWXFBtH)tVlhChm$+5GvKtOV+7!rmhDd+vUy z)7`*1K2dTZ{y%g*-^&_QRGZ`_Dj{bjWH2h^JpaA~pKCg>Y`M=o<)r@pCEp-g*&}{0 z@rm6Z=xEBms?u$4!Ow_}l3D8$}S(VVwfO8>6AT^6PcVRzF$z8v2M6&%M3XHJ_J>n) z%pYcu7MGU|;;L+EirRP?nfu%Shl4V{FiKVWkq(rzH8t+**@(m%89szf23%}A{ZDfF zH913|!w|vMYWL!outxe_vPh1#E>H?TxuXc1nw;@7!c2$fNNiEK?_A67f+9;)DifuE zm%KZ>D83RAT^c(^5WxrBo*3X|>cFUH>@tfUk!=d1VEgdedwYsxwkz}AH>)K*u!1rJ z4Zc0Z;rrbeX&=!IMx48>_L-Cn=Q}(O41vd*7PZCF<~ZtAwV`qc^W2-cPG7otU@e&< zi(Z*rkd9g+EbKz!`vXsCo4t1KO*Ej7USf zOlD=&FrR?*4|sS44wMuj*i1@^G!P_sUw#O_48yIG^Vazft4%~oP_sx;WPmaMiLHUJVxjIsa z^fm`3;3F0tQTJJT396W3Y;ZvEwH76C}8Nn_3y4 z-=s2CB|^O#i(yr!(tnJFLNtIl4|IXIP0DQ1sT3r}Ai967N2`SX6R@)8bDbQpjp z0==nfb0)NxNW1M&us&w(e4db*sNQ&B!*=@PBK7m7A~JrQgo zUL&616JIypBYPzMW0@2Gf6|Guze49J4;bv+sumX;ZD$chQ@Etd+-S_p@aT>W1qx_CWd z?U^;iAqNPe#oS()21C$6n85@D>?n}Irk@wnAe4BH<;R8kMXZX*lLlZ$6@lGF>4z-L z;UP9g_{d*oD+QTr`S!ds--WBFSXfxA$oiR(&GxyVL|pX}#O*5d0BkVn3knKe-;P!n z)$o@*^u^yqZ2EqfGwgVJF7)# z2YnwslG&g@r}0I~^4PNkCer$0*ClBCMWO$Dgqx-xhTxaU1ntvf!FEN%2Fs!zCf6)5 zrw2J<0u-E8wq7EM7rl3k-*1 zXCq)!_&ec!lg1*&e#ae>E}4nGkPsStEdRRa_f5<`Tz0`Z_#zKtDY>T2L%NopwHX=d zS_gb|j37KC?(fvOUqAPMzep!Qd8C^Tvk6o~X;94gM_2-BytBr~C&e8p6JaiF{obhv z)ddOx$ELd(--E9|qOn*$uKfnu6JP?$@#?nHTV+=D^bqm>4M<*Dhp=;eL_^ZtDclwl zyTH}6GhH5WIOuxwLbp^UbO8t?8E=D2V56u6)+Ucxv(eRrs4W7|vt$+E$XR^tiMAT% zb+F8cg!8oZG8gC+3OkYfw+wRfRJZrQ0b%vyWmOjK$1eWo@ndR%w(+3*WOyn*IX*s& zCcMxhQ2ZJ|&5s_fkQ3+*`xwv74p&!F2-?>(?ZOPt3|jrPCp~l;-gNwq(~^kZ4}B%K zzUpKkqzLCc{U}ORWGdu>RiM}(qrh`eY47@#t#*?)1h4|`Zl?0B@h~^N|tGuUkaeSVl&YEUN`=$Icto_ z9E}^=T7Q45-`c8!XEastm~cUT7KF^L7ppf7URU6|iX!7f&>uOf<9@vziQtdGmhA7h zi~<7fdjihuj3|bvFoB8(GG8my-5C6iuhU`Gj+*>IvgS5-d(i&yjJm$KpSQ{oUJ#(D)R(9qe$Bp{<}j_2^1hpI!WN9aWq9Sp-u7v#JpT6 zvt)PV!xZGl$yd9JMfxP?eveP-q9~lU3SQH~XRrbTYN6`NfF>SN*`~~KUmSsTWs~jq z&rt;b?;K_!C%);)FDhz)K+U_^wqtd3n_f@WDJ*)4sV+Pskh797*1H*wWXQ?Z(+?## z1~cf9X#qWhp(_7N7G@=W{T8^m|3Xy|9(`drwRv>jk?(s$?0XCtz0Gjsm=s`i45|~V zt<$dR~TmS1dva5@U+ z*qM7|giW9_k_Ws>X}5Vx47&!{46SWxijN7u&A>pOfZ-M3vo!*2rPUR8UzS0t6T-{B z;+|NVK&<1lPKjD-d>(n(dAYULybv-wa8d8f4_6CaQ}PuXm#soLSH!Fu&G93RmomA* z3=A$sDl(gq5K4ZF$AN;mgCIVH=nvaVS+iP^`UCOyf918S0Q3Rto|BdmV=!+*4E;)O zRXkNq$s6BLjl`9F5RCD~xf6)-pOn7>;`Azfs4SXxMGZ7->;Oe$pwQWRdD6!NR=Uyy z{&0@mok#_3=r*Q)m1_=zRtI4$YSgYQJR9RjnLi2i`e(UM6r(Oi$w`L3uTS9l8ug zopOCR^J@h*e_y@haefJRqJr;)e6XjCv?sf1M~``0!jAsU71E$*DAV60ANzcCy9WI|ZJeyXc>NR({kGkVL zDmo@Ujkxa-l%FsBb_kiL8)Dk7C-J?^Br!ZyNuB;Gz5RL`2BT1FzSjVM!==iq45NOn zz44Z^jRP^2^D;6`L~2(r89XBTsoyb1N30SQgwwCtpO0fp=_ z{1UJ(WHPK1&ZXKyGk)a>^?p`5|KJRRV`g~@?32}gZ(P8^l`HZ{NVDIC;~4SNhnp9v z+~^fg)j)TnkbE2#I)5nuR~lkPOb$Pk78x zIpd3%K2e*FLZK?PXD4qq!OyFyk?D)8@~NCN`^;G?zqP0NCp<^dqC``22i5TpB#u#@ zPquj^_3Hxve4&nJX|;D{iY zSGC$IC&*=5tb@a>G=jU^z~xQQMCqaj^oJY8-qxbqEvk(&B>J)BzS_@x>tbK8!WR^z ze=+W(hgIJD0xR`IMYate{7-7()VIJHzJZT|5`Ggk$%j&3NN zWT26VPaxT$G28s*fhKQ4Rb(Sj;?H#kKf~o8%)jdY>4FUpDmJy}@@s)nU$k*xXsxgI zHxb#Fuhyryj)x{fFMAM{KDYVAdNb|p?2LOYd5l;J3f}+uu%+6g@(Emdr`dtdC`E%Y z3;q@74BTfk{KxovjRIW3I2)r`wK0t}=I7V{y1BG|S1^d5@;7X5Y{akCwPD9)4;&>* zgj-u(`rwq|&hnua%|S28ZX|gF3++#naU7la9D?YZ=0zPG1U+dqU~-@lxL%W$0DvydN4JH9B>4Yj@;O zbaxYaA{P+ivA?OhY;#!2Ezb$^2`j^0ovH=vTjZB?ur>E)ReeqyZq<=!R&J~jcE9jm z&!MyA3=S5Bxb+?r6&0J@IPPtMCA#|Z5YSYjgH9eyGKsOU=AXqV)Q5{{_g>=I-Rx+G4c|;Zig;iEb3IKVM$B<>T?ZdgJf_w@Obqhg_|!@A^X-?B}{w zt}Cn28*n0!lMLHrIkofkv9Im*b$Fj5G4!-Uo>b{s5J0BMx|naX`0Pzk7mZdDUr*#w*StBqwKo242)g11u=T@Ph z*P=tp9aw+MquZsii5%AX{&IM$;}5Lz$O^n+o2d!~7+Dr{>sZBoiS9XpL^D}&apO1a z#i5!{#1;HreaWjt;!~?64(zAd9^~nIy9Sdj>Ls@h57|eukeu#dW$2biR{7Sg1b)2B zbkkONCfrFx!&H3C>P7V_@Ta~R-+K@&wnqyG(@O1-n9my&Zizya&`J4I6{mX{&cncJ(Qt2+^|YtwRY zBPsmE6jdMD(E66D+CutBtb%bw>USqVwz$OP3i$I$}#-$Q2QX`Z-FZ;phGIeEX{&Gn`l-Ubk;7e|3Eh#M?yPH> zYK>s5Cf4bavbs@8{{k5D7hnVQQ-4tvNEULmfmV6t*KJ0M3DveBhVrU_%Ks)OTM6-E z!Ul?UVGCo9-YNuSD8U=JWOZ^*4uI^44&&hNNk{Fu^?Z}Vn%$wL@pCEL?ICtuZC5U1 zP61TEm@6_>=y|w1at}h)<58D0Q_1Peb;O^aAs18J0>XykSNrpRVVLRUhlhI|hwV1Y z(A-EAl2u4mk+6L-G^k}NZmdAB${#^)Q)c|ZW&(?xqC?R1oZ63u~zD|FoStJD%X#fE?%Q%hSk$Ub{nIAqAcbsqoGX6AxJ{> zAky4hA1}t|k+;?(W7^dRl9S zL2NL*@~+pj|8P-t`-{~Z?k{r}CsetPD>GhfXgsBMm0O4MU$E>Czz5;y2}aAwb4J)U ziV*GhCYdJasJG=1Y&PNUckP*<;}Z;Go07ik1u!m`qYX1HhW3g;jvJtUI=q1&$-VW} zF)wA0MMrqEH5eFzI|Js*{uMH;DzAl)PQlQ{q;rfO-4_bOvUS-a{YY9CKM`xb_4IWAWyb6KA|T$$Mw{Ec3Msj+rayW2HE#}I(3`698y2<>Ge#vU(wG&jUiCmdi9n+EUBdGA->=-?}S=g8w|G|N7a;KV4yoEM(>UfqStf`xH1f0J7fjoK-YzMMdqo zYI-+Km5Vph=A~~Pbhw06gjedX!V={sie1ooy^E+^uEW8JQ1rXQ63B_spPRiu#pM(F z?%lhx@i4Y{>Wb`}5jt4Ra5Ts-lBRz_5G)&uFVEC4h1c4L`?G~5tWO;Ep7p#beD&4d zs?)Vc&jHBPOj>$NFR58`zX0?zuq8|P5n6jMrR8L?_3g?%r=dxGKP8^pir#rwr2jNI^wJ1v)x@V4NSrfvgBYe?X$oGvkR!0zA(;nik;(@pTuW>;ELzU6-T zlGk$zfwH%J1NED)Hmi{)_Tn}~)iRhVm4V5_MASv9P%w6)>D+wX$$RPfLSaiLd#od6 z`eA&r$*Lmq^sReASMfS+7+VQQD;glsDh@ZTA=yoIe^#{#qFHfOB?v|ZIA3jz%Spta zM*bFJCYscI0j7(*Wwl7Tv-SFfHyAPlO;grx~nQ7IM*HYWT000Zq7-hZ|!p|2K(Q7vPt>}VCBR;L#tYQ$y z@Av2i|F{o+6(r5Ob(R%NpZ*AhzaA_jdkg^UX-}Te4LIuE{OVbHm8I#5x)C6KkLjBy z$yKa%M016FzRn2bEN#XmO{Z@HdhARPNBR3U``O|2)(F{LU?TjLZnJd#z@~{7JZFh5 zYUElK<+X`3UdF20K@++OR(F=S+Lo!K&B7i81>AdW&>l4Dzvn@^?~QZ1ax{jc&;c|BaUECAiBKTD1N~q=H#@)=H%G~ z86edFO5N@@g$Ea9zCl5xU^#`r%^ zSncX7-?|#8n?LmRk!k7K$@n=ipkhO9{w@)ay)b}-@`Mk0m5Zk<7fN)ipm6R$Jvy@0 z$lv(I@Nnl6S9vHNbGxFjYC|q-Z~kD-xt(7RUKSM!c~Z7Hhlb>@>;BsdKl(_6`ulu? z&Mq!ZH~azs68DKF#y#|v>`~*zRFV}Rv+%G!Rav!w+m`7wx#>+=v%f@=BikzN4|v&1H>xP2 zLR;5JJCYQglw(xxv!xuYWlqe99d6qPfZXpJjJ;uF!|AT9B@7+HAnoL~u!Y;?HX--C z=1nK&I^>Z;RvHS~yJd6JdWE&OyuUu2$6cMh?$Ln6#Osg!;%=~wq-SuW6HkvQ%`g}< zy(qA$ZPF=Pxh*p7g(+vTJUi_MIGloe5^AiHrwIHANul)K8nXl6j>e}CZFD-P)?vSP zW2Wf(GsKoYJ_Qz|4m1?^7(B$F`1Y{e*;b2o$)$pNjT@YXueuC5DQ1tn{&l#Exr_oX zFT8k6_6v;u_Ciez6%7sT!|<@ENk>~D86Pk2wbwzjG7(nK3mQX0ahRW{SUrw6=OIH0 zx@F+AAmEVlcEXxGk35F^!0dT~Z(WNTKjR<45q@;4FzUuqeA6YaU+)0@v<%I{F*o>w z#!Cil+EzJjs@J<+;SqAktm#q_YPh1Me^S@F_*0f}#?j8HYYwpwMxyzzKO>{d=oU%N ze+6+d9ZGxZ1(BHLff`$xruwx>iU;QSUSvW_BZZ8Lz|P@>UsDh z6K}1rNw5KAFcHXV+?hh(K*t$L@yBhx;smHj6+q$KYuxv?D{P@CW&h^hgxG`@jUGkf8?@~>xSf@Im# zWzYHDZ@H0X{GQLADKZXwCpeSjf41Tp9|Da$p#Y-fMSB8;fjZjGYIoL0?zRsXtI>&H zK`f54cT~q8qdr&;-um>y`((}b+gpWdbrAE++YLh@`7{>sx%Eghc=KOQR@BncVyNh5 zf}=2}4z-#-XO4WFE=`6{=EJGDTRhOor4kVlS%EZ@|6D5KRGABO)0*IBCJA*T&l|hO z()7byoLk%3lIyGf_=?IHs%WCHK*J6cYmG2(OSo49!pZB8UA`fEQ3Tm9B(0&`fN_D$ zz3TbeDzk;PqAS|*r`5KKUwCn-2u{WN@2I=)@%HEu>E0}mX>rOVf?9zT!J-1CiR62Z zb*^aGU#mKo8c+Cay>W#mk5HaldQ2w?y?WZV&*7#|6SOB!A!$24`>>?6+Pd9w-|oa` z>7Q*Eze3F3QH@iwkAB_I-(MIFe(MiVB|sxj&ck383PatJnT+ysx9xi(Bb5B*L~QJk zf9q-wyQ+QbLhbml|MdtFq9!kCN?u9%?GaKP1vvJOhf3YKF^pqMSPvd^?P)0kHr_}T z@v)i)K#=O0l`tJ>f-2DPth9%RM?1h5zWy6e3UT+_3=eqlARoEz ztu*26DBUUGO=X4VtaE>tQqcZc}k~y~e?JZ8^=qgK`#z z1EgM`H3muj7lX};=ogtVeicN(Z5aY+-*HSI^?6CYVRHPk@967e)D&U>W^fvn z4V?uRUUr$hF|JpYRjOr#`@lXV)e?M(L;q zhFN8)?FFc73b^S+Y69FSK`a52O=1Ujo{-@0!Pq6vvkz$J98Xmqowg9`EYwu;mVeeG zHK!|X+|o9I4o6EV#MieD%a~721hWb*f?YdzQ+;X8{sKXl{KJx(olh^W^K0e|bPB-S zC_zx0o0Pm`8MJRGxnMEy1OuwO0I_~t4|v}QB0;*t9oGOklsz>25U(m-}WAd$(gr-d>M@etmr0Apnh-80WusLm->a9XRX`REt->Tw; z;2YM~x#mY5a-`tg5fx>jVly@NG5>Su|LaDQzNke!01tOw9G>1Baxny`;}Z(OOt{Tm zV7V5pX<#bk>+Rs+2|zq|K%Mkz)ZFx%YV2t_Cg`J}1a%01RdRH4YG6pvQ|~M;c!MWY ze@t}QZyw_r0!x!1x?yN@HcTc!%PJieQ0Pk~JVtTf+CZ%T$Jv($LfLlzmnch%?4_cJ zlu+4|rV=F~gd{{ok|k00rqX6>MOhj2nU_EEm*V!F~GRMwq`S51}Kb@*?xcq06x^(5+pYv=2^%I(I*_ ztJur!)71{WSNz1?`@JG_vU4gHFl*m#h6X##OssAVEredlxi;zQtjE5qt%2*+kG`71s4$OdHfX zeYt#5q+q_*8?Jk{s~FhNr?1WU1>y#|whNox=E;-JOUBMc6t|Y*R$+Gy@65%sa^**9 zjtdtqsQe9bF*N16HqZ2$XCR(8kLbfrwOetJR3WYEn%;76J$~`L%^ktP za4mKF&#iy5IIac`KZ*;Czx!KMOR)etILNdGgX2ukp(`EU2Q>mW*fkXFif!K_Sdm$v z3DmD?Z2YTRfJQ4jkA6qK>E)pv1I62f1;hIacx}AzYb2W<1qMiQ=#I>|x>u!0QoJJMyy{Ly6~sMRnG=45CnQrEu3tHCWOQJc&(6OUei5mu4r*7jmbqPD?Wk{P z`-Y)Eqb}RJ*#7REl?Q$h+JD{_x6 z#aQ!8^YDe7JgZg>M*S%~Mi)s77dePYNJ!kyexdL)=mXMj4Xr=6Z{P0Ka$U3Y#8bU1 zfTRhZssI(|{qoRU2aF;c5=Qtelid5Ax3@~wLI$VbaeG@9WX437D)bTb5!4*Uw85K4 zL*KY1;_FEl*Us&&h3Axi8?-nF+ZC*6U^%E~E%H*JqiPj+vjXnJ6@KoLa2re7#WI%` zcAJaucyDDG6>RI&TChobtyA5wg}vx=+eJjM?+8Rb{+@?~eFRbJVsD0B&X;Sv${~&! zb{aCLzUStSW#)Qu7^b~h$Sc~~74o)ea=de=N(PhKaVwGYn1B)tI^-6X2jd@96xqPZRen=eWiZ~xo%=z$eG0&Aqsf;X-LKEp zA5UMv%m;YW2>eWO-3{^hQJI{6pOHLa#VrvnoL(MK{Ll{R5cgn*+9Q!hJBxgc5C8n0 zmZjAH)fTz^b-zD4ZrU?d=P{x8WLct!m3_x6{v^oFvDvcy%2;kKs1K6A!yu6&zHj__V?b+4gx55`*asZ;&6OTbPRo$5?pfi(SsozsLhHS#3g4#dLub=F{O#bv z+V|k+AH6#P?Duvc0*T5-8_xGUT>{LJ)vue*9oRJ`V&8GV_^%dZiRJg!{e?SL^Pzl! zF94vr-}YLLA0W8lg{$UxQp5V=4z{EoyV+L~A>4~YI_CpSCxeyI8`5ttRs)D-c8$X~ zvT=Fzlkf~!9vPRaiRZf;R_+Lw_!3qA;rx|{+|~i^q1Lt+z=GAEuR6G`2hu5dNCwvN z+d}8evb*^^hn{J0#uYx_J^5RaK5E{z^8;BRZoc}hM2}?0P<0>x<-a=a?w+r`M8s{p z#Kzs;QH{CZ1rn3%YR-Wx;vKW2q~9UY&!v_rP&Klp$lj+oz|n;aC;ilB9vsHU0jtv(}GQ*m#UJ?i*@`@%95x z?rSX(n>GW!RO+f+gTLeh8WWCdF%KB5UF|auU$7kI!Y6M(P_&QsSJZgQz3r=;uhlBf zZR89v>1+2jOe`!mxGZq~yZx_4nQ`N%PZ814(ND~`hW7f~_zdb8ffnr;S`{xdx1z_b zr&nl=zxrH}5_jl?5kOD!Aispe_Ok+c2nX^*-}rsx)Vv5DhSDdQ6w_ZHQK~Bq`XmH&`g6G4K^I9O zH}d3K?;?XJI_7}_gXX>xFqm73z?PiwROi%qm}vXg1$-m8tbveTQGbFR$cx2An9dRp zrg=PxIA*N_LD_n0&TDLpojRj}lFvsDO#aFBc<|-wch~uz%f++$b_YWiI5t&i`_B|@ zLx-BfM>LI?D~`U1@4m9@*?iAuy~xi{9BU{OTXt4IshgI ziGFyyw!kuS{o_Q}(&vg2aT}i`Rm(jkyd#ndCja&XI6rSEubU8b#){sLlM%#kWE*+M zH^~ZxZ^ep{iS?C5^W5PFx`(1vX%qX5)lYHGa!Sq>C!Lv&8=bMV{CJrKDfdq{$)*Jl>_U^A^OSO_RLq!x12|1?pDmu0UY6R%@Qgi=QY> za^&SHpAS4Ddbr~)2EmS>PT!d<8v7xu+YF5_Ojg+v=J$g+cOE_7X!Y`-D}-J}IXk5d zHVrxNn|KnCf>LrN=UjEIpbXaCwcpEFG=2EZn>Vt6K))P+zws}eNbcxx+1}Lj}gr^B0X5Hx6j?Sm7uOfZrH~K;!6m{nEyLX^qjk^#?LH zt5Kq{!m((nn#gCA5Oov?=9bpKdFidBW5$Y|-vZ6nHGlAh(qie&h=lSUVy`toNiI?B ziu!z=^Qh_@4IzM>hGX_T;T?utm=F=zl^o1s*FAYBhxLx7Dqm7TqkqY!nwKrkJwC5_ zJIN_QwIm4r*%w>O(jDGVUQw|e8VUWp@p1Bd*rdo(xv#f3#_1zWiF8fo2T~&ZnP?@8-~l z20Y+8ZMOz}eVOhx@GjDzYfU?p3wRVBw=R-*2DAmWbU}zc=imh7kEJL3`KGoMz5H+n z>HQ8TZ_aW(UxXI?&C&Uk`O@?N-dSYa2DG7J2gALsI}*UF66HP7p<8(A?Y2@`Go`-P z=eOM8lE-$i=p_l)A2dGytxSZkr%=?^-|y$4P$`uY7u6%*5pI+gE}tqLo( z7Oa{QlX5b^eVKYL#^S3cQwULW-NwY02MZ=Enb^UDD{z4vbu#Y&B#R`+TJuxRhdk+7 z@3}qh;)39S-8o?EPRcX`gpeP2EP9zY7z8ISFy6+lO)pa~<6My-A3 zs)wdN>gBM(JwHw_qUC(aA)+e1mF@Gpo|+5s`#JW730LvCRq|FrYTfTPbTiv$1zFX5 z`XP_WT7k6pslpPHlK0QD0fzvAftPFEiw;O%gRfJ!{7g+t z%NoD{PF9TF($f8K0TR8k-7%5p2JCe?H3PZ71{xJ-fCuS)`hKztIoS7Y1iNVlcb~q1 zG*x1y?CL&XiJi>lgI={_vXfvHy&z1B`>GHYvCx4Pq_|rLAf^zpxYGwik=+S&YnX&Q zr4Pz-0{Yr|LgqR!5$k_PR4y3-XP*xlQ!~Jey*bvrMu`@Ptaki*%fR9L)DD4~kn?OH_vm&h$sq(>Zz7jS*X^5dRSf={BwRTPG* zwGoPa!v1LTiT$s*is)FYt~aG{Ar^xvpui<;qk5ez?Cq25&#fb!T-*^5rHw3+>WtM{ zgxv9>+&n~VHeK_5oAF-(ooC{wVw_lMg8_O*Z*3D36aGq}FDY;25~Tp(4SEL_LvQS% zS7&Csp)Y|Y2vTe?NJNv@*0eKK7eLs}wdD1gF z>n`&8z%{q|3V+;=q%5HB9GvL_a4RIgIo$v24oU7v03C|fA9=C9J<;vwnLEj*%40?b z8KEAdMaBhSO@dBN#?=o4n1Yg~rjU?&)?#e+05o(2iOKA>7w1AwZfY%e%=ckKVf*j zVlO&Tesao@;Bj3=zS7d|Ka!TO0Sc}cN(tU=fILX4D5FGn;2`wNFD`W=<`)JYmbnV~ zdvb}5y$5ojFPG87T18LYHQ;RDNw~?mXVRbHFmwkUvTHaQz*+t1b>C@?Ds&Y{f-vA9xeiA1`M^yer*?UQ2j2Yq%(({qGk=oBTt5HiGe5XO{6uN5b6sW| z(2Lw0KcORCgW&%6ml;@;g9E+=O}c?3ZGN8^N@S2}BAaiSe$apRo)GdFpU9kw+8MJ0 z@OXv=3E9J+(4nh`!zcWdbvBk~sfJwPjEszR*WZc&L$jCKV)#nf zet&D}&~5Y_zA7bhw04NMo7EX*Jq6Bh#iSQuwCKTWK6oRB@?>H2kfR~pC#GIg`dzgPTLay*7YkrEx zX_TF%6W6oe-kW9rq{jrTGs9y~ksmgIf=8C_bPEvmT;2j2#cX9Wz#4Z7^wYBeKROcr z8B)S3SC{zT3jO8`cWo&Hl)Gz#*|P~*#9Hy$2tf29y_T$5LQBu6qyHJAI4`8R@-`sX zbn@bZx6D!`FM>RI{1oRt8+8p{)2g7n0RwGqZ7#$5hKAEsaV*itiLs~FKgoA6ok;Od zWO{(*kjbxPon=-WxJ4l6_k%VA5M4lquD_0~Z1`SWmJxB1f3pMSn;6hmRgMW83ygdHx_!?S~# z?vnw(D*++5)b1Lr%tj$wKyOcY6dy?sv3<+b5b%ADb<1TM92(lIqN4IdCccxB=g~bu zzfu+H1ySIS%#|IW=pZC`8`lC>ES?AaR@ML`=hIC9daPge0u0>=yd%P;tyx6 zd3c#=89@DUwSe-j)0?V3PT~Tv3>C#XAh+yqF!bk(nGg!&c=T|RH$EyXD(T&ePtX!- zHS(8EWpC^}IU(4~G0zDCz&vH%vpUsHtCi3kM&TeITQSG#G3uy&hFg$tA@`xbv32s5 ziT9w&bLc9y-G$`=HD?pPZE*ts`0?Ye?(UC9-)^@|hSYr`Mzq3@f`WJ-er)0eZvoa4 z*nt1AmLj)@LlppKtY~fJLl(%aB4TepB=r%CSX<)9Sj(TBde?!Hm%T$j;B52*(`6g* z6yxn4)ZUoySrm>K0ivwv?s7jU*Lx3DLtzsZP;yPgnZbwRM%lAnUF}LBqwN1n!~#>SVxF^+%sOoT=3$B!SyEviO^-$t$1I}ByZ!JDQxnTi`$$|Ai8TUjBKzx>;JH8`k1`VHMUG5`_Np_!+*Pm^aI;kw z?Xr7uUu4UJXTfJNZ1c-5#GY7te;4(kL_-Ys1?^W`Vfu(By%%T&L<%7n25*gUX9gq4 zj@5%?m;pNv%+4z4=I8JOB)#Tn(nsof!U}M*0X%;zAt9mb*Dv2MU%oUQnh|vu->rvA z2AXf)l~#X7=QY*isXv}KwP{H5eZYpK=A%Y@joA*CH_hdOY4!`oxDtUm*A8ngAggoo z2Pi9H)zmlcIW0|nL`}39tZX0YxL;w9amFJt2Lsa{HZ>Y%2F$&~#))*ZY)JyFkC4#z8$V2Lc({5X?T28GsRXLqlj zrRiaeNp~+@+8sboUbz4MVWS|#bsWcz9jj<=Uj6RfyY$GE)YQEi8XAyh(Tibjp7Z^) zrEBIV8`Qyhw^R51uViw{w{iPt=pJi;M3df$qJcM_At51KfF5`EucO3&A3BXdLVOAA z9V#SnuH_+x4Hg!dr8m4z;Wb=y-0C#P_EJk7We)T3-AFKx^*mnrZ{M=ipYie{GBdYB zYS7-ivbL6M#?O1V?Xdj+W!AVI%rNQqhoyx7?d3v-GdeL6F~5I2efm^1E$QXUZBNx6 zZv8T};`Xc~iJ9oEaS@(_N=Z=tP{za>a{!OZ8D2n1<>hqb?rw4WJD3rixStF(u?B9y zXNgxYUA9c4y02gs5Qw&l@Py=oEMB_wTWxt|C0llOcEzVp@~5SIrII=`52uUXnp3n0 z8_|JSe`f)WOb~~>;SdPrO_Qalk?-0dqaB@7KUoib+Gv*ZUSdQeO=CMB!!-_8l^7fkLg#f!SBA9hLACmU=12NMd*@UqCI}N0W2P(u<*a?&3|6)& z0(gJnLTK;uwu;;(jXiuJX#VGK_G)j%Z{*x({9`9@e@y`chHM|IxY2u{`&^XfnNY9? z?CE54&gSOkEeZ<3+b3SVdesG7>u=w_J^Iq``udc=>SM~=G4tC1utOcg9Cq~*E**ts zM|C`4W3R&c&6+0e3NwHs;|Erq`>?hjV2YD;XW~cPcqz@KXueGnfRCGO5iJzVA&dOMu^W}SXNVIEuSM(l>DIG=dB7V2;1U5i zr0)iTBAsn_Nx4@f!{llz#mYUG?o=N%T0d4Ttm(80u zS2Q;EtY^tLktY(}s9!+ZK+3>zApoJqy=0JD4Re!BgH>FZJ5MbP7YW+Us``P94b_xm z81N{%vU|%U?7d;A-ptPjVx=Umr{T&}e@66qX2|jxnVDu6FUnpxHC-LW4p}b;NqcRw zoMVhDWmKebhU>#2%~zcUQ7w0YHrX6^cO?_+hD;b}@;%z+xPOq}t->SVnBz@UP9*Rb zb+9E~hD{A8kCH#X1;Tvb)|1R?G}DU@Tj zrH?qI>KR_{Y`Y<_ZX$C!EU`QYV~vjwbcV5dp|MJIUnNay`e6{-{K=hivwB$~jVkvz zy^-EVm4jvgHpbM~%LCnn`Wu2+vw53rHg!$rJT|k|RWgl$NJO$02Ze+?vzI=GlGFSnU}j{*|K|J0SIaIsAeu~;)l6P@YpjAw~Yu~ zu^aj>NV{ZUqc9?n(iub%fY|PYQ|`Y;txH57?4DDHYw~{{O<=iW9FWSGn2+auP}qWS120p;a`v zvy8w4L*%x>KYu#8nyRbsGjKaPIx4pelz$35>HYu`YQMXmgYG zlWE|pK1+g-vH??nF=zYf!G+l0;_%?PauYq*>U$ur)1}1W7BG#y+Hw@718$?V?1Q`x z6FT}^9BI&l$_#?gm3D*I6$c-u!C~|Ik$;@L52O`xoe9fPJu}amHKjXZD8}4+#g5ka z#we*RG_xa$ezzf{DCLb!s>s}PP%NkDD<>naER~N5Z&|QRL89@(6QZMoH(&=}@XV&C zMs)Na*MUfgddpLEjKprjLSb(3Jsz;hLOU&#V-U#51-zskbXa_K(qCGm-%aJMJ*60e z9aC|_YsxP4fZ3m25DJ^E%!b54x6u$Q4yW*lJ=gInZ6z+On>P8pkV;71 zaj53smJi-?p~+6g6+kC3o(lk~`~3R|X?7oJ;~~UzuBfRYId?~GfayFqIH+WAZ(mcU zf~S(u+25b}iB1^oY4=ffPIRCNBcLjMTT35;Z->&GD~%MQ$aB+4B|x_(uzE619{)W#pp3EduJ6!rd8MJ` zdh$fE;>Ex24}*e{#IH)M5EAlO;CNoN7eMYkt^=&ME^11^sPh8WY@^YtC~_27opMP$ zsdn(U$gCn&_~J3f#Y>j#1<(CFD%&w8Se-fJ6&e}}1uqF+asg(rR{nbq@y)r7fhfQc zZh=-{7&Y{PJq?KZZM~DfdYRp!3TOM?zZa$}DytvCzzmLV8Ditt6EHmW5PL?!AHGhmNZp}nFm-h~v9`@@QwLUEF*EZMO_$8jBFpMg? z(7BEdgZ`q!NCa?B$SosQ(o_ec!*EohW6pSvEd5}K4q@Fd@WB7XkRz^BzH^NqD{M0l zqVlta4gr8J*v9(^Sf^kiWSxeUu|C9{E8oF}Mf{SHTbH2VocA;wvH&uT4wp$`M{(g+mz)95I^BIcGZlSa=z~N?)to4ETNFN2xkt)%&Qg?4N zP>flB{`}d{Ii6KZ(y;}gorN+D+vn6{)USi}Dd&fg@Sb>l5K+;VAm42l*C$B`oL~vN zZc(PFxdLFUae%S(PDy0LKukFBkmwRYtgf`LcMmZ8$^w-FH5_WAO$}AY%$&><;sPJe zP!6V){JX-9;23MSS- zK~QJ+=xy{#h5)Kn0a5xDP*2ZPeU$*912-g3y`e6Rt2z@}E##r<1ypQsuz1fM8sQ_f zVh?W$cLc>pGUJT~Wzl}se%hm;EYGy<5;_7t^M0dw@33nRnVe7{;0FS45C0xm^Q->N z1678E%GU_+xee$6;PFKOltM%>3L^V~%!~Ll$2Lbjze07y7+HDtV1Uj)F=U|gcc{kO z%|v-(9{LC@+H{m;8%edh_N6ch!KX5 zLn)8G?Y@Mo02%jsdXArB>+T{B&&?vxUyxu&SxqX@qWNtYC5l$+(JUvZ2)@z zn0R90a()SLD|Xi{q<)0ODm;8;6VN)8)kbaz_}&0=Uy2<+ZMsq?9c#CvrguLm+Z#8R zTRBU6fpwN*dcyw}nwQxq?T6i{(Rpe>96s(4+H=!$5TXqskfKGm+Ld}57r z?SVt0@K@264sS_Z0L=7axMv);GPf7FM`k2C$O@;R3qAR76fl2DtHLn9ib1LA)ZA;Q zh|0|aypAqa&_`>LEB-Y(NRn!m0N|RbGg$T57DV(tG^FM=d_PDnkl-=Tuq{xkV`&Q| z)zP27;tq{2`%gn#k4(Vw;x9;1X{N$9$2y+oP`-p)4+GQH(rYCvFdxy+Uz`LIbJE#k zmf!&>+hh$L$uFBC77D`p1a^p*W2TED+02hHR#v=3w$Q{aLR~*unZw(AKr-Cak4eNX|vYG;t;^~l|%L>XV zlYl53P=$dqrE%57QbSRF{@|of-0E)Ri4s>r2Afp0w=z7lFN|OoZX31c6uWho zX2LUqhB6U*`Q@lkQg*>UNaAk^h4yckD=Pm4l96aUcI`=cvaHxCk6%v5wBm%K&ftz zX-=v%Q`v=SWONR}z~=&z)&ufLI$xj)hEOInG*y!r)5L|s0@n=KO9M)Y_*Kf>>J8)t zJB+IFYsBwBmVAT{ZyxF-1U)edXpL#$JR0z9^$Rk1=0vw~t5PT|TLRJAV8=lm?SGI4 zSh>!ON1U+iE79>6NXU?5=Vt{54n28n^cxX`deU%6l`=Kb0)*>gQ(@8NB!v!GX}&?o zh0V1;N3Ew-%B%sg^0TIw)v34~XBDT?GBF6u`S`b+h_4DkBKu3;5#F2gpc(?4)xBPi z|3{4>N`Yc?`9O@H$>rB}j2|Rg3W{*dr5DkuD_}#le{E1)xiN4MItdDpk^KEgN5=ab zfI}Jt<4iu(jm#uvt>$<(2R59BBb=a4#zVI4`0rmOkFVO30F2}{aBs)OH-?h~JEyk& zoAi?(K=pxe4ii2bJ|H_~kbT}wW~k5JY^t9)2!IS*`&TN#jL8KTxbQ7V8Gp+NlKLNu zqN9!pzAjMm7quTbAJ4_=m}`aAkjmPBSq9l14AzI+jEVJ(6GF^1~PG~lCu6NLf5 zqWwR=Pg+w|u0ZI}qX0ZYhR)4Lk_G>5YdDChl&c>sjQ1(e<@n%!Vtpm`r{riktMhuQ zxaQ7n;2yizR;tY*ajX`i0K@Q}$u7aLVe#EuL`o45Mj7_D0Jr|mOM6<^1tM3&lyN9*$i&<9`W2l ztk-!G*-fim_lYe~+qnQyAa>l*EP|h(aT=;7_ny@(LCrP?x?`Zct!DWM@M%|}W|Ml+ zES(SrVq_Gbv7Ck&_XVF$dAQSHy=5ZG=H)C&W3CF*7jkUT83O zHPve9HBRu4W89D@eF9>5&Cu~4+0F*eLtL(T4PY?U(vUlen#zb}bVUHeD6p@82@M|o zoJ5dAWRTZ!uJ;J)S&sBWY036AQk|reK_#0ezkwVQGA^Y_83c^R zp9yt+@&e}K>v>5|O^g z%iK1=rAMWg(^2cPe>+0Nr*>=cdu}Ecb0P+K-Z*NTJJQsts24CGEkbPtl9EYj2WVC% zrcQR2p%!>Pjp$Ggicn;ZduM{)7wJ zn~W}g@ua%}6tPinWNiRet8IoQ`cG&98Q6{q-;|CHVv4^7n%Ds&GW%SmXR5Uu_Ct#Bt<+TjDw zDZD{Em*?)akDzW?dfP)ao!FWWr(V7*4ws=`M64#Dnrty*QO5-a6IC1&E30PUB}ll= zr<>r074y(0V&@c-jz7+k_WR=|)Nr9nIv#@ZbU&qX5 zZNY$|y-`{>&&wb5raX&k7_*MZx(Geo7gXY$=v)&!Li{ye366kT^*Is$d3bmD_#@zn z9rcbA`dC)k7jLnHY_?TZ7vKgfd!gX|Iv|)- zf1&RU*J|8Jt{|GvS;L`z51K@%S~$DX2&%>?5UH`0k`L23H$6&0G|FlJI|q%DHwsVr zb}?($5dN!U=0Q{}bCb(LI*8c62Q|T1;TC6nelv1dfdR0Q@I{l z(74nWH7u{_$@M7GirG)285QUa;&tz3|4VVBp;v|>2D^r}sLCrM~s&_Gk04&y%o$!3{jMUyDYx7^NiUA7$-; zK}&+N2`Fd~B_H5O;m`AN)oIh2A#@4gFp_c@1FQoMV@;`z&D z)7OFua>P4luMu}DJb+T~VHMq=(8s&RtvfzGc&8q|lWH71ba>rZ&L}OBj~(Yy8h?ha z?l_koqqFgjdi3>hv(3fIgvno4_k(A*eMi>q4Lb<(MQTc+34NT^r4^9@~vS0>&cYV2q~A@ zTY{!b&uG=0A!Rfe!JQ8!VO+7g@wX6OS1Q}?t)G7GB;9j8a>e8HbdquLx?Z~G;jJX(G-7ig9!9>>Il}+fd)hVBZBNPGS+nXmvRtD4G z=b4WO@L0eXYDkgU=0**#8PCV<#jF}5>%L@MY|SmLXB-{p2!VJ7m8E^eEV>z>)RU|6yum1yj5` zyuw0BG0e|7yJg^q&c>Q0VxnALTj0WHujL_g9&oG_kd9F{z5K4LP_;AaZF~%>2T}F+ z{OQQyCdA}{F&$c9LMGs2z4-oi^1J8$KnQ21oMit%@=31dss?v;uD8=J7Cw*h{AEV* z^sjeU3^8v|EB-A)EI4sTje{HUp#Q<+mAzY(aGJC;`1Pzjyu6L4{2ep1>9++@DcPBa z<69Y14B|IDP(36TVCFJrpKd6&@qy}-s?)ag8Fa%Jni**aF|96;aydcmeDkQoCcR5f z$N=|%umRbX{KIwI=F_bWFF!~*EGBmJ3ZGMC6O?J0MzG`(NAb#$%ST-myN8_14ro2o zkx5I^7ad;wB8FkEA_K-Ki|E96MZ4Jvlf#$o~QMEXMiWC9>M~3>)9ilPj^7P9P4?#ykscG{Xlhn<@do#Zi@mX#`@NSSh6ye zj5We<9kj86YGO-|S|Or}zMYZbsI#(s;&$RWz}*FuQRsAPwdy5YD|{UGsl-vF;uQD` zh2Toel3JC)zt@SqO#{7pcPf zwTscCqK*iyXG-!Rp+)7TbDRdsnV~#n9^MHKR0{>OrnM`dD7$2a;x zr44FFgY7C-mT}{7dYWT#jrA! zY@=SMa~mIYFXVR2Ihk2E+2m_(yAUr7YBd9u_Rbc@+iIYX3#k*R62Y*^*X@rvApGSJ zvPQRJ69ChMCbL15%^w&0ep{xwvyntB1JNBnM+iN}SDb-L!5Q!c3S8MV+rP1Ax7jW7uZ zv;Ld7ZUZCn^%^{v@QMyvYg|M<+C6@}cwfEgA_P*QK~l+Up;vI$UA#$~PVS}}^gKLE- zc{rr{5_SnmgJjib1HnD;)`|@~hyNQiGM`RE%oeW4KuxDJOZF36Z=zd$`l*Z=fsuvm zT17Tn|GEEx6czg=-LL&e?J9x7hea38>JSy8J>r|mh?}$XO9-N)c4BQRjpbz%L|xq8 z!zG>VaDi0dp&{+CztxD6ZU+2YHBA{+vgSYw0@w=004#kt6AdE+@K-9H25|Y$>NUKK zE=2sX8+1wCX;xjA{mY?QJlf%(b*mhsA@C=f%Wt;s1nZ1iTm`?|r%pZ0+Q@Q%T|igs2O@*eitr{O26t%#ktq3MSpR>Fya6mF_{InMHuE z89k!{)Wz-oLmS~G8PdUhgt)k4nbPP2suk-|*B!gDpReDeUGLafl0|g_lISs5*vlvk z7Pda-!<j_oL4s1wPSNkVq=UC9tl%XF=6ZM;E1xIw6?g zDREo4AEDro%Icv;7Wt_O*RjUdHK^B^x1V2`JHI&<@PUheU)Q#4Nt-uRFsNMi32fHF+G36XXZiX4RFc$*>)PrDb+5FwmD z3W3L67`n>21}SnK94yruTLZci;37*%(s>NXc(B9mJm#iwtGN#}xY;=QRb%pmg>w}n zR@E^Ub6BJr9NPFG!cgq}a89`Z2@3V`11zn+^%$4qJ*qj9j+Dt87&Et;1(j_cJcvL? zsCjcPxajdW+GAx;U1D$Js+rK>04l^6xnBY>9RYmdRcY%FkxL*5w~>L}$EC#!G5t*f zBsJPV`THPDq2T@dfD`KXs1}Y;iSYu}LrF@&`Dfy0xBOG94uiUk%=rD6oZ}&DQhpr& zcNqA+oXg!9YLrPJ3YvRrr#WB`}6Rv%YnY)NO?+FkH+Ju1%^Rm)HoU~E39$qEn%rQul~-p-lL_qp^Nwm7Jb(JJ0)k-ouW}uY z#mN8SED%+^@j3?d8o2n9o}-lQ<7sf<1K{Uh3^ba_i?Z1F(xTvnbKdNbyGb=l z>wZy(#p}i$EM%lp-1zY+p}y^~9?eTJZzVsJb>IJY%uHqU$j|m#JB?H!*GMWEhO{|D_zl3vpA`p!@i6dLzPnwn9gW2>wue(?=U#6ZS$d@YE`2X~2i+Ch}3ZQZbhlBXrTpY$B` zWaI^tj-pCt^RMrBNYU0BC-HgzV@*g8;!#G3M%r_f@E}+qL<1TSOTnYT2>*UPkLt9} zp4i}CiW_C0g+ZWd1LS7a+syv@%ulWWz1z00R%tI9v&2pq(15)?kXD!w4%Ww@qe!KI zW<6#h4s(DWk@n=rJe}CNnNzbKz{skvhCn&g;0@gb=_MEcg6O({lLb%!(a91-1*ud3 z|9%dHJ3am3m>H6%JDoG8UUQR7$~mfUnbaeD$Ab9(58wjy4PfUXhsvS-8#^jFS`@wS z0dXq$BcS4g8SZKWfS`zW6AK{mAY6M%fqcwtV9cbS9s^$Nr+^nlT!~u+*!2U!0(p+6 zlj|3$WUy#GXrJ`2C0K72f0hA838uN#+Zc>aG#VX_4VF-dm{ccRhL2)_7MksN9cfJQdxA&%?l{<#-#!XnpeA}4jsL+UO4-u{x8csWT8-V z+1}1p4|~*)?~o{$3b%46W~S!eYYM)j+y!0l#h0=GS?AQ~iAJYvYfa z>%4?37F0SfWd6$NnWyuN%9w!DhDE^4*~tjl3F&8+|LP6s>kvhLWMsr!&JkLOcV+#W z-the>^YVm9j&Xx`k_vts{p>+)0kO6-ZJ=*#DhVx-T#j&6}j~_eYMp-ES+dA`mtL|o;>qhz+-wLVqE_d+L1;Gyaeoa0@wrnO$^j5** z^OHqDhMn-JF)R|$`s9;Ei@gw$8&QHF%O_w%Ph|tQvr+k9PR>LN-{w~9D>3120n4_u zM9Y$j;GSm$)Ww_u=UTcc>Cd(OsE4Mb~$!ijAL`oLdfw zfp+Ni>w{YKZc{*Fp9b|5?Q&&KOR3u{b*-h~;or%CNQVmG2OyC%_yMgb;MXpP=?Jns zTY{O+HDwfLLOo$=SM)&fr$1+pK!7^_IgxNvafpE6e5LS(Z_flLw>n@i>a&+AMXOxx~Nb@3$Fh{Nh zK8q_**iT7r3b&Q4H41=P@}NEw?@}H)uf>_vg3>iV*g=c5n_Oa0ob2~|pV=~$AdA!h z+_-eJR3=W2>QyFBDdHe}kQ7saFZ*sTo+{b5^3 zbXOh;qNVQW8{&hkGeqF{zfQZyL)BY@iIjXC_*s?hOY+{Wc5l}qQ()#E17%WF=_!IM zobFP+QU`iQK~yso5unjeDVb z4rux9XB6BI6sYO=b&f?Eu>l+NYa!-PGA{N9#rDQvSq=Jg!Z=cRWhIAU+fZ-nx7?be z+iE12s>rGA%YaX@m1yT6XJ1q)xj7Z-IMpV>eu9`X}iA`Fgu1L!H>WrbN+sVUx2exqF zl^~aMaPHFldHCf>7r*sNbij;hp;kCh2O+3h1D##Vaka4{ZdMo2v%rY zl?&x4!M%Y0?m}S$+Kz7DzU_I!qR!88`qW}u{aA!7;RX??U-*+D~4$=sE~tl8m;(A zr*ZHv&S-0cCF5n7&em~*F2=Ed$2 zd;G5@CagT@!qO{g8!eH<;?q%zJvMNFiIs;Le!l00_altYM^r4|HURe42DQLmkW`Z~ z8cq%<>9>r=T04_b>U2NXT@nCgl(V}8gH4k*X>Nrju(_`?AV(5zbhRmw81b7L@uT>` z5U2;FW$$qELe{#$x^sNw7iPSlN>!f}Cmb_tP9QR={P zK{a5B!3$~2N*C&zv={jFcX~{AzHp!TyW%i3QGa0vjog>ocBo?i7^g&`zmb!{T-d|XM`KItbD2(^f%kDnxB^x_knv3%Q|6{nW$^yJ2Co1f@Oxw=P%FY`E0k24(xU9zJ0}e4)ez5Ey$Id*~ar2YM{oGIAYa zA&+~3$ma!d3#R*m5^NZNYL4=mjW^KMc&I_(ZLAq2Br}n7!e%affkmX0u=vpsAt8xk zkd5n34nuP}K?DiJbof?N!;2C0{T!ghjqFRLwyAP!2If7`0m2=<@=?&67KypPn7mO% z;hkg7K4jG-nhd!Ou4x*RemMB+3akK~`XG`>!9IvteEEPY@&bZ;aodFfO$AF9e*o_ zO&4-l19F2d!YY8K>6W?I^aoO;z-CDST~UnxXG1(~wpE!$zXj6n%v2Jd&E| z+Oerw;oj@)pJ!Q0nVqVFS~!4Q(&6pAlgJ?-8eJ14W8;hN`f66YSK4_Q0Ih_2^Dwt> z+k<j0O|@jwFvzU?8suI30%99X*XhdJ(er+7c4-J>%+ zZo-T_TH^a7yu@zZi;p|Kn8XE8mp{$gRZT11tX(3u?QktI+|Q6x(z{f?D|`gl>fCS| zg|J~KK8FPe#J^tSWPrhFHjVwus($@UWtA*~7rV z8}~F6u?N6i0TlM83 zGQ3q)RV?aCVcL6Uw`MHf6Fv}cO{83J0{bL}yERUW3M5kU%yii$a3rG+S+3M3eTDzNhEbbJ19LVa-e-S1m)S7(jE5PI zSkZ7f^0L7nCx^Ogh<8(#!tEFrzikT}eKO>Ra>={(D8I>+gGEKTbs`H1xym>+#zs zp{S#FAfhR6eYMj;^eg1P8S&M??zqfk;YXi{h1e3<)ny;UA<7=?Pp9Xw zZc2N>t9B7}QU+_?_r%`ghZ&z2oZ5yAiU9B&fmEi?kIFN_^YB+$6yO@&7tmbm>V?Oy zSdVG{G%(-oKHspDH^gN%8JOR-@z`d1WvImZ^+7DV0PA~_w8_3SlztNr|Bd-24-Zi| zw}Fsc1VrxDQSFTnZXw^lA9`Sl!&PIsp`9V;EBD*M6;;I}&3n0zafH;ltcL6Drdmoi z!UY~V15daTol4HM!L8XVc*H%CGAGy~)DaOn@sBz5Ut1rGGtPCtp6yWi9$Em55bxAF zdzsmsU2_EW+Cgn?e=RyC&sW)6QSmU5-K3sr-+78n;5m$zrTb$KIcrG@v-(;w=S4JR zk>vI%zE?!2SI{3!hab$g!%hYYaOXHNTpPh5etbCrLwdPH@L)k*Bz;l)&+UQ@r=vsK zVDrz)#Ps=1cKMwO-r0ERE!>2czP)a!&N%nosJ!s`&_b6AFC)oV`;cia0~f?aw#3Jp z6f!_5wCTBX=V}L#&))yqW9)Tv_*=LGDbuC-9s`HtWhU|L!AjK7=@h5hNYHM$8r08$ zy%58gS3UxvKxEcmXY446!KM=Mh#edW6BL<{3ejojjX%N+k;(e|RhT2e zFTp~T0O%Sh?X@jTHfkXB2O!D5h-qX5x4AkRHy*-$fsDpVP}Gy7VN*`E1FP)vmN$0} ztRg<&WB7+Jew2^kJOrW1dM>{q^I*BPmOos$}**fN?_1hd}W{uh-X5pSM^ z%dvTQrL6+1>e<=Zw@kYm_8FzLnLe7hnr9&ZnKf=E$%bNx57MATVjMX07}U;j*~gq4 z&$#$80o}riu5SC*)BqR1tU$MB{*g;RAeMfjU;we&}cyPeJ~YdC9D ziK_Jb!R)%0N~KQ=U@@X}yLK3=K$Ru)`L|5l*Ln`f>BbvyZqiHeHlFC#+a?A^uelvu zg3z+t6;Af3M!l@ZfSYpUv8s+VS^h$dRG)n^!|?mzel&$DOF_H0RFhr&obatAhOKAAzoj8weD4X((!gOA@~YC(fXM`UpPq>`I5C-IJVw z!NK0oo;^Dm|9IPIUY?SghQ@nH<|Wrf{14uYXcVQoturNL(dJlGsL>E5T4eZN$4xo) z5UjM&g~8p_=q|$dS&6-!o^W4!9V8rv?Dv80M=t$p@NS#d-T`hqj}CsQr|vxnpMQ3i zACRK^e_hCRY3R`;Eh}Uku}!bYxHXLAO-v~DW`XK`<&Hmz0BZY zH`%+}7en|K|MQ~7KzsjZ0l)k`L401<*G!b;?rfPDxDpgL(y*hmZYN*!C*P8ze15|2 zuRJCOZtk?P9O~?SzNuiHZsIDhkP9olYMycCPRb4Eo!O+9C-&?`y;~>ei}&3jrhXxM zd5`+x|1}!pP9@!(FFM7x=Ud0><~=G6^O(piO`UE(DscmoXze&jQj?2bxJrS!7DUd} znmPA)PG`y$kFK%`9SH8s^Mgx-Pl^n~Ev~-M*SLh6LEI~cSWOLZs|yHb9?Q%I-~F?N zvcX^NgDBm{p^^{)c1=CyV=#iQL<01vak7#1ZD|-^k4C7;x;VX`9L&2(37^dxEwS<4R;IA+R=%mnLMga=YR~#4r!(7s zxm-Nc^z!%_$u*V^R~S7in<^(7Mmx=yy^65+HS4E$G)|4+)g1{Uye%!;Sr01^q@M2{ z;xTN<>GCt9s*Tg+1o8}cu!B%r(epu*M`;S2{1^5-vFRUTpwP{#rJ#6sd%2{tGf%MCAQ#zUk50f#}d zk&uv(sk5^@qW4;VpYZ||}&V@HM z;=)0n{G9KHU$lniF5Nsnlsok$G`4NoA2m2m3Lmyw{<-Q7&SKJz8F*g4m&tiGnT~V= z<+30tMwQFLtOOue6U|h}6+B9b4w=UG#L4bNe~VYbPe;c)ck)3sOw--c(_4w2T3;rL zOqW5Z?nyW()68)l`c~W>I`_qsyFes5IQhiDLrT3EP75k}?oT7=^bky-UY?-dexTs> zl;KEL=MM24P+0{ZA8hKB4u1*h01Lcv>L3#-{z?JE00S#?IFC;8%22JTI#!&eG{rh(wK0f2YbTu0L1_H)G>>H^#@@AQ} zb}5N0wJ1sriaR70;Y*G-_FqwF{F3;N(c|J&WPjLB4@vh*tG&I95ps)vRX^tJQeyuF z$L0;pf3oYD20fSKWtOn9ln}Ea)i<7dGW%A7w)%=;TDfSDsW*y!(&tQ8&XvrXORYe4 z#|Q+VTpq*b93UBhD~bPg~mKJ7hC26Ws2;ly<%bztf5 zr@MFW-WDmTREzZ*YHE3dgXf{*Lj2RJg|ikIoIhx6xVp^km%Z7*w~}qDP0%i@9fay8 z^iFipJfO#ozq8!pwZO0O#Lag0?RU~frxYqDMkickRC@aFtS#@l#1!{Qq>Vwtc(r(; z_xl5(axD{1pYxsrg(7M910v#%X&2Ke&bh;vl$Ms9^X`ke=FSgIU7&NM8ww@7J`Ln^ z8?VYYwfldhy>~p-@BcskN;G(tWK{}fZEaz|&%Ec07vG|*V*Ss&nzXS@L>52ht!RE(M`TmYh z?yoKXhU8ZZOVXUH&3hDG!ZbFtG$HF4GbU@?@>xvT@JC>S@=uB*IKl}U?U zgrcV#pq36j*X9Vy?;sP;Oxf3-V_+qG*ZlggC#LM*s_BilIe!DGJ~FIR{j1K1fH4t) z!}NSk^>&xT5|3OM5)g9ZTBcPXTfwZr!2Dj$m7%<>nrN**C~nq$vdeq1lgAtmTj}%r z^qK4u!7wU629xs!XG(3{ktcpXKdX3 z&l8(02?d^hoXb4WiS*Io+G7_oZsadS;w_IrMfmXNJ^_GP{bH=KGc=kn{h83Yw9v%V z8rGg=VD*lEq%i*;Bh1&(gtQVgQ ziy+soZ?M3_WO~qqSgvI}IMQ&EWw-vuOz`yT8J{*$z&V`dn*-(<0Po)QrXN9&a!7F5 zG>WuLob7>wwe*Xst~)Tx*>7oaUh+#HmZ8hizuP|F#AH!jsM&k)k^Gi_6Y>v-CLLl8 z6Qo~i$jvIEsBYt_m$d#eDini;Jh+oEz57e>SUQEZ4v};90e=HK;5!2l%bL<%#Le!(3K1>}aj#YvzkN}cUS!?hy7?fn*XEH+|w zjc;7&kzy78^V02=6%i9E7@eTiXKN8Fm+|x!2&n*BIyIc7R z*llz|MMpR9qXH<_$Yb3>IQ(NsuXHTdK!Oa`na5`SF~_rHY4O{=8UJSClIfAwy(Y(? zr6jG#ePDZ&gY{mE{P?jqy@NDMR$k_$Pv4E%-Q~vmT7cP!lJ`^WUbO3MpgA}8NsfcC(axzJdKk@u| zg?nWW0K&XX47N)IOJ|zCUuU*ll{Y=UYV!@G*G%GhiJ;W*k`YpaGip~P(6frnhhp@l z)=cZeI*u??z1LsMQf?6B;?uW0&c?9K8N3;jogZP4+^d^ma(w>h`}z+-|1iF#BFc&^ z>3q8owuNgx`Hqd7L7W^<@w*;$pXZa_$W<3z9-~*kw1OM_k&<`#tvko#f3Ufb2n=yW zyEgwUN4wIOUBpjl^6KYF zyF&#DvlX8*W*ha-ljKg&&Q|IEASeZ{d}=Ao3EIkFaDxNf%Mq8EnBn-hJow=;7WPV)x7w4%JWjDxv0u*AO7pcjHmy<{{gr|$su@BY{tZCKRnEz8Z zhAVZQV57CDJZvI`cl8YkUg*t`IL~9aIMXDlRGmgZag0{;UY`EGox6@ej` zqkDglVlLv`@y6$V;3CeiMIcJIapj*u$BA+1HoZR7_g86r`RuJ24Z(7`Wy2@3+mLKG zsK_@4mx`{y=_jo5Uz1=eHHlQqWnmH`G?|KwdsUk)(TNn*?Il`o|L&=FKx$dyZ`Qg) zH{1F+gjXL%h29({VqzclHi-9V$kX#=7hmES``N1M5q`@LGBFwg%$MJ)vn~9b1l$4y z8?|1tu<`@}iV+M_^r$&mac$9;OSJ?-Txz&ss)OULmOFcDNYhB3#RSy1{m$!IS{{O` z{8jD3wQ6Q;g2nIDT=)+Mt{*$G&P)cA#~*XQJWgoMIC#Xx29l<1BB)S#95GLu$vR#; z)(;*OMoOAvs^Agv;(@kDbc^c2d6LPmW`ya14M2QF@Ercz3e{M#P_<2<6G*@E(NA5~ zd@!-J1SB*?2PQ(6Pm>=2f4`k|3-W{-Ed}bXc7YlDw60z*Za#I+~ZLxjB5}~YObJUCHX=~DrEIz|#SB(7mAEqB!JFoO@%4{7^ z)k-B}AJQbw&U(wPMa1GIuw{#v=6)}=j3cStbJ9%mBUY^R;`E+8ANROd1x$!mzwF&x zEjqEZmCuTF{xc{Q04EePjM`xscAVpXxuDB<($d|vcwfE0Eop{04GDyxzO>a zKACN}0Z)t`2Wp78W{N`BbSD2ifUiyb}zk zCeF>VcbRX{Of5ASZlR6{xbWk(;7!?MB*O9i4{B9;+#n?_DmnkLvqErR;?-HoqjzGC z_&7CxTX-TRMRBZ=KE_+QplV9()2DhWuLa-yg3p8B{waLJSQyPzDwqDP?X$vQqYFEI zy90abU;yt3L%Y~M&*1=%=;MkU4a`14-o#A`%ONAouC+R zuZX!`mA%eHU_+6+aGOZ)sv|<4m1D9}@fPMB37``qfv`Eqs%ju1PZH^Limr4lmVHGj z>CA1PEIiir!<+oN=S?#~41;-YOJe$8n=?~lI6WaV?m zf>R=2?meV7D@U}cf!ECQ%22@d1pQ*x>7KS|wqx6U!jQ!|nX}S2N$itqm86ym;x4XE z^)D|Cvh};D@%n1vpr>$6a+Ny89oVl1@k=H*c#TeXbiWV=Mrh(g9Lk)&7Yz;BL7@AR zjZLa4d6^5tzTLYYf*zT|)N4Z`;y@7PF}2F6Tn{V;^v6KT-^~6yE1jM`k=%tcGz&$! z-FsfFh1_MP5J?8n2nE$oq|(8FNjM?s8TY%RmMJi_z;OO zj*X3F9;YUCwKV?*a=>*CI>|M&Ie^corvmD5!G`my1P5rE!`yr+(~w6?^Yc5x9hiQle>jENfHmBW7H<}jCNi#n{q>g-zI@qB0xP} ztfugY=RjT}kECtG@D6zq|9Z;a<^$#L?^KIBNNYJyJsQuQ4yLyFT7HF1_*TpzmeCKx zeKT!^n>(|}8Q4dB!on2&4t*&+OC2h)^_!?JvF4L`uZUqowCStW}s>%5G?_;X0+p&>h29w=?KH(A6B6m<= zBe%4qbnDG8`llB44!6}lolQC-=b%}1?(_in<{eX^wbpid2QJvA8lmu~ z$2VV`f4*?g*>1^a=op#OiMax@3ndKMcE_{77o6Xww&6Iefe{ji1EAQ>G+S9VnR@KG z@^;?6ps|~p%6#a{-(PFp%MG1wZdV+QDpFx}s;EO{i7vpoEuMXP8BpC2cP+EwvQEGx zi^kCo$SxkEIW{S?D{E$O-F52cU+*uA8-DD9W+KN@NgC>eT7cZzR%N-N>nSJo$%lhI zrk%UTW*TQao&}h=hwf=ACXsNpo66808?;g_O0JTd(oTGH?)4cacTMY9=69oFVIXs=-?jO4zO=NaHnz-OMtt63?yuW1A ze)A-v!^sr3W}>^=+p?ENt8>$P$D%(BNClWsSX4^&d3=izE>Sl+a@y|`^tHdM=q;b0 zOOB4&@tEhz?3-z~Z{L1WQ*%6ze|bfJ+4P^=fzlF29-__L_dX!wO=m>FMk@_sFjsOke zg2adtxj05=^3A0{`;x<*Z>x+I5tO(TPxtmYS|P0ext;4KDrg^c(o zOz9=@@B>Ybca^$*BCMPFH%r$6TB6dzP;cOdC zBQH%8PIG>su6ZK+XIV_=7ytN&s=7%+LR$_RRVZG5szN57ZIroJ#jcCordW8m-M?Rh z?u=ITqp6vJhG0s*JVR@ydxwD$Z8xQ?^WpLQd<<0N>f^Fk@06>the4^)a>#ltiKbfo;?99fTJr-S(h^wf1zkd{@h-4Ytgl(EyBJzBmcWY!H91OGQ>20I`ck zM@PkFtn5QkSiLyF(+td!sYTenm&bWEEA_kHTp3FUP2qK(6CHTj*!t=EP~ZK0IkJ|y z8I}2BDTR{K65Aq=jfdG5a=CS8M#8cr@9El{r1HCxcoVqn_;vAy-d&xS@~zc3@z<|k z7nftI9Fbz4ts(Dg^1J|+)0`H0u zB8DOiLQ=bdmRaxX+dh0B+iaRm#s&?f#OT~#Cq)xUwdy=-XrEDT5lYO9o)EH1I_6OH z(OKU*du-f#3`L0hhAM+wwTM6Sc-{40Z1uD$UYZ%Mni+R;VA{%UQaqG&*uS)W;p0WE z^xT?9Lwz8?RY(Md^-t~X3^3VXtq3fIqUq+KIo`kEddO?t^{p;uy%&0kD987UwOI38 zgxk<@mq-da?&U}C%Bks3+92oxLZzuCUL-k6Bt<*Z?=<&f$>nrW{GG!*(c`Z|kIm2Z z#(Nqq%Gt*1X2h~M)KOtik{UNzu}hA%?z1RNOD(;V6-g(0gR?{Rv+4U=J72WzgV5Alr^r58mAP(Ea}*FkJcir;kBxNsjUNS+KPYqLJxX7;$mY0@!!y zzl+V#$s99)mc85I=rf1eMigzU0tK-cxPH_UJHLY>mBe0>5xjAH&qkUA{> z@Ie-|*v$!2vULvO?*|OOFnJhWG?!~sTt@~Dy~RV!&I;V5O&ZK;Uc%%qEsT@`K7SKu zDbeOAgD!WMIrEm8LT#R?QKf2aiV>%>K8wk7s%ctgdk#QTL9VL7VC3t*k=gOCvBJ{r zywY3UgnEs_a)lOu3HF}QZ+fAquLsFKBY`KiU5nv`qKg&PD1fGr;Eb%hhPB6lbC~&K z$axC)u)w{cJ^kxD9|gmR!PTpg^P!i+v@YSMo-UDi=NZ0f){Xw6@ugav*oGnaq03Za<=9Z=T<=)?Pu*Wn zYmao@;ge$0aeks#@H4@WG@0FNKI(OKONQ8DAuYYzW}Bx;jmKI8!}6|OnNJX=xl}nm z<<}?2L_E$+V5(_DtEL*nM)SL3#(!QtFVUiIp9lzz#z!bIhwF z376xwD4k)KULz`$&}aVnp%M=LI$@3-N|JSK8}6l)mKl|0K=-~)RdT-C=pro?Ou~yv zAU>xF2?;r4raPzMcFqv*pg*Kz#&0FrP+kZdlRl3ac>`SG^HD4!kaUQmLDJ>;{5N@_6TL~7qz;FC4sQeSrv=HB z@6}5`B6-H5(u_R=Xl57G{f)Kgbr&0Yivx^~#EQcOL?OFKH(OJq{4Y5uZ7gwudicVvhWl0HO`O?JV z+x2}9F6rIN<8|3rrPsH@*Qfxk8|iKM^mUz$N+F<${)F$#}#oL1C;GspIswjblC4;ycT7sZ%F1#X1L; zuAVdQ=XiHa>?UK)XF>-)$4y1r-BAE4p5tawh<~1O8(h4R`qY09W}#Dsoh1WlFPFn3 zt4B=MGkJEJM^7?teSRi7OYZ~tV z){O%$zCP}d9JSKUVjuEFWKAi(hicxS8SEMrY*keig5%XSH{{mW6)3Fu-e*w|&sI`a zbT6OY{4+!-8|GS^HyG=PuiwHZ4w2SOUVu2XaSPr24aYS5{VVF0ZF7!pFaH<8Zwz_*#u7{ z{}@DO+7Cjf*Bz$P>qEai;&2#OG(;f@x0c@YaBHINNL%K{WlQmuY6#V|V>t=ChB4Z@ zx-ai8OlR)Lgj0a6&^>?l@*utA==(0`*Gk^S(?iXw+u36!PcKR{+Q%g+d6=L@o4?B+ z@BVmc=xYi(Vtd5!;SVN|#PK~xWz0)_LTL(hv(Yzs=~DEKpY~LH>DrI=&wSJs6Z7^u z2DaL_!_KbZM)sH)g9l%`>XN*1-|O-3Z=jmHKX=wo@ffL+$H();fvjHhX``#DZ&T*P z)as=n5XXx^l^vJid`PHsH2m%f@i7@xOu>wdBve)hi}|InA!4>Ccb-)cy_zU;@G z!73LiXBlr9JcwT%#{2x)5YNCy-g6H^LP0XWie78{gcMt+czamdcHd$ zgxaiM+tz!;9J>9LH0j?on=g&JNYoErqmyhuCw!yCw*A*DHFZOynMN=My+y*))2_AB z6FD<7TQ+a-6XmV~NYA_^XwUlNsg)oPp0=Ann?Q{l3kiHN>IZy_Nj}9Hz#D-S~vrhmD~##?zA^JjO?>o8W; zW&Hh2MaZPfz!g7sR6sR|7Zr|o?(gUJjk`dUK{eCNF#O2K)RFzv;U?3i23~ba7u(7V zTwbMt0VomorcIkX=2)6mZ=hzP*6TQa^FK0?-$Hf#1?^60H$PU2a~*5P#7IjTp+Yf6 zYwqLS$%ah0U!I_25*=_S5plJD89KC;QNh_;W&CSbxsjO7;Dd#^Y5(eG^s#V>osYRt zT;fjmvMqN?(B_ZZ{vYgxoiq9EW^QRN7VHk5DJyw3a{O)ZtaN=V>4dGh3os3^9V+MNGu0~zvhn6i{! zJ`D%aX_)&y$(;AfGWdI7BYqL<-^4H|7LwV(#?S&jf>txll*i>kg5+r(`Nd#nKeoB= zr8sMsA%nq9R@C)JH;emUdH~_)i5K-Rx@e8Hk9Y<=zJCW!g5z+5+ZY#ZoT+cbr_I=w zEsnoDv@I&#$))|43|_yK=nOJzqRLYnEU(PG_7t;+7(mu9K&KKw)&qX$R!+gE`g*^6 z-e3O5@C#eF6-`=?0oJ%Kj;o9>x{^D(iZ^;MTf=|f0hpoEnEu6l!yKxO-N*pGr+l@V z2&^lH2mJw?kLcbJ#q&)F(=79!|1QmhyL7Y2tBPtR?R3S{jgCyTI(PV2KWNXn?$k3^ z^A9$gG`shsXQ|8l_0+s)7Y2tPh%GI+CSJ97(D6UhP8`UI54=&s@_4QX#%rs%|1t6g-6KTNZr6d`+`nB?)ke-bw5(Ib z060mvTvlZ)I~ZNX742_uwoFv6stL}*aw+g2AGU;qDpmT#c&)RYu9FsAi(#q{c>%SH zc|?5mz=7G1*L*0nVHUBpUJrpMtE7y{Y6aS|2nlK)(1$Mav?goEMRWKLYjID@On>0@=vG^B{$l0YyLIH2DOAV&$4AI>Zb8%91ti zDr_*BfKd48iQTLzyV*qVH$a@coBA17;r9>r8(ep5U%Wyo`vl4!R6HkXPF>T&=;Zqe6)UyNL45IhPh3Ec zT|K0tQ!chgBOR2*u>z#Pic^d)@$e+9mUtp@qd}OCS13lf#!0(wDmEpxLR6k|2hc!f;9LK4EDsuLsW z|7eN|>cwv$mxDL`tgFxkL@_caWKP72WG6_*XJ2TFYL|9}9eB;>HP15F_u%zljAu;s zzMaZHXz*PQjgwGA(zwbYhyqv@GSu%K3v9>+U&ne8(eZRKEQ!>|YX|iQQrn*Bn6Mpr zsJk%mu)-&{&)D<6^9Tp{iE(KfxU}OK-(IPH&aSiMdC=F9jj6D?*EwfbLbjdtJu&4Y z*q>WK9TJHWfBW|BS%{+Z%fUoVkOzMJ`0)uPC8e9Bs%Q}V^ce^v&fT3@zoyQe2&s#F z_CJxU6Rm1q7+A2+KLwOmp4D9q=6-E#24^FG=hg~eear8Tw$s<4YW(h0Bufn^T%?*; zSc2+g;19A6yx2j(MLrVa$(3+^O7VJ?0PK)qdVcN$cIgvW;G8*`YGCvWhgMh#xqTt3 z;_=P4hgjW<92*c2FykXN>ofCG>eow=8$V=k)*%!vnnCv^zf1FD+<)=9mE&w~PQb`_ zU9C*k#h%IDtGVRcaKY?H$yCwYpIydFW_4t|YP&&b*il~oRz4AlH~%0Wbs~0lwZo9p zyPqt$tr*}TRRu8IegaQIs7AiwHGCMJLFaGuU`q+oX_-h?3 zQsfFYpwzde)Pe|TEjS4N@^-DOsUd_-}U#Q(czYS?zo9_T> zqI6(+a`0I`W9mhb|H8ZkR+Vn)^Y;|dnPMJo%CeDJT5{x{;Mt~=A-VWd zwdw=%P&LMc_fqmClkeP036_{z*ukk{B_&whigjOL2DS?DhVyS_6hCuM&ZI0 z3LIN@Wa5+i&aN9u<5xWXQ#GU2%jZD|DhWnoSzgPq3A5miqGT!YaehWkQ z?+#_5iU$qoJ`0mK8MJl+2c}No9caR>f|ho!-4lVX5TBHQeYl$2PTo&EGhrAv-P0W_duc~C%rs<5!|pqQ9unm-_M zcHse2({Eq^;eWD-S7*X4Hn__D^X=gwh3kh;e}4U(75=h*R9nS&QOL<2TfS1#Ae4y25s@CwD0#%{MGq#?r|zbp@)^#=#S=GVitO*>LUhhKg&KA= zCu~hDBvVv{k2;1R>R7}#bu>J4r8-J~N3rNe))d@WgQI*Shb$d0DLzlV;G4l0>z%<0Kv+q!Dg+ zw95V=&3EMLa4!HD#-?4CrmZ*L@PAbktn~HK23NkDpUY?Z%}C!@%*Mqn(CoCUzwbiR zMb2Ot;6Y54T5{x-tz++i{#pR!tO?hB!+mf@H4S*I z{{ls!Gm;BWtg_+ez{G_L)OyLeM!lv3>4fo3;%I>D;p+oUJnLq zK!=^SXaq=!aNOXA08H+mXBA7tz`9tqrNHZ044CQe;36|I-3VTg6EJcDe*jRX3QGP1g2ZMip z#uv#Uz9mcEJOoJ^lp*sNThcGpN_r455%kH2pv0`C;>%cCf|RhI?G_HLB)ZBX;?rjtx3W1Fvk8@|x@3+pw?E1G zNKAFrtWqW0oWR`yu)})u;J|>}lP6Dp^!2IF+(j)2{Ja167kEb=CJYBt430*IrsXzD zwPPsoc@S0{O+s~bS*zzW^7n+@5B&PCQGPfM9(u85BCFFa&q60wy)87AMH#>?_eoMm z#HjdYV>WlrdG0m7MhOUqob13DLU>F=lrsC%J7k+TZ+;o4`0Q=a8d1T2|MJ&B`S;m9 z93@&4q67{}Im>|^1QM-^#XUkP8#lNrb_+q7KLDNZ*}sL;YxuF*phBdl)LOG!ICr=u zeK59r+r(iIlXIs?H@WDN?fm`uOyA|`gBv65sG!WGvo}Ie3tt8YhN`6DMxdEHDI@bT zEiLVv72O(3$$$UW#HY0ZD-hjm4--}PpcMP86vV=@5kLf*t}?zmL=~fdlJ;~HG?q{a zh~>60&+=9D_AZf$iAiaNq?lONUFrb5N`8Tkfz-{uzOkv^5SoyW9t)43 z`dOdZjLqJ%_gSdB)M9`AOto(Np2MQEs<0CKjI4=PgEh2cmxK!p3E<79OxSN20tWM) z5E6tEd&~cJ&{nL;>lnDIbLs4-R>KLAYhWyFiroNx+H&IVtz&7xioWb~2mGGNVf5v> zU$TOW3xqXAo>_UqvhJv9bCrW?+2fsM^MX*ZoCx42I~bfMo5tqJE<~CtQk`JrBhvjf z)@Cg%$-W04GyQR(D`}y>G~07^HQ6)_hVNa1)RQ5V zg@aJYIq(Fdfr?~TSPq@Nf2-gz_tQfl9sUsh*;DSjhnAl%mKDZ8&QT^Rf_gFR*&$Z1 z>01StGwN+qO2Zb*1I7lb2df(UIs7rH#8(ZN7UxsT>>8Guc4kFgO*-$mF@_pKAcf-* zC&N`ZijP}C86sq?mW}@ev?OV<#X_=R;?;dj)c<`v;@-> zlJ9ZXZx79=qpc~EDuMT3^RJBVsL*L~zHU{g4T`U3cm#Iw~$45a7V$b^d$w3B)+ z@79*Nh1rK?el}VHO}uF*lNh}MPKFJxix$^du!)I@yLRn*0Gv6QCe*8!+lA|ze7}Fz z%JE)X4E`sE2+PfrSG0#26aE|)Lsx!{ABvX1vr)6{(0_`hR&4c^Mn_MOnTK%pw{2rR z!g-?i?%g}9ZNx>z7Ct%?V^>zvJyt~*f)b-Dys}DZAv3#x`Bt#a9ipyKcqTp9QYL@LKQ&P_<~Z19FASM^dOCh*>V6@1 zKjAC?xoG?`?#aHSO;9}k1joQuQ4>9;YbNlb$p8J4=T#JXy2 z2OpPhJS=+tS(XVdmO9XikLh(6gD;qI5hStlwuj&RR$g1a6#jZpXwR{ku#DDSuoeF= zUTnqYXpZUuof2>EOy^9QlCx#q50sYS$dahJ?iTP6IoQiW=(c`z8W>qR|V3EBrC?^fFfLJ8~;man^% zzgCGUgld#fFcP?ahmfIuIy#jUvLF#$@RUdP>qoGVDeAKffO|kvS@Dy~e~gdX9qaft zkl<;XGoW2+j>z2H0e8Kj_5g%6;)0Fw9;v(1jZNlzl5cjFPh_1o*)eheTEGEK4-%G) zb?3W>e8!d*4OJEPl^Zh2tEA#?=b_ugV2%H7+g6Osv8t+mU(Bl4GdOCs(t!HESI3SDU_0F)@kn)-U1iYyOW zc5~7~2Qu7_0RdMt#b znc|gEv&FY6)Drmkb2vZ7imQ343Dz_P9l4(G?V6k0Y!tc^99joDLHAl^lh`TSGDt$qoB&71mtlTh zYoYGT*dw=wA{ZMg4yyP-yocF*+AkU)53tDgEH>!7I31Lz4aaVq03u6Q(|g>%HLKzn zP7@5lxcb$>5DZoUrQm(t=T?hFgyF6z+>FAdYf?2BGL(X2rR#_`5Gg{i+jzqG9K{D@ z>QD-q*a+nJQhYGK=!Ikk?DIDMTxQA{X9q-_y_U5ELc}!_ArLz%CzJ|UbOBko5e&T= z3;QSalTZnn9d*_}Zje169n%S-2g)=h`H1e$Yht51cWcq&$9#Qk)_d;RIL6AC! zdUNAm3Nd_(DUB;LE9(+LTR-Jr&y)Di^P__L5lU9URfb29&_h2R4X|DWScJ@E1}Y~5 zK&Ue|x$1h~Vo+1?t%9r986+BJ2S_c7?X|~(FRISN6d13Rfi#TTYG&T9Xc;G(lTSXl zShF}Y)w3{04wGZ3MNZ!)(K_xF6?YWSdzuuJDr5vc+m&Ortk{9RRro`Qbc86HRn{?i zi1owapl>S@pjtT+m|9d+OtQS^aeLH8mbr-v5I%)Il6wct-gWxh$R88C+cM_wYNo#6tp!qYjmKq>H zk05e6aI;#6LP=85P(M>OZuU!M#Yr?Q@mT7eR0)IRj&^%v8D7ocz)bYF6)eF2u|ZH|WJW!x^H!H}J?}Gxkt|S@ zzP(zMK92czJ2ofaAMho^SbNmo8-4dcZ8ybgyKaK>6Do(wKFP0t&`67KSS=8do_lxw z)NeBvSVx#6NMZqgf~cZ+?JN%>m_d-9{~;rVrd9dF__qy0L3-oCAOV%>@hMBSZ^_j| zk6bLlc6!vgI-{d(PdaG&kn&f0lOoW5PPd-p2w-$u?~^}0zSU~L~^DOnu z&nT&V2`&o*oUJSOJ^|(tvIoa{3mgjl@Q}=smu*qqvp5VlM85 z%Wv1dtUJcV#l>+dIm{j4&FLVl(P``4YkT&bW<42%sFT#<77P1^&TQvUC?MriaIROK zavcv-z40zZ2veTbd{~eY4f4#v#yB-cb>^BxSTgEc&gXlat@yBCVU{#h!yeMf%F3)g zY-lo~%pe90{okQkJzy!bOAkxRpg*(XdH?&1CJJN?y?b$Jq^5&sIpEJcR{G$!{!q&S z4OcQ$pJL3N&&hzMUkr4?&GUZYn|@(Z2Ky)(0chfI;36m9a9B3D#wC6Kg*VXtO{5i7 zr^GCbP?CYMAx<@Gr^g9p=Pjfy(fDiIsN0ZMVETg3lr-9R8^r3QKA?WrQ&T20gsLgktny>EL+31(E_2YgO6>C-vhOtb*miuZ zak#J1-aJxp!wqXx#WeMbAZ#pXo?H#!w95|xRR(O3AkiezC5d+Hpp``Ex8Rj=0VVY= z?kcNez~+v~nrM1HAiPo{C!Eg@FIJp&0+sT!VwMYsp$`gG?N1jkKYxl&C!pEBId}gB z<8q=n^9b*(-`zSU4E0BJ*lP{}z@+Ywd^E-Kf11NGwy~LHY*3~7+Ic&)O2n!%N$pD$ zeGKVP;-Mzn)Y7lrO7q5AtrSrS8Z=P}{SVw@{ELBa;-bBbro7r%Dcr$t)THQ|oc-QR7eN`1F2Z2E+7l>a%0(nNbO3MbimEV7+ zOkgWbap4UM3Vg^MtX$RM-F}Kk6~b9R&Chkh0t6$U^{Zq9H>=6dwucj;ue%Y-rdDr1 ztW19VW@6zi0G~4{yYgivU}6+T_zdH)Wq&1Hv4!b=b>J)-p^;IexTs}m zZwwR4uFux0!HzvcQd%u1;|+redm~5JC^foR1$QD-70`kT({>(A%srjs9;^a zUe%a!NWZ){^ULr@w>mG>pnm&$)c~OQ@*>{#{Z?Ql0iUefb^A!HsQq?&!4x8z-d@AuFAUdv9q{GWNpVQILbxDhjJghlmWEE_3%OO~w}3NNMxn<&4a;i@nO(EA&goJ~Y0DE|{t z+2%Rue)D-R%=U)qwy7=#JHAekEXApM6Pk>dH24H{EkPJd^}2#y>f{*LNfOv#$C?eg z?B}G@euIz+xQ)Sw$9Vrt_@>Kir8%b;f2-hYg?Im;ce#Znuw%j>deF#P%AbC!eb&JP zN-V_J!B{fcdRWY}ZxuMrO-YX@!)U{J?k~H6`nW`wrA67PvVpQh{9mdiUsVi-xz#gf zd627!fOy1#COq`}x9_5kIwHmA&jD~(9ZCSty1&S~3=u#Z+;~=VkUq1M2;li2*Fg9y zXs*G=D;zp?{jhw0cOY{Df*Run;PPMq?$xh!Z~e1PY`wyDZfd9- z2fghlz@7Jhi9y&M-py3?!$!IvH{7Se9jLYa#nPa3_qN*`79!%a(3R!?^3kWM^Y>cO z(96|Y5nHko=lZkp>nt&l$@~Sx*h%tlCKLkC#i_$uyrA}5ik6}iD#y~!ENTGNLQEWz ztu4wXK24=;*O8Bf@iZCH;J3d-?0IGlM|NYKFfI;Z)vPDQBIY+o$PD_4J z4O<56fFTjks;{yY??)Z>|UMA=qF$!C2!D#BOwqP_hHID=-!{q-u-=FZw;Lp~p zQ~z%UcV{#C$?;?u>qiFH5U&j!&(vj-_7bA0_%npYVchA2Pv$JVIUm#cvsTG-WxVsZ zuXOngq*FAiir^veAP7FE6whUt^Q`~pFU@PLUB4cwQvCL}|HbrPUFbLgh=-GMCRgQh zw0yn`uFUp)_lLPb6$aHq3Y>G_gVEtw86FhT0o3Y|XY+$i$x+J~8Yfd79~=% zr-8iu^v1c?>~WmyHNs!i(aKsXyqP%F-yNVbuIO!rfX%BjUUtx**Hs7z4fTLI9+@GF zagCu2d&T~X@Nd3j^nh`^J1ur7nAc;bN|{o6p4_#oZwda}zd+w+gc3(t(P9g+!w%%w>(v#PqQ1vFCfWL_|b( zO@?m1tc%$UTlygkiWak)RuIts>GUCn*aM+VYRQrQl@^tkDwYy1-S(yg7-pHzn9|Z& z_=zC3UHGZLNlQgeQ8u~Mhkre1%(MBu;&yN0IZK*%VD=ul!S3xee$&zz)%dY5N&NV9 zb+$9drYT8&x3#tPmzp7PmDgyX5G0V`@fDpSn3J+?v5?UM9ZU<*;8}ER^mH1$G)9*< zM&}+J8BwxRx$*4B=-trM1KbP$Mz^P(I|Q~ezKcUwLvTw|ytS|#R zIj+Dt%lh}40X;vit1Ktk(m>Ca@FjF@%|NTbynmCg)A&)B?sDHJgE6}D@wiX`eb{F- z9ehn^9~#%a1p!(v$mx~H>x_F}x|cm(&ea7nL|5zPmWobRg%u}4{}Kn(!1%%4eARx^ zFLH{27mkE^Dd)geM1b{E-Qb$vhgdN9zhUBp{`zl-x#CWdGZ=ku-LpaJ(1RPwVD&XZ z%7~XwGR_X0S?eHYcdAOx+XV2-Yxj=_4ogTs!ZxcMX6)NhV6XTCch*mY18vS;Sv9jT z#}6a@{hThNN^1*WF*_Jx{ixZtlVSFQj0Xqn)?xcnv9>232hORuq6wLM04x3A3RjU9 zw^d=_-nAmV*wxh>&!jPU(<)G5opVj_pkc>RoL~t6Sa}bBlViAm3F4k{xN1+m@#Nag z>g!}#1ygre4G6e~AKGt4h3SdRHXO{(+>+>{ACDVoCBhWu_;Z)p@$T-?0{qbU>XCuV zdOH?)14>x@wRVE8UH%yomOQ~h#P{sRo5{Y-P^?e(88r1YWG<{s-DR270+ z60tY=RN3a~^4#(FlJMY-*o0ri{PC&St6P}!>bmW#9oi0JC6!!$)pm)s&z@%1cTHu3 zK58aNPBGSK!GbC$t2&L#{Ey7d%^_kb)7dh!V~V$z0YGs)Zaiy@qhCu;O?si zZX#xZTlr;MRfr%mD7z2E?bD_wRR7GxD|d>(HKTR2FTw)E)%FQqf#?j;e?R6*$WBs^ zcy*J0gKM-7eK7@N&25w?o1dYp0m6qhI<%%tCW4u8y_1Mr@3)Qmk1ND>k)d3N-eukb zhis_P!=A$EqcD-0Rm!*PYtF5LO<1k|H*`Ay6}7K}6r86#l7GMwFM}m2ZmQ0|L4X{OQCt+0-nkqUEG|fHdjj)Z3^Y1! zqCP$C#7;CCNqEqfc09YggLT;`Ls}lhulO!VB4HZKJxd*(NXC6cAOe+zRFvBf7H9V< znms~fIeqMwTVtS9cXuX|6~pKcpJ0_SSZZ=}%{+$%SIv_a;D``%sX;immoK;ej|>K9 zwjbWXjUS*RS-cVuS@ONTRO-=~VP!D94|Wj~4(aN|ZOC?S+OzsP`urZ#B5_QDm2m}HrNOX2x=nmvXiflBM1TlQxt(Di<81pkZ~671h=AdgmeMX zEdMAU{w4WSs`ByGlEYnzR|enHb05Eb?z2#x@CEZSlC%E4oK@P>JB_-JJ&iraShMM7 zD|=1N&O5R#cklbkm>vJTBWavJZO74TD(qWR&Ys#*OqRrX>NZC_C7S^0_Rul4(EB|R z`ZpSu?hZ8_NuCtZ>`j~&4NLZ`Uo?_hTB;s#8FZSG)t!7zOGN5GJdy;0OGs)Q%d-%O zO^A&}5;GMQ6-E91*Y}st%-8`Wpyb1cEds~|>@tTCW3waCF2sxSmv>7+v~j5swH7PC z!#)tP=sXcDZtso5s=rfbBxA2gl0WM#S6}7F5zgP7Q0Z@b1XMc^CYFIJG3dC6&7hhv zU#|xGtX3FlYlQ5lz?GGiv~ zQzlOeNNc$kj<=%bx!KLypiPTba6W|;4@E)!CZ7g^3R8+Vp0=7=T8|;FP*YQ5-`fqi z2c~rM>(}3mjqKFHEh~RQ+iHF1Fo{@bL#=C#R@azEBVyH~5G?7mXEWLqSfm8shhAR+ z1Al?uz<0CbrvcW)0Ip&1&H)>%Ev23eBR$<(h(~rKi&w$siKDJf)0G38NQ?EBjTifM z>&%9zuf7WR(SL_;Btkr#T_Bcg1w^nVn}J@+ZgC>49UD_O@|1{lK=rT$D<-@RPRW3F z-q4h@v$G`961jFQdQ_!P@`|Y`Z%Ii>ssl$UvVIH$A{Le@7Ql}H{=URbr{EM}In&;+ zOuzm*-O-X^WdTK=Tsr>^;E}^giDt9CUx@oS#>-LviUFxE71Ya1=|3~u_KMDd5ADg1 z$CxQk5FE9inC^Qcy`UX(tj&JbaikCML#JbvFZpXH!!X%m(!-^98R!$^UOpZmig01T;Td z>E`-IV$C=jUk#cMhZH_z>AC~nIbPfh?sOVw7Q81XCl|g=1~fYJM_;`UqCfe2 z|MKtCgZ~Nk6zMCe5{I$Q;!{(KQ7GQvBbu%7p8UFS=t37f0w?&A%?5oiQU&Jm`vb)N zBp)@%6rqW_f7cxIHk-}3*NVw z>=kr(*Zm6N3=k?!9jA83Lbndu2eIc;%BQWbTwy^n7+0^de%5`$d(++1vvhFK7-oo{ z=6Nx#=eO5g-C87q#R6bjgg-(^dF3Sj;gV_)3_K^<_6ia4rgQL(ml~WbEDoa4Xnp{s%A9}M5L?Yi#f=7xkc$;ilD{pslG zO^InwD=JEV>YXtC`AHDH8+g9Zk@_s>o9h{Jeh0L5#L`oQE!Q!T5NHQBa;W!01D(j+>~ulE*J#gFLi6ufvAA6Fi$|Ds%dC&4t@gO;fl)2VxY;F zz8Sk1Pi(n@1)xLEN?8Uj%mFn)r)6X{`VqnBVW<{2B!iux8Fs>-FMlC+sJXrs2-*0@ zZey=*$jiTe8vh3aaKHqF;m>Qd;W@TW4%dAle2%(|d)6GLif}M4l;3m$p5q3Ncls-i zp77IaY+*;IwB@#~GsA$Xh6g#7O?-r44TPI_huf>*UfaU3Z7Im6|9`Z-c|4R2_Xk{B zR4Oe>Wvdj~-AWU(v`A8jkev#Zwd^~0NhP^uOO{b7$(nr~l9F{~%^nhC-tlx9a_rQ6K>&|iqA`;EzjP)Q=Tmc)DrmH@kzMGNpI+Sp` zckkZhvv2XG3NWWyaAmLbmHxxOwkWi4c$YVYRuCZ~RCm^mkw0Fl<#g;CA>_>yM#|e} z%SYPg39dd+!A`zIh2_S&6;$9w#lVJM!1L8P%9kx#=hho!>%@yTXSD9Baa=)@7Qe?* zIa0s0qAt9ciMX)vm24T*>e4z@HMLGPmoBxABA5Q6Yxedt(U)hx`pll6?QmC5 z&gP3q6ezu|2vWQXQuOdjK2^W?mJhZFFysQU4O|*H4$MBiBIk2g)V8H>YK8C2ji_5y z*Ef-sAF)Tqo#DiI0V75b8Qdy;F!e~m>7ZF2aT3D>bELnA?Nd`r-m#eE$&<|+_(Na3 zK#DQ^7Db;ri>Nod4U^08?$?9+fSoFTDt=X;kU9IaFtR>zC95Qjebcywy#at_~ z6JVgfP$)*w`H6kcTmNe|t{+Pr7)#l>b(RaP;dZQ+g~hZ?Vv|gmKbioVO1o)>@<_0MdA;y};v3xicm=DXHpe z5N33Md$UV-Mc4=EFq|)S%D$=HI4B*#LL<#)7gBG)=9WCA^i62Muhp_4&1O8Vse72U zKP{t=Qg##h6&Yf6>IM5;5&}j@u$}N)WJ1-hi$~X9-3T*JfQ!yR#jEz(&$Jg%tmCId zkvV0Gw-j&XBQ|xB%ZQT`wDm8tk5Pk3RcC7!Y3_178$ujWN52yRjF+oGgf4WF2kJ}q zF)sXx6z94ZYbuYI2p8YI@Hk0s)Sgad6IYp#-0_m5#c9@64VkoZ`yJ_T3?&@H_vZ$f zM?HD=Yjeb}DfQY>xHc3yr5AH;5|%I?L;Q`oy31LE{hz#OteNO2YI4RJxBlns%$Rp# z3Q0&h9?*-)Murl717~c$Wu>%uNr|m}QC)~~K)<0cY;b&M-!Lm-EYp_dymjcKnO8O( zozaqyx$@XhmiEZ zG1Lmob#z?22v`3K3Qp@Ad3t&>ee_UPR=zxLpN(g)IfMM}cOf7u>zLp$pcIlk zDSp^Owf_h{8m`wjcHal)Y)C@7e z;Q7z7zm=wc816l#Qc8O}wXpSG+~WrHDtB&JV2!<|GJeZjYWL~qEzMB|yDvO9H+p%$ zw6xSa11fd{4auBU0{fy2Fxn~nrc(zix4JuR(3gl!>~Rh>>sQ{OpH`Na z{-QqhwRww#=agRhXKe~CX^-t{$saXCyN*jBD$(PgPn>FFgU(5jK@>RQrp}${>9bM% zO|@g2j`VYCJ4Z-4S)6+Rw0>UxoHoW$`8-D%CzONtdCs{!EjY=IH$eWqTH6vBzDQ zMqNU2jKGtNO-jVZIuABy3TH}5?>#j$rO6VVOG{3TB4By@rO0J_ci#JgEjdQ0eYh_N zvp--HoJ#Xq-{Y zIA!S(5?5OqF5Ls@5C52>y7?nb)eU6>5PkKN0;aC0Ag=A{3a+i?YgB$p|2YZ1L9H0G*TT z8ynzO9BN7zKsd*jh6Z&|#QtQ^a%Xg|`REXOqSa`iM>8GUF8^CU`eQamo6pIW10LU zqB=@JH*j^$tVE24gkntX2&;)o*&L)#m*LCoig~4AytZn~`3qaHW)h>NHS$eK&Pr|? z_&4F8X=ta>;`6xTTiO`yb-mEsEqZyz^B~{Yz$@!p*^KTs8-CssuO__fAqM28S2=Pz zwncV13?)yvyo_fd4*V3!p%shnYepi#lBgyXLjx5Iau-E7-2Rs?S8UHw^vuQn#?+Wv zWmQAh)gA#{9#5gJ+mA%2%RS@fLQ4>ju*C#E`drk+LgRMHum888NIx0>AhlcZxdAsB zh&l$@#oo;`{T{r1k=!N=Ci;F{U9y+&AA|X0d3i}?5l$|Zqobo)4Tr%x10gQ#{a0Mb z_RX_sFHk<^0hxvI+V^h~5dHenF;c|lq*b{lw!R) zYa0*z(~{4a8&s7xOW?~jPnuGhoa1s(c;nnV4Jq06+VN#QlkxO?&DPOCWJM&+@E@+{ z!&5FpQyr5{<_QBo)-lwjS&70Z>m{Tj!B|iVMJ~6D9^s|wW~=Shynsetj2ul*)O)C%;iwKWPDh?5ivzs;|M7dZSe&^fF*Vhs@3j#U`k&R(3rLB?EI{=QDe2pyU(t5M0>mTK?673}@u_XJJXyvGm7+vCRibN6$`rgOHKQ^Ok6Y#XalSq?BbYJan2AWvrdX4 zAT5OO{!5qD7GV%;>(s=Za5n%biJ0JyPA)DoX2lfj-w7;~ovU|$h2`Ii9^Rw7tI~LN z^MmiZ2%Ag1q(aB_zE^d=}eH@L8N_r@NQEMhjtAX81_&x;%VT>CK`0D+vdKt^IzUFkutwA>|j zokuIb(Cu3%G|uI&Ps;J#(>B6A*_}uhp3IZp- z7>(@O$M3mVT3Y^f{CHi<)69J&_iz)L589pB|NGJ`#q``a0gA5q@>&m2ag%=}#kY3- zfrw!!7_a{Rc+t}C)=8Y;*6Wjir>yvx)n%Hsjl57lmPg3fySTg1A8F2mqY7|r)%WjB z)oOM88bLIhY=KD#f~hI**no+)izXqa{Rt6(#$lzyP&t&w9w#3hl8WF)3J&goN_x__ zP!vb1iI_*M&;e~jU`FM(fPjGKWUUXTp9QL116Hk=N= z#wqwlEQQv+lN-hzrP?vhgpSIWXO=uD6g%uJeiYpm-(?Z#0zSbm`aPiVcOEe9Bulqa z4#|7voa@P$MlDn*R|oJtKoLv?#Yr3!)?RGo9oF zuml@H--|!*CPhFp#I~BfO}vQ4a&gT9AJ`)}{`qQzl=8b300nO0$9uF)rA}g+L2Y%v zlul@~AvSvD;%X1)V;{DVuG&M9cOPkKo!x6ij__BMzz`0XzEXzM-5;Rpsn~712saF$ zUW6OM1|E_-6Yj^6A@*|@qZXR2OD)n(iV>-(52neHtRE5WxCio-PoF%AUGPQ#F%)+P zkAGe(`0y#fEn21A5sB>8Wq>93AfuA}_p-J6Xo$e@VpgL(thb5#qOQ8*YirGxx~w$z z_0k}gHy7xRF#D^UhyEsDNTU;w$dg3_?S}jac=rB_pMUqV!v`r|7UxOG`8|1BG5k<| zzx(Ztn@>yWmt6j8^qiq9*hDz{5c-}{+=bpt0f5!U$31W>;4YVU2F`kadoSg>?9fP+LV^SGslFdHyR%{~+iBM?|7cwHm1zx5Ul6 zzd-7}TkTdMtK1vmqlqhS3FM^^KG!0!4#BDsHVJ~*k0;9n@`s1YPg@7R#A1GqxJ>z) zzS#ba0q%&5v=lH9oA*q6;O;uKKoq;;yY&d-5f*@3ct?C3r<23+7&FGn0l(Xsd`MpR zkrhuB`?${<1!B=r0w~LSZ}-0C-^m@Ah#_&IS(}B7gygnT@&q|KIS)=&YME_3~T!_?)yyyz-vkEVgP8Zx=C-L4eji_z7 zr(oGjk_uT@5iZVzv%ii%^*1*La+eR>__J!T{1V!-W8>X3YIja6~ zT^x8DVs@Jn$7D8jL^{I|)3DNBh-07qk1yOkK20O-z8RXkyLw;7lYEx@90Rh~xu=bg z+s4#3Cf>Fa8K^l-%N3FM%-|arWC?(Rw@*G6TN1@zE!LC++F!qs#1?TH(h${md$N}I z=g9xcZkUKPU0oW#DB^?HwgE}Y(cgSN$2Ru~#F+$aSM13>4U$G$`JUX9GkXv-jX8V~ zHNh1*td4b1rRZgvgAQRLNJ?VXAa^qOsL?fOd{&JXc+eR)mN&_ViSCkl%KWIStIH0q zd)M)f^;Jn&J8e9&r2Ki8gam@S*5f2A!>jyg8FI0m?eUqr87XM&4t_L2GgU-w36y9TUM5TA6(W%o!7MUO&N35r zzXTEymR|g63Wp&0gt&)4k1`^dYoCTlf-^yGKOwVm;w}Kvg0fJDhZp-PNHl!qmlZk8 zReflo65647wdg$#Rv^m3@X8;RfO_U!IS7?5I$nH8FUuF(R>SCw7PZHZIarq)&^ql0pO9v=DT z<6>1VjRw%62xjQ^K;kr@W0YnA>wB6~Nl4qW5n6bO)@4gt^Y^GItGA*aX=q`8r8x*@ zQd8R7a|PGzehOBAY!$gp7vtIhvP`I|B&DBisOlPS1XGo_(B(oGN1K$T^d&w;9>E?e zB~^rflEZ*rmVTby$TisdCIy{0Ye&Yqv8ZQ-)k1A%t${nfqU|~!o!em#Rgt#B>I*us z;wXEvMMNMEszQp@Hc8vG>%6^&Ikknhz?AWxvFli@`Ki=PwD(Urpsr zRpky@nF@p(s2P|aYI0bg=uJm=q37yF}`cFTlX0yz5Xz;%IfM5lfj; zQMJI!D8&MR&_jZ5X$I~I=Sw~|^2*@C0ZHrLD-3)g^%I-*m>7YybjwN4<>h(6_Gui9 zzCsy4yfFHV5hSP11)`Q1y6;_+so0F|Qr zwePKRmj^G|pOQFcjw~)7h6JK1T;AF7Z>J;r4%i#3JsM~`$B88+CCcp{xS)ZGP?75C zH`!J@(dG!YG#aIVHe=4q$;mMc)(u*2C)BSml`Q2jX9-B;=YoO)gA;j#>^!D6VoO_# z<+0Ts;sWklK@s%eLA>a~=S@D*icXYntiU)57<$FFYX`#vojnxLrKGKr8xLj--c zF!hFrKOZU}R=^7xy81ILe{mz%JB#Gae*UJ_i+}sNiid{bpi5!8Q)&9K@UEY_o?BTS3pnHC5M*SWK^{m3X0$`W zex2O5Yr$YuIH|#l}@1f@-4~Zlhj;qzoLk{Z62XzB&$AmFv;bTy!;n)Vx9R!N*;`c zmJD@(yNp1*nlu_lUdHD?jR&cD=`7ZsYfflxUIyC!=ZD^~`6=?NQg-+28 zMlU3(fIOnu9Nf?hFJciI1Gc*+85OU3aQ6V`Z4*-OXrC&wg^{!N!`A>#K%1rJN;#k- z6D+$cgp~@$22$efn|#@2U~EDp$pF)m!$&8nrLAom$~o^mmL^IAcD{%#ze~!mtgJL> zk(+u(fuED}62iH;~z#8VWhH(N(@^Byl zNU36{W~>&Yg_Mz(J}Ex9=K*n0{l!JmQauBNsg>G4;4K#Ym-lW3@3Ce?b}2m`_%`XUZlJ@V=FBOCJUmMILlq-dVwDm2 z2X7}0xOD!*sOI6Tp6YW}*>@|f;tyHpdg&iM z-(Hgj&>>Z*+dPAS8YHXeseBo(2ySX)w%3mRg8A@u*q0Q(MgbmJ>V{rAzOAivCC_dE~2<#xa=-;Ux#a zP@FK|u}b0683Z(U-vmgRW_w2u89rRD1j{>vl`q_!9;E6(st_W1FD1PUJzBe4@oH;@ zFLbD60Qa%J{gSh9Lxy_hTFb5gwJ(4kb$($oHz5~NO~`lxjJo(jQ|gx~hhFYFr&avA zuoTcWz`Vc`e`E(FhrdWe*W2Db&8MIHLI1_EjnI0|Q-|2AR+NWVo9C`N`o%2gDYM89 z@OT=QTmWeOV~dQ9YVFul<5sYU9e&YOydSL}=TstetAbZu0MOLUe!m8C-+$;! zlaczRU@t>?ty0!dgQ`aDc1U{a77`#Q2pipAl;$J_-wB*l$h#Tv2c4iQ<&hoW@x%^_ zTgn2fG(=j5@}QRwPdHhqHP5EBT+;nCf{Jh1NqA7r+Lt%iq*n1|%ms(-6TX>yxYCH` z9(eD?s{3m3V%04XlhXbN=fC`q;x>Rn!~~4WKw4N=DhysP(%zBB`h)qz+8cBJ36I26@~eVh@A zIbr`EDlVr%PZx4_d=_xIgPcnGkeHZgnCw>2TOQOR#{MPzj@qrwiG3w zd(ind#*^vgeMehU=F=J99>NPqXq{or?a)kk93(!a$D5$_q-%_^vnJE)LrxNY!oa1a zzNuS{i6A^l#E*`b+)ky9w*BkKY7ZVBp1;J!S&kk(`XNi>q`+cbUbcB9hxl(7iTp$_ zf8Eccv0QB$KKVc2-WY!C}F8P+wzU)8us|<4XAz4 zMQW{ge9fA|o-sIEuiA-4UwtGgZX+?*-Pzqqrz_5c);_tDo<*GP6n zInQ~oPd+?*&-_GbROfYi^kW|<+?Ck`PPGeD)$UC@dv1iK*C$UhFYQkiEZW$lRwcre zuq3niEv;PkNA8$y|6mi-p8T#cQ=jke{c-B+ESIj+fAB3(_4%6h(=(r_y!xxDA!|0x zI3f4=BwTN7KyV2|nmWyV+8?76J1G(C_wVC=@dvE`-x(`M6{%rH1ELg>Y0>FP^ZCgr z3_zMKGfBoWg;QWXIqlL4UYhi_^8yMmv1FF3nX7@i*T?GAuQgl87WS}B%T9<@-2P>4 ze4{H9O-t<9@vMf?mrE@vOT%uKT9uqH?Y8}sET}p{{s0}a(Yt~>KBsx%ek#)%dcUv3#zl%ZB-tQ+qG=(2zN})S&7V*8-+gv z^{ENO--;U8IR2`lsc+<$i~v9Xm%_rcyu7>&gP|@`{G>JnjLcV4>vzHL2y|gX^j=|q zV3j)_ay8AiMx4lk$fV5Ig5$APQ0FKQKHP^iZuP5ja#6c%YHc=x8VLX@OLDf$Wrd_D zX8mwgxP_udluqv6Kd6!WL1^~f&>^Xm`^TMte{pu)QgCcESmWBK*|u*A?qn+W0S}dp zS^u8LcD3JYMKBMqLKmiWFVRxuKn;WF=n;Qe3ghF4T(0m~Qhz67TI5MdmC= zoU{1$f3OxJj+TbSK&k+k&oT2MS$FLeQyL-H66H-419{I5*sN%3rJwcM=2(C9J~P#l zrrLq?Y;L7$7p3&=#bg%noX2Zt-Tdsy%O&gOew6CY-EDRnEB6go5Y98p9!Q(+HkueZAW};hXT~j11P+?&m#g z{QKI>Crd_f+uNz2*B7?QQA#g04NlPvPR=ruc-EFHhPw{ZUgoi{r=lY7Vn8WI1N^Xx zt?^G~O2F3&gDxQH`R{q$sPd=s3-;OXSu(S-@K=H!H-djd#<-D%!ei;xS-(tu#3!%v z3JAymLhb`GhFs6}5r|!DEb`R8Pm?{qyBV@bLmfrFnUK%!b8-hVk7snUbFZf82r@YJ z1zfN>6^TMx3FL}ba=+Bor^S!=525#7j( zHkV@(lNR&ytHbKv*Wp`L;>XUtmYsh~$qyBXj_1eb7OgMt(~19n;BVWWn}D2ll?tF= z=4h)ty=Z?p#MxkmgDVdb#zO>*y=Dm?49|}a@U|W53i{ckGSYKJ^2VIig*v#6+4B~J zXm&eWl?#5woA3K*Gu64w)dJX)R~7I^2cotlPctvPr^P>Vqu!-5Y#S^)IhbA<4A8+nXMiWh% zZJJEIVHzvV=-jM1s{hga=nJFIUw4T$S{7^#la|u=)N8V8d{0}it>v9Tm}9>)#Kvq~ z{g8I-m`Z}>WtAl$gxB$<$lOQXSn|@_LOlC(n$QGr@YQeyi8El9%)uTz&0tu`vA1bX^&$byTW$j6aA^$;A5}c(L@D0$1N@ETc5onBRLtP2e=Bx!Xs=_ZWZtjfI~EG5 zpEg!Y!P>J%Mfjj%(2p$FDUY2aqx2H{x-n^u$_ZIIIsDcYPD}9bVj1#KppsPl|A2ol z%vfw6-Agh=f3;^A(sG6=Y0wLSwZeExkID|XxE9aiklBCtkI<7kvBx@o;s``Z0dzW_8Cso=wlW`ko_85*HmVSQa^^~eJ}i*mLi-!G4hgWi z5poN@EWgrZ!Z93@Hxn}QUM;sbsfBbWDoSDkS@zUO<`YlOo%t2g2~lL!FzP9Ax^cGR z>(Y;trT0M0sT9)pH3`nDTI%;K3XW|9ejT zauuh0-MzH_Kz7qOBj>eb&?N+3hog`)KeA0L~Ap)O9J%+ zuqZfO5{ol#wLu1g1=}+%yUFm@q-;HInPz73!KQB3!bCLe#ZR@ly23sTOHkM_!nEP^ z>nQpWjM@~2w2r^cfC-Xxr*D1n+5AweSAEx&Y7cCv>z_tyEJF-IG4r0IoxfWFYlnGz zl?r{lruhcR@gX+vwyP&F9@`raZ@iLPf%EjCEU?$nrLa*ahb~_v>t%!&R8=nH?_5?`E=eX?Q!G_;aU!N3=_^sZb|=rbp=QdDs_ z?G|$KQ)UN~ih5#T^69U;zsJKk3NN;wEZMTt8+WVj<@6bl@?PN|upQ z$@Z_urXc&VT7yol7NXl;)(CthEjer=cOyT*Te6-p#WgovBKG$7zJT5e_f@MTdWluG zO7)rKeAfLG10eACu3BG5<|^D>cAUosm}Pba+WqvEsoM+?f;K3sZJ~@L{#&4D$mw@x zxf7~zpQuziXK?6gVctx5pB!@o;S93du%2lmA|7rTTy&kSwft++%XK#+etxLW#Bqm8 zT*ZV-6yNs+H2iX=e}isJ-K581C= z4B@2SWOU1rK(Hh!NC+Y)18HAZq_VyN$#q#$TxPe+rb8zkCr#L&3j&s=ATe_zRMeUq zA%3I4wsD&}V=k~ct%|>Jc${)oq=yk1^c4s=Mw)NlbHknCh#j&rGxttfOowecpSHt5 zz%kgZVE13Po26$Pn9QFV>4-OX_||^cmeLpQP1F&1zgw&ReVtF6>!H?};_Gp&$1MnI zZz^yJ;ndFEr?ZO@dW{c|U#zLJIdwwzV5fpqU|F%VKY7 zZnLVsL{16=acl={`oC|NF8yWQ<@ocfD)`Q{S1K;LP!0bZf9%n9hx_y8Ba`WQxqNG! zU`J**5Dle+c!cxjhnSyCDM-Bj{Q7-zHiBQhddI1BxEhAi!$hcImJXr4I}f^ z1O|-tc{IAFcCCavO3iP8*dfYl|I>H6T9R1(r5-(7j4mX!&Cgxc(P^FBuM4;AKPSxN z695dkJo-t1%{yqed<`F~N?6M}q_H9`9(~%P#m2*BQn{$Zn@udKrFwi~BsYF+cGl@4 zw8g8clwMlm&uCIM1?sH@PpqgdRH$g_hX@U@lG6H4xa~uh4orziB-}{W@*B;)djsj| zYJTI+gR|Sqdmiw}Gtnb(t3bS}oCv&aZ6qkoB$I+cBEv5ML%#nO?{5%=qJTTpsgk>- zp2H%N`+e65HP_k?ACc3&k0qz?Ny3}?dw4KxyPP@k*LT#(nu5!(H~h7lwT`;_Bg&*9WH+aqfWQBFSeQ55?Zl*@j{Faj$s$R{ z5XPPV50bglVqGttl__b}qexL2=*acMX5-_)^1hO(uf7P+iHUT~EM`Rv|6O=KOb)yf zaT=zdAEpP+B(A3{Vs(C7+u+ds2oTwAI%0Ba{;7E*8=GV8B|hfMx!RyA2^=%56Wkf` zE!>im**>4K#Cpy~=SGZ(u0U^2M#dgsVuQYtV$buraxTgQxT5o!s|3Smdp7(#g#Cw~ z?2$q|gje`o+2bXG#oaGpK*mX@*f5i$%duL5U3BvhFDsqp0!y=3#WhK|mlbJQjW3rNcBilXET z#pk<5E=(re4#R&A(qfy(j>){QiwnfFMSS=r#B~Z~Y zu#k(gGo<$jlRN0h$_*oC{YsQERA2l1hZ>~bp2-?$$+rEp8DXJVvxT()J;}fp_v1qBi_tQMtW1lzP?Mw4l zE}9{seg9OzhU{U z8=GC{i6i*>JP9Q|{aPJ1e5GdxotiV;^W{cxFNGF!_^*4lRyI8i{&A!f*=`8p> zsD)L@VjsSjuCps4XQG!++oVXb(Db!hVXJnKr>{9~ElVrTNYa|Ob@~H43+95jLK~bE zSj;I;M^d91gR@R-RICnpP-hO2nyaz#jo-W^1^63J2ZW!ft^1m~?tC*3NebWMjLx9Q z#<>5}e{YPPk9p!BT3Mpas$i(MqRya{!O#vyXpU$cPxzDiA(PGmWK}d@$FGyKCvUDV zj}-#EEwi{oN_tqaHZg3-$tZL$;Q$oc@4ljR)5S33cq4OtY{k&%5ZlSU^HYTRq%p?3 z9wl^IxwbMnzbFl*tt{Wwqvu=(za=B7q)xPi%A2EMTNmbA5K1HF(M3ulmGcYP*>~U! z(pFxW#a@Dvf`P6y?g0j8o(ExS%h8LYnH-Qiqt%dU;29l}BqaTbyBW|1cY;X>zamn0 z*G7AU$0FT;*_!XkMhcN31gMP3$)e`l`=fmcc^Ka-tvP?n1%6Yw+ZzBjFT&22VGDr@ zQ2Ei;++V^8kBpq!n!s3J?whYiu|4$3xn@P@Xk!yJrmN{4GD!5Z>#wO7bv$5{5Rjir zu=$claA)eAG;dZ5cG1=HJ~6zL{&O&0OaM+4?l#Z9bdDiZFVUCx8hg5orP? zfGyp58*00V{A04>Nv%Uj0rW0gQP12&R3XtY$Ozhu|A%OZbldvBe*=`3wDH5Yuv`4+ zEaA6#C=D7P3H(j=v%M&nPE_3%-`;aRaD8!d+R=P20xVT8uic}*5KClJXe$U0Yw2=E zOR~-n-}AAVJXoP4=N@)udmf=AF7+mV6|@U zB?{5WOd|JjJjG2hK$FSXaB1#DjZG2ZP^{D?n>kRMFeHYzu7T=qA8#1F{~xvNJZcih z(~^&ZTCPFJBc%oM@IU*rg;*`+yq48z_+tiO2^~lzP!J89J5dtj+GzpE@woOob+KRMF z;x3q)!?ak_7>xYV)B*&1)myaZ^Lo&{?4#nN2TZXJb;$=?dW=Ac;>K?KR1x}!j*E1xp<_(H z6`W8CpV~3~y+~rWB>uJJK6=Sni+WGuuQv53>BM?J?L4ykGT!{#|CO%&s~OYD+$ ziy0~R_8t;_-G3>=A41@cT7nt4O7&m&Kl^3cf>UwTHOQTPHjC$tx4hDMS_tNjSh?J1A9040lMffosdJh{7|)G$@g84(um`wD9V)Dba;Lsv=lZc< zU_DRF(zjVXZz!D5vBn5pKhjr2q>&`j$9tjXomYEUCv@%~*M)BtlhXpX(icfGKR%_B zWU8>8p{KSem+A7dH%0RJx(F#~STyCC$ZV<5a5F8XP*stk6kO}gzB{m@H1W<)2ktk+ zg74|0k;wdNwlXY9fh60$MrDR!urT8W<&Ev27I5e$ZI^#eVU93{+wtRaKM`DoJyeiH z%7kOwP@Tk-XVS`2KB)!WGDqfr?K8eh$VWzUNL=*5x~ki0uM(v*|ATG*#%yb~PF-~M zRJr|pm#q$CwE%30qSg&`y2FmE%bn8o4DnQ2;8?=E$D(QVp6OYxufL}Tzo%IHkruC5 z^(lDkq18j~H`F=Qx9Mvb>H>JOz~@44`63Gfx7ZSp!y@IdCb-B7fc*V+@a(qzW6M zo_7*L4rCGu*J8+rD=ZV-bhZnE1zMe2} z(}Ukh9t5Fqd4404qkJ!ix}AQYI*FfZ07k65nf+j79Ng1hQ|EXw`nDHfg$4}k+#D<)dg~SZg5uBWX!zkr-3Vh>IdNvJyR#-*d4Wiw0yGk)jK~^E zdWjRrhS@}PKsX7Eg)K-p0O-QmI{W}th?Iq@k>)uQL!Z&k^#bV=p+vhRA@^%x7NGqb zYv)8kL3`_yYv;o$REm*#H#C-VblpcwCw!vIin9j9FxpzKBf%B6&piboOe%=H`u zbRvq`&q;^0erx_LZGokQyu@y0lroX|*sTCxb3p;Fr@+3&%ZAIy3HTN+m{A-&(QW<{ zimQFFJSEg7kD7wGc58Nr-X=~&m&Tnn(5bM;CyK>YM=`bEpRv&h!szPgnDJHz)gy58K8G@O@=xH7(k@MkWcDkeS<(=Acjg@KsgR4F0~ z5tD!0XR4>VjVwKiNyEuimTrHQX9mHMnPKKeAMej-`Tg%f%vS;Rqd};A$ch~e4r#aG zbC(%0kL_H(&SsrmRjPsG$}WD$>~>{5&X zxv-PgMwJn)-|%vk2+Q{6)WOEZF?QIsqy-HiDt?w=y9a-h;VSYs$3EVNO~vvdm;yB7 z>hO`AvtxfE=xhL-Q8>oWC{Z;C@K4fM)wU~M^%2D#CM%C38wlhIS_6k1tAty_(m#;V zh)!TxeCkRtpEr$-k59YX)95@uBEud&>D}eS=Ek1B<4E0>^`OLx@l(Puj-2NbLY%rm z9>ZZLdQ`uvUo71wXk#GMA~}zgCO98cy4QXyCO{E=pgT5U&FiQVyA9zPyew?xvvl)D zSmZ(yl;i82B=;g+xI4nc-?%F5TU|FdEbS@th#!{Hb4DyerVDz5Hc@9Gf^~-evb-<{ z{O)`w2@(*SfK0RVt1mnF8FJ#IyIG<85*zC%sU2l|PSYm_qKr(~`0@$ccMtNrCFf^I zd3H5=&MI?j?s(hTW+%tY>$E@LmD7CA`V8MwvuYyuDdKF*a_7Ti7sC{js2G)VQiCeF)It z*0aG|o=LSKOMHYK^{#G6G(SU%=D#dmbc|4=aELwaB+oAySYq_?l33V}N zv{{cArs|TjgTY6Smc6%@`17UOiwwihk4VlH*%AA6*?dlpo;MD|SD>U%4(*C#IjZLf z+#891*W1BTc#Lk&tNC!=#YxbudZcDLLW}|w1hs6D3+D2BeEz+Tp#Iw$$Q`(YSBn8D z54>+Yl4v5qXb=>NY#LpFO(Q9N3IP-!4EZ6`w5C@ZOtLUZ4fAFUzJU; z0*X0U1Iv!Kq2=9!C(`diw28af@UP<{7P9+^o#T$c%V&qoe8L!M)4uZW^k<*s85k3` z_Q2K*ZOX7PGmy^qGRDp1aZ-yWIgc>5#iD8M$G_6UrNuWTyQXFY81&ag>A$q!pg|Bi)+N}MXlD(jx6VYTdp61ez(3>I5T`(L!oCKj2=`_P;&H_~& z1d6dthh#`~CT_oySte$w+_mzdC$!Z2O z@q{M0qR|ig7geOpE6q`Rhafrz#e}R%a5%t8DYCDlNO^X?zlAQxpj4Z2y0?2^YGAM_ z-MkGmWrI4jjlpP@-|&vLU$(J!oYlK=W7{c3*)Kk8_d2cPShI$G5A%rhw(ab%zr4HI zvF5Lc>r#6*viZ90KXhP7t<6PJVG1`m9*lJ@>Wxnx6eZlLP&caAAY@CT$D$imQtRU< z;zT)9ZAJ6Om46V2TwNV%V{`&^=JE9jd))$_hF-vR&A&yXGNhAXfmph-)$kLD9Ch*g z%({-DVie|w4IUX;8<)!)mx~)p2SDqxMLUn~Oi2oyMycrjxrJ&Z|HX%~-P?N7gn71! za2V*wYKF^JpdMjD)rI)6ho(%+S;jlH`@2Q!Aryu6T~l4Vp^O8nq~ijwyFu=z#+6e8 zO+io$g-;H(&4(s6?4G}#x`)j`-Q3vA#mAFo($YB_bj{iL+Bu5T&s*0>!v`eMcxPop z3ORb&q2aXjT)teGHCHfq?$bmQvP{L4@JG$%Ze`D3_e)h=$54^dvN`-U#6*z}g_%0n zp7Gl8ovw3o)m^1Qnz|!pN3oG8%!oJ6L%^xsU+vfv^84MB9YI-`jU?y=<^r73}j_%D)mY3Vc#}wAfkGl9p)4NXV?PhI+U= z>p9$COa>q!w7j%OWWxrlrhVf848Dcj%6n^nImqErhwl~EKgwZtO_WOlx`7P-mNVE@ zhqU@*2AVK@K>0bO;oM-3FZO>L-ddKvuO=17Rc?#WIv<7g*TkIpc3Ne8CYwoEkDM;P zYWx8z=Ku@z|7lUz9+QQfueuSQEhaJ-x%5MQJnDzzYWY*yA$_>p)Ia;gh-iQ@^Z!W$ z*r2&-H9g#(VuTIv_BS=P?~fe$HZ-D`?-Fm0f*5Lksf@ze-jnX;3S6-hN6B>Mi48PB zbtH%ME~%*tpVDZWvdF$0TZ@lF8k4_#4mBZc^!SAAFeog#2!>Lr!(lKQ8m0OO6*& zt6LOGcT4TE$E(~Mput`7%V3-t+>z-PJZ$z=16`34^KghkGM`e353Bf#SG;|urvKG5 zRtDq)4&~5*#>?T#B`4Q#5#xiR#$P4idgB~iwc!wiZh=Q8gmv+&z2~Ibk77^ywZciB zw~Ux!5Ao+YT$Jo_Y}+t#@MKLc=gRMs{|BMPn<)!9n?-ub`PVjrM!uMM3J;nP$%j4E z9dcH;f_HC_(KABYudVnI{z=O)#FJK7{{^1Z5IpILko&s}_b1bwsIhG;}jw#~o= z@T}+WH!Tr3riOqME75|KO-0rb%32^;zSRY?8(Tn{x=K ziL`oMQ{$_)&X;aPtu`}&T-#neUw(;I@FJ*ZbsS9k;X6fQE8~AW_&uVuw&Ey*2>q@@@Qo4eFI{EdK1|RMmy?D2+uKAQ? z>t~mE%P;^)GWiXq-SSN!_8E-{Ic%k&X-V5EzICc8%~TF?vhHTEd2r!!;6z$l&y?K} zJ<0lXi1Bsl9EQtd{AOhsc(Ll^j<68reEka{f0#2CytO`cRy_Ea0&(egqrTIXKe)#+ zz)P@h{CB8qVX2vy2g5R6W>{onI!-&j(VVN&lxTcSGNCO#My(O6U7W?gV6qF6(n|#a z<803IxnI*IKA?Ytn(a-+ahTSeMtI#^8;oZhmGb=eu2^j^%W5d<tx(T@P9`B(I+~9ElvS;inX}x|1vL)jVdzhFle@l-2(SQjfw6jgkZb^e&zt1{uUX56~#II%)o2AO_-DyPTrHXP9 z0E^)2jD2Om$GT4Ze|UyE3_mco9E^!96TAgMd4}U#kmZHOB)Nl~k!7f8%c$||s*{$I zb;Z2E7YgsVa%(h#I62E5sx+N=QK;`yg+q%76l03X#zx1xsiM1glBWSSXLTStPDU~FT_Y*Q69EvkJ# zL!V8z8U1qbpK(nH?($?%ScEb|{ zZS%YW5=g`x-qDlhaOv&|eTkgzPtKZqX$j})%y~jgw@caKs@`1QrcX}QCN_S@_F0Z` zFGLUxnmKpBTuS#V_AuB>R_^6LzDRY5wv*Hlw4l!>h2YL&Z@p!d>~|iN1Q71TVA}*5Jvbi#vTL=s?fX z$1c#U?L{aRuo};c_knlsYuX12pCBud-^)(BDcNJNjhzyB~KQ#g!EpB+lT2x@yV)&WE4G3193wgscRo^ zJQ8Y_yW1WHs_|-hfq9%0a={e*<)3v!s_|Kyi`ZYyy5$45M*54;ik{o$%Na!?m>d$Fv<;BWq zp7fbq=`pF=Mg(&|(Xy}ZPx||tJyI)y-#_FZgn}m4GId>+xK_{x4(1^JXN2nlGL?G4 zYC>G~hr0bqg^=~2ze`z(%V3dcFhGW#fUh+ks0DB-*8I`htT-J%q5Fc9##;~ZmY&}L z$ws7yZjRj@s|=qcr`CS2RR!nS1SFdS^XSW0aI#eKL-PyXnDNy=`Wx!w|KS4!KS**R z_)S`9A4J0w6uOj89O<+l^ufagdsTx4ck0FL_w}f{jt*;pfp~gXte>du1xZ5#$*JpM zWzSZ*kAQ*>{L>3hT9sy_3LZ+^?7(mNTPL1Hd7PY-`^1RZ!dmumqw<3 zyKjRkX7G#n3l7!Z$>e}JZp{FFq=Fs0gjBF820>>fE(Dt^{n4fN3Kt)^55FSnUQuf~ z?nkD;=?$=6huXwp0=Yl)#f+pRp$h^&ebVAl!Kl880Z@al3u^G&JE(LeWsL2%P9Hyv zx9Cn!Tip|5hRArP*QhWM(U`d2@y@P?v@@Lc;INBU!e$0sBcy&2~8vWev0lEwY5|KN~U2CO9`d z!mYPG0oQgtGuC8UU0Uto+Q*m>33okZ)*sBaPX_|dFy;v! zK3Q`m+cZKvOyg0Dk1Y`l_BU4Q?xiXR#PX$>H zbu@Z`<1o|x<-G6uwV|%ut97N$nY$dV04>ND(<0u4f*X`08F239fG6++^!b=^ApFUpIK-4 zPu%qCh9JxmAaW?)YryJ9zPr`v&tfZ}$^E7fpPmYx?dDH_6v!B;V@(Zo8OH|jFV4^( zF=+~d3VPoFcDi`t$QbR&cUObl<{-@vGDhma)SY~D@4{Z{b6zN$FC7;mZ`JZ5^DsEo zIR)3j>o{TEyG~gjS)Mw4)OAsFDDn_v!Q7LZ(vEoRR)BUQc#pr4oOH+dnc-()fJ`)+ zjvVzr(g6T8EZ4t&B}cO7-T%Yfmxoiiwr}sIO~a;HN>s{_G0K#wt;i4>C3B`q#${$% zNo5x$nI#mZwhS3Fiz1d;nIkiqGA*oS_^$g|rd_?i_kDlg_s93X$I-F2N}l_A0Vif%To-)PFX$=jr=v%QEtOq1$KaHrS|1AHCW zw93+=P3R@U2pZMqqeXsN75ej4ATU>@J615nNuCYyDM*Jp8Vez|YTU4`=b4NJGzXv@W0Fz_ADC%{_JDXUb>%Q>oKzaHZ&212{2{xT_*v%FA=tkb93wh$S8|#n===~mt0e@O< z5D=HFD{$qkMfe(xt4kX5S8tBcRl~*PfyPuh*W0IMojzqaB3AisDHrh*s(RBMfXb}i zD*MbaldU)*w6QolwyUYrh5=R`rtvkBj6LsW1x#F4=bPxf&|j%uqaoeOeW_sK_OY#> zq*3;{?@4`BkAvXtMvA2p^fl7I=MXN7#XC_tzy{wYja81-O=L!(`YonO^o5%f#TRPyCx!+&=R9rYIKCU0nc;Ox5W#Oj$a#Wnt|jq*uudOJ68q zi-&tFy5(}r^}hsKB6)T_knSuFGx7`9yeP8&kwAGQQPj?602%IHpEnGENewEO zajKi>_&dFgJ`yyZ4xqs{L1>cfM3MXL!LB3)-5${MtJu}sZYaTG8zE7za+zEkHyPv{_ie#5!c+ka*;>cl!PrlG`8Yx0g~27vwCP+s)sY)I=8Hu4NwvF zoqabqeeOu=aF~=c{GNFxd(1h(h$$5yEKb+vZom#qx@EOOjI&c^^DWRTz!TCc`=U0Nu^K`2K4SQMl zh=k^v?fjM;>6YyU3#Z2Q;h4lt8rGNmE>?KW#w5H}X&SMQ4qS<;PHeI<`#`x7A)rrS zAbHJJWiCT(gZg4h2p@FyA?OrRb~j~7%rvArJB!)a*A|2ew|*wHOKB?;Msz~I+~P`m z|8R{<`6%f*fpR}8I{ky%+}PCEm$}(L&*Or-4Iphl+v2oXg)aZ@KOEisZzZoo_pt2N z4g{Uf`@h($22#F^Y=ACS8wL1^ax6K-H-enCkvwOk>ixs)lGzfq)udZrUoP0PM-_E0 zcB*{{xv+Kv$dTvGj_ggt^7>V{SQ@2Uty)=*N4`KPKQGY`j6CDX&`_ashv){pmGS*` zZ%(V%;56!MKZj&w4uUUjBz0bL5~7-$Y55(EKj@d;wS{mA`Jo5!{wxO0Hz(pW$i3zw zev;iK*#}ed)N)#7djH6AjJcNx)4$g?>&SDGhNNcsNaoz0pzN3u zRrK!VIHfD69btW686><-|w+nJ2BJ}Rveyg`PAk|tE%Qqv>D0f#@WqR`?a5g zOB?|ZPrS#dazpw)ylNB8ZMaJZ_#p&@)Mdd*nvU4FI6P(rs&m(W@4htR3>5SO!w z0eoJ?lfB>G0IYMmLU;AH0u+)z;NQF7fB_?~Wxf#X`Ql(@CLd^)2sFe&Qgpf?yTs|u z>i~)s7paH4k0;z>JCFWPF|!Ym=gcO0SR1QVX1&k$CMpkTNe!~)jJz7c8#^BWlTVrbs zYWmi3gq$w{ahz)q9xt~49aw$P^(oEPf~P$&=N*xM8sMMxfg)SA(ygz~2i{~q823B0 z?uAEq9;7WPDI$}*MJ)C_5wX1dLu5|Dr`3c$Ns@XzqZLa`s)eL6#@BMuAm%@~-iM;n zIktrXpEo;Zmpx)2=5VFEz(RQVGs{~?z9(dlp{Dm->7U@%j&X%5wS0e;f4;dbE>ugI zFk9MPrII}(d+AdMXbzt@JLU7s4I)dW&@$s+-Nij0MKnX@2Os-to#_g)PO}Db!pFZi z_@s#YgqR3$W<-_<_F9!`X*q!_FZFx^MTBXPSZT_1=Kl~ryvt@L+r6SrcJuaCZZAhM z#0cUCqJ{!6id(qB(1pEEHx7MdmKkbPW)`#NkeC^(j!1ajostk2Eo$fR1ztnS05O?# zy`Pu~;j1z9{>-I(8EL&gqIKzhIL6$4=z6uTR~eMoA<5IQ@pf;av3tSiJUcr!U1+Pq zl<|3$%b1jwJYg&hZxG#5Lm5B!l^*{sqIU@Yx#(}>xn`nWHJ{7(3y$T_GkR;jP)y3k zd4|*#q((!Q-baQjf*#XZuCnO)J;ol#4F? zaP6;9p193-cv58`JB`qHV7nfq)VB$FQLBZE}k7^UqyE>EhB#!{G7CtFP>s;XNi z%JyqJj<^W5Jtg0ZK5@SoH@McU*bU;raVG{Te8^eh;b`;oFr< z9klHyvBP6~kJ^30*!6y8_}Eu;lPR2;1`m$6+j~^8rua~huioZ*Hqr8qVn43luF}Vx zEBEX35FL{~j0)7n{qAK{PzDffiqaD!4HxbFnGZ~mJtl1KI(8#h7H~ha;E6N5ofe4V4GvKw0!;;+-RhXaKxV z0*{`=_csJZj&KX+$A{_qAG6Gs!BL6Z?W;19sJdZ3EM&*5X17RR*>H@r$(O3SjiLp-Y7vYW+e~NgN0)-Swq} zTb--c;LIKQdIEF~s7(l=A+s6+P~kxiJI5&#P(bMWslN4VXg1M;V_UN|)};OV*As>u zdJb>B99@_x0$v#|GmbEls(iX&Z}w|pO4}Zm-gX2u1@P%;pZ7OC^upgA{gcW4Cr0Og z4)R{~;xUjB#jX+=?8O&K(rnG{&CyoTnVpal@rr4cpxN_%L`CY6OV31D&-fGSgKL}1 z`%se!+$Ojtnsr&!X2#MZQhO9a+|YaZV@vv?Gta#VuWzfWh!+nF=Fr*awO#Wg&sfW!Pz$jc+e` z=k{izI3NFM)HS;wP>+Tli!fpWfWG;TOoRGLk{#XMrP(prL&?o5(jW?ZiS*3j$M-aY zISSkfGL!1$Rl>}dUQ-tJ!3j1&O4{1~rqHUmtPzLaHC{uZjZ8x10?b=jL}cXk{gki$ z+S|f;xb6j50zEr^H^@f9v-`&PKlar-LlPFm@S|+`vCrR;S;ybOUx65`gqkPyAO8{s ze0!_v+s_*OQ4d-`WOJ)3h8;%mvES(yh`O8V=l!Qkd%^ur7lay9$l0}yFvkS>YrO|J zjm2}vK8$H#_PwpwM7kB_HsmT6igb=}V12pPSI8<)&9xBAOYqEnPjWZT$*$$l95VYZ zHs6x_=QsNC=&(oD{fNDcGJS%af6?3iM+tEyTvv&_oJg8G z^rf!90k*et9s4fEL&g9r!kn1ndjF@l-ppA^>&-r7EQi)FWl!e!kxpW7O^gO-vB>*? zaU&h3jsSmu*|;2s(XPJ}u6rCx1{VQlVaOLuvpfR>r&D3>y7vy@h+1UairZo1GDD|w zzPoD7H0v7a+|KoKp7QDS%AT~?h6}RUOnsR(TDprX{r>&q#v^PO@FxARZa@PPA^9yD zr#%=l@7w_wZcq09PmR|z-?=|SXHdk-SE%Jlzgx)=*;_dAu)rM4`C< zkx{mM|IAM2|LI+K-NTNMJ)jeTq#GeXwDx0qyw{ot^Een_71 z@5U{OzS<)ZLyC4o6Hewqc&(LuF4t7Z_2yzer2eK0weQ(VjQ%1(dxLaseK7YACbGPd z`onL;HTUd7#PJH9(R;Am5FX^0PH-nKrSr`LK8P{$|y>&t{fd*aha-M3A-}%*Fih znAi#ALwk8JzP9$u;<(B6Y%D-M&WUEzIb`gYWEglnMLx(_VBr9$HQ?h7ZveqK4`s8=`A3vhWxBupmcgj;pqcWhRP6IdyRDqtL>kyl0u+ zYAz%1#>i5W=Nhuw)An{9*-5qXop!;ZRHQZ?x~&=$crUBWO>_Q6dLYjv!HX};S4y2PlG zn0G;g3=T+U*OlFT+PCO^663KLKy*3eKY!aG3fHfi&s{IAl-V$Mt=VK?#ckoiCP3g@ zLKLMY*9VxMTdA?{ds`GKk}z%)RW-coXiCS7&8bFv{fC6K*3~gbj?D5<$fPl+AwFh=nN-|e6DMNzX6VY1| z^m(uO)KqIez2LrQG5&=Wqc|hW?dYleXD-8)qVf%#hQy!eR?uIxyy7@g#D3Nnq&1vX z0o4qpL@>{tI2L&^?5Ld?%I^J%kfv_6^)}jV7^wv=y~US!Xf!@>0%(p^)hbdF2Z@em zTol{Erl1t_mQXA8n#XuS6{BJX?mYJ*)E*(7_0`jaF9Ov<5lxjefE7@&2A1a-U1bnqg80mnK94J0f!> z5uT)2W>Nb?Eiz4KV}*%5jX)~ykq$y$89--`?|K665`zuNCRhRzO5Cw!7IgYf!7ReA zHCrTMd?UIzfu{gQ0`npi6R=P*Y4LE#+QVzv0ky^wo>t#pH&f#&AO#3g+av z+G?q3zv$ljT`XU|9hh)gePQi%GXvAfmYLgLQ7T8%q7;HKe|E9+f*>q9gfmx7hH4{P zo7rbkkYah}nH!8yebJ?NQv#@d(B@5&fru^9m&ON-R7rWk#Qjoy6kg4@@du+KOSrER zkMxQW4K%^e3!@hx)o2#%G6uG8RiSV1KOSPfc_58h{jj&%X3+!QSI^Wu2L2b6Uu3)y zTB0I!7K$>XYv~u*SIS7~`nlp^e~9D8=7~>dRqoSG0@i0)aW9|p!GobXMkDpY=ZKho zk6GjrSJDOq1Ymu;Lu{TIj_;50RlW3Lk&>idg3HdUJ312}1xODb-RB(W3_5ADG!vTs z;Z__`7M?8BX?1gskyxZ=O1hf_4(z)XzrZtWhn@(+nN>>o?_T!_G2T2L%k1r832;}< z1|Or)A}F7X2x>THN0+jNN=h`GALAqV;$h&b!7mnCy^BneJ1)8Re#?srb8)nehjbE} zpE9Pa>9y0W45{uJa+a-nme4JAQ1yqCQQNh5Wq;eA9cw=W)wM||*1TylwkwmCh0S>F zO(urT_5Cm$^f}g=nrJNgK;VMStwBCk({Cqa08De$?q*Tuj_q<)gxT){v*v7e6(+8% zPAO4p&RvybJUM+=?mf)A#7sqD{J$73mFT|+(n~iRy&>sSm~(A)n|H29Fy{(w4I6N- zg6)yfHeTF5Mn*+O9l^&7!qyQ=nT6SKmtr z#+n8cmW0w5jBZSan)1DZm)Ygm9l_PR%Vj#hmu0#*dDfi6u0qi@K-`=_meZLzCzc); zs++e#6bn&KQznXA@*glsO zFdpllQ1bo?s^{2Xq>YjhJPN+C_y}ho{H0B>_S05guw{QNKxolgy$Fh1pup<((7YD; z)b(4Q$j5F+-{H)DugC!b`}o)0s~jCv&F^e~u>NrWHP-j87H5iWlv5s9qHuEi>oTwB znYHcdEohY-gbNK6i3fkO>hoY+Cz!2F=F<9URIY+Rt^1ynZsdu#4B?5lQ7@!r?o=n8 zBWt|AhC<8uqr&QF$+?RSDKTYN=`kcnY$6ya`OB<#@pyjuVRRej<7D4nfPp1}yUuql z0px1|wxQbX9m?wtd)C^H|26Fqa=wb2=;_k~MSfNP(6us|^6~}rZ$E@%4CYE$@-+)2 zQ)=XfJ&vDxHf{r!P|bGtsFUlxHok?)2Qy+P$b3~lR}83vK*H>c*_dn{XxDR8@Y3Bw zH}nDtbxX$f?pZRxj>-r*IXyQB^rhJs^Cy3+0jS`gr&qz^YRYq!74YYov=xH$+yk*K z$lYy;=38<5&`=I}G@vSV`hDV)nAG)KKCX1KGgF*vqnGm09+{pwA3@Es|4t1lqGs6# zQI_4A5V&O`7M{_RpTb{9quHN_uY>5 z+wsHVE)f48!Zx=m2+i|hm~K)_0y0m@>Zjj3ihO!OypeO+Nx}j-Aq9tWLv>K*t>eh# zFTUb+#8bD3Nr4wBJRCi#>Ud7n(W>OBMhf0&rXLpRq8!lLX>VU9;c9B*U^P zEjo4IV!qC7KlwFx(6qOd&|yvPuXc*g>7yn+Gbw_{s7cQgl=Nt9-tx;%RPH%QdY;@X z+Ot&E&_kQ#>^^sT+4>URt6jmzUF6FSauNk<_kR;jMRdeb&GIQWZ=EwW84b4HHg6!A zJMX|Y)dVkyX+f-S+tnQD92@!XIs@}YxL6_EbmKHGo8Fj9C%hkY8kQaI_ zE7$4IhLLNVpSX1Pk6bXP8A|oyU#%3aH3){gl5alh6dDg1~e1kS8YF&&HB$`=Fd>e zIEZS(oH#{b*#*yN%>-|dkYcw|v{IH=pMMv)Sta{`@f7DRi%}uh9!gN#ljA6}?38h4 zYG`L?`HE9d`Jl&jlcv2QRE8FprGDq}sv2_21Z0Wgut=4|nIGA#u*Wl?FeP~|aEUgY zg&JsQS9&_kT_Iy+#EdZ=fkjcx4ubf~zUCZ8#r~Zr0k4j85W|09XX(FRjG=-3r6j@A zR6D$n>`;B(uam-DC1i(piMmU6xPBXO=d`Lyj&S0Xf{~*f85!Y#1SQB9jn2fi9#V`| zG|03b-$6}ajOMt@MsP-z0;ocMjHr*mXMDwqVzZIx$8{Xj7s-yZ@rlhmsR;=qU!R+f zpEUELmlv(idM$jm1yIqn)C1|L*gU^-+Oa#cK5mQZq^h-l%R62vB{R~_4CeV)r>l(! zT$vqNeApLWV$t^0xa99!3nZoobH=mBSei4=&9MpOH`8X0Bm&iN#873-DEm+)6mk@z=q#CZc`4E%sR*#RYJUa=T=R; zOBXVKgi(-Aj05Vz^83hIj;4#!t!UJQB0)!jltr?qn+$n+cOn<7&gv8v&5lBnjLe>nYrW^F(G)(*5R;&c6Oc!aL><9{`A zdwt{z8uJ-FwJ&GkJdn@wMTOYZ0YkjMsNInZ(yiCj(KR^?$&)S@V(C6lnYa{yVOOOc z-P6uBfB3DU#YyX_`;VVA^~!hJe-A)b;Ir7F>61xa1jU)xz<1J?Zk55llfchu*lTKE z;67!i>W+!w4{=Te$I+vGlLY0ljB0aNT6X8*Y9~j#E!51_uCe0QPh|skhKrThvJb92 zFa!lR;U+E4Rz6XZxV@zH7B+^4G0ad;D3S1QAM`PFP29Gvb{HnrjsSVU;sEL&b1lHiiy7{YbnGpg z)J)vS%lYoVzPFL^ZF>IcDLEbXxgJOLOs>l&14V%$O`%40xy1R_#i!pH3^zt z1A7WlqBr9Wv*kMiAoz`p8tKee`PYgBpl%?WgYTQ2F?M6zvgf-d3Urts13K{qprBS1 zh8B-=;PyGh7P^Yl4F&LO?W8hzD_P?13rOzqh5D2y#{!&2EU=X>**;(B>d9=X17A{5B$^%7@0zO!`e@fXie<*4WrY zmY`^sO`rJt=%L1Bo+on;7$P|+J#H!wXt65zhC1G{+G~JR*ROzsx-xpM(c z*>c^zyk34_l8^l-<|7c2Zp+CuwF(xW!R4i^RbDoL)1geU8zZ%bOagsA+sY zny%-ssnlBTCl_KwygejSTA4@e3KtJ^7fkoTlO_Ti73OMm3?6hG^46&;;Vyzmm00ZhTWnk7|cc1Qd{l$yPB)x-X5^!O6+Ac@rUS@#dMCFI^ zk*+Y+MQEz4tDiR~eI6n5{^Umax2~AWy~@GWOTAaQU0|Kpg(WhLoycj>c$1vEE0*`A z*{;(}Y&5u~Vfv4+_uRHk_MdgCF3*`(vYD8;qm$*IJ*5+Rs@vQpDLu{f^DQcBO+^{= z5v9PQrs@ft$k;K9PYYatg+p1)uHrAE(|J8^o4Ry5R9?vc)eVEZo%!}AjK-;zW_17? zJ(h5?r81LjW+8s=0#e~$uwvWokFH15e>(S1L}6c_HEl+{1fFO|yHGhel@~g*J}hCO zBJmOTw8kbUGFi1QUTRWJJ-Gd;oR9qgQ8`l0xxo8xqiM`a+QPzOt|^ZO0=(()(n$ro zBo*eUo3JQq@J};w{jd!Vt1UL`=we{H7)I^gZByN`1c?P|SFTf|z$n9z z%h(_^s?hLHsin0a9i&f^!r}ZZsyHiK4MsCWa^ukCD?VApi>Ng3AFLj*Kh9WQOYXHu zt42~0`}hYzDGxIl%>sgD0yJb01%YjM4{;VU4w67Pe+%xlih8+hr9S~}MoS{h&2BYh z8iAxMPD!yGa)0|4`F9UsxMHH}e#_85`g>&>h&gwwAu5HQqRXc3ajQ;t-1`>|z^c*Eq zyoU89f{UKHAl6T#szkWgDvC+W`pWe?@-`zIUMgz4>l7YnJmFx18fN5YFbimL> zUMP5h2vE>fcnR`VC&uO-58AminiLZ?7iJ$+qv7Z;sTE{0gN~BsZq*%VLPdURl}fDK z6}@_f@%gbnO-R+@B6jvcQx^sXA|B>J13+Yh-IP7_*{WgDUUiWVJBD4PH6aZN7-78z zBi4uwzY0Iy4-OD47XfFU&pb&lrC^ZZYD+dgLV#`%RfvlAu%m>Ra8&chEo;D^fN1Pf zJETKE964SC(B(Z>=$rcbFEp5z3W{%@VyaL zYvoKpeK9!Z>b}LB`ENf+qmFynE(}UEu<6L=V8^=7WrArgGi2CW6T7Jk2${iYY+9z? zv<15Y^v(dJA+3jjKL)^|^*#+=5V|;bQ{)-hyiFgCDqZg9ZuJ8=hCE<_(CMYY^zVAo zdQ8_I@4eM|EW6*s4Xsv_eRm6k$3~J%O@t`vO^3a0ce_(yLdX*_I;|Mp>rl^yE)iOz zLO=?iR23w;hhTy`sDDM zEV%Gp^jWFxc9v6nX`R0eIUk<+GS_PW^DGMlq*x=#(NI{E>=1WjV)2GAPqluXg(152 zTd{D9hD>kBmX%BRIdQ0~(%|X4qxLiF&EW#WKwkvgUhMKyDn7#KDBT))qX2+KsgGh{ z@n=XtwzPvTU%iOvON^fZs+-?Z^HD=&0bB#q?S@MEsf1=5J1{ty-hv>zh**=H1ICLt z(xLm#m4#Yc@*RliZaei?QlCf@iOpMN=;a*DaB^)C-amQ#h< z-Mi7u8vTi{HaZRh_?h)AF>SWZBZJS!)(|N8^Ci#yKV2&Ycc zdIqu(G>v`vyopA!s0%YsVuMrl9j7I6jh;&1z~QNM-;$BdFjgMcggG35$t!s1_#l`h z4Crw5MDC^UAy}$29S8TSwT&aEAYC7;t=0sUlEZNQJW|>uGGT38^s063{M+0IIY!+> zU&=`%?||kGPzzATRNWkSk8YEDHrA#su%fgiVWF3Rm^HJ6qc!!wMP4Ejc(Pw<3gX|p z2T$Immn?N;s$#VfFYfVh6e)C-a6Q6OT$Q-YKd z($p9t+hyg^%(9%XV3o6?V}9-4iNKi^cr)od*^Q>v4x z`9bqq5K7dsd9(lIq|u*o4Thuzg(hQp1hZ-S>_BaCM87CXHmQ}ZKd_8wr6sXXMPC#1 z&QNh--Wi^^>qY@kw9q6M^e%4U$^_TehMcW}c?JB1uE)?~{3cAbZFOkk8nCiia}e<*Trl!0(0EW;N-Xbt0j$H=UyEETb$mu% z{g5F+)2?AUWQQH%ZnG(4_zC$*<-kW+mFPwK5+uk%!E^Pc@dSJ+d;b}{MZ60bF(@t+ z^8gJ791{OciM;)Es%hFOCv?QU!az@fk53BP8o*c1Qj62xeIJ|D@3ZP<-R9H~p0yzP z1j-ti)0N)pK1^$Wy$08#U(cWyQ2inW>O;;6Oi0CtZfri2pbN01A$PeF8v1rF(CaUr zLka6?wPrk)3Ct4@Km2uRspU?#woERvNiK{kwoj_clRhj1^|ye01p{KMX{U44b|S z#hjf$3ucCGn$VLBNF(_%=&2%p zwabz}!KAeyJo)S0GPFgR+BixH47HvsPo{YrAWQ-DihEq9Xytiy@AOgW5LJAFBMl^zc2L^|W27w>HI$G^G0X*&Z= z+`O;g(@H-q_DPs%q!FO8kIvWfp{L9>>N#&NMhagF2a&iLI1bq!-Dy~4g8AzUq)zJz zOU|J*KA6(+D@7gRS=+Zl^T>5L3nJA}m4@J}|D=SmpL79b!|v#^x&AueOEE~>x? zOD_u4meLC}G7GHOLP$Jj-hk92%9|67%O51*Fosw4kf)hks3hKT>mc-z3SC-?q19=a zDrji~iQ8+W$Uz>+wDO=jtqmlRq(YV00Mj&y2GqNQWQ7HFLQ%WWdUkrMvW|lYbZ_1O zNjh&d1+`|2EJA&G>356yhPse@QJI29?ynAbXF@vc<@Kl$1JJC}DQ|rzniy=oFSF!$ z{NPm{d@cWP+)57l4;BUU$o60oW2CS)E)PQlb2 zSUsq%;*@xfP2s0P7X`udQ0pe|U2^M43G;gzVw4qKa$tKZj;NVAR-MK36K24ehe2Y& zYN~CI99XjLCU3;ZfvFG(o*YB_i_xg8$&$DeVsn_gFDFHS3orkJqr~IFolOwsSbAG6 z?Y#tUb`*oxzS#~7=|H2ynN)AA=4Yq@x(}P&KTh6V<(!C;=66;|ABw|1r`2BZ*YXgM^M)^8%8l!yGc4Fy;C47A`y^xg!GTB0U0Yq8IFGfN7 z@U=Va)PgdI*s=jV$zmH1b!oO19JBS8qw^-xGzdh-Ieg@C>ts71S1#brTUc;xwj@X} zA|Ic)AsWJJ6h}ndhI*4b-#&~c41D_>9YHT#ulMLwz=X&^GOIPe3uxvZqUIV9lLf_^ zx)WXp;E%%ESR0G5vDPb(G!h97ls+rXW~n!7BQBgoqby?Dq9<9jG>p6-r2!+b)uN0? z2(AoL$?P+2VUusCR624>bsM8yB7)OC2>^pJ`Sq;@ko7+~+9NRU0ky3NvB8&-A9y8( zAQGTl9L|B0FhY}CtOSU3oPDDC`vWQi1VyZ}6-igQ*g@(+ololvFdUy?xCL|vI4Szf zo!X26?pyFaRu&44>O*g$hYh<*!S=n~1x@@_)kbYlk@t`BpqNuTq3QLoQ-Gzv-64?& z0qFM-uW6$~U}IY@i_MDL^!e*ynud|5^z2pLorJ2S@8vV7RB@QE4X3z;pnRLZ7!D_fU_H zt;FHLo77;_G!^OAG7vQ_98qe6K89YXsv%+DDR+o`^xy;=HXzX>=o!?oNx+`Jd#jw8 znvg&!>GZA5;OiP2AepGi*h)INo6o0^{rSUg87E$1v;0B!#^CzH4OhbEP4Ghh%Q zX0PDobQ^q{UL!fwPI{g?{ib>}?4-igsb+qDJLD84-8@R8he2`3sECw-M%}t=+`{w;H?Lov$k0;MP z;DWJZG^$>6@gsA0BYiPtj%*+~;0`U<<@n`mT)aN>A6_bfOdPaw zQw-=hoV$XIWslv4>bDfQx3ZJEbbCFs{Q62q0I+|>s1yht-fL5S&;gnC6Ty?M#hmSJ zj4FZzqX`ouqvJUqYQRbh*8nT|LQW^M!OMZcp+Q|1nn{tb0W?$2UkT)1ptX>ow+Y0v zC@3?#4Rg@Egvsuah%bw!tZpWZ5j2Jh>KYoZ*)?x_dyo*>7I@obMK7n;I~TDJs?3r5 z`e->a9Vsqi(}ZmNEQeny6u5@#FH?td!)YM)47}SHwC|VB^AgPVnP@B>P~a)*DcCA? z_|4OnyCL-BY>R+TESrXuQ4g^-tl2uKbMQc0_IRw zghVj6Y8fri7l14Y;@93=oJLZTZdR;MD?5r;D| z|3=(rlc~fU=KE(T{Xm*0L?oWjAs|YP?S1KRL#OYd&ZXqEBNX9ndQTWU=<%ZGGdHkZ z2h(}0Y``~9D&DRDY>7)RJ8cs&L)K-3pQG9mP7gb{X|J3CX>zv?rDU4@(V6tOW0ltZ zPR*yG!VQKBH~2z6)bNm;NlPJ-3@;l2#UG52YBGnB^qa~r&u*EBYymh#JaDsCuJh3J z`Ocs^$**!HB}Z2lst?^ha0g~jlI<=<-LN>k;&wY7$(u16z?*1$dn3;a|B_b*IlWQ(w19ca+xi1AguwI3DnlLnA^Ck!dH;677i5q4(7#}r z3y$iR^7gGt6qXEDo`w$9Kiysh7ecA8r710pH7sBS)U2Ey*@)n;o)cajRPZ{F`qD~7 z*&zlf?z;}sUql$9^(XEUHj9KLG3EDdLKLIM$}jgNExt;NS+*TV0H z23h`>0ZlbFY28G09{!Pi*3`DrTelemkLumBe8@+9DW}8K1BHuo|8tH}7z8B^pOy4V zM}N6gHBZo(`OY?5QeoNc1>d*|N%{Sr@X(BUpRAFlh1j_TuOT(r!lE$uon8`i8ts~A?%oTU? zQ82{?+f1mo|IWv|Xfsbnm_pi+!}=hImjWAKUkKdbuWfAD_qJl0UjbH|f&2XXG=Uf& zVrIVJQl?u~oDR>_v51Hwf4a~H`uoS=0aXlm6{?WmFQN&?;b&h;{ZPIOZNf`O*%HJc znKc5!1fM%SJX>jsJ+l`Krh@=Dp5G{C_m?j{@L%l8A@&b#5^&x5zvU$~`@Xuy1Kj4f?49}9 zH}dj1|6EIq&nug)OXL613+jHw;)(8pWtclxwvMIdwCT6xlv9Rd7451==RjqtOAJnE zqHJvT7f-wNoJ)5Ec@HE-f?_8hYtE9qW39jXqr_9i+4eB$Nxy0Xs5U^***Q1*E(Bmh z9#Ecr@DlV&TgmyAux2Dm^5OW*9*y6(`P0mX6g&}jl*}z0P5y?yt&q%G*xftFvW;zC zEq3wW9+SrMp8X4X&%yw3;N0;u3g%J-c6=3mX7s(ZPlwk+t2*Wmbqe~&bNMkmQe8nZ z7R=t(XhWt0Z|Niq3NhW@20F8%@%&!I+N(r9&c@4{EjX=_nQno`4y*1m_Z7Dc@#Udj zP&=Bo?gcB*Ed4de}kquy6pDG?cCtwlQw~+`F{kzu{6RP8aN0&^V+1+i>!M z%IMLQApyV%T$^1<7)g8(nM0y{qve<$Hx8&Cnv5O_l~OPigq*JaW+2^v_DtxFs=~+@ z3c#lC1;XRi&DZxlHk4V<;k-k4hZ%NPM=1JeSPFzkMOf^H#N5CH$7!}U1AuJ3M*}9e zr&gVbl~X4ng=6T}@FJ*|GBM;8%W(AzRfr;BoYCLu#nYeWo{Z8gnucH%w-{k|`eOu0 z`y`tCo0JRKf@bhlU{ha(zwnAGWE^GCfJvL~=s&B*3FMQ$TdAXBcpyshvA2 zLUi2q)0x3810)Ftv@*~;E7kd`A=Q0_oRc$l7t4dWSk#q)WC`}f0Qw=@8mssJ3v2!n zwn1fWF>=?iPzNyEx-M}aAT7mUx`Qw&0{LM_c5KQyaD-G+FyJ*t4)14#F&aq=ckL6K z>+_O7RBiM5rrny=B?sK?W2-&M;B3{gH}NT_qiEsMJ--5 z^g)^hO|z$7TQo_MofJ%*d3co*_HjPfAy*8HJ!5fJ*@k;{bu(aMm;S*07t=V`z~0`% z^23m`o7Gv{(QFOBmFT`(d;+J69R*+Vfj+%FjJ9T~1=&=6*1iWyq+EAH9vPvD`*t{M z2_Z~d_E`*3u8gxD0R|cSU92PmMEmv+9!gMXxViH&9xIQhlG8#H6`x7ewqxmfzg1WU zpjD4ycdZ4EN$a`HiqB!g|NNJNfrYRL*C_y(iykcL#PcKEf zbR}FHr?N3v5^^mxA#FZE(%6qe|CI#?KQwt$zc(Z2`!HtzHS|piVN)_gG5-%DoW%^_ z4Ju&#d53Cos(OQ7*^mQy3LLe<<_7B-rgnQ9C%_;$s`xz)Sq{W9V+ACPpl+VpohaTm z`xyGqw(_wE))fUzB4htxYKhoYB6ktc=(E8H;`q?O#&C}4HWUtt_(5n^F5>{)iinY7 z;$gqhZT+1IG%3jfnf#%J$4DRx9AlWsr@hP6a%Mr_HexCLqk*M{-W<` z9!*+Ufr`cH-}JDVXYSfi!|SW*3xK@UNOfY}WSH}m9gmRndO(%R5y%o}86;NZ3A8p1 zeI*lUjMdE-HLzq*0=Ar^gxru42()1}YIffNuPC)S`ob=hmzL)YT_A_Bz#2r&4ItQb zUB!p$^0Q!|*DTJTXt0pg{UiE*mxjKG&<}l)d4CjSYz2Vgyehadw#GrY@t%s5Sb{?F zqC`oe_##2oC~feyKB(s`3BVK~P0PUJPGw@K&TDz6UfT#-ShUxXf{W}}rmZ5RALzXM zVJy?t74?w(i6XDNA_t7>`#m~FP9F41fXw{_LCp)CQ`9ONx=7RsAuXr~f*_%t3gktX~p#wEaU!X?m)gvXhF+V;g z11GnH?Em9b!Kb11C!{6#joNR5N2MXg_@T}{HxJKqfjF3>moFQ}^zDo^hI{4YQVJtq zdxhYT^FgYWLj?c6GO?7PY60Gi=Ou?QISFXt`OY|my$}7X=`l!z88PA^^V{9o1OryW z6r=H;Ytu0CA;-vXT;|+A5d+Rf)Ak4rqV?v=B8Kp}ioT-^8y}?1S|l;41`+KpBw!aNG;L>Swr8kD5#t@Ps}1nM>5LGUrpQeegwDYb*MIr~VatGFUB!37%k zr++W{9IYk?k}F6HP&BFo^c*6x;gB`EUi;Kva3)tSc$LqwSGOj(5W8LH}yb%wtK z+rDszF=fpzA*t2Y$wm95%^?g&@Izk-z610te#(;2LAxTTB$@GtbDn9v;;kaUo4)JR!)N;a*3%4$FG;j?4F!31Qklg?ki@&2Ia<6h z8_R6@-LqqW>QpY5e^6<%1KhqiTRm2SSU0I^1uCScCoiKv>PEQ8Bx0dU<-m2mAJ!~5 z2G~M*d#fGxGrUfH^MeC`6<3gWg_zx5Fk+-O+Pf{x(qMLrJm<`N^X8K}q&esC|9uV7 zbW!9|85bucC=L4_Qajg=Fi;VaM$#FqdY+SVvRi2=Z(B=4HUc8>fRoMmJ{!MFn>Rl? zroYzV*vJZvI%bpW@2~CsUE{A!LZlmqc4m4?Y{+vf9o}&Bjq?uAV=4TXH^Kjp{=Wav zD*qLV0v8K5hi`AVbk1%-vBqEB4>ucUlVN@$r?}*!^KkS1iENSXCr&y|_M3#Wo!oF- zVpCVMG<}3-IB-gL0KuU&grp9<5lu9R0dONl8yb_wXS)110LVGv@Ovt0L&|PkAwqN# z!5<5Ab=&E0fon1aZc5?p1xAnQy30?~lahS*oj+PU;*^M50ietv=w^|Zz)Wd#>gVgz zI^|DW;W0LRu|kfqg;fuR(VB&9#Je<=8_jB9uj}uoty>LAeQnIFzTZK8@hb4h5nhTC zEL|OED+!vdgP{JPyU}AB6lSKG$1lEZ8Srs~3Q;mU+^f9EN2MlXheT0?YIQr-eIcUyS6kn+!seVG|+BbxY$;#*~U5ctxDo-k6y<$1b&5pw)cYcpE$P$t%UjrYjty!?#8lcf5Q zOfEr1P@~cG()8g?g}oQt8T+kH6+8QUvMq0*Edoc#%Zd36?l(>BJY2En?{X$v!O zr@rtCO5Ks@zL^9Q&-QVL3=N6xe{bU6vHmj$5&jy;qer63V57ms8Z2@_X>UjIbqRv!d26AFgfXnwcN(%Ehx^4|6I0U2Z> z=eD3--iDhs;GoQ_Ua;h^+&u&zx-w0@N<|N#Zk|EFd-hsfP@*z58_E%Gw352h8E8Os z_B6IQT&~;r#tw-qH6U^&SJ&j5^|W%kR74t*j(XwS9(=tC`(R)}Io(ZKA~_xp^DG_{ zPy*9If;iD>CCJ%d8>hoEogb$zH1R>INBN1^kx6$gb(R#q29r9V+B{Sab#@+R4%0+m zG5z_O9|L3h?IGb6w|C*JK6R{dne?NNjB|cBiyd9foE0bjgO|ja|Gk0UXJ<0q9%2$y zZA$h=6RLtmrY3ORO+7q3Ow%74>6wLyShhXb;G+i{!D=J7g}Ol|$}bC0o&I~nLfo(}5!8h^_vbEh3g9QTO-=S6C-hXg4tu+@U85%mq zEUvjpG~J&!KM)!yV-38gFLnDy-tfcPkqr;J&9tZ)At=mb$HaEWk*m?@$|U>=U((26!@r*&~`_ zzYeqPt20}UEDN(qa4=BMeDMs5jaakwfw4IJQdkcM*mD6o8L8Q2k}F-W(w9XaU@~oZ zBFDe2&}AK9<$L2g8JWtzq_3Mlr*NkgFsz&Ph6}qbuGwI%O?4k(pf1oSf{pL{Xq=0q z;}njhoXyJlTsUjuPsah3nbX_wuU>6|x_sv!cxqh^f<>SO*d7`1%agvc&OXOPdFeV{ zfdNNAv@#e4wd7z~ZMpqAFo48pILsJutY-Kp_|+?`nM2eRvD>11@WIShcsDZIL_v|z z44ZB9FIakFQ#m6K1`5SLQFAuTHozngqklpoetUD~(7(4R5mh^|SU5x

+C3`YaV@m5zf$9r$d~`)ir3P}RLsU|dl%YP zVieldFC;|b{4J8M+A+U7$3)>=z4d{6roXX;xLqHZ7WI$^*G#*fohXQ~L^_*t0@_7*7dCg!5n_nJ>9K}x2JQZmPHizyjs=I`WvvQXhHoi6;i zxm#8?4+SsN%x?g=8umDw6)4qK!chu_{x5C)2CQ*vuG@w^hqMlg71ul9my)8>J`9uN zISv9@9q(f?C-Y)L`()e<=l>Trz6i?dTA`3)#J6zN=Cd(Ay(;2pakOyG&}GL`6_;xx z9Dd`d6S(Tx(O(+#k~J&~D$?8F8w0R>XcPML(POkS!DLrKiKkrW1ANabdm6RQO2c$AO}W})x}R7JbMK5864^y&{u z*!)*2JDB?_e$jC;1Na@{P5;sh_&-q`LY+uIKfibEwGo+CoeGX)m2zm_Za1WKrf;Nb z+bQ3^eXA&k-54~2`-?@4EBFwaFfC0@ z2c6qk#E(i0RRT1tC1O&V)N%+eV75e4-Q$Ng=UlvU4Fso-9Fp`t0-FkPZ72Coq96V^~qZ_9m{h3&N~moskj~L~~a?__n1U-`3oUfXs;}1npA0m|NJE z%?XKYtuf^b$ytKPe2Q|V7ssw zEh~3lK7i|~<{Kq1-r3mYm{{_vdso&r$Vfxq-GAWTzL1nAub*5M)gX6r4*NoG2WsS$ zz#u&}$xEDTG>**A09wg(mySJb*R3u8DKj0D&ZT@|-tdU`*P79PfjPcdv*>YLZCB=1 z7HoO2U6jpqL>3k|0QD=)Gz*BO!q30-_q0@cA4#ap^cLYY1`$2uM~y-g?VWg7jgc zF02nIN%%IF4V$C`8KzFdr1_h0ubGwWhM0;Fl(fao|U(hpN~@4oNb%Y(%9s#AoM&SyM9%(Leg>9(OpnnH}s|Kh^5!z5_B# z(ZVe`O6jA}n`vJwM_h3`A9k5a#{-JDaLrF3Vxz&uSo!|PBp$2d`{MRnDFly$wks2C zKE(>1d;vu(TX{_`=>AFC_=E7!VxeQu(EXCaDjlA)61Q?4<*0pP6)c@?{03|xx>)81^6K7 zs`G6pgT&s>TR=&z3s|)QC-K1RHa>(E7$I}gALsu1XlfcF;nwc(d!y&w#dg9GWU;|= zaxGMgH9#p_iO#~|n#^^iUF{~NVJ`Ic+~Ag8V=3$B_p(2sB<9HdJK31Ggvkwi;PY5k z{VP5X)FsPU3#cv;0r~*eR^tavI%l6NfQ_0!YcmA{AwWPsjk!>XQUf%|M8#mx+Vw9B7nZ`|Ho1pVkCDCnS)K0&LuUl;nI@J9(-J8t8Fo_4|| zjgP=WVhO29M=4I|J04{osh_6K&}LT)eHq{eP*e2cRDw}(i_nzIaeD2MILYAV(P0xC z>le?oAw|E!Z@o|(E=v&qRdV)HR$8RNKCg0Lp#jqW(7tA?cY7(D%G@Y2lRK#MAd_7W^qm;Ol!c z1W+jFO&ZW@%O`2UdPoZ;-%UnvWXb2H_NLao`|#ldTCjHPtFCY7gq|t1;8~cqVAw(# zgU7_hBstXD!gt!OxNS#w*)zY$$BVDI-3PwciH!R{J(w;IVx4doY<8ud)i)_hNs(P! zwS;|*or{Qsvsqg3?58Tks`b3(^3Q0z==Xm00a&$|ckc**)_DGi(q-;_)%zRfeIgGP zJ9bKpd68CoLT8^BFh}M=9aHRFJA9B5!$bm)z^R7oq3?#p-AoJKBLH^?_?wDMtX`j7 zxo-4X)`o-J4AY`d#QzU_?;VbH`^JwyDJ_Z?DWjb-BV=o;5G^A+4=Q_alB5z+vR4U} z9obvR4rOl{x4p-0{m$#YQFQltKF{a-JC5(~kKZ59aomsY`~AMI^E%gcj@Nl+JtSCt z4_r+R&rhGCoiX6wu;aq!X~+k!-*2Df|FWPgKv1xT4hd=u?S2z9bmPTM5hC6SqrA+P5_XFQ zA@#)a@2~_>zAhqroF1A&t1KWy(J*UZovn7FV#4PyjN?nsL@YAmAmHrnHc^bztb7 z&U8m5roP!KB!}UYQ2_D8fTxIuqN1=+>xL_h7X#+$grf+^Ewiv9Y* zmKOONil^#sp@KYLnU4UBr!C8Z8*(#zqfw$7g>4yRSgH>#TIWZq?ms}r^9mmE%wX*J z?Ak3rRXZY8{S|sw?_9x2E>JAS)P>1mJ7-2$Jit<-(aJkjQRHu}nts7jEkMO*l?6!o zE&Aiti@FZ=y+ZYih{Z%76-csLJ@OIx3lRn5x|FiJ+oEB7T`@SO5Onn#9^U&K^%QZ` zd#f;6k%QU7BA6ay$II74LzntOVfbu7_t54mjq0|PO&RQIgS`P)bD3tRHZTWE&37NX zITjp$1$3X*xv_r}fIE$JUrsqB`k&1K%Ppn}D5lYrgxR!O$sFI_k7TZ=p;3brUqS7@ z7;7va^i_n!$AG(5MJFxTJS!li6C$Jpgs)E0p=28;BssE5Cgb6&~Jj z)y1lu0z?@a4u|J!R9u2D9supgk}e3C&vtAAmFc;+=lm@OF1b?Bs#*#{(GbqVhGHT+ zw~>u>$ONb7&wuo%oT!Z^J=CCiO4%1eQuFG%bpdN97yIr+6EdSesZS3+!K7Q5EdC8Q z&Rb=)ebhgOcdn<}zosUOhSms^*KgP?I5THxG(EhaymzndwU%)fUib8IRpBt9>39?W zOHnpmnB&-MvT)U};yi#oi}TZaC?V7~f1-l$kQUM%5V1;KRww%)Vs)x+DyO_~fi5TI z`+qCi@hP&_1!}RPz@s+nL+7}4Z6GQNg)Py0rPOI&gVMlemlvLR!kP``$7E+VL8CHc z<0&Sf^JNe8c?~kuUpQs-f$t_`6-2n30JtbtbHI^28^yP?apCUK(NVv~DD~)c_2}3v z!M1(J3&aKiIc>A?G z4E#rx4&xI`B4K-soZMMb{Zt+J!2{k1$V~<(*9Jue^+mIC1?GW&@{!vO+D+z5&thT+{$kagl}?(YO=xfXnjA?Rvh7tzym*z{fv$IF>>|;Bk%l;MA8Rf!1UJt=a;P8~kky=FQHfkujRAZ&Zc;83q?EqZnR``vbNqcsDOiBJC&&Jc_lswf zTb*#XL`ANx^qsB-h&4Qc=%a7UakbrH0dJXj=uyJJ5z{8IB%n)PPUZ~=m1=VNF7$TM zTw3U3X{sC$rlGSk$4Fu$6$O3LC%H;P9l5kkEIC4>&MWCzGtc?3qzGDdkW5rp1Ruo? zf25m|Hm??rl&p@r#d8QCkzBv7h5}rU%>Di$5MO?-;q4*|*AL(hS--Q^;t=pjfmNO4 z!guXNV=vXcu|xEa_hjDsTfkoQ73ewR9J7&@Gv-r+{0v$?8j~q{)K*4j9BDJH!DCMx zi!kk!?v+QGBQ2AkVfAmh%Aayp?w;LqVlsUMz%>NtNb)P}Hc;|pDAbdD=BuBHpu5ba z9T7i=DW--W(0Ff> z+WnG2`f1>P^~jcZ0=DrA=)V)nncW($nV=0dRID3O0p1CRzJae}i{;ZjNAEgr-He3( zcJe8=B^|Lu7uK6_k*O!^qT@~XL~-p;3(CY9rh^BoD;+bs$QZhxFq7`6IT27{G9TOy zTQGV161D-j2p27ki^n(j@>-9JVudpdlclU^P1GSIEZZ>t8?Am3zm@vRRsdHrUgKbv zTrPl?m{^|Fb_zBS2U@a5vUpepkNVX2GBSq*w}sX*{dQO!F0KR z_k4Wz1}r>l#55{e+%^#OZ;68PVRD+X52P4BqJzU)L@v6_}ii%acV>r5Nk{!r|{kAR{E-{+jD7PC9%; zAc+XFao4+SU`gAtih?QdqQoC)W+QT>t+i*f!vg&0D-0&I=hy9+0@R^RfeDF!H?Q5C zvE-*vnDF^HfFzaZnN;JgA_K%zwa=I75WI-6a)bRksy+w}9gF-j2UiEM#{ujnrcr-d zaTS$>DNM_9TPT0kdliQ8kV1$V!D&MQy?I|uKE(OTW(wn{`cW*uOIlt&EvQK|ti#qT zAV7SWPVY?vMD}UM)#_1DpMSF9<)c?6R>SoWG>C)tnXDuz_ru+f(@j2^t?#v1pRz}z zYl%OeodK#iohio;rpPoz+2z^9rVJemY)h}d*941p{p_W@SrI4CWu zmzr3eifGU_t7JEY_AM%E!vs;BRL0Y{%neYine$yOt2iyNV>=x7nIY!o^f^vz8Li*h z1cO4K|9U@@VOgAI^G&0sst6Bv@DWNLdD%p(ia1aSD+H@ll0OcSR!x%{b2OET+!wMz zmeizAEu<^EyoW^C@x&9jk`8s4D|~_aclv{YL#R4w3{#1(PV&|&Dk_3fc&JFFw%)DU z7p3YLm?@pg!pKO9EAe=qh00q2Olb=RV0kqAXbrpA!lV~IsNL?p3S*+=C?yi7YjyEs z@7o8BgwjbEIYza`YVJBCZ|JtAtBkAQpA z^w4*)hVi~RkdQv7Bwl={xh!&*!X6-_^^5vkWG~wSi2U(VnMf_2CwX@ic>g>(s>3k$`d3iX{fRp!3`~Xy#rN zS2bd+8*SsQ9z7$FkYAJg22E0hT$-RruBPOs6 zL4$40UKjLi4#GB7n0I3X|uYhw>g8D;J{S=)q37xuS)p`el^)E9=9|#OO4yy9M$UXRQ z2r!-?j@4zQDjS!d6!a|jZ#WXCyPG2|yfy-0uU*Vv5Y~;KvYr}hJq;C?aJ$PjrxKE8 z9tmQCzTdQ7dng(~cdM|0wP9E*+W+d9o`+%nW?#yn9DOJJQg0Ytw7G~#& zV)gbwU)YJ#ffvh9_{R@Wp+g-}svEc4gDvN8X&Cshc>M*%`L?!6o72c(%p8CXEN}o{ z5StEv1rcc@Kb7q&RPDR*<^(1KZ_&SlkWRE3pIw}Z^@j-!&shvcezcd#B5tmo@3RKa z#C8}Q9aR0J3DF?Lj4=75Fg1|nEJ>01+lS4GdIwR!I+_)NFHyC|fMK15#=?*xC7OF8 zCNoMEvUZBMsBt+a|1O-i^Nv*iZOm7C=< zo3&gsnjWOrD&*|WI~D#ZP=|R+qdQh$r3VIVgGF~h!=MSXvKl|@X^ksy?WfEA48Eyv zmpFB=yxc!ZXN|p%b#v~RcfjnoJKNM+G+|bj((^{bE#pfDd)acM4_|KqJ2tMd2i*xF z)ihF;XQD5^q~5_7YJOn?OQgyVlbfsol zwNs7ly#oa4LeQ(nDT#3QKvtqn2K23sK(O=za~Q_leyETw;csa`Kr`Atz9(Mo%B3IP z;k(WNBpqOTV{@dSV}uLorgb__6>og0hC?W=So*wl9{ zMIUJ$2QQK!Tp5V|nJL!7Shgg?{<=?YFcb{jqWz~Vr*y&2Na5_%dA4bo_)v**$qwW& z@nz$o)cSO0CO$hO0Xuo;Bm*dJ#(ORYSQ+_v=be<6EI2Uz9Q9NkC7o#V`9v*b8M>3T)AOKh|P6PwF{sipLsXqnAEnG0<3m?eskl}^M9q{ zS4D6VXLyaxLD%DzA1f6kJdGX&aj=U%0?#J=@zZyT&_p64 zBC_Q9K+63RR5OU79u*W0bX2)*t5{_GeiSjOdV z2xvm6frNBtwQHr$l9{r-1i7a$cIf2U8F>@hLYAGL6%rcoEtN4!wiEFBm6ML(hEaix z9;-)De=AqL?Xt`%fA%N03Om|cH9h)%@V+h-?UU2jK;B5LKFCFYXG&Ozxd;m*SlkZ; z^A*+r9n1J9Nwe3ZiD}5TQ0DhFrf_KiyeYZ#ZsmtKUvhfBa6!m0!Q2BlJ~<$ZIln1s z$$fzf(S|ENS4Z)&f7ZQVNmBN9dHB}6vK|hj6P=-;TTr>b2f(*Do@F=ANTA!5rRlM> zHU|OMNM^z5=6yXB5wRxVeNj`U;bUkVaEX!~?e1RA=dU2?0CUS+WbNV&6nHn#5B$eu z@E?m#JSlgcMVMprO_~faBMloZ_wp9hWB)SpAPMyqfdxi9i;~Fo(X%g<7t%E+4Ym62 zlqofqwd7qWzY24lQ9ZuQ?hgOvAl*1?hoF+|H$zPpgESAx0PT&d9#Tm#d&N#ETTNy_ zR!%OwvtXQbVumVQ?EnT3L$!~U9ZC@HE=NjitAH%BFF#?k3|#sz3XVz9T?`n_ES1dY z?SY1O`2y$8R1O#!-M|}oEnQ)uwl|9IdyT}j26DC>)-aG@P5qJ3U0(~qLa%Ghjn)f*lc63b*w;$V{ zAN1_JCAfgOw^0^!Bc$#i^zS z$g#P8K_hyre8tqG{j!#`bDeL2$zHx_t})UerB97d^`39duwhIa=I`P(-r;;p3St$> z=e90t&poJ|b^B^U;$GMtiYh;-xzd(_vpju)#pWG?I>KQ{F|LwBmL=!!yLT~9!e5@6 z&`vrG1qmg^Ey`YpOGsayQUlG0!!ULAay`50VCCCzu2DcF)mHn)Iq7qkY*VA}Q^jrj zzM>d})!rDYzNq`zygLeJIEio1wi!UL0ht1BxjLw$nu<1S*eJ{K(1XH@{g@di~!gb~f;j0(1tPSdGc zx}YX7^SJLH&DPJ%kyf#}KzMx>FM)GnY0#2xRbRPxp)d_2;-A5y<@hao6SyHq?>Du* z_(Z&OzD_tQBx9mI;4)oGbVD06b+SK*%J{=LLUx0o(Op9ni@YExw_j?rJpryV4)H6= za(ZN6%Au|xC{n8~=D0gLdv3XS21t&FD}{k?)y2%m5KZH$Vj^f50(CX4t-+$ufQ zC$U@Rh-%1}TS@3r;noi=Dn||mmsG|=rPdc3SDOcvT)E@C>fxC?E^foP-W<+K){2aS z`*fj-%WiBKnxnk69LVTsseO>k0(Y}n0g6fP0zMm-`nKdxQ2t;oX!oi3;M{MQQ0QK3 zpq2*6e~rvle;VB_U^^-=5~mAkGswRL+o4k&w}Ah)r+i32@iZd7JNC z`wY0M>exf2(DgJ?zk(MTR)UTB_G7!Ik=w0bISgBj4Rww zt4H^Z83;iQd|ABWE;5wG>O`At$_qtc??7JUAk^}VK8A*>ab9G+^Rju+NLaUyH-bG~ zWdU>#w_GrTnTAMKFS5HF8kus)LItj>Ni5EHV{@~41Y$PoR2@9e7wRM|`wbx}f-A^P zud)qLnzdr2U2JxLejrdteHku1loB;+pW}`DY}anMrV!`hIHNrCrV(FP`KxCJ$`L}D z2EA=kWhv^y*jTduOEv>u78PBBDkeOs7S=yJwn?1QuW=rwna;|0I%=<#_zu!?6RdHH~j!dg@Kd_+%Y7w+42u8oDino$3 z!$zGa(V*U)2QWB9Ed3Tt`!3Z z)k=7op_e}l-GD=$12}yd7#3duUX8CujO3$BKVHJf<4+&)^==hvjyT^3H4{S+jUh?) z(WSmJvm@IicbgrC8?87Rx2vM)rMkVJ1LSpH(2k?{pcOE+1!C&GDcKZIN{pDAVsyBG zWEv;&W-lW=OXZ#k$GE%(f0JoSDV$@rZj#~Ha!p&VsZ#;PKv>=6r@ zsKZ8l4LFrqUu>1Xlp(sXpS6$JD12tQrwq2@y_T%6SzsfhWfoAcl8o_fD2?>R7#4y2 z$visda4SL3abl{*YAg7eg)YH{z#|b)yQ{ ztrC7q4#P6ta355lG&3Izo(O$02a zXR0E9ptyTCH1;T)#Fz3NfQ!=HR#X`pbKgbWvTS^opQSITAB`NM=)QX4mMM5a@+rnl z@`<|p&r7sUk9G~x7g_0v`Y=&64|5xKe?=7|Q;uUp^eQ&r4%+j*C^Xn(2EXaZwPYM< ze@QuZ)g2%hacjvFtQmQeCf7h#Puag55wraSy+JRj&fpDK1Hj6)jukug*I!GvX`VQJ zr?rWuzG49Wi|jYJTb&&rr_nk*GW$suBLmL^#%}TH0iqv+w3$-YW#2%E4#~66zo3Ec)AT{O|^j(?+@pUIkJT01hT;i#7y{-AW z0}>;Y>Da6k=_=+{=)Vo0{N_UV+lnn{C1VYupwdCkSz~{f2vMwHII1&{xD{va1qJ$?jHTCiqkdKRge=_@`-`xTZs6QHC2?SG!&mE3Qgu$OU{@r>Q;%U8F*oKZ6 z|16B@`V`-T5E;O4j~;RD^dj3Ju6>;Z5^Ot+?j=zPVfgu|cad!Gxlqpk(;EFWRIHA` zhox=rQkDlxp<)tYA7|aTFU(K0B1m7}0iW`%W`=HSHVv)-6yo8C$!vhhUO=D^@;G2%43@v27=DdbH__zxXa^<*VNMN@uTS09fS!AoPlAr+NZ#(~1WsJxIZgJBfI<;j5 z_>~S+QtU2gNi{WG-#k=K;%kq5WHN9K^&ipguqmH$2W~xD{yRXKOTa+D?Bu+&I*&HS zZ4rj?68YfRR48S6^dj^cB}L0Z^DQkXCQl|Xr3CZ90hDaFTg)JUOp1R4!y7OG-clzU z#YhS2OddUucgjT_6%@+uO@NUgq-QoEv~+Lq`ZeJ`O}!3*sskW4W2ZrF2;C>@UMkBG zDnEPmXm_(vx@E{|%It-DECweFu`n?#;7iC8 zy%KJYoDs1%5hcr|hVBNJp^H15tcb(Q{{ERVB_FR&RCoRi0jG5{`oSbl&el7)m=?sg zz{?iFSMMuU@%HG4RSwiAu<-Za6&JZW(3~O2Ka{!F#H}O!o%oC~x*$#|;Q(rbn21P5 zPsB(IT4BeOG;y)%y2O469+Hci!dUZfxQGt`iLWQYN`HYO_0e=oQ6KAX`r+zB1zq|O z$+^|(_glSm<8F-*L)fT#^UdpCM>8+3^VeDiM?^cNsI%8{jk1_t^XQvi3zq z_fugl+eo2(ByoTh?uGAgxaBsOGKdn%C};%{Eo{S0L6}aL1_KbiH1wxOI-neK>t|V( z>bGJ6)i7Ed@cD&c60j9iQPZJHPHsJDNj5@iOM`Dr?Xgh3ZX5|E^IKGK z3AnOzv(uw?R>Q}7IqpLlGZb0+ym=oK8hP zq$nSb#V4i-O4#?g4SjJv_#OfmCGqz5_E`@E03K|^j?!yFuc4<()8MymXr=gd{-g3_ zq0NE@2JzZ;jo>aS5fQN@+o+olRtu^SNf}@IEzb34F&1h>QmcQZAiD3x7b*Htv3p6Q zzAD>Q*}>SMOm9k$@K*X~Y*04-+Fo@I5YOy}i*YKyFrL$DIcVtkHH-QJG%<8|7oWkc zTNJnM3ut<-p?m2!S0SJKxX_n~2lJK}VMQpO6_XKe@~uNM)G0c}VjxMK+&lr?149r$ z-a>uFZ=qJQGBQ*T$u7{N+01n#f@8OB7=IL<|9d*Ewkl5xA4ELsur*kXe}bbP*@N3z zv?S9g4C~=?x6^7!mXjDD^Rr0*(0Y;&RO| zLyv1DA;b8S&sMTv5BVJ!uVHYR8DQYRLW)Orv8nrg({sQaTf|L$qEErL^FY8Mm^tiq z7_!4?Yyh%%GDloxui=2*s}^q|5P@}Uc=6>J6nxPA?fJvJl=;bAk^oHq@Xz98FTr(5 z_<=nsm*KfCOr!0mnfD0|Tw(t0mx391SRvFP@J(&hi zjojeMhp94wI{;LlXY&i37>N18(#xI7nsEMu<&`9D7?i=O4X_%=1W;QJ-W!4BGDRP^ zS!%e4mX+K$2cIPi!CCec=~o=bGe#75Yy@EcGsXo4gIwgR7yT}!Y;ZuXnEoaA8y*Ypu|7M&hkL1Oy&T(Mja`&w)H4!6@oi%Y z1H0&(rUuICBgj(JA~d)k6JNNV2xSBI8Nvo?Pg{0d9#R1QrGT23>H2_T3UBZjN(@lH zR}a+DN>`h9myjc~3mBA+Y5M!G&8N#mt>L419`hJRF>E3OY$jou0Y_|{PK*)@3}~W(5g#J%z%H)R)0v{_Z&9~)n))jj1>h_OMOea z)BE!&_g_6<+>~h8WLvWtK$^jzowR9wb>BFQ@D{k((3MenzfzBW#2rco=zGYI>1>$2 z_Y8?|Ta9$)d0ZFV#GZKRL!CQ&mG4&L{1pn4NqxO$xo(eU6*<(avwKtxXKC{e2|(s< z(HAZxwp2*vn#`R{*Y?lK<@GO5cMh^%iYMnC1|K-@aQ`BTdInLoyHus!!Yo;ZSC~<1 zP=C~0fB0(4dm>?zvQU==JM~a`5(CpE^Be&Mgj!;q8&=5ck^+2p2K?sZk52z=H8el; zm6MujvAgD;@|P?VT$3T#Tvb7Rq1I^9m->q9uD}Q~s8EQ*j6$wh)gOG4R0y`zHieKO zPqjf1VxGa^swN><6%{PjloplmAio1mw{yw3MuU&u6q=;)M?G6;QHjMn&&z2ne@ff z4xioMXvO;&tNi)V# zD~}nK{Wg$QkNfzM4IjhS?vOs&+cEVc-f}>HSYS)m!|8NBJ8=Pz*fG+0Gd0vkztW?) z!=S5W#^E<5xUkPpA^H16DDFmOo3fF*o;^TdVlu$bn5p>0a-lyV&u#T16CIJC<$L`_ zPXD7o;E@z_7Em4KCXWiSHfaQiJ<$UQv~ID;3T_Z8Utta{)bCBF=ID#Qi70D* zSZ-6+($0N0c4~3inLi+Re#M$}Oo_&p+FA0^TbQn@5*_FWGcRlNwXCfL`Wuqi&r>-% zKt(0a4bc+cY{{T!yt3xj%*K-`?laTySK# zd8bo-Y%5)=)oTDFz53XuH{s6dM4CdCn6t>bgKEDz0;C73a z)BF)1V|eE?O*s zyv^dcOp*ET!j%>R)>mBb}L6DV9^4mX&eiHioCccAI z-&9#~?Pim{8rMS2XKLCPyaG;qw`&~fO!nQ2db}UY*HWl(^~-vceyTBBuR*m5@+C?P z5THtU)0LpIEfGe}BRiv{2O}9~@+w|$Q~Vn$WsXtoijjUMj;gpwluflvQ@r<<#O&r| zHnY4v8)DU4m_)%ch<%0h+s0T+u17( zoW&OC4m!cOvsEncA9idjL-Cl@9t~>AcRjeI@F3sNxD`Oj?h8HdnX{#|!;({pinT=)AbFE9)}QsnhXpQfbS+keRqU9#8@4L$Op6OXdM zv{EIjB>am?KJuDWp{>GuVbXfmL)G{*-)0g!M4z$k4f67Et;d?$$d4x#CAp5O7HWF& ztuL{XZ&YOJ>aR$0w-|$D>UKyGW=f(OZ78(PKS1vIRQel?4cPAP=+waJzSU{!K+OGT z=g(7J@SMyg`dBN_Ry2tT9F$<1t5tado?E8H*H>v|n7bS=4DD&(F%J{E;H zC8ebDbyYnUSr5xN6pV`T;GUjTmJm3I+=s`RxU!Xkh2gt9lHwf~gYT6`!F?EWde2Kp zXDM+)!;hbnp0UTWvJcwvIQ%KTK5r{aADk^fSX}pdRbE^|KgxdLX#E1a5jPiGUB;M`JW6(uCEJKRchvu5ReA?5ZQ6MldpQwyG z`C!_@UfRnkl>U2mHn-PTppj?r2&D(e`6O=+ULxu8UeSkWVhBbj-0uoq{ww=2a;nW> zuTY7wP6ZU*Zal;o%T`rb;#qJ>*TZK(Upt&*J&fpTjMI_lslMGs^;?_TK8K)J`|l=b zH}3hByE}>78z+`{yCmk0vxsFGNtVoJ`Y}?0`DZ^lyNUwB#cGR1B+)Xqf_xdi3S%sS zFG>|N(rT|y(ST^GU1;%#9+x!51qpMkEoMRSqMz4kqafTK0*PQp47=CK|E8vJ^gIGb z-nh;?;1^Z+JIl+tb5oVX3p6Q8S;2mPFnTGgls8m=pZl)g#O$Y$B)oJ&zeu#%)orSb z14SRhCpnDx99ki}=5*D?{(@TxR{E=jzH4M>9_u6@?FoVDmoB}VszXw0UyX+Fo@}Et zUoTABV~D4IW-&sR@CqRM3n%B9?(61r@*{(xpOsFmh;4Jik8W^gL=!U8Az5KFD|@tZ z)P?2Bq*F5Q;z{?xNjv*B5EDFU*6lzS;q2~1KTrA)oHV!ae!!tNpZ6aX!|uTiO^(%i z^vJqVwqIY{Es3qysVteMe|ilZ^_keF-5zFVR`gtsoe7lt>Y;pBH7yEa?SGkFEhoKP zw8m7`f@QttGrzvu+N1_$Dqk7%O~ZDi-JmSpk@h+-m)~x=PjU^B6(yXu72WAMeB|~e zrD>5(y61ddy#U9wb2n-lJifCg$%;8`)P~1ZVDfY1W{Xe}{0@Yg!L#k3+1MjUNLj}o z2f+__PMuk*DF3vx~N! z1m9e9m~F>QQp2;7N)vE!Ji|ToVy68kd(l?jv@8i{2`a1)_iYwC7f5=kh^8AbeRsr= zrlrVQ9T?(IyhCY_W6EdLlA;@V*PLpU0Jy5H4CPcQn3?$|76Hy)Qe2JST3h-0t_l{* zaXZW?U7gs&|90s(rF4!6^0FXb)M;0`eio<6JN#hG zR%Sa0o%7zErWK{=9`raj?yT_YRDKc%OIA%#u(4Z&rrfSEG$@Hc1*R)dE1c zP=$KzC(_NTr|mv4gmC#3Cnp3iGb9WnjXSZZS6^c)?=lzu@kDVV zzv|hZkAVZ9M5oXb+Bsr|=&QEPQ&XSD>;=c37@A|MF4*82+Qt-Gyc{Sicmeg$?^NQ~ z84EqaiL-xP%pB@p4T!0@zA_Rifd}ZsCMGA}0+g`DZrXQ>EiTMaZ!<>h0xk~Dd>hB| zhB?3@Yu;oASnEn*lb}P_&~$Q^qU=Z4A|u;6L7`)c9)s{ws(b|zpb>P?2HPv(bj zv7%C^P!;ONpTJHy8o`B@?$pf_q>BxDA(Ab5h4;+I>jhplVQodOTexUH0~$xohR!*tO;_=)Nhg2#}*X47RrCSE3%v;+VAKq=7X z6ZaAgmr%!ly`iij5{E7#4Yp+~_R9y>dngq^VI;6}ovb;i9`n(L<_KI|!S)2nEGlGU zu`W7VSsRv+XQ}D|*M!V&V&^`8alyHp;vzPkKL*MJ8mN}c$zBwvg7)p-ZWHL`LaMM_ zNzQ%A1lj_XHiKJuC2LfvL*wVC2b6$~NC&YvS0mJekOQ4j^>+wWcN zBDfJGf_cY>)-GVqU{eH5jzej^^LR2d1m_@m+E*XLWL3SO%a=BhyCFe;PsYD!KKhlJ zSg*ku9qce=STXhw= zgtA!Ar#sDm)>|Q%Kew!)) zOUQh*4q6;V7N*K*#hS-n9K5S+eO6G9obV%l5etF~vwZ@cGQJyAmaYrGynXSf@+7IF zP=2!oFfs&8v1-*G`qpp`q`OcV6xJR(#TN!$LMj4vd8^Ja9KuTMBVK-*~ z%75*4%50GPtkaMb5g9oVvcR)=ixUmV?Emg&-3=(9%_BuF!QygqUg>)ch1YGo!7%h@ z#w28LrfsIek+w!gi_QqCIxruyt03Y0#j+4G3&C?5hVw5@!7tR9{yKbVcOJcIB6mfa0V~%N!Gs%y4^{alebb#%s=~b>G zl7K3MAD06)d2flUuc8WWnfnf}u~$Q0>(Zmp2Y^YjX*tPu`RL*ul!qd5=V|+eRyp&W zs7=TFJnJxD&+{xC zB%xQV-0kn*QTl+4(RbZsDnbNB=LP{OE=kGC46A1QF`5kXBUZC*g_2h~62KODWfs_x zUTkTV{!D80P4FZ^syzXG`-*;mFc&X)tXxb|0(9HSDZ|acIWy(XGKO zX7lNBDgqNV|BepJlqp7Y9c%aKn5>GA^X&5UvAB0Xzo+M3XtkmKBL8F_T1P98;5%Pp z!9tq7nNBvD;|X)(ku^jIwIV1;p!YY^Ef`!PG_ClVh%aVJw zf^6g<_1+s+{AuwZpFw+L>$~%QOImdC&&AQ;OL@0une^g*q;!E;yYUJ}UBHxku4Yh9 zRB+}s0|xt4x0A|mvo_R&rBHTO6a~a`rS$;X34?%vqIYvu?B1_v^fg+b&<1$F@%=TUL`5gk=*m9ZBR;=AkF1O zmN|R%!ArdJHpTwx>RdpC$Pqpm!;oZoNipCWA8fO!T8dm#sB?P9MAi$fBJo(=DMl~m#Kb@u(nnYsl1#FMJf{a z$fw_X?-hjz*puIRA{0e?Gp0HI`!L33()nz{AdSVGtdZeh)r+Hz1l_Iw!o&;7LNYM^ zX6a%EcNM>(t=Jye6s{n<*M-T7rE{S*&GZKuLwkXgRPKge$CF8SH}1UDaxg6=KiWky zU!Yzx-@0C#Vn$n*hTr1GuDiy|3VS7c5O~X~r9KBgqi(ed7s^`q;lrLs4&SP(C^RkC zqGB7|lV;^x(#Y5lYQF>-mWm!H} ztHS&E)pnv^K%Q?K-2w?ie z$&8!%Un9)VyJ)}a2)wnl#P=ky#PO{%P&Z6~=||vSKe4Y|1%5B|abSwiIPO_x?QTTh zXE}9Kcl6a_JGPr|rlM42(Sywarbahs2b{jgbeDV|u28K0oLu)p_5<-NuS_7?cEoFa zNn%lbWn+~Vl^@u`u5mA}#&%=}kja|=CMur&Z6UArw^$~yVZ$#I__thy1R$zquzZv_ zDY9jw93l>7(}^CzKg^byCE8p|D|M>Md#Z8oVE!8}$$SGF85)+DRwLy)Hub=Y3-RUPK&IwQfh5W;k@gq zdO8W9c1tuN^_r6LVb#^;{4QAQ8-1VU`y#9Dq`*6;T%CFTa8_bU=F1B+PTz-xv+Rl4 z2MX7IC*C&j@qF3TXJPX^W=t2|LW=pzvGvG>#cZu1Mxo?stzc~-79Q6BQB}tDAW>u3 zf%w6UyOwPSF`??D^Iw|RZmJCM*>oUI=eHyM{g4U$<;Fu`zo&O%4-jc=*_DV2$r^Yf>}Z!x4r>(<%R93$SMU9I!7CbBtXIL6v%b}n=w zWO#UuPhKfq(@9RTWA@AhIE&DNRvtF+>TxufC^ufA^^f1Sb>3z=s?+;1I=i}Aey`1R zechBuNu9Lh=%iq5Kt_ZU*L*x@Rd}!GG=ttZu@qs=VHW<|MPrp+bb{malblu-f4_bx zJ1a7I`NvguLbCD4_x|!_CXkVuGxP~7W#pGHtlPL#QfbQ;Z0L0$S6fIC zpJa4nwHhFl?)}MXzcb(RUxX}>F_HQ@`XBanew(Qc$nxlX^IDQ-vxR_GdyENYaAA`9MhvHGtTzq||hkc%8kO}){TG`D4= zc=zGn?l;$D>py<=U{kxgXT>QjvDakbf6uWSYz^V<&~=h zB?I>Bu(5o}%2nV==w=6R)9-$>hmcBmcMhD>S2ac)1awfhG{kIadNo$a7_Qj&;RLH|CflL z{6^^J&6{?r)m2r-x!1x87-V4IU59~v-_%8*#+_dn4Ns`Ti@?5A9M_K#__xc@P_6vr zWH#H%p9yhq1kvO+yt;+JZ#Ld|1EP7kFWGygJma+*{RR-tj<4rAep?6JDVabtgTIr7 zt&$e`TbY!;$%ZqGgbJ^1H&cMimsKLgLr4X}Pp7p#v;%SpXaahK%XGMw!f_SD$zMmW zQ)><<5KM8 zIKrK=1eg17UM+MCuFH%a1JZcDBANB`|} z{@vUE?;M2C;f*(L-sGTdTz zvDcIhV-wVj~edl#~l?Q+hMFJ&4Mc!_6Uv*Ipesq}E;4Zu*3zqhd%7z?IKKtg zs}B=m6bNXIHg48VuOLK9_-Uz03Sl=!=n{(I6~s<zTx>-sp84Giu)ny$)hT8e|07 zCr|?-H2vOIu}i?`zlv`#Bk;OgipnyQ2_aRol2pI`oZw1+A%5URbPZXjwdeoc$1btG zL?PmXB;Kk&R-6!|$kNaMQCU1a1k}0sHG}{Q{^M`|3!?qsA-=>WoFXU;kBEqPSn+*n zO2;p!261F&CNM1`31w}Bxc8kBlG_H$;FZFTW7qC|=uW*(W7Yja;t4WjPvoTtMw~kK z!P}^l-w{j%9={5P><@Q;9uzCf$L{f4$&@$TP%!yM%C5z9ui^d74>!YZXFuF@LZd3& zx10{J9%^hB=6IDZoZbFqSK@T1k4mxU3GNJPE^RqcA5NwZVPSg9TFxy7B$-V+vVzc& z(rW-MR2vm?m%sj#<+^twrI@XKZ6)_h_#;~QTix7+7jOwa_*!l(=XGma{mt~Kr)YMz zOVDMq$%4h1yK^_wauDUt1-Jq*EjIrCd<{Ce|K$o`%X|bv5Axy4?u%q{gDEcAFElRY zGQE={+N@~S@ye4;^)ig9<<(BcgzSd?<=pXWS&K4nTLo%GE5dVz5QprO*aHeqB#2CM zm4-$n4D%ipVEhsm4r2(n@$Xz4l^P&pYfPw;e!@%gh15n=wA* z0HM=Z!Ne=o=i)#8vq+yrKr`I?15DzCq{!$~XGe-uMt&4t@M}=nKNaM@OD*tE@Y#$&ax;xnR_zifWJ*PtLAHVt^H%AQ3r_!vKf9Ba134?qa z@_QN@S<8fqlYkeIyl%J$STGLopbJfUK8(P}6;x_{tnbKTMQPzV$tjp}nJq_kQqI2wN z=z4}#nLgYB*?!ywp_=%Jo4W}R`U+|=zB_hgRUvH0QF!mN|7ja}TtZfMuTJXlg<=>o zX+2$}m^WG`NLMB>qxtRIx4#c>*>jS9JsBSU&riG*OXI zFn*b61L!ucaP=-f6K5DyoM%1LPYK`AFqmVqica$G$&-P3vGG-7I+w7q)`QqF%#M_S zWDU*O63*fG;#S9JYGE<@e6q>3lS@|c@@Zi9V}@A-WAgjHngdHlaE%f~4HbikPuy7o z%50Hwwp~;wRuFZ1V@#Y#8LTnnrvvmUbZ6tKcR zB2NxkFS^F;?f{F;bdk)(wuhT`+^!0j;dXg@KW8U(O$CE}7XSQI`>@}j<Fu#*st@yDhbvbJ3PL2H+Ex}}*M_y98Rq{YO< zd>(Owu(a2MC_IXeui82?RwmO?5OxmgeC1{e1m?G zdawk7=(9WaavsTk!2DP!SA*1-``Ke#gFkUmzNo1= zP=C!vq-2zMXUOD~yR7z;;r9FlhMJGsTjcv2@4ok&`QI3>P!?>l*`$=wABY^-N9@ih zvmN$+k5M{$pH9Rv-62mE=O7i)NO=~^XdZDX1@wq$j;Y6Lr3FE#R(;u$FdrrOO0Fhry#>e^y z=7XnMOqLG3k2M7fsm#w#JFPFEv6&r~xu`h8(7`}l9DG0RNA&Q`YKJ&7<62dZE$bO1 z!yoVLn~DvX3olp7FL-%0KbKOm;m$>+M!6FEgA;?zg3f#+Ul{xJ9B7Qc&|1umSGQAU zOr0-=;l1uGivA{zCJlPRq;vv&EOU8l?BADyjWHc9;o@qrL5d4|X@=$d%-6GIx#Bk1 z${N^qJGM8f45RUyXmh2txWRX_BGK{Crnhr(l~;JrLnCh6cPT}uvH7<~d<(OqX1xL2 z25P>#*_*E3y!pLlG-Iw`Ck8}lOGX9=V*AG;=AtAPVrN=d0(XXptqWL~5-Nk?w%l62 z=?63pTlP}GqNOd{b_6_*NND2O+iOQA@VLw0f_Wz4P+A!W2gjBwlP~)nK09$W?XK61 z6+4!}^S|D>mF&RF^dS&r!C&CIKN21LORFJKPbRTGuR2s<{C#5g8^@2Vi8~*<51RKz zB(!}9i+oDOu6A-GrHHLHSEJ!|&4fl{G3;yyi_H_D4buRa)^xidNL zd^gdrW0O+A4N6rm#aK(!i!JyxtL&b!BE@-qIo&McnEv>BPVRiTA-3fU&g;qP7aW$k zuQJDA-_&T<dhKljt<6|NM@A12{p#8Ovrx$TA1mQJxP;FsO; z3h~aacrw&0In6#T;_Z?M5|3}9S0+WGI}NSp^C7w4y573#H1o5+n-A7RBF4%cxB2ix zb#7c+6@cWlpR$GJETn=vDBOk`H#Cly*nTqBnpC^coH1}O>dn&!CpWUuzer}k$!p$_ zDmXnr5vqb^~a50B!)mE88Dw`@Ve*TsOYMmKHFwolB5#U zXjv+@`_!8&{+;PVPq`7p=&@~Y1E<=Yu?Sa-nNll0bl6$~FT_h( zXi~!EvAx8Hcc&uu6sW(FV^tcKvi{B^HB`B0IIuk3(|gTPD@@E9Ce~Z;$LKhCy_dHX zJF~0xEcDr~5vhlMsw|V$A3(151c4y$A8YY4r_bPfeWmkJMeIg=+S;99tUJ2|5*WsFPhuYdXT2gk)XMr0A|W(4-ebOv zhM^>z8OxK$mXf*AgGUNv4-NE(h>q~zFPtci3GZS4; zv@%?TGR%=ZDFWJ=y_xPjnPAsrrTb_(N_|qCpLU|=<0}Tj!r;tRG!_)Z=yn5nAqYe zlAwL@qxy-clbh)@EF@{sDzhn|!ecX&oS08bj2Fm%8Vs6sDw)2paxL7T)1C`hdH;;> zxk}7dMG9>Po0f=h*DPOOU%|n*ys;@=T2-31n*I)7!Xwr8)fbO`w3NR=zk6$Y4OsgJ zHd=xrGB1C)dAbZYN*1nY*DASkbH%gHATYz8)2W*!pZ*FqR8007kvD)LMsQBlhrn`u zt#W?4OG_8}1MUXa_Ah0T5A^pC8-dFQjt_E!r8dv4{g>JmtC22@3=n-gSfT=UT!{9Y zcTWx`8Z}s1GA~D62DO~{@!XMM+sAy$4s`DuWFI{mjyh)SaBy&X)-z?YcB8_>sGgyQ z(4dgEx}+CF;(@@9F;<=LIjXGymg|xiR!F}bE4wUSv2n%df}Fa>1uWCi%0e_(gpq^_ z8rqBRn22p^1$d!nMDQ#?pat^vkkD}dbK`tv?wJEkKqv)St9rql^A}M{(nf_F%VJfg zNoaxCf~LP3Z1cELsfp-UB#x$t(U>*{FL1Zv`{0NCrfMaE|F$lbhHW~$mv>REb-DG!SEH+)Eu&MQ$t(UJ@ zeP(X|QmnhSdK^0GGX7aeDV}e8G+$S7qPe1ser=FxrzA>U6gEEAH4A<20cnp72w8gY zD2BT01PoyQ@mgM6*aSb+s7Z-{)-pI~GU&r`B{m$Jk+1?SK2)|3G4cIRZ$6jLT;qgT z0hUF>3wAV?1E~;|W}qPw?Q_MDBNd{VA?!p7QZOsQr2eX{osAz!b~}uujShcFP@4;f zVA@d#$I4>Esk;aXQMJ^9Byn86K*RF%Fww1KHnR#U+5>l z#>$r@jrYh31Us&knCjz?)B%Na7Od*r7B7jwK_|eosc#t7^25RlwE#YsQ%+%YcCmTv z@q~MWLQ^U-u5+cZ%1KNQb%3ZiXmOhP9-?nbszGhs>~-#Syw%p5&#uL!g{t%iZ^a3e zw%Krn2a5G*Z;PgFJq>_p8&T!GMdhX5p&J|dcF}TaR6ny#jU@3HpOHPZJ_ro9vtV52 z*wmR;g$RqnVca>X-*Fg84k;!+zc(*?79vgGVl=a8Nc9L1(j`K{3THslc+m0@O%@{$ zlr@tsu)aZ{yz>{OTUnI}4GvxWr5Q$*&mQvVNYPp;&!#kAVnBp_;ds50yci9Ld@Bz1 z1e5IEIR>q_QD41rZ7^{sC1!~vQ-2}E5tj@NWqmlJGbxV6zei6w-?9_J?vHm8;Qi{<{ow1 z`;G<(^Kqs2W%)OLraZ*4AI`kE z#=~OZsuF%9BcoG5o&B}OQ8|yLuYUsWFL2>sv;AXrG81;7JrPGiRl6C~)#H?ulp2G9`sh+QXfX15OyhkRC{9PO>BJtB}nlUWIOdCWEVh_1FG3#wUWRttWK<|+)^boD( zkxgexMC|$y`JgR+rU|Nk4|w6olHhpj9m_!TBM@P+a~!ELKwP+fpv7IZ+$TSpQ0ORK z??I7x7*1!*PWQIlRg$|(PDvY=w34mj-0bwI7eW`50h1jEB{qVAV*GA!L4sJmI3NGi zfYaCFiQhmP<;M%-QYSLWb_ zT;Jv;y2lebhgVxyY1W}v*F0NQPb}B`3;4q>hZ->(rWcQvB)2TeREQVs27B}2ZruaT zE6w%Z%*XwJ92~MYgDY%LtAAp)&{oTs&WD@n1)q+sdD`#^gcSQPFitVu=hCdL?PN&H zh#*6UkSf-%XE}NWq6)FH!)qaMntNlzooR?XM8D%n-8*)B$B|PNVSmOzS9$+rwenbg z&H=`?$JHQl(5vD|idt`oVmqo6tbEe`G(=wGQuwAPN4@^ocHm8TXyC@|OH|jvMTv7* zO*}olm_%3#FC9lgtObOdn=MHX-Str=n}g0 zK97gO)V2#ZFt}Yz|Ef#4PwXoU7s5z7}805jF9@nS=O0u|`9*j`YID`P149q55Ml=juHN zl#(tl6qPH;xGAn;J^I@A&QAFfw#Zmgl#*ccab-=KJ1nwByN5H~mg{zuJwhT)c}Qq_ z-=)=8A^dD0X#`QcE6djiG8sI7L93vWIHnhC*Z(PLdZaFPSzf|Lfsm$L#+km$yY2d# zR-}`n23Mj>z)N~RSr*8yRow(G@vZLRlW7j0H>XgOcvvqFo#h?`Ki~~=4|aFZWk#2z z%Fn^xMS5qmR_&xse`E8kQr;rpuLee;~RWm8jBZ-T%dr|n4MTI_HfmjnWE&3(58Rxr*7Z6q z=|29V^=uJm;v2Zu?MX;T$ZJkECQdOw(iNldbG2b;z+<=t`%g{H`i_l7Y}ja*TMz{B zQr2?jbAd4C8>G)Tc?qXhda88V#@(m(zxCx~PpCe1-G36=z;E0cXm?5!;?3Z)JOfD+ z?IG})vemfsmACmUA6=>scW{iDaTt3VXFSawtVIhgV$Zt_`Zd0p9&Kb?E82&-p3S91 z-$5ntks8TsP`>(pO)#b%DpI84enW7NH>=B9u^|N{re*K~P5O|uVa00tvNk>>e_jH5(7)Xe^~&+{J>{3!H|Sj| zvxi?_L}UykTV7Rm9U^gIxExONID!9(RoMmOsuwpUX2(lalbTZP2VXWNhmcZ6L5e|e zxI)SD#2`2udEiaNW~NM3fvVs5H#}F1 z-&L2vhJHE22N$5oxLv)exp@PGSW3{VeMbrri)OIB)GKJJb*JnK+Q4&#Z2k4UE&>jt zJ@qVW*DmBQev%f0v((K{NkrRFafOKCuuBsHB~N>o4de5=8!2*vfn+z;e3WD_n>Y9V zZr-5rqaW>BZuUP~>m0`F02v^S8*m@XNr%p-RD)C-_G~xHm>#KyAXHu4B|hU1Z-Niq z+3JD*kl~ABO$FDt1)_n*JdH?70nz(b5GAcNE@)TxB=KO#-ae}}J5hy%nb>w2Ow8#+UTke?2#?cV@s|fW6b5iKB${-MsTAG4&zN({u;gP=%?U! zl|JL6Mbw8s63u`hC`Wq?&>znlFsu(;h7(K8xs)nUxDXs&)_Z<-QZ;NYX2Pj%8h`xb zf}wutb=o|>+#Z8Rz_}~20FOi$6ajd~?XSq6>?PU&W)@2S&anR_sWyS;xrST}dY@B0 zp}7RT%m$W|10t_pKZAz-#G9nEEuW&!Pa7k#btJw^4}m)qz;#W~a8xd7h09b6V;+P* zszUgJ?Ulk1qC6J}A%;HSLa(dqcY=20J=Y@vLHljwbR)q1m0e+xkvEY*)@1nkvPaTE8_r=P z;rez_Khm{PNuRD&Tb0WSOr;;2_lpL2IS}uG(HVM;FRyk^CT{2df991t`E|_+#cnX8FE6O?~e7@W-tJEnhkH<=$8=#E@;?8 zQYtX|XZ(|IOHLGwk`J}f-pdsQes6&w)Z66vish(AApEh6*~tvMp5*pZ1-EzfL-vUu zAvwfm_1m=IVOx$!>5|wl$f7)Uay7UCP=GSoq&ptG8X297vHHb@_Ev3geN114Z0$6YMJ7U1iu9@wjn1lv0C<0a zyvxhV!BogR_uq?h+M!<>7%=!XS||GxlC1G^{;Err`<9M1sq%!nG-|5|xb5okmvW^# z-!nnDB^~s+YEuoQK)iXYD#Ddw``#pI*fu)b(!NMIE2A5}65Zf5Sd92!{rB7y?a!4d9p337+#aQ~vfyFix;Hbx>{dL!Y*pEV^{+>vY@= zJPn>AJ@iP*<3gHdA&Cc)sGhStZ+STdAD<}@?S2i4aBF+=eX=bHJFqJJG1Svu&uy-@eV~Y|Bl7Hc@tLbmiSGe}PzH)&k(XgAB1EUCoG{_?_Dl z>@+EoIEh`B{Pf6!w$=O)Gk(Zte8MCqhn6!iI3aB76I%$7(} z2(h|9CR^6UUkYiHUoJqaFa`wt28@LJ?aP1VS*Wvs0zBCe*7R zh_es7W;waJ!!LJ^n$T6PKUUy#%I zQHjNeg^z#+G$``ITXN<>Cfp1j+mu>fj=z6)l zM4DuEGhDP3+xJ@2rsnCpvx*%msKZB@4Thu83iqW*{V0za8_lHjZ=?ik*NzQ?Fm0)i zBjjYR`I)7#tq~h4)9;FU)eK3x8%TM8>J_zgV-WDyg)H0lqw3jn*vadjVv-rBI}QpJ zF+j-Gsn@abI)s#;&Olu{Pr%u8XFs1OHJE z8;^}0pgr>@H*2d>*e7W^&Aa3jBXFPZyP6MCT8t2!vv7g{vN`qMYK>{OGXwK!XMqms zBW+p6%MqI}g3%_!t$Vkj`5oxQ)`ocvsmAGf#mAyKT8Q8R!tP z-Jpo%ZV`F$6)9mVVDWp?6_v4t&8ja{V%m^HGbK(nFoYDP{9NT$v6yYo#}zH$>+JLt z{t_lbVvbbsAhF+9?O+n#pwn{Ny#)%Bz9!$KLJT4>w-0)D;^snXhHXAj$$ChK@D2Ai zp5Mo)Sau3b=GdnpE}{@>Jqxz$8we8&2qTUmrMt-1I8~<869u-P>#iH7L-E7u z*H@5a@)id0ifCUX=FqCQZjMF54>?ucc}P5KF0}wL>`xwaC$FG6e7GO-h}~%jSa3aQ zO(QC@_3rl`?$xRn@yRidb@;X33BP!l_{1aUUJ(6br1U8Qi)Fy9h??->JE0bIqZ0)rdmgprvt3DjZ{;{ zC0o* z(<)Yf@|bGLZ6jq-7YY1c_PZH5d$`T|CXthlP?qcoO*rb#CLAD=u~Z00$_wKMzYzSk znF%Q`bTyLs=Bi?INTbpxjA)+=K-`a3BDVh~M3+i)=|L4AQ&vuN@c&%&AP?xJnyET! z$MUB34w{{6e*(8zsVdYVq1sqaw7;NE z*2VjG%fmyWNVkK4Un|&=TzZI^spjE*4qeir_jZ>}!E2w=wn1!$A4h z9)`V%HpL9`c1VCz=dvN=_dYF}NWI*T`Sp3l3Ax=t%^z~Rh}3bDLTZxY!ukoMJ~`6x zgI6GIAVD~_D`S0xz03E^LkK`t#19s^zmZzT(A$z zzN)aLa*%J8gOEJso+Hg?Od+bY{;78^Qmh6s_dkG-i%SB`kOUG^lBPwU`iC9dnfvmK%_q^2_K#C~r&1(XmCM zWm8q>rC>`^`-C`NbLxMg!rH_ZZDw)Rf$N1 zZ8xlirSU?Dy983Rp48@R{L(w;!wQ;^Q9TIJB5rMB@+E$n9_IPr2Sx<^_k{IjEkxyf z$9C{P1IWx5I^Ez3{nN;CnHzo)d-2043MNQ0_j?@6Etd=`k-=hZxB^$-<{^cLNVNio zMv}$8G8Gh-LD=fd*W~sJ{AP2=2Rea7#g(R*IEjLMu{a>L=k5 zv)Q5JQ9sztdi&VASFt{4Xhq~TLdP{9xzoo^O-)o~H1N3iIzq-?wVut@0xD0hLBMq{ zRs(dWzt#Igh`(-h${iz0QkX zqGGr|yp6o*xUw?c^XEV>0$q}|UY(^Aj=P7qc6;nwBNSZVvNz%33y6k(2yD1achZje zTd8VgcfrJP=qU5>`<72D@XyifDbhWf^Z zZd(zi+>_La&y=`?1jT}PAgFupONiRf9$Lb8gq1E4XTrz9naePTO_M$GcFKRb5X@Di zWJG*t!w_Gj$BA14Lan*Y{nXqMRetN_Ti}bCnJK8QulmPyE zk{!sAj#jl(lK4x;cA=27(JD@+rlwV#`Gq*imNUq~qS*ar3rn?H1wujdu`Df-UK=2V zR{(*U5+zR*tS-9jaV|lFCK?V@`}y5Xzk2rU9gV)j)3yYH^h&AkE4G7nXSUGVswr$CF))TeFDSFi}|l zy(&u@e7k3kE;^93^JY#HCwyQOB#~oIlEo)>eWMHeu`u-W)Hz7W zu=G(O1%06BqUVpgIp9KI5fZWao@a~bUf7eLe}lb<9Hdg<&j@z8o*IYg3wJ% z!OozsL!S{reHNnf2Qplyg`GL?r7R=yxL0d$O=M$#0gAg%5GnTcnJ+P-`Gxm?zCc$D zJJP#p-P>*=X#N*w#BM@bap)>nE|gyUbBOVFLJetSb5Gms`w71J@i)?iJ$q)MowMb5RV|%JK^8%Ify^?BHX_j zBwFUSjs|qXR-v)R_!f}+&NcDIgiIeF|38t-VLgLky z=(NMZJ`bq3XWiKHn9i{?JP_^v#jr=Ta$i}{<)a0t?zQ{(uX0a&b8|rQ2E~oHVX2-^ zgk~5HZZ02h@#J;r|FSu4^y{mV+OEV82HM&W9Y-6Con7iI+nq{k zsl_odHJTEC5z9qF?qOY=ZG1ZKs3-HtTj6o;t4RU#97K zDsl5AN25Q8ZZ;;W6!A1K4ou(q`Y%6tkfG7E?lwzaBY;mjzdMCOEbxhM=zrE~!yAT| zD&rzJ>g_;He@Q2gKkw{Uovsn$y&lSd7t2~=?TG0HVOsBA8&M~9LJI~KAH5aGmfhW& zAWl;D#8p6WSkM}|nDd7%0iiH%MyV9HlGt1hXz$(;;x9(4!CVo;*2s%q;&<VE%o@Qv0h zD5%u!e}4G~iX~X)&tP0yzPx3^Vl7r!Js{$({;<-eM_F?2_*J0tO}Bm^V0}_bMCA{+ z2(D+mcfR3w z?{-l6#9zd&1J=K{`iH)gl%x$!6V3*5TJnZImo*A;Dd`@ z>83+(V`8}}Z%cxi7yfVaBT{fOHB$WzdzpQmf#@&qwr!}-#HS{G4cAZibs1vr*?k(Yv% z)t{t(2Qe{7Y&-sn-tZX|V~PkCzyGYw;|AE264##FL@D?mgOBA0y%I0`#sPm(|CRxe zxf+mTfUzasX6Oe_{#_sx{6GWOvJm#DWQxw@SuJux!c(_tvntUp$Yehu%_W8ES1|4@ z1emo5SdnamqiOkb$B==WM7JpHFeY8SQjp_!`swl@>#FI{e2BjfaXVq6?&1nhVtDG< z2MRsUzx#JA+h}B-`io8kjpL}RV_nxq_xKbF5U--&1-y2=C7PZXlM(|Bs5H|AA%rKk zI{5FD1;Rvs$7LXjYGfWWn8$Yg0MRhV60qST`KLr#<^~8`bIIiTQuF`DSVZVdTL8H6 z)v9sgH2aUi@4$eXU%4+q8h;ar0P=exaDLHCQPV#bQs1Dl0{0>M0&^Wf2QStgJgc)k zkKAlg`7%KKW=j&%^w7M3WI@ED+n;nI*JvvCXgSVA(?;-P{#M1a~@W z%aJf@2Pieh6V;A9nwWHv`jT5jS4(_f-Js(PI$^J@BY`o=OF6cz>+Nz2qGxX?jzZK! z?xH1;K4?ptnAqLGEH|ktZ2mD{5M4+n8irS@*hJt?{#%o61Yf(l8e{q&`PxVSP*4z* zF5dkc8@D8)f28C8N2vE#(x$((`MiYZHiA&m0{w?+fUX^Pi-i;robDj4d-> ztCVmMpZ~wjqz2TQAb8yIcaj$z++aP}^B%W>D&8M6;L_Z(yEWf4}3ula)RP z&emJbFHZP7kJSY>@3tFDAeLl@#KE{(M?aCo=LJSB=Y?8;rBxdfVn&$Ul_Sg_k1dOE z{E>K&Z+3$~UNU6&{Eh$*BSe~Xb4uYy>!rj8hD)Ge;d#06E zLE)i#1@R-E3*<|D;Y$%N&RZA^$SRV{`US+M{^v_zLIPbMAD1k7gY7??f)sLfs|EKS zAqbVn&*kb2TLeF(Xmkmfa#8`AGf^hS2b(b0?Xd=qwEt|PgCH7BYqPOIc zT?1`<{oJeHQcg&yzS1){3m*=QD6rv80bX{3wSL>TOesuym-uf{feQGxR*Z!BuOV}C z-d#XKc}*15QR|bKEpv^aJ*UHNAkgrHx}-Z#b4)~tl)sn z=~+(nCqjVdUnjmfIE22m4EhCD#A3_D{;jbH$JtKqVMh8pOZ_>%@vw=Je6)lZC5H7S zP`ku@GAL9%**_nKD9s{_Mz~?#b1FQPA#Zp44*!Mo`UJ>40Rdi3l4nXpozErqnH079 z3EXb28>@%E2?~h4{y~T?f~WuiZ*icWi2qA;IrB?_aF~0Rc$>)se5_%wz(hX=&*qn= z2N@~-oz_zLz!9t)Z_`~dG9W>i^Yq^l%!AnLk(OL178=dr!Rl5IJolRpB&0vcJ)Gax zaN&CZm_J}5&aAIn@#mk%e?E9R#d2RR68#Ymr0<01<_!vbpJ(x7{CNl|#0D}9Zevci9Lyj!rB3eO#{+tOd(E}de*Z8+T41f-N+tq3E8~FTO7mi$g! z<~LR+h1ius{MU)vT0bYbU)dLXAj`I4tN$n^M~x&%@?%u@{sx=>#=hjU16f9e#{P~G z`0F(GKT-b^T>VefFO8i4iTb7G+y6xU()#Ovq8{I5!qs2@6ZK2;)c>sdrEP})S@lcv z)c>sdrS0ec->rHnI`oUEsL*|v*Q80dLh~KN{?WSgh|)Q*`x$z#0kmcYwIaVPg3`}yV8-RGBuHta8uYxW-k2|{$K=S|EvF6 zsL3VO7YFHr1NV_%K=Kh*L4lPOjYgr!J=~=T|80)LD!kaLd@CaVcpDq zu6{}=1e|MA%k@iOZ2_lpl&H^3Vr>DZj1@zYvV_$1_m=`hxEzR($x2e^=(&jh$UPrM z%E8T9P7o#~mo_4oc1v*0sd;1K8l+h{yx@oK>f-G zf%WV}GX}qmgC)r<2ZRRgPFu1YAF%e@gn_r^~w5-E22PcMBa?5BXfB7`zHkuZpx|Zm1h=0mPna99DQ2M+{ zAR@CZMyWI8m1juf)b#k%s(zofn%?QQ^-nve=>ijnV%Gc%((DIv#YBL3Qx7o7o}B0r zD-DinnBMO&{#MZbL9dXxOk>i>ZL5}hbh6@?m;V>SyX-cowfiA8uTQ3rUk)v=IzPHU zA%N>JD#K3NR|4$^(-~Q>!F384wX%*HOwe7KIskf?n_f?1Fxqs zJlAe#Wi@gA2PJYC8sLTq`U}#js@gBkWkp)EvRTRh2exk+QpMT8vPB#2%d8vhw3Lvz zo$FKeEZAbnD)fK0ZPJJ#{Mja^o{aYM#h}@oTUPo#Hd$4)Fk|A?f8z*52<1nY#&=eE z&Idz-GNFY1C~Z>n!17MY2aFG$QgLO+8Hk7I_*qgVM~E=mF*}chdL2`eOY#T{=4tKL zBdEXm8jwb-y^(;%0r-gz!&8q|?qHOKRQt zk>dT`d1bFu?yf(1KCjto;AnlFf}+Z(({qA*SAO3#bF%|NZYh3ytqzLQt4lb#FMby< z7&*{4LVN+nhHj0slQ}~LL82PYLd_p?@1nWHPObd?xd@rNi4&+TMTp`pxTY53j>?Nc zhxvvS-DX1>dhp}p#;_&^49VHe+&;BR5fe;B2nex!S`mI||(w5zL2g!bHv zj0oNM69hNh)uQ{RQj3#oX$a6B?Vp*Odq8cfVa6Dmd~v!={!p}5jg){)ql5!9t$+f` zyEiSiHo%^SZ#d->v(h*VS4AavHxFeM#AH=+W^CW#QRF9tbH}G!8gjP5g z#dp`6-IPD1V<7Oddr=&-vSOD#VoErIZydTMQuj@Lj3huCF*;I-1cX#h2#dHSf|}`= z!BK-v8UR8)oitg``KixVm7IQ^V?|NukJD+Ii!8N>tDo$LTP2EPX6G8{SMQK5y=IH(!znLX<*uHu_%LJXV4u>C z)`}ZLm7+?^vFBI651u)N&~1?99d<;xSQqI#OnIb(aJdv799>XX8-l;~@2@TK!3yaH zRTNW)SC!<87F4cDC!HBT7>Tu**`Oks0aH!}qy;RB89NaGu*d5wsb8MlQ?G_8g~ZPn z)vKv3QliJjCYXx7SKI?sA^G zUu-p&%Dyhk{#Tg~Twh@{hv5WXaq<~vGxu`_1JO)%>mH-5k&~9vN4IKO2QT)WB z>8_3RSF zm$n`~JC#9h)0xJxx%1i;^1F|pU&F?@Y5uWY^7S>Qs~erq+RE;YO5Yv!=VR7C50h=Z zc2(>e7wt8w?T=Q;C<$`})SoVz^xsXF_%Y44t-&YRKtFv@bh6d?0dJ^NNsYBZk540$ z>oQUbUs%uoMN#4Vd#HstR@iW>H( zbD)8aDX0s(OK+^hc`<^N46R1dm?N(u9H8gBc@*(p5X` ze{mjRgaglwzSJKs%CHB5t*xzhjrrKwkJ!C?T(n-&l3;|hl++6J0*|&qE6WZF_Iq2k z4+Er1N6|PqVX^@9tuQNGFVM7{e8(Pb6tl-^16S{?H<1U`4lJ(V*FRapc)pg8(fHVP zoq?~v2pvTJa1ZT*ZUE>Nx`7*Z;5>B#niCdsB%ZIFwNb-jRQ#=83pU!_Wf)On+7VM}M4|2z4yALkpYX8VPgM79Kji@WuD-R*;1KxKBoUbv+Z7=j=$_ zjqks>x|Sdiii-FSnfA9mIcId;>d+C{myri|1zYrK2^3`&A2f|9@1prbv152c@GQ>A z)!bPAQDxCA=hB(`;eX)p$v0M$BGm|rr6q#PTOU7av_yQp<>SNl<@)j;-lM*v40LTr zF2v|o4I6c%-jnx_MU?l&0-{|4H5qb88f-70&cDI=K2(;_w(xTbPKJizUI+3O2q7!e0%0-Ter3znU%|X+3U5j`Sb*!rE+BsCs!GG&k%?=;^g4kgY2jHzM;}m_%LB_vjJEB#2}3N zDj=%#IlUbH#^)$DCj&i(@IN^V68h=T_X?kuC+4s0T2pr-w2B=t*u^U|i-Y`VP)1~q zo5INnHqFhBEJ@t!pqC}ivx{3s@Tu&GtMf94;)7uVf61b>t^>zC)?d2RkSI$vZgEUq zeILq;=DLQcDKE&54|L2PBx5?dS8(vY{}1h!TQw>n8Q;Tv=qj`A;RQES!7%Ir)CrURPVPbC#CU&iBOj z@bXGKpCyF3X$NSjVSmoQ)LDaP?PHrSGL!C-Z1an8T!#)RaG_XIxVNtIZ_;q!3!97 zV40(RWXIl#l6W|jT&Hua3_HPRsLCyS`}Y2k&78Mo9S73{S1V5*#XO4mxcAcHq^<@a zCx_l16RP_&qWR*ZhA{7}(esrDjFjaQA}{O;?(2Q>cRgaSZ@nh0+diXY?hjWgk8I?0><_4Ba z$r-A?(n!pxwo=$KtsT3Gi(}-yg+@0!iN}j`<@7kX2n6aW<5l{saZs0P=j!1w=%fDd6i$c+q?(0Ka z7HR=VQ2`ZC&2V5zWe1sT+s@S!SVtpA|K$pbZK|&=K~aEn%8&e1r-fm7etxq0>52E# zG5$TTEGgKA>hJDh!g+ryDy(>##>=Ad!XIu-sEd?vhzGg+@UhDYtLQfjjwKhy)(CDScZ z)IQPWD^~NkqwJY3kzZJ3xn-pN6c)}~ytcIe0+S%@@ea||X8W%el2RFk6uxb)4|cfb zKq@itXiMIB&fx6*$Lj)%!day;I&qcR8Mv??1sS$nuvZQQJ!=4pZPQ>bzkPF&Gl_pp z;XamN@6$Ni7z3BTVJLD%c?+w_-jbm&8TB+~WPoLw50{bBsq6ZC;KJ`l+cY6sR$kil zD@qBINe*CWVn%e<+pays_Q1a)zaoDP4Z5f-oc-uMDm7;C2KZZU(BbUnH}i8qmMs)` zK|$^!`dP}s(rv*!dPij*nY(aopuj=;aTK*{<|ho+hyaoj=C+T|Do&iI zD44X>fNIK*p>ZtwCGBC1BgqI!hY)nf14CDM^qGDAmp!H`bcf^=Cql^-lgIt8eicji5pN6 z$WNmGHZjhv=fV7XzPM4=<|hYy@$31tuh;CZG{c&QT#Ui)U_%=wY+%QQ2ncVl`RC5t zW|!Arik36-WeM_R4lXOm*(dYpPD;hiMVi2zB0uJ;mb2~S=(@TiY6qEilO8u+J&`9d z!z?5u^wO&Heb{D^c7Mwo*euIZF##i06fT??3-ToKwLKqs&*8H9+)~F>nFIusE0k-t z@$xEF(5=J)ZcdDyT*rh}D6QEFf9&7ID|a(IExKzg;@8t)E8>;E*|N%qi!lya7*Xe- zwNvpbYUk=lLNtnFezvxSj1u*hPG&~IEQdz*4d2H~r^JQbF>=Z{w*Nyn+uhT31E-5$ zNU@!0>}!^kd9-%tJ!S$wVn6yy0-{1_sR^MZl(xLtb!+^7y0{v}t7~?yejV38|ZJIcQ}N3biVC<=Pj$!upM_U z+3?iAtx{i8IBjmmqbYucd==~JPtSRA<^E&DyspoW-C?zA=V}rgLk&%FhVqgT?<^IT zj{F!!pXqKFpP})SipYnPY6(Ug+m)|+&cy`;5o++ z{6X+6CKI{V=0maIkG|hqK;M~$UHLmE`z;LYapaq6j*I`kjQ zPR6BmZ*7wJ$^f<2SVJY6`P3@+#9JMcCM;H%t`GF@mPueMhaF&;22>8*8@gx7K zoenCj9|eRFg`F*xKfKPz!I{obQ=+&+`^XXL1I23F)JJF@?qY0}g7w*tY`~@4d5+U>weQ#uQveSDw$b=rxjZQJXJQ&m5;u zFD3svG0qfL)=ufsj3WuOFSu$}G4fk^>{@*3r=PR{{Ei~Scx#2_ul9ORmYAESl$?(& zxidR?=6dIVB$o_E$J-z%21lE17@0?2 zE}0up->DD7L=LLGHIDbp9a5WFCl#t)Zwm`=!yWCVdgg36uD~+VleE#36WK+yLz{8* z*-vQBLJG{?oyVq?42;g*KAI5|0fu971@_wE!>8Z8t$f*4wp;1*g_BoYv#?Ria=jLr z3}Xitf%D5fskCzRr>b@bTMVY_p8FD%@gVKs5vLeoFc5S0?xFZTv70OCcC z{uATT>yFut)EFGGvEi5W2+_YGg-b}vnc-b)d#{yQet-KXOG#x1e^jj96LB`AADpD> ze5caW(U@grJJa7=KkznGUG~9R2T8C`(n;whzThY<~E|L&1;XXWkB_rN%mbGR1X1O85-b@_?H*HfpI zKC^9?!Z1hR4FcD#DRlH(HxFB6QJ?p72!Gj^Frwyx_O!E(c&n1q*uvi0-m_k@!!KNq zX%W37>+(xVUhJ*4Xr!cGwNJV!$yJ@<0>v)9cR2L#x~!`GIT4&=whIVUjqP#-|M1$x zC&C409w9aB-Zygl>Kf-AScS`~WwC3sOU|%ta}?mMPgYKEVB_YjF-s8zwV;P*@yt<@ zSn8gsb#nB+r1es$(e?*2b$K5OXWZAVjk-Oc3ErN7QUL~!1KlzylhP1PmdP!&4DXj8 z;iiZKO}$S}PEP2;^XDAR(%0Qi(yrcu_gr7FYu%)`*wwqPa_bcyF0)#@S9PbD^vMkk zvhO2y__1{t6sLL`Bz zoZDBuPdagpmUpnr)+T>_Pd+?!&l-#fB|pgYAyYK1)Z%10SP!1M~LP* z5@7n1lnl*sIM9aR!LPJ372>Sx=DJc$`+4Cb*}e{B=$YsYnOgDm6Ne9rB`IvR@&WZ$yz>cu z!oZ!?y8}_kE6!H##?|)3w#6OXOdX5%z*{)7aL$EkLQrSM>KJiz1)tLAdwRqPSh4L}iCZjyUCtE8;^*M?g~uFw@`|HcdxB6p8Uix~$##^_{3}`esM>6R~?N zzOeXY%v?F){PGF!MRe^Wnazt#F!$-|IvFdB&Y6#7G%QBsWn4J2f#AV!|0b~kg8XXZ z2&@rTOdq)Ys~h|eGW3i2M7BO&&v1a<3V4)tY-GGIi$E22d)x|c^}&{#M($2V@?7+ z|Mwj}aRC+k@@4DPU}+VDPXjI+yE11E3sI8b(-}fP)CI-GeCdT-e0+Q!rwif&_D#UJ z*&*(#a+y}UK$N;>2vyhCfn$2#&}?90;wwV-%Rabp_Kj$I&t@*m?8s2h^SaM05v zWA;~&&AAbwo_{m+L%Gq36Wttl*9U1t!MeU8>oQWL!&%n|s)IIDzqccd%599yY8ufF z)fwl{Z|k^-%^LeaYJfv4O>wR-su<K|8cy})PRK<|GkZ97&W`_Q8!I6HoZ3HEu#9qLF*$yGa#tueoOhLg@Va`VkMmFKy4 z{SiJQ5ussP-knRqW~J^wf-@`6PRufQp`C}xl`x}0Yu!;7lDRf4MiyKp$gMJF`a*@D z;N*Ppq&dz|m}PEEfLi+C8c)#=BRAilE{qS5y8VfB{NL@-e5Kks`SE~i+;|v({%Rk1;k^QJ zw00UH3%xtM@~ja9>$mB>ty% z^6HgMsb@JjVFRxF&X#lr{&|Fjs$1JZyRlnztL+#B-VE0dyh{~T--TJUrnv`4209mm@) z?}P2&Nkz6}HSX{fh%UI)wKWXc4*iqmQkRCGht@wQW5X&mBdb`4yNbE>(2%93BYyM! zy*O;WxKf-?c8BI8;TjJ>YF(t`bTFjt#0^-$v##sB&~?(hr5V*!a}DS^9&1pZkj6-ma|eKy&J#rz;~l+-g4 zAZ{OWvQb*CN*)X#k+v6idTqAs{t4u9T<%){@=v*e!+PM2?e(R(<^EXl(D^g*C!Ehk zfD%jDAuED|N<;;oAfHOuoo}R|bK=B(BptDf;qzY#Mz@C`6K`C-c?X~HVcnU*KnpC7 zoYYJ#5-$yOS*rVNsn4RC+|gApi%`lO<5J_|XO2=>y4)?Yf><8gccW`YFb1;vpF_=@ zrghW(`@gP;oM_cI09mQzmq0MvThtgQmS?XSyQIhT#PIrIYHCRjePyc81dsjjFVhLo z_PY04L~-Gm+b5oFK(-rpoWFlaR_=DEfXzLY_z0hk+7P-9Q#IBrN+$&?8vQL6j2qKn zx&k`Oh^On~`ujl_OY`FHq?;O_;o+6AZocJZTQutweZ+5O`{QjF(JWE{{5Z&^-e&h4 z@rzZMsrQp)tUzCCyN%6adSR5im_5cufF+$$vU>VQp)c^OKCx|S#;3b1=hQP+moFdd zdnIcBHJcOJ3?xeM?j|cv@(Du?TKj0<^YwBn_N2KZrmXUs?>S!c4q6UQ2iCEIGh7)W zarFxYv&Yrjp_<>Z=f*@iCg#eSZ&_5`LPt?px>C}kKZ?c(hw_A?= z{uWt%V-Tmv&vg_z?|3njiirgFIU*%?=!r zrht1WJXSq4&06*3N!7Fa+Z$&??(B^WSeF(}xr2g@!wX{fl-E63aCnmX4}S6J)zo2X zOdS%8WHbZiIP~c1NGh2zVnAMsV8bUGzS2= zg^`-9-X*Wc7sdm%M_frw?qMYa`2}LAzq{ZMuO3d`#;vC3jN?op&X}F7t8>0X3H@9i zS}AR!*gjHEq3Tjd#QE{-n+Fo3Y#zc+7z40sgO70)z9f>led@#F&%@ubG5pZW){&8O z=LX}dcUd%Xz#5*w8Zx&&IZR{?D0y&vE1vGdVOjjA7Jj$_l{#7UWrDbQS9lV0gk*%- zScp>!^C)oe)BY&Xxp%^=Y;Of`w~S|e%YC0g|M~4H-5qUm*t@4eeQ@46i<^CSqXxJ= z3;}NOR{mkd&qX3~-XZZypCa;eV}Xji!lh7J88Pu1A0iWO79RV-0jz{gxRxsXoQ!6q zyH2?xdq16q1<8>gQ=5caK>@xnGbMo;0gUXAhL|;f{RE%l(?i`xFI%)9ZOZ5Nj9mAi zv=EG-A(2OcohNplPdMJj*{FGX+_zFG*r2HP_MeF;#ZLH1tN<@nnTk;c_ZkEr6Q6;-zE=2LBr*8v|PIxdWK0ps5oHWQA0 zc(8cyzlfExl)L+*I>Vg?=rSLOvZi0@Ak`j`G<<1rJwzz(RI(5B{({D6|;xg3-Ken26Gl_0csbwv+vb15)+poom@Jv zWfF`r|Hs%{M^)KweWQXPp)`nyv~&qbH`3i5($d{2h)79EcQ3j-1?lbvk#6aRb1k2} z-|hGAan5)C!ZCCV?sc#0n)6q4x(y(4629qwnkF2mjN$Kx`Lo3S)o}j%J^cL_n@}0S zG8r7r(Cs*qyE!$29^8VAD&@6<>FM4A0;pc#+=Etg|A^e=++(R+D(QsVj=NEU4J2OB0VIV0|5E)=uPhgnxBN{-?lSH;4M` z-h6u+1aLTWtK#(k!5H@-3ed59(!cdz_x11Z@}DR0gT}vjHK1gy8` zwO>vZnYfLCh)e`PcwNVCvMIK*-Pg=^^7!MEHZ#$Tz*$o>zG__>`NM{E^dLWhqMC3u zDVtF1S&JR>O_OiAloF`f(+S4f|9LRPAApGJdwQ=Af#xnM9j(%dC0}>>$hx9Tx3blW2S_LR|kzGAT^bb>n~3VK$j2HZC%8sd7YB-`e}S#!tgcE zUcT*_l~wrrH~r^*{Pm~T(gI!-@zK#rC3nCiSgjO7{p-s8xfo5a+xU!lO=){z0`hw$ zL}!-1=nlSaPUJ;v;^*@T@hqcYT#44+gA7wa8Y zd@-{@{jVrRH;Ya}H?v+;sGA^_qFeaMSEzLG#ob?xs0~beA+I_DKqA}5Ay-S5F7LEb zVO_m`re93nnd-sT1p()tzy1?;SN#m<|1$d0lON&xFKD2{;WVsD)Bg1d|Ifqx&tGgY z0Lpy8BK+{buKoaW8QN^ox5jn9m+~`>Ryy@3d(WW=!|Ep#U!XNrf3LazmA|!Zpl@EqNQ?F`ARQ!R@<7RIX}O`1epLJFEhP^2=<3cF-b|O!Q@{_%ph}XLi2y5 ze`=uKF9PMtC%d1sjs-d}0R`M@PNig(r4}OM88Qx7B`<}L34Y|5|NVW~Y7;MrVt3=` zz(~R7z|6zFN1_~Rhr{VY>e>Fkd}3FSloJY&!`O;H>LG~$$3Q(!ee z0FiI@x%CKds{4=x*8lLmXKdE27^u%rnkY&&a1Z^TxWICjh*kaOLTo0_K3B~ePEYhx z?{Di`XtOFg=V$2hkUQ*A!M`3JlN1!S(7jJsU5KV9X3kHr3rax1gLAqzYVGw6SE8OW z%{vpz$ct&hno>oyX`ojHQihlOC4r;cg2Qp9_XudL{9@pS+eknCzkKE`D)23TtrSZ8 zU*9tN?{EQmSY#FT<=-rceItZnO-VkrJF=3)8*7EAMKvunK#rJmoq_|>VAj8UOM-u}k;D2cz#O0tvcm0&( z(0}#;HO0V1AY*5vOlha@)It-=Ym)QLk*FQf;|l&$mORP-ot{_opK^Tz1R5UBhy9l@ z0SAMT$TZ5W;j#2u&#Onr<@gt=JbKCFgz2UJ2CR>&^VE5wNx{fs|H= znV95482{^*qYDu0!n7h_Qv~(=v_@Iq;2X@*bvJL)?jBxlXcb7+s*_QUPn8t|iiVr9 zE4u_c-V1d_O&az)t1!!OvS($2gUWbLCJuNL#TisK++Q<)DY|)GStlG#qW1Eu8i>Vc zP6-NR#EuK){q~?f92=`ZQ-!qs8oA%MY*B)BZ^#aOZ4X_2&m~|6ZH1K zx%In9fpDzV*__H~ifL^`znryYLqo0FUL+;%?S}@>Y`>Jmi3p_4nu9_~0*>$%AOH1S-hcy*v=?E@et_xE$&`i1E;x8NK6O8yrq!C^!USZ}p&+82 zU92kdDfe{6WJ2Gcr}v}%-MD-wOX$9pK?76LutVc^)QOv;+wA^b#9$2shp1s-(N7Z@ z!0ky4w{0c?qaF_bqRvmy2$Snj$C+Ufm8sFU8_WmdnV8MSUgs-xw4m5acgn$9Och01 zls2oj+?~%$zQ&@I#Xj?3At#4tfL`p6a3G?dO6-~lO87h+o7LRwVg84v+w1Ap*v}~V zbE=Fue?aFC2HVpu1w4N5rlT9qg`?jcXdw-hZ9qfdN4nj&@rlN_X=0)aylxV_^T-kn zgYB<29VWzMvy5+gxWCayF&RoWyXF3O$n2J`%%Br_dn_j;J2$u8?{5Js5%H2Ky-cCl z++Hee!`^%INey*eY;aSheK>gKfqTP@6~(&TwC0M9iO{%5HU(uuK}}hmvUPH~q%vZ< zPN2CP5P$6*(l!(s7h5b^&lpH+BSzHEUwy?u zvSq~LS3FwthX2wQwSYWe@Is~NWuQuC;LzXn$)<3rShrklNaC0n8TEj7MAGbWQB%rf z{)e@c(Y2kW8#Bv-BZ~t6`57K5k=JP?#%EUjcDQ`4+LF)B*-&p!z!vJ!4r#L}dO)S` zJ#m2y-rZ2me+~_KndK~V{q1r8dTr}nap+4{$pV$a=X8%mL;n3%;k2PM84Qp3;jvYv zB%%sE*dp;|ZD`E$duu*2F1w50-}b7`*0C?waHT-DT28e3+ZZ<9;cYU^lMgd4YzV7m ztDa%wjTYuV1L2gY=mB_&#BK3|1}|pg6}DNYt@wmrb8#f;@;B6({(qIL*TUevVu3c1 zC?F}1k@pyRvJB|x-u9qUF3m_j&B@8BuvzBQsI^X^(`(UYvz#F|f7}&GCbl+j(;5R_ zQEXv}e#d9~KI%O2!9>;^)8W*y7B7$O(X2qAQX-9|3qF>RtvTGtkFkR)rGC`tX73s> z>%s9}528$sI6Xam56c94?r#7mh)xrkH{O+ArL(&~RY#)X*)6Jk&CRU^E=|!z`W68! z3{>~I3mWS0XCZV?WVg}H=>oIQG&D`}i>9l8iivpgqiO%3$~@p=mC3ya$JTz%EDsN- zpp{WYd}YyPnZDX$x~3Gvd`uO*={eajRRX1tZqPed+Il~CsaGv#G+m;T>FuM;)8M#G zYBgWO3d=L08~L1Gvj;pa6#=*Nw_KSd$+Mlw>X%7hQ^4DV7Jn{a5xsD82C00vv$21I z8Y%D-rgl5V`o&tcY<|d-PdgE5CfvIA_VF*I_Rg}|{%O-q6i||5I=~1Ma3>3)tUc?G zFmc+uX)>DsC`9(`u=4O?m($JIeX_wj5gN6RAA}v?S0!a1P$x$?2_YcR+I?ciY%L(Q zJ=)$f-19V8a_vycrFYN9SyJCFKkLq=!5*aVpMPz>Z*LdUX!UMBs^9O6p$#*=pWK8E z!DTE_&cA+EtCT0(Re85F>vNWQda&4tpSH?ov!rc40m1MPeA z-Q@CZ*h|*29I2K)1klOlc5(GQN#1>a7HKt4(|UK&iL3Xv{izdg=3*M{{Nc2&hfGP` zFXZXKOjyFn4kMGV2`r|4W%?Zh*z{@?iH|R+>7&66%^WDbCI8G-d{OwB8Nv{CvE*@h zIU9!09_w+~tai|JBFmQ1{h{E*Z13@ioAc@R{Kv-#Ybh>EOy{ZtY5aO%KL3Tw=FUVu z8T8UX2VfYU%#Ri2Giz zE|s=z7bZX!?k54KDru=_vy!e>ja0B?6Nc}_Z^k)^G-oFnM&{bhyJXa}wJC4jY-F%%rGPRCV&3x9?I$5aJe=^L^$YL^3w!a~q{aHo+=cJk* z{j|12nB(r0jG~fK);WtJ3?@%T2%G*1JbEyc<2T^~QmprAmZZ3{X$ zI*hrIg0IDW)7#;`caIjugiYGLHQZJPYOP(TcDx5E(|D(&ft_7Bm}vN7U+U^gGQlu+ zS6^YMcmY4z+ylt{4SOA}n(#@(#x$;KeF+p&?`N&xPwWyVa{r;{P{ll^Zd?g-dR zpE}*=pj$m=O0(MK@i?W&fZjY+@U}1tnd7Yr;y554bH?F-Jc5MTcWt!LmoTk}+HUJH z$Je{1arm7R(ZXsxM~+FX#eor}7G+mFJcDsf+qIleig@P?jMqlC9QNZUnIDL>X= zI62?Dc*#yU^?prEqj48Pu;^Hg3PwHFODdS0S|8Tq^kVrRu7)ej#wohFbNISdhHv$p zXN|{-G+2->mc_ccG1{Kgi3ag)N1j7G^uxVQF%*Gv3<{_hJ(SZ{D}kFs^A?G+${~SM zEiMTrz#RLiN-YLVcdi?Qc6P8yu3UNT>veU!emr9s-dm(mnQT)Q{9Kmr%E)m1bA#Pz zm6_#A+9;v#*G*U!1s`GxOQ8=hVA2qUZ<^m&&Ar8AGWaAX+W+QHCtr9D4$=Its!cH% zKjdbXLZC62mh2r+UkL9#57SzIa6-9e$-7g%|%Qx=j1vom@g1q z@38sOM#pJa9xXaQuy2467)>#mul|KeT{V6-oeV{X^WSsqp-*&Npz&R%FL<5|@^~JW zOPCP()+4PZs;&KA>0mbQ!@jyZ^f`2WqhF_i6o6B3M+#zAidmv44$+$(ilc;FD;cgt zvRvJ}C!9GovpU=} zaC~;_(VL#jL)sA;`SLSvcLp{xg^>5Tpm7SHd&k0}<^AS}M#@0vh-l^k1Xli5+oS=V zntleZ{G6MRJWRf7?(FnURZ9knfbqcJzHv^ua z*i4g#O(eO(K6Ys3C*5rMz{`1$=AYDe_xR;(Fg8QBe6vl4w|m9gk{B8p^PDd79ca?V)Hdt>luNB z%(~a#5);iUOoGWJ0UFv^_xB!bghJHAmYX%bhwC`Ew+K>Q?kF4YIECp)HRDIQ8!_Zn8sw&|*Z2_XIE+%)0BH!mu~x1z!PP`U2Rq{;rvs8KS#@?+y6| zCH2;Y)PT6id>Ua1>e<53<V>ZQ!ZK!v4+kfYrKL!it4WQAb_{Q$ki z?y;t17ms-{5IXPNZ!p8@e~04?Hmh_@AO(VFVa?r5?TAt8w~R!TEh$#DxFcM zsYaW@2eTFiVK-Yf-y6*X_whtsPQ^%nF4E6EeT1J|a?sfn@lf3yOVL%Y2ebpzi(6`E z-v>$`q~qTR0-mSkL0em1Gt74*eSNlT+8_#=n9Kyxms|unpynXbqSFhD@LD>2hsRA1 z{6iE3q@g0mk1h#BVQg?>mfzMj;pqp7vsWY6VwX( z%@miue#Tbq{84~O`cAjU$;rq%no&DP6sv9=a0(q+T^1 zdU9o^^ft`#=GKtPb^Q~HbZ-ox73n+CeqID>19W)O$QG1;-3S=#ufFY%2Uk^xIKY+0E$(>%7rCCB52vhTG{Ym{+rWE)7nPlCP#v5aI$K7%lJ#)MPCNn%rpCg1k zatqSa1#+bmNTE$2C(v%+Y*CZ`-)6Y-b9F$;v|SdRGMAL`4$7`Qo)`jFK|Bg;?%?xh zxNdPcy-H3%U*06qjzXx-Ts{L?tnPRFQ>@X+wkin${r9;IV*;SaXrOnh6{(Ye%25qL zNTnf^<2m{iO2n_*es`A|N$WPnAnP?f&>GbZ&dlVVo+XG38JK*!4S za}D6Mv4GysYW@;Xwrl-#LoQ2K)zrj;$7=rV=oo=E z(A_QVI%TX>kDoU0s>L$%JX^RCE$e4J?&{5Y_ah8}HZoW)1nk@#ivH?6wnz&(3z|u# zC`$}_Xd~L}eHv2J+mas(gH2zr{{ELlU)}IgOpM8Zc@{GUx!P}3^u$!Glao)2zn-bWDVJ;>> zCx@YFF&Fr{()AMd;%%_IV#R0E-&UykdG74eYvy$MGljSap-EgPG?U{fo~uD_?zIn* z-t0yLLuQ@!pFj}mV%%*MOw0oEv;KV!^i+#t#pOt*I`c1f~oN2S^vf3m9rJ7||3mQAk)6%H|_d z)1{*a!vW*Ut4X{bHOUS`oL}{QfLg|E)Pp`-W0jP{W`NTDWdoGv9JBN{u$suWypZ*( z5o$Q}FG@eF#$}^(q~bISa>T+3MddTDwL-}3VD}z#h-?h3eDtWK9oczDPjh(`Ok47% zWVXTO(0UICs4>>{wqsFIn6s@ut>u&=`#2i)_Bozc>v6Fc!O&CYyw?yHaz28D*15~) ze>!Xht|nOsmi*Rva5nEBUe1pUi3`r(C3YG#JpZuNbaj;8etPOynWr!W19K2(+xp|9 zv9U6z&%Gxem*WR%M(=nIyG%%2S#EYV$0YCYWD?um z>6uZeVHWW;Hn|bVMxLhYx>vhV`}S{IX9lQCVo1_QSk1FYoQQtKwch5?X;l1B?#S4@ zXRP}PyFFW(H&Lpmw=-Et2`*#zu$o6S+A9v?tE;Q-w5x}WB%9D-yW%~x6&ph(B}_Gv z5{1kY3@|zP7z|EryHZFZSc^+#+3? z34fx(>RWEu-M1Rf&j5f*O?Y{FdRrai_35~rRtfjiveoFTI!b*v8V(qkeYiTp32VtM zFs?g8{Cn8vUWuXg_Bh^LkcHTqBd0FbWowbh>boO#UGR=_z|4-!`1$LztDHLKA z0Udx;mE7vj3F2EkERkuWd$~Y!Ppa=BE;lB>3HB7+%%iR7t82a_>XE*1^ z`yddbt6n8@W6MV?wHForvUl;ZW+I<)N2(_t?7cL-@0y(=gDag-xYfG z@8md`m-YZg7W&M$02Ne3Z+d7&jQ{V7h<@oS1U-WhsvcSI1oWf6G(j}PSIP|{GgxYS z<}FY)$R}%fNCKvEb0HA%RM%ffP*#(b3Smnu#K!}jGOh~HOYKsW!PIPQOFSD2tn5F*KwP0=(?5=84R z^J0de!w@0a@@{4I8c`t<+iDBI2RR)s>8O@y<5l))n5G!>By-#TY>VIhDSQG-D^b_m28^j8CuWBY0Y<=>l6yE=+yyln=hbj%J zDzM0Rbvt-iP~sp!3O7}%$C$$H5*rm26`yD`Rg{3C?0U0bi>3S`I{hrVPS0Sm=og+~ zgPwtk#dxlaeFqAAPkX>q+LVIS4h6>7%B_q*F;O<2)V;o4E|8;J*RUyv*rCEAazFzf zc}A;+YH_~p?%Vmy#{(wzM?qo2@DsCk*w`^W$vKTiL+so+W$NJqT-;qM|2W>?`-0-UJ=S?ybO8js;463s71ngVyn(^NnBHhg{NZ~wp3CI1lxhK5=s>ek0AP^T zfI*H~iEf1_!G1)gdYwEnn6n|R#RZ355Sf1?#ZqEM(&0YkV3N)>p{Y!2KS;~p(-ad! zWE+;jMK251A1u6uBw_9B!`CjkTg)IN_fL%#sxbmJ)ZM{v5r44bOx5eMfG^o=ue`f` zsS2Q}g;z?*Fd$Cy+}0w+ zUenVLeonnr7Wqf)dO^a86W-6zC@mWLf`o>nynt+L1ZL z_FzgfpI56H%~lv6gH;csbsH!I;P8>9kBl0Nt@A;Xh{xHO@>?Au!x4W(7EFpamNRdF ztfltLTn`@SeuKR=lKY^}KfS%~HCfug9j1q^zhmon-0@+4csJSU-!I}^R5viZ9^ zDEMqIZW8ijlAJwDf%gBkLa81aSdnyjb;8*XC-}xku~|cJBYZWHs6)TDy#Efnnz1aNG@wFno>hOH zUg_p=!v2t1YhChb&hvg$jHvf98V|9a_tpAQG<55o7=T(3O#yfe>12*kSHzNEf&wJ8 zU}Ut3Dk3FCCx3pWWwW!~t$f&0_~=*BsA($_nB7_m1>aO^wKe@wM#2e8CfkX&&)r#t zQE!xFSiZ#^AcqnX<)#i<<5@w^M*Ulj~sHJ|uW%QaL=4A`eftg!q+ocbgU z6;%XO?oai;-Y&~4h~ooPY3Wi&VN&XJA}BA}K6oI3MGSaH2Gvhn=!V@-)~lGQ;CN`; zT5Ngq7$RW;=y!8^AD&s05#Y~X)ZWbLh#4y$pk>}qhX*3tc^V6=<(rWV*xfdr9j93Z z@(wel8+z3@`+R;|q%l}ijve={Z^5{6tyIccTp~ZX#_^^zAw1muq+)`1b+fMlyDRg0IwZ;cGcoE~8- z#2y!4X|;_l4-rj_dd+;}-YkUdLP!%EounlASg+-p!Qkje|X*%vJqc6aXhXk?$6JYfq5_wu-Unc%dhN2D)mFe~A_VL}tGM4;{ z+PD9n*KBMrFw!8N6K`wO?rv@I{y-MmP}Ru>P<6wcJX^0Oao^tiBI&51c|zR*=~ z3Jrah@Gs_azM=_{*vGjVPrH8&RFJo@xBl+H_?t*DT_}fUi!^|ep|19PQv*ky(N6xt zv7c}!hr8*+Xu)eRD<$9p<0O+KG`Y_O6bu76oF%Xyjx)s^V>P@D*vgyVObHDi8V4R29qJFNa&!9%g#I0~ za&%jKT5x86XC||jsFWnhiu30E_|aLc|M7e++n0H~066Tqw;wSIg3hnfxmY6^-IN6P zOPh{^0kKpe^!zQjDfj{ABO-tg6+9rJ%noy4vCT$y+AZ_34IcY|SCMZ2!6xMu)t3h= z6Kh#)s{q^)dO&9t7vH(wbxql8)XvRG&$r8n#yp|9k8GS!q~cpp)C9Bz|-!4T96hU~3*t z=+9J-gM7zKWLuSot__CW+<&&`{f-9bOdSbv3+fy?IDivudMn=$B( zB9GZoWRy@~WKgfOC4mXBlTw0#;wQkDFJ;oRvxPw~W=vwYsqy*%^&!Ow-GpU%j)doT zQ6&RF-*n0K2glCy%D#T5pwI}g2Yr~ymPpeyFSZrN>M9rKW;LxUga|_KOZ^1EH7}ls zhGW=@Lkn6Nz?@aTAvMr>8agoQiWuAmM8q72&7sVLq!~BJ-HrM1t&YoLr|uNT`+7Z0 z1l@Qge|yk2HB}f1mCqnI3^a~qAh;r04g4$>Z;F!p6b~l;-O8n+65&2FU*Blhy{9gU z?B@+w81ggeCIthpmShU%(ch@aE0dOj+yU76M!xfKeu5D)zs0%S`(~{9HBh6_iS! zZyNn*A2`Fyop*K)oYueqZ}6GpatiJ?%)-cfmCH1D51~fqeNT4pMuZnewWM_HZh17&~@BsmWks019qJYv7bQ9Ua}< zuB@)i7UdT`z+Dbk%j^O_aJT1cOOt)>ue!SOCMJFaR22;J0)7|4*m5bvceBTGbv|!n z44|=S>pQ6Qo&y|rQN77SqR9(j#BnYi7O>?!oY%IpE*&RCMHyJxOMgQx?zU;U>QayH z6H3@yyg6A;0f^z7k}PMZy1zM}%BA16eaDX<=v05=IPZmg!>A}CsQd){;EJB$m>3)5 z*)VKEG}}sH0z^baG6^XZrkQqV7nTn)EP7dnI6CUSa^9Vei`?-N9kf37)_w>0inHpZ zQx&oq5M;D+K2JP;M$$UlyttU-5w* z%8NGwLwq-uP<6)ufgty6r9_E)H!L#`i`t{FO^}c{A=9 z<}Zg*xWn93E{rB$&>ZA(fDB4D@LtC9E2D{pn45e%?3;*EqOSVU@xRkxj+WCYq$&7@jQ zW>*+KSk+|M{S|CEdZG_VyzldsZ|R^RWIF%;DOkVymD{K*6i;8y19<92AS%}VAah60 z1M*@CZ}f#`j`8sDj)8ph@N~NJwVgOMNi(wrm4p&N+QyZca6`{C>p{p!2g>9nVTtfJDlL$`c9bb`)L)PW-J0}Nosg-hjn%qwM0x%Mb<*XW7&!)82kNX*Gog6Ia z*tsW$OY9Ysa3}n)jR!NsHrJx%*w~mL>~9+CB`(@NK0cn(vI&|~KibYq6WuidmK8gz z=+{?fMUT%sdV>syk~!@cou*X^u2%wyp5wT`pU#+Sb{7yT?!G$N?5-kI(VOUirEye* zSB5N)Hhlgtw=T*H`kHSXAjqf3d!rZWh+qnKS^9=L{Y|PxX$0gtNymzz6?n3*+yq|7 zTJ!~161ewV01(Cw`@19Hve1ILdR9#*da^`^d(Y4AxcWIO`7-Zz zH2G4?62;F72Q^o_!`X#IQ;?~ucKM7&UUBcJT#QfT)_^pI@N+tK`E5bpijP2!u?sko zcda8^LVr|!?{m3=u<9L!ECt=8Sl@;;X|k08hr?k9=w}ypcKS^-E#qRy+1MfJH89S> z9Dl3tb&?=Fp4HOc!ef#h{SJ_T192R11H)U#VIUv99t{Vk7+0oU!MhO&RF!-1Ci9Lp zKds#D*eOM)oC`fml;izfeBiHnH=qmu6yhPY^HSrEux8iz)o6*s#AA#d{lMVB25%*c zGe$58X~)>VmvJr$@s)8xu~^rQytY{Y{DkgsP=0!FXK4~ZqELkKMJ}Nm3<|ka%e~Pu zcZe5P-xe_KtDIVjPqToD#CLyb=mz#+(T58bt2xQ3Hirtqixl9#|KVXns=aKe)2jN4 zCf2E7@WUC`RU`k>4U>5b2-cb37Nvl|2oh5M7gJe(X9h3^mSoozB2WfD5pp=Osn*#! z#Os?4(rvmw8xI=#C!5=JQ#Jkwh|`}N z*ir$aKGKeiu{f%5%C}&3@)6|49j`K5BhLG90oikWbCUd(z{9~{yYgWAvV18^QQwCK z{av%PA}?B{%c1T#&rU)rU<1R5v{m*#-Hwlqkw2e@+nKL0Mw zE3v$|cj^RB*D*#kh(TOj4t1ZH()h>yt4m~eBPvX{;=NUuxt@0u6Z$J_im*lP^?Pc zgYKa9!MmS7&BS+*$3K(LLAlj*!YkPoRcFDFz#p~MA!Wt}Yp~-hTYc$SH1kC@O(`4u zp@oRnuJye~IxI4gR+-p=k567wOVxUr?+}Q|Y)vW&+uN8?dd*GPs<&&Ok!@PXm@V(I ztId$*930Hcr#59Lh`H}-vy<`q;+vm+L?C=acwXk7v9DdaTFNq-gN-3?wh-h26VUwJFv0=Ll~wH0hwBe>zb?&YvC3 zVDRqY<(G4k(r7f6uH~CF3;AhX^U5wDN~|`VBTv5AeLOPSWptLvten=Mt6OKAal0+& z!|ZoE_-d5RxDiJl!uLYeOZB^dB<#%{rYvNGVvM)xUlxLOKQA)NZd(bn35d{o7Rqd! zE4{0GH+a%~u|!KvP0i#~TyW!I?(h-$`!o2T!t)C_yN7Iz2TH21ZXYTy2u4(Krf=|< zvdBa{l@;DN!exOCUBTE)k_zq!3c}^`dmo-%g_Og|2mATKUS|afpwnT)%LsfC6~Q1S z#?0n}&(Gk!EIo|3AdGVu{>I`kRDW@x5fWo>dcJpMGUR4@ID0eiz1F_T4jl8B?AFOu zjNKM@4X+>l&hm{vPB2Z4WN?NNnqe~RqQYpW2sxy|^DNgy(|`HQ;D#qLDfH$^MCq>s z+H*#|7Ei?od|}H5II}wZ*%q(H37!#J-MkN(y_!t0Yv{r&-tEpE4j%%IP^qxl*bCt^T|`Mn_P+4!9;6}bP555xx2zP#cO0u2_0317w9yt96j z-yf(JDEG$Bnf8WtUbZn7DqS+`O1wvFCwz;}(7+lw%re@pGF*!E$ZC)}%3^hq$RqX0 z5`wPay7I*opXsYORTUnBu>s?_%9G&wUwQ|hUr^qzb|Joj4Hcjv9f67tEuGHqgx-8X zwln?ki+i!AMZeF~2kA!2GL0Vt$t1H;dhIcE0F1=k!2%?HoxLA3nT-r8F9|g*U3w#A z_y7S^`{bK2A5Anz&rCo=IcI}AMMzlbPF6e1@zrJ;w>RdjdXDf5zaqkN7~=TyQR(im z^(hRli^Jt*lJzzpQ~aqe)QSN|5#ncl?W61upLZ^aseb5s-FN7_>#w8E+5L3Yv|P-h zXUsHFkrFRGTSU3}a2m)jej#`Mm6+nyLN+XGsp{CY;(?omL;8<6Y56US#_T5{2I@)) z>FCSd#IJ?v^7CKW`F^!w`a#hVs8piOneX*QlBb9sUqq#ZI%!m{q>;)+#M}^vYx_5# z@$7S~CjPufKd|~lRxqjkZtuGZJqFyI9X%uK$b=X8FUc`k7nJ;?(=Db;M2~#S0D0kf z9ReiBoI=Yc+_uF>J^|Jtqz5z>L1Wz0qD^6lPq7>-^!n-IJ{Ql#D4$yd4Y`f9=HUqH z7c*&nc;~!Rxr^ZSr9GK(2QHv(W3dHSVb}b6l12L0&D3-tWHofc{+AI>Z+de_VZtYB zYU7VoPhVREX=c(`Nz~71OBQ;+d97(P!H4d@gq!kca&ONvP#di)i>5xcVCCy;{ud3v zhpL4gEUsOWBoq6Z4cNzZ6yt7HIYx3wxV`oOX+r-Kq-~HNUQvc^hAupT1`~%g z8V(wELv{Kn=AL4GpCTT7!EE&9u-_4nc)r?VQ~3jx#@Mp)rvdGSK#ccrnIVs`eu-Gv zxbkOK6BVJ55&QchE9e8g5$OjD`+}{1=+?JGvW7L*BQGngAAlZ?f-Ll_Ob^CmZXE*K zjG#wB!8o)#!5kLJMKXB!Yn$y#*xv}?1W8VPfJ6oD^QQ|$JkfPc49Zk5;osj{p9B8| zsU}s+e{iwFSgKVCj!!o>9X*)D7aGq0#B@ZTDlTtK0+^4BU&|uw@@f#8$akHFLpCMv zzDuXwp0iaCW(oPNyq{la1ofG(N-_^ZRNT(TiW%qqM;Gi$51&VN)w#5}rhNWX*iGc4 zZ3=N%UNK!#XJS?n5`|m&b(kajIDg=Hz2E7x;%ZkI!9%NThs!MpMLK=v`rh3D_e~Qk zwM0^@N_UfOCv8jOlIC3wDo&q*VrM#LcuV@f~ zzYi!P8?SF0XD13Qg3b;n@}sd#_ch>nMm6MU>gl|Z@N z_+o=tCsq!Jev&{c`_A%-C(2_4)GExRkC!ign5576ZGS!_+ghr3Fr{tiK82s6YR|xu zTQeObO*18(t=OCnw>~n2){Mg43wy1`uuaYj9`WGzWg1V~L@F)|`v>*sWNm-y#5IYp z&D+ZadwY9W4W4^cKgjQvn}cylR8-;K2ul4wwSwq7k_-X52V(28etk3NXIee%_^!pgUiF5MIm$qCNC=vVN zgGY@Qgw~LcVSNgL{S}^!KPR?Xs@^Y#pI-BykBmm=dG&`B`1a)>kM--UIW&BfI%H>4 zJYR`c(1~JdK1NsQe@VW5Uf8b?B$UvymfFYpE+yCdU0^tZVYrY61=VS_v7)~SQ&B;A zv|_Yq`nb!o*X9hlH&RJ+tluH?ni~Ic51Dd{r`xbfA?7GGEbqUw0N8WEfz`%YJ$+|b zHhX7YMbWzqeL75Dp(<{u(!-3j!{>{ImI?ywUKe|_?_5nuO#Azn-hJh9m6c{4ZF0qh z`W7T_Cdi}0nGcvbME6rH$d*Ju`3G^%md3m)r_JA*#!NXZ^OnQ+Yft;SF#<2=jsJXz zr<5EnQ&v8n-+ya_$U^@9SshNhjhI|&hJnw5IHzg*bZ7RHWz;(qm1g2riAV`@sZ%km z5J5$R_)!*S<|uP3QezQ|IFy3$IfTp)i@82(Nb;Q`i%B*u+sXx>zn6|1p}4?RmS|S@ z<-d;q(y43eUCUIgS)F;b+N}gsjcSoT4l7#|D~!8Y#7}YLp(9WBTOZ5pwgAhB5^`Ty z+QKEp+WLJ;{JPCeyV4|VnOrI?4(VG2=8u#YFrviy81G>}eXt@r6stP-uhxfCMt`3v zgj40cP1~?`cH>oC5_yX_qbu$QU!OAgr2Q;T*FC7D<=T9Cyl3W{c%A5p#|m`?s~<6y zHlWd1aKA(d6U^5>A>Ja){sLL$VNOP%#MvUVye6X#K^7#reTK*-s2HR54(2g7ygbU2 zHf};B(j$?#3&AyC{2CpXt#WU_9o0d4SR-Fp2d9tNe8-q2ZZta85t+o@y+PA>iTK{* z4L}fw@C#~NZx@oby%`N;7t4uzMki;7o4lt&ZrDPn&Ag{}1AWI1o75db;V>VNNO=<; z!ZOt_^Tu_3?wmH0Vrr6`ShS^CLgRybdUQ1DvLtBuZOpVwtz z{7}<^AfH40l>Q5=!zZ3&%JVHq(D${Jwbp0N|RQEnW-X4`rZ8saI*QwuX4722p(DYz4z8%mt;z zoFev4ce+O*foWL7ZNMT4;~ze9nB^%IWseD9f(?BHu4gt6EY&T{uMA@RFUb06zL15k zTCE5RCeFB?uLeFrb!D<#H&RB!q{!#cOnAw1V`jU@?sO?7HXI0?`|mmmUZ0?A`CSPR z6A1&?Ph`I-|HsDVce+_i&(TI4J{mBr`qLjR*%b}Hp4a-GV38M*#+t6yJJ-^Bk@bW_ zlz0*y(LWts*uK=cP<9jNZL~+@!xnX7g$XUCcbEHJMG3@EIcmh1cnZSAq=V z9dA6T+nA)rmO5)8I*OS)$qK(r)zz+dHts{s_$MkeJZX6^uHoHe2F#F z;AA0m+XIiQoz5%v?Fnhu2@nTdZt)wgwhDG5d-c>v02o8F=ogKQ#IM$NQ8)T{|LX3TeU5RZAEvwrS@-tWRZK!;*5?yXPHG>>mHZn4o(RgT64^?BNpFGgwSg z?gnCg%B|ja@3E0>pC_O_(Jlzq1h7NoI`LkIO~45#hqAW$%f$G29lVgWUkmD_zX?UH z6PS&wh|lfkCi0cKk(A@vtP=AwidpSS1S#V041bj&h-(Y}uG=rLi^pnMb%V$FSh7Mi z&Kt=hxv@t+_ZTktba$HZjlIxT2EM@I#j7I_&BOTe99G)5?FNG$P90yA_zDB~5gG4_ z03X&v#B~622G{qPGMusUAO^Rrm+C>1-eo#^Aip9|gOdGGyvuT-uDtZh2o?rRCW?Zz zptIlG`=fB555trdtd>~Vxn!IqZ2hztf^qS3H|Q^3L4@SLnAKV@?kK-@Bzq96U|*t- zp;3vNLLa^bQFs}EWOz+Owd}^gm6XBlHmAK{0Wn8UO=_(jC`9^-jzlv5o}-C zM!-;m(bs=U$8ZyuX}g8=N_#+x2Q^$IDH8u8emBfBy94XR#651Fl+{PVHQ`hVd^^Kw zfP74{&c!QXpTNTMpnn_$gIOv%Irm?T+fHI*VshRxOlcTxLR(5XjunOMk16>AWoj_N zQ~SzZsI>is=F>q|#9{QPj$7>S1I3RK{ScnL_}L$;s)2>60TtE0ZJ7X}|7&>H2A@Z< z!rF=STUAHzyB`T~ym=q!DLk+BBX}-lNEO}K>KMr)Xb^>ahoo?%-3USj;t_uyzzYXl zetws1)xdrpSJwLDoFyVUdi6&on2PanPC>RixD*9X+q!#5s?`eQrngXx0POQ(24fI- z_*#n7dGBW~Er0oRtoOre$Pj(7U1lBnfi5P-c07kEg*dHI4~_KJOU8~*gI4btW2r@b zS0DX&5im;j%ulH3WXxV9ns6mUkL3!xss1%4Xy9PPVK*@@W?Fc zEeiyGT^A3RsQF6%)Ku}IuuritaWgu9JlgB>^;y~#fDn;9-Z@JC1A8XB>hU^Tg6N#oY6e5b&K-bV5lqnTS?s$VxV zFC8UTG5UEOHl$wyU3$~OdZz{Cjx>gv-AU&s80Ca3ejYfVXWkA~W7v?n-%AhRRoAg- z`LNg<_IlHg_DbG1BDKce?|l!I2KSoDXI|{x@+{V~0v;(aR|xJk+O&=UndTO}%^d8} z5PYNAuerOLAAzJ72~#=S-@9^z61b708FU&Z^5Y{QPJ1(vKva|N49O!5%Gx3g#ll*X zg-{9L2u{Y(syQ>{%P4b4Gc6eRAeS|O!IUWpbDOy;>KI17RDjq;%b${JGu(rH$+C|w zKxRM;csjXn;_-({0E|_oMb!GXwo;8x{N_jCCdhn2Nvp%mH4032G?WY)BSSqCLs;AnkHM^cCowWedJU&GvN#-A5^ae;vPiWuF? z8-yoh<@rueB!fp#(l4ryc;W$ZAq{+lz$a`W^>5pePtgmpWdVTVyyF!)edv&sk_Ksz7NonoTR>7k>23t11e8XkLAsIL=XmdL z_kDN&0WTN6^UTbr=C*CWVZrzOF#N&~7`ar`!mqXveDRU!zE|pBvg6Y~#2mDaCg<_` zw(~l#Xz*&$P2oz=p)tQ1!-uXm4&f0oRUEQKcIb58q;RgyQAcm86b8O7fJh{K0gNA! z2pCQa9I85RGD!RoCDzNQZbvW?$iZ~-jbzP@91U`eT`_fwCvlxIGwyY_2c94WvEXRm z%^!2x89ZPpaO-e{0ds6>1;Hcn$$Py{aM^DTw~QTswq=Mrg#FrqV*6p5_*t5pUuCc* ze}*kJ#Em>9gPW(5_#V4Qjw{Q+&gc{CXZvt}r()L)zNJk(%E()JUjhWEd+dym_@Mt= z#4E!!Ge&>hgBg5Bf46W9_h@R7dDf2b9>$1iOoUf`=|J+Gil+}R*{cKJ5B95XKil6? z(FcGMoVq#eJoHpr#9%1ReSDV2k;>A8aRax6pY_>lg8n_5@5OV&COakRy~Wi#*M4Gc zfpwH-d67?BsJPTcz}z|Q>1RT9bT`NcP?Q9$i9bJLo*YlkO=r_LxA<1i>Y3ji9^XW7 zu{Tze++Z2NUaLs}r&%Ab_UDFZDEAW#WiuS~q7`8vD5<8i2@mZWBaO+xIrY=ZNb$6~ zGO?NeU5z>^pkPvdZ~*LC2|4Y~;kWlvJ)*SJoxqazkwbb~CI}(?;qXUAnnp5V2 zn5XOTcFP?(qIYK+B}Z_3Pq-cs2#J`gV-5#oiG^haP6v0&bUv?EZ?Sk_JqowRyFprx z(Uv;U2fy9=TwGmKEV5SOd-N;2_=mvSxGSXU&6&+1wiLy?o`0tE``uxrmeD zd8&HTLV_oEhp~0Ti2EJ7&~Qj9Qe*Wvpon|>3wRmv2dJn!x>qP(d^cZZ-naejh%)E+ zWF%0SdsgnM7>SH#6>56v(UjGdgU~U0WWbcf!0FP+K+l53M*T8bjQib%9V+1urpT0w zM3R?(K^bC1HNOlO`b3jmkGUJO4DSoz-Wv0CH=e21t^J~5Vc;zGyo9AHiZmID{fFRF zR@eLd1!&XN=nno++zu7-qRSfTxkpvZTZ#!mULMO9e4?+Sh-y&=^2D8~+e)%4mvwY3 zlpT<)T)ks6B5q46EP6b*z#eVk zs|G2=VRlyOOWeF+ID)1GLX+>E*NR2{UJEp|bp(|?aL?ouy|JJS9CIek7Bb1ToI+47 zy;^TS^Wgp>XJ*^xH>Y{pBdWeQZf`S{C)I+nl!B6S-v`LsI4$pl=qxVaVmTnFJ;&Pl z;_&#lI70(qT-gRN<}p@im(Y0Zs`ja-rXRP(Ynvbo`F+*n;ba@r%H=H9*Ft)!Z0|jb=O<_-xT;C%=R_H|u#^ z!|FsA)dT;jOo=a{(qx#q#_Qas1#p8RQ8Yztf^-Oxc;eWS{#VnDjz;7lt>fiqB*Lxk zYAmfl$q`gBLb>x_e&LR=bxaQK&4KhjaLmQFi@NX4y0qX>@Q-}{vF7vWpEoZxPaQ&X zSOdsej40>uPtkW(imGUSI2U_KFGLe^zSiaqHl~bpRFwWPjj_|oL^A=XE=7#FCIqQ_ zCen}jMegl+XVkq1m|aVOSW6ExD398&+I6$Pv6NHomlUqZI+5Wr<>N)E4rTL?!@e^VJFNo)FBw zK^$4nY?yKHqgxL?hfBa z-1Wwz@%*C?rQKU_6lBLQBL+!SDdFW+fzI$zgyK~zmNk+bu|)oJ<1RSX2mIS2if0b4 z9m_|fnWY)@KD|G?#7Qx{2qbCEr_xF|$kHT-EZS8g84v*vit&Lh9RcBX0fvvq5) zHJW!;KU-*6xA?3uld7MVYDV8LA3m0U?0W{qam#230D~ik2$QbRs`uhd5rP&A=U7>? z!BhgF;Mtdwchu$!0%|68 z594n{pY^VO1{oxl0&(k7EXj^${PCv-J0i7@bqiIpJTps=MBP=|$;x;eKB$Na=jPyw z-F}N0IAy~^%c8nRAnOQPe4IM5fEz&T%=62m>#j}zbXbwL(2vL|&IJG8Cy>z6R^`H0 zn#-M0>sGJe3025)Mm{%2YnPA}1MJzub!bh`G@v)amZU%n32^lB2^Si>k}!duwO$LN zP8j7hhRTUbtJ0K18Evjgq0+UQb_KX7Of1O@ZfgGJX+%hp%Wv0Wz5}`__{XT4h$ArS zt9)>OH6KU*l+8r-9dHtuL0TC1q;N+rfr+QzscOgYYheu?!UdrgnM9WeC)#6>sGKhK zjJPBQr`yzy2JZ}0whM+^>qO}X5fZ@91BfY67^&H72#M*}>Z1UBi{VtQ)Hq{P$hIfr zlU1da_F@JjUAk^KwRki+IVPp_U&6DPS{LH#0zepVB#-QhxUFo~bpkLZfAAdMgVC?x z$l4f59W?+Zu~XrFj8-U(O(IV#H{f9-RBz6}LX@D@t%9ISM&RkTCl5LAL9GQFc<_Mu zrR2*W#nIYu@Z_}bY=QHP@VOxn2OA-(8%y>4^yj!ZpD~&eK5UbExWDYQ{+i6H33jZR z`q?JF-o?fx_bkhec1=d+=>0?NXN$iwjkES7z6v3Q6evU-q5E@-f1j>0Py|FfGlXZ$ zLC)XslQH~{YNH3rzvG|p?1@I?x|d1tr6CAd$oX0W3y4^=98$i1v)YHgr9_Vo*C)oZ z2VcWUbnwR3w;YbX6v<0Gk4>vwz#Q+hqb*Urz?)xicVJ{c0}Hc&uf#SEO+JOZbj?jw z!Fm+2h}o?$0BN9v0i>)2;r=}L9uUr;J>`-BGy*>qBED_KO7mv$HuI|-LLIE03730 zW8NCvdM?K7{ipu+%!nHgLHm)58e+M<4gV?8ZXBv1H%8K{qxfjxvHvV z%-tXnxIDE20meQ(5s>EsgPd8_Bj1j^Nrf3dOS+T`1PO>CYW;aPH?mzZw>XzpudF7- z8j2y@67N|dx;PAY97vHQT=x~7&`?)lz4@$(&^yLGE*_Ue0!93}olmmIOGya{D`gl5 zCMLTvWKX`-=b`t!d)9qbCF9fyrVH_Bi-(J%ONv*jdWMfE*?}NTuxsGIR z{9($ER;#hCxdtsvO;I#eq(hPzo~&k0^*SvD6{# z3QDGN866NEE4cav!C(1$0z5I>v4mba5~(o#y{_u>O2E$KewNV@>nMY*XO+6`816%h z`%p&e=|}K+EH8zgZL5vBHHO4ZzsEGjvwPB)v!cS6V6b-C{s{y$4Oj*=J#P$cHF!e^ z3j_K3_|e9xIBjH3K$iGMJCm6U!!sI>4BquW$C!%li%Bbg(J)tW`%!C#8HKvk*~5mP z5xnC%$!6N>-PMfmPn@!AAD%Ab=#Qj+B|)G(XQx<^^cd-Z20GLW`~k9D^On+ zppX#rGLD63&+X!<&*%zA4@O4DquUQp8el8PNau*3;~_ty--a#kDwCFM{1d2%%uIv( zE!&j9@9+nnEi0&WOfx@fTb(j=ABZN_@i@0hBbsOEcwO<4Vv9&pJk7KDb$Y=Nvx6tQ zjc3|7O-s05nf2Ts(_qh*9+lY_QMA1KrukU*mq2;4==F9XIxZ^#!!IR#WT(L#=Q~5g z2w{G{AOJ9tu7r(&xREy4?XtrntXemJUw-gf1@kaZ0==wnqnG zL@xI}r=!nQCO&hM6B6Fzx=lPo9Du)-kH}}g^yIfc4L$xiIL6A>m#RMoqLdVA+1mlZ z`6{BvVhgK0uTtEU>&||J)%Ns{{PkEn)1q{*p;EFP91XeLngnO{B=qoOI(uh?OgsbO zE1IlvE)(+gz2(kjI$8izKQo|{xJAMs|Bd(C>yHdK8A4lvw|c#eR?5CW1y|xGucqH_S$CeCZ(5!_qa^_B9^Bb!3x6s zg@y;`7<+sbOrehr;!#8VjAs~aYNT&8r-A-asN_vt)_uGgFA3raI22E%4j&(xKQy0a za=m58=*-+2!?jx|>43Oe{Y&ahBUs$^{+LT7t)TeV7v$al#Omeu>hlG3eRif!l^nLZmbTcdGD+xNT3$?rQS>|3wW zZFW7V-fw9ibStN`I#}6+jIM2xo_b_cy-d)a8AOPAN@;1xN-D0%>@P z<_~@4bG`7d=ihI*<(fP%Jb9iiP$N@fb#5qArbO@E=AAHwQp8k>QLQoe)1HGK0Vk*H zRe}p)ANt#pD|G+3O6lZVxRcJgT1&z~?p_08f*@(ozs2Ks6MB{~PKRb^9+U=lfxjaQ zQdUbn`0y5*Asm{6esuUq&b|CG$UJ@H%oVle${DR~0(l$c0qi`3q3_~BXBA%N z5kh()@tgenEBdVLH8_D4!%g-`45XGJ@#k;^$9@kt%Tqu%DFHt7`qg*w6U!BGmEx=U zTSRl-v$#a}yC4_nvkxAl|1N|ge2=niVP%+{+t}ZkKYgpcC;LOVuHG+ZI!qAnA$wOvU}(&TpPB?p9!}BzEq}u%hS+WJ zE)`}zwY?T8AA74tpv-oq5d%kDgU7^z<%#yiI3$nf67O!U_cA5;PUL}fGta3u7H z918p!#rw7Ru@{+qL+1i-eg;33Ak7vWeb7fFLyP=5ytxrRI2o^ln<%L<-pEqj6&iz* z{YxYFnIpBx#jM%)I%|zEb_t>^GhQZXw;B6*{i47at`jwQ%Rc(9M}uF#s)yWV-_wu8 z4+jNevbp%23^C)dmQt7?+r1>pD>Z0sa9mnLHs<(7yhV-lei2O9U04We^eoYprO@|E zE%g$*HU_8Oyt2x&u54Raqj$VnKd)T5sKngnvHTwut&b*!{oK|Hs)^i8O1yid z_hRy{aPhdshH)o0)MDqh=VX_p((>><;n~UWr8?)+@q(&=`!S@D?rsjY@08W(9Xt4G z5qD_S2`{p63HXytVYjXh{OO>h9a?~rBr<`HRs~`L7^ZPe5FgsF@k556d`npPT z)aqqU;$9Q;u<$c2Q|~v$bJZUnFlvZf6ES=_d^CfoGQeaZMm72#GxwHX$JLWRb2|C_ zKU#oY7)JlbFb;EPbAC&AK*AzW-u7~;3%OM*6xzZ?=_UK18HDjV({*3kYsivy%Pk@> zwnhGUDA0d9D1d8z!(E*ypFGKQekWdESPf4Q%M$5h1@FN-I=H~+@tR6GLO<#$(6ICy z>{w9?y2yeR7+p3;cw|&aH5AOB_k0U5Q%?Pk505}4bl=#-<*Ik^g0K3S>)F&MJ5dp{JX59b_ zK|2Wb$thjQK$Op65nmeI41qurDmmyUG35<5tliKtcoImOOSJ>T(rC&Ympk}?p`J~> zul-H@w(gYj_lAuUqM;aj(r`sJHG%-khFccEy3mgMzqkNOn zfriK*QJ}qegYz|wtNLuj$+8v=Jlwj^b;)5H98CaNA%&L*n({CTQdrb_Sl9jiio=Hk zzX^v6&KmXH6J%}e0qy)4)fT|A4NBBvtVuRxw!fr8dc@5w=RZw2@74gd#=wK?!`&t3 znwV05(RMZ}#X1fBUQ+iLl0T(*GeNJYHK3%k!toA}uZm^B&v(iWG*H@JS?%5^Wrjzx zG;E4luDkUnL>!_`s}PDp4!;8CI9FjZ?2rd2x?I!RnkQ@!i4Byt3csJKqI8W zW=3^@3{XBue>UxpUg8?sj&F@)DPA@Y;C!~k{Qz3O60lEyAXMD_QGkbwdH1*UhZ1)= z^<>NOi3(T{ZV4Q)rI{r5SoQfNHs^@{a4@N4Z}(+x^JNI60CSX}H!`ih^7k%yc;5hM zh?x?Ry5j4_q@A2BHXc!dioKah&&Rip(J?^TcVzoV>S@!2wUjEFoNdgUBt^{&q%h8L zbE<$+LZaCAGOVSkBkx^-Ql&S`1tNUzKSWdL5)Kxc)J^mRf33>xT4@NXNmj-fTV(C3 zrEpvuAJyJ-i{WWG9W59UZOE1|oQ=ei zOZgpHu*HhSj8eW#M}{LoYQm>h|D*G6-w~;X(BW@SoQ1-5-@zc@+A_r9dm6x443-4o z%TXhxr+<4ejOYlv8>daBl~QGyoN~?Qs(Yl>;&*#Iumh4|^MOtDnEqiwYJIKDu)Re? z`5=_Sfjjk8j+`K&D2N?x5QH#*$W@i_ZlGFGxUw9cp~dd#k2UPaZ$rCcFE@WxM-wQi zb{r0J0-_w6H&R_(Rn}z#aWN%+8$yr^ER~tnC@>;#*;;%R5?+n?%oup!+XaIaf9)nq zKc9sbQ$_s6H z6ec8VYir8@uv`bSWbb&#X?{NLJyMInkSx9S=y|T)GL8T? zMgRw+K><2g>{eUOXk}dJ?CQJXEz{_{mRops3)=L?zyoQ@uB^^|^z$>B>P*d=H^p`7 zC296?>~jSp^pPYZMIG8H?-fa!f zf5l-;Qz~Hx1bho2`2g1nvH{2u)NHWREi|8sa6M-e59SFcqv0`y(zb*jVF^?77w1`1 z^f$cXdZoPC64s0}G57gc80`*ZHM}_88W)p&Zu;@fVYwdn3z>0{ITt-&XT7%mrSDni zr9ZC|TezPeu!$JB$g94^_a$5;YkB%BuyuU5s#WE6S(Q&B)up-DRKtscsEmAIiW{u3Xxg*L7%OFR*S&@ply8v{E0Cb!av}r?2!p>fO7mF$ zNSr&*Rf{$cL~&1`|Ku;D88!ufxifKp^y7m1@iv-?dEDtxd~j$p0(~?GbK>$-a|VH8 zV)N`-4RZpE7!V+o&=(DbMv+2fVT_9wd*V^P_MejzVzYKTg;{ryM*D_M2xa2-8fuR4 zdNLpz@7;#m@p?&(G2WKAJB}DqNV*x|sgY`A8jG-=^$1pPy$H=$Np32#-Ccm;lt29* z?#_T+OFaMq*}PGyHE-tTk=9$aAp!_vL+19OdJP!be?`^T%a(Db?RRS~n;6o7-qi*; z6O~UDqc1<3N`nCUu#u8 z^bgD4U8<0xJY>vA0?>N?OzrMD@UIE?DgRTKVB83x#hV2V0+$)A6E6Kq0344kH-H`F z3D0{t^S}C+X%`lSFQ7|v#egun=Bt81cbkaN`hw45)IrE~B$tE!9eK;lW2w6;Him_qh6nHL>+xF>6RM%7l`nr>{4F{L3jD?TD1d$fKFtF?zk4;((P9l zJaee+!D90kJ36#v(CCrl9^Rw(~`ghKK0K89y(jS@qlf``dILJ^eTb5MTzN1{nJ1gD_ylG{x~ z2gSnzd^8YQe{{Tp`hfqG?qG~R1`i#hmp5sfrALKGVm7I3% zFZ^Vu(CBlvMrh*P^Fa4ox=6eBwK8*hsr&xC#B+t0TStnjZ-L*Ixd|hJ8Yro6PV5l&1qI#z>k3v-{B-Cco1M`|jLpSMJEU3a zb;51{#+60GCGI8I#TC7yz^+l7xCZvib7E2(sm9W;>jH2pO^r_c9)~}bd&6*<@e)xc zKp?mj$c%M8sKKv0xDfeJAqyLxy}^zmihtY_^X%vL>>8~?f;GMH0H4HrD^V>1{t0sB z!zAs3Amzztx9zB`{do_!mNSgK%e)}hhgF@jS~B@)s||F;@1<%C_;p`97mk#}$C7qV zqofyLWaatXQ451A$8~wjoZ)9xmKsd@@jztE^2m+*ze5nf2mE;vfH|QM1dFi6C6U27 z`-5;>f`hta?0)5FxEsY;BYIIrFA?zTlLnD(#W2(h1J0yf#fe1iSn>G{=rYXl!B^Jc z&*~;8vzVzYtf6fw#>3j;^5siL?5I341wh0ow#5(z%amx}BDwlQLi-oQ774ofY( zz@4+zl z@q2suPOtquUyVHw?`5O68aZ&hXt7&YsUCF{mTdoc+G;%%>XXb)b_b^8K5JnQob{PN zJKM!3C-jImC7aMz{lzu#Ka&E=)B?=$EV8q&l{#_w`46&P&$#UiXKQ@SXI`_-i0ruy zR?R@gCYD4_isl>aZB5Hv%#9E58Ds<=Rw=w6(URJMFw%=fklN*ZaEA9JwRFWNc#G$9 z=>(h%$bJ<7rkp06UIRKgiYOx1f=d0GwOqTyMa_ug?f|pS)C;rAUGb#PkMT0Wmi$nP z!a!Gvh5^50rdVUW9!V1vh{3 z4YHQTl|S

+ Description • + How To Use • + Download • + Credits • + License + Find us on +

J)m63AEt0L?Fw!lqdZBq2eZsk^q6}vQC}ls> zv*G!vw}S;Mg({ax$)%`Z?$!5DF>zL>y0dzvlEN8?LzG=aChDEA50)8eB3{+@M21}w z7bTAyUVAtpm%oy|iQi{e{j%76Env7Z*%=w6-bg(RIFDPiYptSN~75=R|zcf!s$~u5!`hSF!9)aMutqYXA!QT{e0qEy0&dN6JsY2lZYX z9>{xKH}d5K{1LLm)Y!`14{Si1^&a~~kHsm6*q$`MKN`EBp z%07mrnq9jrgXXNKrxl5#j#_E=CwC~K5~zmWi$x+gRBl9m62->VCBx3u0id!8P=3mQ z!z?o10YLs~o$Xk#8ZLMH*EqY)*UgX(mF>|l56bkaI^7yyeySb5l8ln+B zvJRj{U=+3kR{YkKb@tjFekzSwARRJ7leoZNn7!%vElX*@vLcaSv-iM0o)j=AO4 zUh%J&PBm+VwRE}T>rc!YOkiF?saM1&(LBE6F-$(z9Twwg4cZ2ncMDqZZm+Ac6OSH zR{EeU55lHj{R-med;=!R2C)?~=Pg|9?KlN22hsz_S`p#4>#WrZyCy z52TlNAj_)EhuKLx;Z!n$t7qG46E}U4KHTk)ACIqCMM^QoEV^!@rN5Q3o~7_ zmB|WAD^-g;Ae_2GN6(ZXD7SR{f;XtslU8z4_lqkEpo{gKZvpQUNAc{ufLq_V;SUd5 z5>u;SiFcXRGplb(y!F&Mm!%2;qY0S&7)r6doeHFcfw?uL8L!<2`^n9R_;f6_asAH| zJ)Yc8f0_z9%0OvR^WVLdlUp7@SASi#N9n1)b_{GcgKutr3Co#o`bupSb=AB z>Y8-CTxARcf2mRLa0N(E9?L9&=ed^#;Nb^)vUS#g(lwkVI`bmW-5zipAih-K`>VQ- z0De0eiW&{DT-k?dT;}&JEiGRRQ6wpE6=Z}0C*+eNSV%4^V8wQXPu5t+NHf0M5Lf>( z8HUfG0c>x3_u$0@dbqo_|3i2r-ODA`GslxMMEm#?cN|=Xa}Lmvyw@RfLw%d~GS}US z)X~UcI<5qA|KHW|Bv>7{{lC?5;GJ;Bz}=^(FM$vc+_1pJIGxRn0!$4hmpRHr$}Ue7 z)BE9am$g&lDhaF=cEU4&9wqf1nqfNaYb^68l*=0sEHQ3)Q$hQv6i@XbF#W5?178J3 z?j}!!nXZjG4jLDEeOi&{D!3m+ifUd#9}eeiTWE5tT1rO(<)Z%pH)D+^tB%Rk(-d)P8OiqE=+q9X{MZyo^Xq;hYv8K=K&R1V4jA zO>WCifalAKeB^i)^TK}aB>9Zs9U+MnK5C!b z0Ir_3Tt!Z>td#G`IOZv*P7b2!t!`*a&YxXBCLPZA7r!|a9qoW6q%L0=$=5;) zmnp%5yW8m4IG&MDGdM@>$nk+07YdYn=_odOH2YwbEqM3o4%ie383zI-71EW;gJ^Kq@V z?|(ROOAiot@q}6pCW%%-;>`z>Qd6;Bp$b_@Zv`k2LOm=8=HSYkJwwhA%g>K2Bl7+v z`jSY}Fb$dj4qX&qdU7#RV1qn_iH@NNE}OuzVjfIOORU;gIB|Y>-vj4~C1{hFZ#WFrJgU+sg;1XZC$>{ROia4B4|f9UWX@8i&|QGPW8`Wdat*OItc1|1Q- z_bkKZJ8wgX69EsRX**}fFjoK5zqP6sS*!ZzLx+pEeGIJvnp6)c0n5FwMz@p+;2fd2 zz@?c37(uuLCP;WJ0KtiC7>{tKQg%7i7w9zkVlD>1$NZ^g3F+lx^o47DOhsi}u ziGLT~8W^X!K3c5{l^x*T-}m>Kuc3d-6KU*R0v4aYLqzAFy4OyI7ASm=F99tR!{VCp zCgFdKi-{UOqYl)50ART|`QaCt< zHnro2Sr~82IB!-mk0vVokD3P7gT;ThQr8ug%XzymPBNu6TVb=^tTPDVKgyDYygN(i zLi;F&qSU#R+j37oNUEX*>Bfn)fc*;g6S0y=itq5U(!Wf>S~>iteRaJMn@suuqO~9Y zsg&Y1@3SMX$E)CW$Os;BElXx(1NJiBuygMHpiY3^T%15?h4=eTh9CX7X+VO5gRN44 zz*K26Flbz)09M8?Y9%y0j};AVPnSshaA(=jfiVy#dcxkYdaH!-#aZ-AtwINs7X181 zSK96`%xq$ItclU$Xg72dI4Iz0+QnXC{n>+R3~rj)h%}Tqfiul-&K*s-dkVp zKPJz*w>u?>slj6$%`Z4d&c>M&EPpNVZ2<)qQITQ zJaGT{0ZzVrH%lXl_YF9E_0rR%bi1W8@ye0LQ;-!*RpwvK82@eU_(5yeE(~zARf5|$ z_Qk88s7sdvPOJBJ#!KXp{un?e?inunX7Qco2i!0V;2#k7X9Sv=_Gsb5<`pW^Ub{$Y zZ_4C(;XV&dT*>wr1&>u^{Nf5Mh}ww@Q{*8}Ffhk__CD?&U>`}K;YbA95{$UB3=*Oo z>wQE+uSDV$&DcG$H1eBZGARHuwdU|f@TFmd?cc+k9CE~)ssj*D-oJe@+pBF*uQPI= zpZR;(Gu8?KSrN0oMpUi5Kvm3RdPfIsULb{`ZHc1zq3TMn?dMT_X$C+I;RY3PoS>je zijhJ?`^icwB-Vn1-;I<)T~UGP1~lw6zHG7uv~`(>rqgm^Tdx(%ZKCL1<{%@uFr-@ayroEhbhiM| zBn+E;c$=buD|&<%II6k@Ku*^^)C1XBQ{+XgbFzEKNO|bD?y~RmX?%{)0Hw1l`Jyk{ zr@9T&wi_^hMlcoCum*YEk+l2R^OOg-1)RpYHn2L8kpsM^{+y!)vGf2T$qAKteEwRK>3o)ZQ|U%JV{uP32N1*v$0yWi6)|3k5)ZVM-l|9R*8tI=2iddk{-|Pmf@7lC z;>qUUL|fe*U^$D#iZdeKMOiRIr&y6h2SU}Sw#7itZy-e{>NF}(30SqVL>PQ~eZb&V z14u^jn@>Fd{*9h0vJT1|1pS=4EHy<0NsKh zCa&9qY4Q1-8yTnttxdoMXz*6pKOYq5>6`9;iCSE%bP!XIgaQ>wrm*i6XwF>wV0c3m z_nqiRNPU@*7ohA>qzR&Ac4fdAWx15R?{RhZmf_rdce1M7+~T2bI6AxKngUB!$(O$Y zRNS6z0i#RPJWa*z(>98j(C-$~UUy39sog<->R zU&B$Z)u){BJmLV3|bp>hAPBDD`I7 zy6{=s=S=OujGIly{UZndmu?2y06B61nA-y2obXBS;EvED=>B6BZf_=l8Ex_1-j(!HI0aIRU4rzNlDx`eFUfrW5fU2}@ zDFp+Gzy{Q871MhS>q&~#&+ZE98o51b15{G9`MY!f>br9M;w&pE(}#CM#m{QiXB zFtEGeg(&Krt91N-unL3cOquL)(A>`heJt>xqvJ@t3Gjtn-V*n|#sS;4*KbaE9^w;R zNUA!rhGHPZEU&{`a>?U!(5E&H zgLS8-)S?v72j=rX$4cjpbtzyUl6kVrDSo9@`4ODv)UYkhg>k8WdP#8i+VOP{+Q*I@ zW=yT0b$dv_?xE=ei~R7v8t38p!xR&o*OwWpsNijaj!Qxhu;jiq_3rqaNNWgnp)96D ze&$Fb6<%Y=YX+v5b+UOjmDvmamySW$>h)fGfDtgSfTYuc9$P~vLra__FyC~_7xaIH zK>sn7NU7SB{cmVEDgj38L9(ebpgiRQ7yG|*j^rY|4JtR!+nK(S-uunqL`N(RHr-gO zFB)jb@|z$V8UV(%lwmps!;FCI=BLBqE}tJ|qQ}2AKXj(irF_%m zmH(ah0)Jv3Q1&CJPtDP~kd8#eU-V)QA8vdh*G(!|AAbpq1#Ve#Tfs63%>72S{-?1Y zI`?h#qJ?f?>AyKn7x%;|3U7FBWhuoX%~~4X-fRBq&eR{j;jrg_cHa2DJI(RImm>F7 zSF<3g7Q-NTIT9`c93zQLVUGe}*wuoMnHRCV#6>Dd(}<13s~*UbDXcJ>;qTvosJJ52!!ep8Wnq;O@jhjHwW;XA9GyUy*$o3(6O0+W&myjdPU_NXZ6_5esalif2DNV?&*xrLA zusin-6`2NhYMoq}s$TMi`j5b4q%h9|Z5HoA>N~d9F+6u_Yfl!{tkm=K$`0ML`(#{Z zXnufL(%JXM>oIjQxHW1#nJg@WjS?F}#Y~h*-N{V*NepO96Jik^i!2>Bz{=zFH(+oD zk!`|0Rfba zew3w$UKt7B~WtwW8gDTr}oPWpgyKGrq#Zm1sw zTp0^TGQAmcgjp2DzAHuM8pI$=v5@<&0(jIRWT6XctvLYw`4zO}ya_H=*$3c|QU7cM zg1lb`7DCesDUW}wyBr`K+l9+ zwSpfY#off!pd3r9r98gD!&MJb$q31&VXGd|#-tA4S9hFD^@owKd-0GVN#SBA+*lM( z*6Ws>4g<>maFPqmgt5Y;B>AJDIwi@h4=0FDUVk?DS<1M~||2 z8F`#Z)3bYW#M>L9Zf@pK+}2P@1KsM%y~MOx)!%U+;9Or{N+YfE5+FjkrQs-MG{R3n zvqPOf!Ex$AbdVn#ul`koPSo_iT-frGcGzrNc%GyY3DMN z*isV7K>gP$%MdVr{5oeZQ=^T(vw}EjU|{}|nxl5F?3G_?o*KTuj~@UT=gdI~Wl0mq zE+bV7D`#|Ox8b-`7jK1mr49{wgqH*CxuX=~g5xQRD8GZadDZO7RA)Ed|3pr^C)|eP zCcx(uh4+OvJ=WPUmf(XB(kHkwxM;LI6p`rRVViN1IO=L#WvszZZ%ky=)pft2z0~`D zXPLVEq2gKBwJaxPPEbx9Z3!i6+=jTb`3gVJoQbZsuA8ohxZAMDFuSJP&iux_)8)K3 z-0=G5wEC`AL~Y^Ndjum7{Z9~+&Z^KIuogI!%MJ5rKg>)`AKQ^l2Ukft5GNOhBjO#F zWD&G(SHm9d@$SH8v)++ixKdy+S9QiwZtFC6B~jNnHI2lB(8zUk(3}b$hI@p!FtY&c z!wrqSp--*zHdP;>pnhSZlr(L-Xw&UT-x=TjUL1u+HGZ7j^)E*>jnzn1J;6G3)9CbvDZTa%4k> z1-F14$NBQ4MF9TD*;Cp))9w>_hJ2g(^`cvak5M!Dd>b@nb~Ntgf#dJv=@@+pn~EQ# zYbP37*!-rVv?qp@Z&wl;=G_|6NPgy$cy|W{_4*0U1I~s3ev*B8s>iSA7cvmb{V~3) zG*KJh9&)t4QGI~C8a(4!KxQAY7fpS*k$ttw5ak)I!*LLC*(LXZ%wx8ywjO#h79w`^ z`RJ>vU$JGXY>$Qbx-Z~L>92H?l)<>o@O!{*yBR*60y3LIuV}Q7hXZcjVH;dMq+^o_ z_1{n*CU8fCgGB3cN>VM4D82AC-NO-Im+z&ZsEejLt^zc~~-d;2|INodvV8T@6=&3D1J6bF8{l*n$Ly~3$ zlw_;{7_%Ld2Kj$O1@O{oO7GV2L`7! z-PoBr@>3{#*Q8q7Xhnp!swu%T5U2 zLS@KMtAkDVirMX}WTD@ROw4b%wrM0TF>ok<2B5sXbG!RKw{J1`gL>#)o6~ei%@Cg= z92nwiid^p2s<%esBBiYb9vzo+QP%By(ZK$w9q~#S{_vrYqfBp$zE$-J)G8cw0jkY{ zg_|k6#~Br4JQt#;vo%$GHM`XV>(5X#*9B$c%j!yGD&}&@Ei01UytiJqqS5jB?Nb={$7jg>JFhlQd z;#KAj&@q;xpHOs{w-0u1)wY==6e#uiXJfzm6LJ(GE|zhPYW=wM9oolnccYdCBSdGD zN9zh%R5A%$-EWO?9qN4O%^tS@pZ8mb*|)wkKHc;43XkhSF<37iv3OgcuBJUgun!Wa zTzdP(c!iaBv|5Pg~q^*n?PNmTFSaYq*?ZFtT*Tq7s zj=_ON-(Mow`tfA-JBN}U6bbNI75mrk@mBCxdYg3+&OcS`&t=Vd?)w>)G}^!`5^^dP zAuljn&~^`8Fpxi%*5dF_aD?-JGZlHxPs>nxx8kw|bqsi4p0u8ZQal0=rm;DGKnsoY z9n(Z{V(QAbV7vVy`IXCyfo)KV;SOkG?5%$~+xBKL_jP37*~Qw)1wak?sbi&EXyOR` zmmZoZ03tW!D|QbJ`dONNxh>kbF(7J0&1`rTJ>Af)BYs{Xt8}n3WQVv@W5nHa_pv}u zm4u#!BsbpAE;Fl^YIEE_z~gSX?S+Mzu#htK@+`VjG~(w-_vbLbXMrzbaSn!@{UM*< zow%Dfk5RvfJl1>THGi0;yK27n3>7U{LSpd;j%zNx7X9L(`cua*iy~ndD~})uLm|F9 zLc#K{Kj9I$dw-hBFz+&)ZBFg!xx4TlTTH+R=q}lWg@VRuh|OE}>IXz|ym<=;HL9xl zU-gIz-=lcGb6nrh#^$$-pRIs+^L4)mnCfJj-OSnTG2(G{-9>yPG$`61+HCg6BM?*6 z8yB+FUL)K(YeutpbTO@M1T%|>3{>xcUYY-ve|LgUDUm~tx_l>VFQj$kEyKw?V;73+ z#n97{*%eDaWb0#KUsm@Ethp7*7V+Q1X6W$j=PMPeOB?IQpzvH0D|#szVQQ*rP?+QW zFUGP}?6h2!PF#kOH(>G+t(Pn&=Pw89y9@@wLz!-TT&%lBj-3g8HLsVW@?sVL7RQ zdi#nDL~UeR!jGNzKS$>|aZRM`GB!6fXdWCjTBlYmmt`qidqt}ydrlUwGioOa!&AO` zSNKFu0p&qGVq!aVBX%PLqGI5)S66cV=OpJyk0#VQx2mvx4tL~erTb27;g?-_h@o>^ zT@?eX@!}`zXz{A@QIk=M5DI_KnT_VE4r=3<$#pmSu zTjrW|syNQ8VRhkiZQ{Bw)bxT{Xg|_X60(9C7W786-J$AUVcx)FBOb^0i(Jw6Lz{*2 zv4V3Qq1YnMdBWq1i4L){#^rD$QP0RQ%T_l^{{$JF!_$u2>tA2bdH|hx z6n!|l&!FVXU_;`mPoB<`9D_U~eW;^SF3sHl+9W++M0dpOdXv3b#?3l^hbZ@^?h3r`pGrMZJ?HWi=Yfr_yd1z0Z#7N`%U~37A7-okx1glz%E#Mvi1cddtKRzZi*UgQvpQ`@3HKN(~tn7Qs- zg~HSyZT)*rgd-Zw6Zh^L08}&_3xNxkBs*LY>}lflC1WWA)fh!9?^54LF*M{}bLo>6 z>v8NHasMb988AMnmiyH(sg~`5^;E zdGglPR;PqR}%tWZ|;FHq8=`E zy`ScJss~wsjEIiy7@syDfi|=DCr_)D7s7|vSc+gIgW5~4@JqUdiMo3!91iYmFvWE@ z2rmoT47%wU*ygK@+g`#C>9y>$Qq}~ejFwGH(!O8~7@uyA^{pQbdCBhLGF1nB`LkHE z*{O0Ez3xauNWH9IsG2>mt-Sp7br)Wj$B*Rpqx>cqef2PcL1!^U{K}NZ%dCaysGF2+ zKfYl;Gpq(L)W;s{)#73{Y9NYruG zZJTPDWb3e)?GZYc5(*|D$ZCjAM1J(DB^$RCmAqL>@A~Qf%_7p3UMAlSykW4 z2ge@nBXmsT4;>lXlr`=8j|-qKPd1JYHFBS_nrrzq+ z&k(WS=xV*yFR0=qp)&mR7xDLE4GVL!k5t`7(a7Cs`OVBOb0@d&KuXrDUw zMK_HPnA{vFeTQ)cM9Ls2t~ccwG7H^FBGt9uNyg$DbO-NPiB{7yMZ!}&@4iktw~FO+ z_ZhNCPcqM3t{qbu;Dy8M#jab8!6b5AVo+FGJWFj}z>cD?eky6)Qaoee5L>kf+MvJh zxWiZDeCiWA1JqrPpK{cD?VCn+7SRK9<0-S|AqGl147GFhzH%oW~gatdEOV%EKlGCr(x`neQM3#CQ zu6TzozB{Ilcq}hIR8Z4%q;S8Ot+Kmu4w6$5 z#|zW2l&2AKIh-_F*(&iFm8yRZXZZ%wf9|M{T{*uizjz>>MA5=!8y30G6&Ato52#na zM(+KF#$2SN$WnBz(xR$R`2P70MI8dySxtyev@F4FBWIP$s=~@2ROK;cnJgzYgzgk| zD;`vxjva0`x>XTyZU2SB43~OmJ+xI^poVq&`3~6%eNe@hVXi7;zvJ-@L!3y2--zhx z^ZCe|GH1UMbF)rH;dx&i=g0cKh+XW5)5q$C4Nsm|M11_FClHz!Q59&B>*t^p);*}# z6}cFyA~~^ymmWr~*7Vr9w0F9&Dh1yeB?e72wv=;zmK)JR33WxY>^Gxwog6_irY6O$)l5#IYef{G=6u$b2jVpu&4!iaoJBn-bss${!3o z_E*8q^qmoct9P+QJ~_1_phxd1u5u79t(t0bgAvp}pZ7C+Ydv|bmM5m|*yseKQ&e-$ zAW1h`=|*!)p%~r~nA)HTvNJPI=MC*ppcvnJhJl&A7gY>nDq(KuB`#K!(5cyf-|Vj4 zP&Y|!{{5df^-e+*^xXuiY681&?_~NzPJGZj@IA#qzQH>At*Pqh@H}NV)GZxMXN|a; zI3sH_o;K_RA^zCOd{f181eCW)j3mp%`y)TLI!u_$&P4d zbgo!t|1o?Dvrar-?b#Iy1_mCS}G6QC{j0W zU4;O{13OxwR53qq1G6x?tQKVm#kUdvlNth&iWUA#iz>KR9MO@zU->qeg);xnLiY{y zNt%Sm1D35B@GfNr^-48m%p!Fl{LHll8h6aI z-|BL&iz<0XU&j`N7@eSpAcu)#*iqPoxHx19vYzMKE7+mzA#&!qZl57=h6;M3nGi4k z1}(#QSbj2Mwo>0E%Nq0T!urjsXMN=$_kakIF!@OA^11vKEL!lzmDo-~BisMYD}Buz zLKh|bK6Bar7h6!pc(WVMDcq4iW&wU(G$2V>9Sn#0v;>?FnDCu=^eEzpgVW(2iJ>+9 zBQ{xH*IhUEVj+%o4cDOCdkL<1DzE3|d##^kioxgTv9WekPD3Hh*N;h_pN5%pMyMWM z`TK4_+d`YCI9%u^9QZbw8pKMyLZA#XeT&N(F|4f9qJshD{;NqxHO zsyvQToVmZSy+A`H6B&Yj6P4$5EpTwNI9Ow&DeKd(q%xVY>vx#Gpd?TFR%YZP$ElCu zV!W_w5fA$-&ABZZ{#z|B|HK!60rGHkbS&oFlM#Db`rTn?g7STWytzFqXfc-gqg4>E zuP2yrRA8ttPkY2PnOhb~_W;B4(8eJ-ZY$|` z4ibk-N!*LTR-lr#ZYe8v>o4wS2mVBvrd8Mhxn z+-i>(ST$9ZAAjWV{fsc{b~3L0X**~+g_clQ_FuGmx9x_rl-tnG?ACr}jv2GqNP21# zcS@HhJA(sKVZpAV$%V!Mb$@72cpiz*Zk2qG1@I}ynQ*M1vWhz1Ib)9;QZ0+l%}!Ox z?&gLa(d=_>Q>52lxDgz0>H38r+VKb;n^)N31Gq9+@tgMx#0@F*IL>|KM4HK%{&o)T z&)>HEq=GS8r!x^;BysazAz4TFhH7mx6o2A*Tt0CPIk66#28CL?FuDv(Mz#(er4|uj030U(9c;6#&z> zPSmkV3aS>7FH#h7(1s-V??pJBsHToke;HJ{G^mb@@EYmkRtZlqgz|D##8`MC@q&>o z3Zl#vdAw22^B-WRFjv3TLkdx%>9c6?S);Ks2miJzuo3f`@o8In?HS|#I z(y75}A=52Om`%j-WiwbP{Nl5>G^y;@Y==Z(bYZXbI*HlCN?k$6PRNoMRI*%Vk659a zPZB|}_31l}M^IpSRR>#tg*-*6(%+BY$S_7LTK}qgI7?iEfK};zXa=}-1i|PyuUEjE z6UZn%o*;AvpTyL;5*(?u4lu|0CN>{5J`YaAGBQWf5Z0 z)lbsTP_7Tjz4-4Z7&Z7OFo3y!Tf0nCN82g3N+bDQX_y;DS6xYSIhgG6%c=f`3feXm z<>MSZaV!X_#2}5Crh~()j=lPsaFj$}?LqiTsykYK^0kuiz=hQ4xGtyxCLf6W--$idkOMYQ z-g?k~1fa^YgR_*$J9q*5_=XlwRjUxR#+}3}+N}cod}$ePIx)6@f2%T}-k1z9C*sCv zyH$6G#zb^E0V$a>5`k>q%#ARp&)>8z7_+V_<-I<`%5v#vii(oaKJqB!(*0ei*>oe? z0=~_uvW&l}=^DS%SYyzC5~i3dDo^fZAp`~p!yuWU15H&?UN+EZb$@%=Y*$VEp;5MV zb7>YbqD_#|6hk`wvE&_{VK%kGWzeIQkV5ycD-yVp4 zVsAfN-gf#`uv!Y5Rm0MXS|6)P<<4(9+7Vq*;C|F%UKQsYy$A`~sr%jB@`Wb+9pPRs zG5i@*qms*t{Ax2@p|XenmX*`EHWtaC&hSz?rc!kGEHe-!QIM<_8E|4k$R?`x$3t3% z!4=p5gaVijKuJ=>5n-x%$nmhP^%!y*F0p}59M$2esWr-9b-MlF2Xftuo^*TC&@or1 z3qr+6*DMRw8{luX^RacJgmcu5bwq(>RaDgUU0iQts?v@(dvb@s+A>+}_^WC@Sa~wA z0SsTiB<-@5nc=r7X}ZvIjrvgwrN?`2<2T@36mQV%3L6`;*m4_dU)2ur&6JwrI;VY2 zmqq9_#oY;Xx<}m@F#iR>XeVOj44k2$^sn#mhG86f3AP-julG+6>Lt24gkQrN+Q%my z47;taz_4FewN+or|5_I`l3fi%B<1PH7w01ZS zKu?8L{!ZZCl^;LoF}bpdDJYyptl=AqtSkA6v0Zk6VOH*7d|P62%}fR^C#xt8i{(DNXSbxtz-%iagFfZG-#SBG(_w@uRMt_|ohU*|Aqe7l1IN|uwga%$W!Tb~ZbvUa5tzmSE? z@$9hO+KvA1acM7*=#^t|W;|OR%R3BAm$*-3A?OI+>_J}39gFQO*Frqlx z#(8%r#u|z|u~yQoOjB?RHkpdZ@)d5a6i?RXxt@^!S$jx5_-%#H6o(Sa`1x1-s!m6 z$+HaB7ttJSiT=e}JG`ICxdTj~oHHNrLapcB^(MBTKQp}bnW|!W-(Ie*RP`4^{|q4X zC(8v1^?H7zM<4mi6Zj0gVx{;m#%NRvuiM?Mn>W|xF^%B4=l5tCNK4g6cVr{2G&(2q zko)~4yxr*m3M{U-!Z&9tBz=p`g0zgR1w`2M6~Grn&TNPowd#uwE;_06W$1%dMYcMv z{cv{!tc$A+@oZ}005qJ0Dx5dpP#!!dT!Vr2(5xGqizGyfjO0nb!agpx6-|zC5EJma zFwT1^+OiH#Fexrv?|YCq7kyp+?50#eeMUnlkxuf;8z#U{Es=AAidQ+_9-&nkgDOTb z_2-b5eikf7egUs+4F@$hoX|V(_}jLWw*ai+7^c2%1!b-Suse((J#J;m>M06oRXZ-p zZ*WuMR0F@;BTdsE^sa-6G94si#>ml-Yao4h8O6=vO%|8A9KZ6;#k6M;83e(Zb{aaA zj9XdV&s^f_mo3RG0oqNUl7B-OiVWfM{rA=G;{z5bvKLdld-n##BSFW6jzdfUw&~~I zb}1`&((n+aqCsXHjf+9h0a8Fl{pG80ZzOtSU!KfO%beXrmb=!gL>m@->G_z#J`Wr- zJbCqf+tM*5OAoFBX?ONrA+ZJ5rJY-Ua=~Pqjb@6sn_LUMRHo8}g_<6@0O2r2ti+n| z!nq2K+!j#Qa-3mgTvi)*CwH9=fvI}xZ1?u4P@L`6X()prFf=7W(Bhk$dDrpb?ll6e z8%foe(-uz4Zjyd1Xi+YZS1iVp*;B01F$+6@7ZqUs;})zcmOC+&y#?pJ$}D(2{DfrEZ7mt}<9JTaTztO6r7`y_|hvK(hhwe1SSMPPN>3-x!3_fc&4GX0rn1mfwk;aUB~~_VYQ!>9r1Uj>GSO6jqMu`>89|=Uwf=E`t zvf$LAbt+P6l?tPiBMXzC|+2v%fNI7{sIcu)^)}0MTIMrg)G(L#HM>KfuOvq$THwb8S1n z6y=lFiPaO?$*3L_bJEqR0Ql-{m(YL@n226n(v$lFi|RhxcxAq=d(s0wvw+-f3zCI0 z*jgQ4UxE$9k{s%L+p&VShr5)FQewA5o)#2c-y|c?)zH8nLh8|H*;WP z?)}OKaB4V70hnEVyiy58skQfWa0xs6lrnR38gT2;3@p{FB`pO?&RIPHQNfn>NxG1m zU2sXiaQ-GT6Lj>D`{W^4m$o2@*+1o1I|<%Wf%Jih7W9MrEciH)(Ha*MrL&nivN&hR zpe@L|R4AP3bn{%O9A;GWdmNdU&+Z&oub-WL$M*jONJ$mEW=aR-qi_V?R72|;n`4jL zJa_rE!Haf!|Z9-=cgcWUfCYZ2{3WJErV! zVJ`u(ph0Os_n2i@Ey#0xQdv@$JO~KNN12?x@q}?F*~g6+xy!N{QD3_ezs3 zb|xfEIKqx&QXV$U9O8CNs0wZ6O<&DN($XUF1M}<`B{VR0v*>}D>J=65J!+C**0@uvEX*ne!O-wNVA`DzlodN`zp0|Vk$t1Xzohk>ss_n z)7XqZ;-@Awd#(G+Uw*BAcCvQB3;>#|F}VL}i!0#M@$&uY$%TS@K56AZc5+T{&lMZf z9j2|LPv_XU6dcuY=FC!7t6@UKRJ@zV8NR!ZTSv7I?t2hR*#45jj_qF460a5*U}&U&v-gxbp__< z?&xsO& zf`BYL3DSKwLX5>#E+=ZQA>7;cRVSn^t2lKw&!hT98{G4*lJ3BSVHgO zQ%~U?Bb^q9sud6ImK;ess}OR8{7B}@mJ6XJA-$9aU#{m*xjcVcLigaqkyBH*dxGgy zwsta{>Vx6GQAlm>tC(78+H5h6%CS#H`;>=a9LFWs)5`Z1?m8Ctaep*nQ7L|hwKFj+ z@Uq3?peN7|>6I0ut(s^mSa8V4E8Y2E_;r4Jn*CwezSSuheDf{A=ToWcohrZ;&4Ve0 z)C9T`whDjjY7wYuF{`e;SW3zPHcc;anNYN^$?))k>r^{=!A3J+M+i*|tSXvDh+nA(P zsrP53ifGuR(0B><9gT`PeDyxU0LI=hJGKH!_m9m~)C+9rmft;D&eYLEB2 zNvAp;X zal;PQ?CjpAS9}cr1R6}ZR(;^;Ex2uZYUue3<-1p{7{cXx85p#uUJ6J^)l9xSO&$L9 zHpdzGD(-kk?aYB_Nyn5Sn4(-feWpqNK~~yy=TOeuwqfH{^^VO3oLA)H%+JPU#7sh%#ICY9;4LF3lWF2#*X_%{zGmkynv{*4@esmJ` zr_@fizWWK_V?T-=KGGHEzAZg;4cdVG1oayq8OC5`iFxddRW{Hn?|?JvhdnQc0ldtSQ zCdSYnW-j$Nd^L#1X5wFQl??`pp_{RxDwc~{wu~FfPz|GI%U#6CO0T2=x$C}s5A|&u_Pp(-aff|tDU{6?q zjU2`JjRMC!w%YZ$6bv+qdRW#Ryr6IEF)I-`AK%}@a#^31L)5ynp>C+j@GNU{Ka>73d#A$8~nAAY@FtPu`PiAK5KKz<&+;>2|sa!R{Q#1X9oTsS4kF ziVBOYKleI5m=afn@9@vJ3lp0Netipjf}FxD-GtAgn{?QLDVw{=Xi>0KvmuG+PgCWw zPLAS5WJ*4kWZ;%lir-GdIIk9R{HZ*#{Do5cjud=A-XARXG2J&=>RUqOuc0oiy~iIM z|3vmXDEm(uX{@`!%6{nl^!}S56xpPZ8AIDc?{^fO)$qt4>X@l?ilI>!+>0cgvdis{ z{sq~kT@c+dU;|lFX?@_*-`|Y>k({paqqO$;H!3mf7Cx6? z>v`>t8h$SB+WsiQMi8f&D6LXxFjX^T$Hl%#P)(#bo?#REjqO0i29E{z#At#1D`l5B z0$m&1JzO}G#^XDqWx~_rj{0cX7WtBee1dJ~ZopBh&VTpuB_yeC7sjpM&?BdaL{_oz zS*d8G3j*b=&IjyMwMIkJ?y(W*;~%j02*r8wgX1{IV%7!Y_lMfoWUvsil^8QNB;QZ+ zY~O0JUW3jADRmSOL_#ZJyMl>Y67|?~-2Li-_#^uEZw!w@D`M9U!1M}E>%FuBg6W`j z65HY$dDKv0pe?r?-7DACJ>4eZk!IiW)M7HtOHH`zu0-sXxhXfdB&jefaZ7pm&KBzl z?CeBfoO(vRCxDd!x~zWBN|pS3ym^yUnoZIe+E~&K^!ul{_H2AWssyW`GDuWJzn&0= zk!^LRAk;Hf>Eo3mu`eox4~mUlZRzCKv$XVACX!NOW+xyO5Mf<5Xn9-p!~r?g=Jx$p z@S783Pba;+)O&pNYX2Sk!X|4)yWVs8#do9*F-vw)J0-_Fczi8yl%=74x5DD@osp!k zN>E$p3E!eg{-#$ZBk48e_pljWj2`C7I$yIwYYmw^Vp1mJ-h5yY>JpeV;2Qbr%QmFS z;DDH{5z<4g^~nkvw*h;pz0|KEzn`?wrg}_^Wn=etI+*6aji#5LS{d{jb&>??Fbb{E zr(TD&8+I~?&kv}?A`SfU4S04<4TiVdkT-^tR#f3g$1+#hXttG82t zB|Kjw$vuKo5H&4i)I7m z4JTHHw_?w|^og=@4@+u1b8NogO;dv8SQPdl<)|FTd^cLBKHAX#(UVleZ=(u`%{-I7 zE2=~7G;o>fVPPtV(Viz5)jDAcs-Zrqvqi!W`5pG5j2^F778yymhtGvq%6uuJ&F2Y& zFDjY6*(5-Jp3xHMQTT2n)zF;DIbl_)Uzjg~oTFP2^?P;iq1!}=Sz<yjvgGN%T%`h8UJEy})J>0Xy$HWtXGd9NdT0aIFc z3%}q6h%x!!4m|H_5#CVC2xs`2UOK5DXx+bdG88?)xdWuN5JfI~jYqXT`VX<4beUCN z#W%$mQC46?(NrWbLs}MTf~*^e4HxfhA!D#th24~2EgV(EJY|hVd`)2lB~{y;Ni|OD zd+0DLNVUB$s3SI=-mB?@F@buzvE z>vA&P+x!zhBC6HaXyafHY+KFWVu@mau8sPqw7n@epqMt>^CCB&*)r93GLQ>DJ1SFq zst=#v{6UW|9r3f4P3FzF$-&Q_Wk~+s9Y+TDC)Ws7aj_my9GH2}9psK*aJ4pnXQJ2r z(XHUBsW7h9>#m+~YLl$8V5)xXCurG~D}1ID{%3mtqNCi*UyR?0oEgvAMu$assqav5 z53#@5g-@Uw1iAM>ahL#E<&=_?*~*EW>@tIMSkGTES}jV{1T`IYMn-IV!*zmi{+yrn zQYdfg!)XNtykeMN_3i*VeuT?sogm$n50H-@brc3(mPc_l(?Yl!Cj6 zeW8We0Eq5DnVS_x?oaKW0G9sIr8e-yLz^#B%UW5ahhs|=3wKtmfI#AFh$Nm%a>S9W zC0WN|RCD3a97RvS1y0Z`#!(osL?{@1ME>{?PaInE>NXlHI7Eb;e;WW}e7?;2E|FJZ z_P#cAm65A&aeX3`-f;4Wi(@`ne>%d?AMmM4a+S>`-5_VA`uP!AS(dCm+y07_$sU2E z&_)Ayp9)$7hc>AJsm=nv@fl@$25`!VxY}o}huC3sp+R`jK~!&n`?#l=E)1BS#KRwb z8LtcnQ<-jQI)LXlnZc%oX~k(qa_7wWq>ndQrD%pFB;4=5saeJuxCU)Zn|hIVSnRpm zl9@!clWySR*>8QeNcP%MyWWbNw8Sk$Nc><+fp;vN)Kxs*NMxkLbXafv+1srQ)FDbl z$f4Knq)Qj-X=|E0lcR703&>=r@YyzLHzJ0TRwfxJunKYzP_ZV1D`Umcfx=+Y> zQPt2-w*rTb>BsL~B7WhujrC$YvS*9d?vhPdYDW3N_$~7b#ST)zdqn8Y3iwMG`}YH8 zj#>KrXT%x!Od2X^MR`q?g})3HC{2lCPay3>I$#t7uSw3L`;T) zc&VEVmt0ptf#X~3!=!-sdo||cYsmI2;A}Ydi(0<)uL~8>k8xIXLKJWr7Pw&BSkEW< zwD=~Qee#b{7SU6%P+S;9!Wl!`cI;dI0x4w2nmMX>vGp;Q36~NcpnnN{i8ktSK7L3d zwrb%^WksLJWh^2_dE!lH7Zqdi1=?_{;+e(`JLv;*UARnrakp;`2kTMu;hI@rO1$cZ zDqlrvIdHx>8vDvP8k_kckn4r)`K<)tRj;E+r>b1iXRX;j%5Npzpd4XEPQk`bpS3rt zh@cNgDTBgIV{G@WMunNDm;|z|2Nu{FO-xJ&ohThmUcExfME_rFq6Uh|e&YKEb`m z)djk{Iw9NM5Ja#p6j<=BkO{2}w;Z=6v=n$B_bm!cO1GKq5eYXuI1|bJO2BQj)FWOq ztUM0P)%pFLH&(<6$GT3!Rq6U%D~~mA!iGC%7ot0=f{ogy#6A}Lb$H_b_LAc4>FFMfW}LwS4M{?7FK+t~I$ z(YxI8cBd>p4L@u4)J*-T9q$K4zHc1zs)FC7))3x;4givG?k}X9Wc7?4OOqG=(xcGy z%xToQKR$i1)H?a3etAN%siXE0hKvc@^E+bc393ZOxs7^Sb?fu9UdJPv!nfQZ_d45r zk(9v9<0N?)kL?Lj_kJQEt5HEKLK^Vsk%>9hGAuiX2aZ26N?*X$px1q-%|s>E4Jmw0 zcJm^IuYPdhf;ny}Jp}P%hv?4cT4^Z{$AOP-H`wa3amiZuI)nzw$!bONom996)uh=# z^rcSiBK*dgg~w%bc*d%JuwWvFfAlyU^U0$?Jb}S{@?zk%MCe(_eROPbnEFxT2ibq` zz9UXwes|-XnpcjzYI8nqjB>DVrG)*B^ycg8yiN^>_zO=3PML~#-ihP_ZN4~F&`8kc zi->|>^L}8gq$UWPL4iD{Fzj5<&S8r!V)Kgfhv%?kwOm_7Tbi67JJ^+xzxUPn?##Z6 z239v>u#ouC{WX~!ds^95zZPqZTH?1;AzTV7!e+7Xeh z*UfZ|te&|1OYvAHYn_Y~F|2>Mc+h4M{AhmT)90Z~1$7hy{kz@=YCf@DEhs3%^$Cki zNogPKCGc+bLyMAJuK{Wo;&|Vj$WlXmZG}$w^vLdX@p?OGhG=$2UCNuRHJeOqst`RZ z&g*VEo6!J?1#l<=AE!c;Q2cKhj7ctQxm&g)l$8;Dr5F zs_j{UAC#O0M8p_3+G*qewl21F`nuxh&loR^ESdZK%K>P$IvO^{N&;P2PcIYMh9wLx zE@F@opv|K{mE0H0MvG}3$2bqoIK>{uV_#!BUk>k)S3dJS6Tf0lA~utphp00&h=;%Z zQo;PB1G6d_k-AZmB8lif& zsMR5!f*>YwNf4l0=1RZ)0WUNo#eHw@*y+$K_a8inp0-=17RVwwNTSE~by%Yzcb+w_ zfn97sU`jNkV0PplO*6SlUm0YyaEtv0}_BSZ)!bIkTsN+{^qpRo&;7;8OuDq z*$VE1lTioV-Nrtf^_snmc42bQ;h0JsWS6OepbXSQb4Q9Efj*?p%+;18nT#4WTpO`U z5&cMZAm1T)55a`cok2ev_eNW$-X+}oL8=Jq%kWi8d)q@@20lt9ItOiN}@qkpm8l-srLoTYgfzX;VQ`BQ8qI06x_4c?H9iS`^utP%=7CAhkd){U+YUL zk$X|C@oUAC$Kn%_kc!iHHFUO3wWEoN%rxM3yAZhJ{j*cVHr8!B6zILDt@K^c&k?U@ z(do~*oNUE)0|k{jDxtGZISTQ!yE$1-yLTDvbP}kptMXx9U?z9yC`+!2`0cCtvv3X zFqYyC#mTK(T!xV34*}+#|?uyYg^=e?U-x!7;Zk?6W?u#{s&RbY|a2 zs>Di&&D!S-J&moc8l7`-9t>VPTD()!qpkOSjCx0Etqq^N#cH)hk)gHFl3~?wT(hQ` zo|m7$aX;TEx)k%py}%hXTLE|k4`n>^#Ivf6>f z+?1ou4^&+U&yfmKEIZ6JW)A2=Lziva4)fuA9~9q&!6D<+nRa#M0dXkyf}u((Qwl~oj)O6zv&UaGmLn+uc8R-R;G zH*zN=UsqFyh{OOOstUSdwE))LvA0Wt)A-&aU8?rc(gcL#@_>mWxw5~{ej#vN06QNX@9g}H z)Kjd-k(UGssV|}kZ(^>7bouem&-U6hFnFk>h>q~fQCJ8wPP(in0Qcx%I5se`K1 z&WU&};~m?HGnW8@v_avVYq!^$HAL@4xWycOOkBL<7oj55n<1>n2 z3K@HRZ1?{!_&`JlbR(jjL@9?wq)`5FS1Ug85{VyJ<%>6ofM3#|vubPQC|pEP1_cY- z21Iblm>8vz5x4dSgvHU$%Vt`usx@&35zrt^beqA_b@j_6{m8By8aQO3^23}CA{DYn zy#LGV$sDSBAdR0KlL>BTL>If*9spW zQ14Cbfuhfbh~5N3RJ=%-TQZm?^14>&^%p?^BfulUG`yfr?Dl`k4W3g-p{*2Z zi4()L8M`-uJ(Yhe%4N5rGU_iuvPBYgs-;Hs^dWtE%5 z!V~{To6#SJdR5%jY~md@bPGv|8>BbqircK-OYC3jMSIVE@_Nx9C;erZi4Wf`;$-v~ ziO8ZmxU-X>9OtEOt>7x;3Vm7B$YDL6Cx`n5%rXN=OW(Oc#Wt4Q<*jAp1s>JA&3xdPOoeRk zF=9UbJusxRNFE_vq;O<4nF}8w#n^6!=T|e3DP4{2@X^Q>iJN7I-*onS^_BR-re2|p z{DqLvz10%(cPpcv5m`uCP~Ec{UY<*c)zbAguR?@HP&O|*nXs-iqQ+y-y=Iktw<~w# z`O<8j_|hauc!59p_efYvd;NA|FN$!8b=>X-k)dB=+M`+sdO!c7o(r95T3}VJ*6XW8 zWPz)BJEBLZ)fojLp0c-NJ9flHh6KZM+i!3&-Hkp7ND-T5O6(h{*O7tr*e7<|xlg1SE(C^G-T z$&^5T2SidT^LQg1{Gz_JJoI~FgCa{cC*-o?keHTlkV^CWao+E8?Xv@nTT6124dG2c zr39R>xx8j#SmSRs#GM^|N<}SeX7FANGLnre^UueM2Fk?AUPy$Yx0I}3X3!FP{RI$I z<8i1>tBFpG`x0CzZ76$``yP5p59fU*GX)sS(MHrY3unhv~(;jh~R$jbxQO$&g z;kMg7XuM(jx!q&2-;)qI@W=uz5}Wt{aF``Ae~)^FE$o zISK)Tt3LK`b(<6s7I9##zBefnl#4*!pN0U?fDDz2gJx4dFc6uE-F0y?SE~uxKR9D= z=n!5R7YYzL4EgL$u1bXgRoyoO3(kK?$3pwV=C5BG{zo&;0&!s1JIB0R1Z_h=Mq_~a z%`_JT_ExLGHg6pvx4XOz7283V$?6Faq5X8$t{=qgr>GJ=<3|0DgVV-zOP{DO{;};C zMh|CYC5xaWox}Q&Y5RYJ|S%Ler}HlV*P8$`M&G@jm;`{$Q_>Yj%j)# zo+U>Ct&P*Vjf(HN_$_a{$kqM&z5mVH$TO-*!0U!t&alFBpqsmyh<=w2`^@UB{?Nh* z_yFCLRBNe{2dk9m|3G^C&Y+{`%Uzo)gLz|Zu5uq*3U>UDN8AP|PS)!YHmOF_h!a~7 zPj;XrTeJijjLMuuo@yCVZHfsPmnypykCV?D-QO~)vn*bCfDU$ zM=OsXOR|XW*%_*pgC_Z>=u^ibUfbO5UI4eG@hPFEt^Ee@_vj{nf;=11A$XS>=pu-8N*ayIdaVHtJ#}(NlO28*`Eo;Qp)S8 zBZ-5Y8eJK=2evT-daJlqMOc392KrZ4N40=X1#l(2QX`!WL&&L?bLxAa)&C{GztT7= zX!h`2SFatZ)!@zsx@uD|9WqJSWBw2F@iU?keol>;2(pZw{SLlGe1Y0L^WByf(f$E? z5slpcST}!$8~P7WhC{jTkZBebrDfGX>qt%S4M-;Nv$%o<= zszHu|jpJD5?qJimvJMdQpFucx+aITouUdP_+smI6qL_!#ugDh zN}&D!wbIJZ=~NM2OaCmL(JE0eo`y7Ps(3hK2h=w5YoGVQc9Ny|U|vqAtCg!0C$0W9 z0z)4AdXeNv_SWR7kuBoE1}-HG1G6qnWAer+f!zL;&369C<|K!+^zFa4BoPtmkV3iF zQ(BqSO)6r3wSmd*%x=@4aJB2a#rzbE@&+iQ{R|4!LT0YNwbSoAM{oxJ9xPNZKtbPp z%w?(0Bx7=65BoY!Rwt0`vVXq&VZlqwuh-SpTg}?pYV-GSg-yDasXuWD0a)kuw zYiJcYPers6>b%SE`M +# Parameter : start_diamond.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : zas 08.2019 -- Initial release +# V0.2 : ama 02.2023 -- Adapted for Diamond +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; +$| = 1; # forces flush on prints + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{DIAMOND_HOME}\\bin\\nt64\\pnmain.exe"; +$diamondFileSpec = $ARGV[0]; + +#------------------------------------------------------------------------------- +# Launch Diamond +# + +if ($verbose == 1) { + print "$separator\n\n"; + print "Launching Diamond from $designerExe\n"; + print $indent, "* Project file spec: $diamondFileSpec\n\n"; + print "$separator\n\n"; +} + +system("$designerExe $diamondFileSpec"); diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/start_libero.pl b/zz-solutions/03-DigitalToAnalogConverter/Scripts/start_libero.pl new file mode 100644 index 0000000..3e11301 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/start_libero.pl @@ -0,0 +1,37 @@ +#!/usr/bin/perl +# filename: start_libero.pl +# created by: Corthay Francois & Zahno Silvan +# +#------------------------------------------------------------------------------- +# +# Description: +# Starts Libero in the correct timezone for the Synplify License +# Help Parameter : +# Parameter : start_libero.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : zas 08.2019 -- Initial release +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{LIBERO_HOME}\\Designer\\bin\\libero.exe"; +$liberoFileSpec = $ARGV[0]; + +#------------------------------------------------------------------------------- +# Launch Libero +# + +if ($verbose == 1) { + print "\n"; + print "launching $designerExe\n"; + print $indent, "project file spec: $liberoFileSpec\n"; + print "$separator\n"; +} + +system("$designerExe $liberoFileSpec"); \ No newline at end of file diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/trimLibs.pl b/zz-solutions/03-DigitalToAnalogConverter/Scripts/trimLibs.pl new file mode 100644 index 0000000..efbff23 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/trimLibs.pl @@ -0,0 +1,163 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# trimLibs +# Comment regular libraries in an concatenated file +# Help Parameter : +# Parameter : trimlibs.pl +# ------------------------------------------------------------------------------ +# Authors: +# cof: [François Corthay](francois.corthay@hevs.ch) +# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +# zas: [Silvan Zahno](silvan.zahno@hevs.ch) +# gal: [Laurent Gauch] +# ------------------------------------------------------------------------------ +# Changelog: +# 2019.08.23 : cof +# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines +# * Seen problems in ELN_Kart +# 2019.06.11 : zas +# * Comment "For All .... work."" instead all "For All" lines +# * Allow Outputfilename as Env var or as Script parameter +# 2015-08-25 : guo +# * added unisim to the list of excluded libraries +# 2015-05-08 : guo +# * added verbosity debug +# * changed this header +# * minor comment modifications +# 2013-08-13 : zas guo +# Handle error if environment variable not found, character'pos('$') -> ') +# was found as env var, added exception +# 2013-06-13 : cof zas guo +# Remove comments from testline +# 2013-01-09 : cof -- +# * Bugfix: no carriage return on commented "use" statements +# * Bugfix: more precise targeting of "library" statement +# * Bugfix: "Library" test after "use" test +# 2012-04-27 : zas +# * Bugfix: on feature added in version 2011-06-10 +# 2012-02-02 : zas +# * Write the output into a new file with the name defined in the +# * $DESIGN_NAME variable +# 2012-01-23 : zas +# * Replaces $env_var_name by the value of the found environmemnt variable. +# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file +# * placed in the Simulation Directory +# 2011-06-10 : zas +# Replaces +# library xxx;use xxx.yyy.all; +# with +# --library xxx; +# use work.yyy.all; +# 2005...2011 : cof +# Improvements +# 2005-01-29 : gal +# initlial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; +$hdlInFileSpec = $ARGV[0]; +if (defined $ARGV[1]) { + $hdlOutFileSpec = $ARGV[1]; +} +else { + $hdlOutFileSpec = 'trimmed.vhd'; +} + +$verbose = 1; +$debug = 0; + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $hdlOutFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + + # remove all comment for the test + my $testline = $line; + $testline =~ s/--.*//; + + # Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim or ecp5u + if ($testline =~ m/use\s.*\.all\s*;/i) { + if ( not($testline =~ m/\bieee\./i) and + not($testline =~ m/\bstd\./i) and + not($testline =~ m/\bunisim\./i) and + not($testline =~ m/\becp5u\./i)) { + # if there is any char before "use" except \s, insert new line \n + if ( ($testline =~ m/[^\s]\s*use/i) ) { + $line =~ s/use\s+.*?\./\nuse work./i; + if ($debug == 1) { + print "TEST0099: ", $testline, "\n" + } + } + else { + $line =~ s/use\s+.*?\./use work./i; + if ($debug == 1) { + print "TEST0105: ", $testline, "\n" + } + } + } + } + + # Comment libraries which aren't ieee or std or unisim or ecp5u + if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) { + if ( not($testline =~ m/ieee/i) and + not($testline =~ m/std/i) and + not($testline =~ m/unisim/i) and + not($testline =~ m/ecp5u/i)) { + $line = '-- ' . $line; + } + } + + # Comment "FOR xxxx : yyy USE ENTITY zzz; + if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) { + $line = '-- ' . $line; + } + + # Search for $Env_Var_Names and replace them by the value of the env_var + if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) { + $envvar = $1; + $envvar =~ s/^.//; + eval { + $line =~ s/\$$envvar/$ENV{$envvar}/; + }; + if ($@) { + print ("WARNING: Environment Variable not found: $envvar \n") + } + + } + + print tempFile ("$line\n"); +} + +close(tempFile); +close(HDLFile); + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($hdlOutFileSpec); +rename($tempFileSpec, $hdlOutFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#if ($verbose == 1) { +# print $indent, "Hit any to continue"; +# $dummy = ; +#} diff --git a/zz-solutions/03-DigitalToAnalogConverter/Scripts/update_diamond.pl b/zz-solutions/03-DigitalToAnalogConverter/Scripts/update_diamond.pl new file mode 100644 index 0000000..92e9076 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Scripts/update_diamond.pl @@ -0,0 +1,129 @@ +#!/usr/bin/perl +# filename: update_diamond.pl +# created by: Corthay Francois & Zahno Silvan & Amand Axel +# +#------------------------------------------------------------------------------- +# +# Description: +# Updates the file references in the .ldf Lattice project file +# and launches the Diamond project manager +# Help Parameter : +# Parameter : update_diamond.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : cof 12.2013 -- Initial release +# V0.2 : zas 08.2019 -- Changed actel to libero +# V0.3 : ama 02.2023 -- Adapted for diamond +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; +$| = 1; # forces flush on prints + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{DIAMOND_HOME}\\bin\\nt64\\pnmain.exe"; +$diamondFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$lpfFileSpec = $ARGV[2]; + +use File::Basename; +$diamond_dir = dirname(dirname($vhdlFileSpec)) . '/diamond/'; # get up concat and into diamond +$projectTitle = basename($diamondFileSpec, ".ldf"); + + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * diamondFileSpec: $diamondFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * lpfFileSpec: $lpfFileSpec\n"; + print " * Project title: $projectTitle\n" +} + + +#------------------------------------------------------------------------------- +# Project variables +# + +$diamondWorkFileSpec = $diamondFileSpec . '.tmp'; + # source directory +my $sourceDir = "$ENV{CONCAT_DIR}"; +my $projectDir = "$ENV{DIAMOND_WORK_DIR}"; + +#------------------------------------------------------------------------------- +# Update paths in the project file +# + +if ($verbose == 1) { + print "\n$separator\n\n"; + print "Updating file specifications in $diamondFileSpec\n"; + print $indent, "temporary file spec: $diamondWorkFileSpec\n"; +} +my $line; +if ( !open(DiamondFile, $diamondFileSpec) ){ + print("\nCould not open $diamondFileSpec !\nExiting (failure)\n\n"); + die "\nCould not open $diamondFileSpec !\nExiting (failure)\n\n"; +} + +open(workFile, ">$diamondWorkFileSpec"); +while (chop($line = )) { + + # replace title + if ($line =~ m/ +# Parameter : update_ise.pl +# ------------------------------------------------------------------------------ +# Changelog: +# 2019-06-12 : zas +# * All parameters given with agruments instead of env variables +# 2015-05-26 : guo +# * update to environment from HELS v.15.0526 +# 2012-05-27 : cof +# * Initial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; + +$iseFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$ucfFileSpec = $ARGV[2]; + +$verbose = 1; + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * iseFileSpec: $iseFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * ucfFileSpec: $ucfFileSpec\n"; +} + + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $iseFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $iseFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + # replace VHDL files + if ($line =~ m/FILE_VHDL/i) { + $line =~ s/ +# Parameter : update_libero.pl <^PDC File Spec> +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : cof 12.2013 -- Initial release +# V0.2 : zas 08.2019 -- Changed actel to libero +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{LIBERO_HOME}\\Designer\\bin\\libero.exe"; +$liberoFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$pdcFileSpec = $ARGV[2]; + + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * liberoFileSpec: $liberoFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * pdcFileSpec: $pdcFileSpec\n"; +} + + + +#------------------------------------------------------------------------------- +# Project variables +# +$liberoWorkFileSpec = $liberoFileSpec . '.tmp'; + # source directory +my $sourceDir = "$ENV{CONCAT_DIR}"; +my $projectDir = "$ENV{LIBERO_WORK_DIR}"; + +#------------------------------------------------------------------------------- +# Update paths in the project file +# + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $liberoFileSpec\n"; + print $indent, "temporary file spec: $liberoWorkFileSpec\n"; +} +my $line; + +open(LiberoFile, $liberoFileSpec) || die "couldn't open $HDLFileSpec!"; +open(workFile, ">$liberoWorkFileSpec"); +while (chop($line = )) { + # replace source path + if ($line =~ m/DEFAULT_IMPORT_LOC/i) { + $line =~ s/".*"/"$sourceDir"/; + } + # replace project path + if ($line =~ m/ProjectLocation/i) { + $line =~ s/".*"/"$projectDir"/; + } + # replace VHDL file spec + if ($line =~ m/VALUE\s".*,hdl"/i) { + $line =~ s/".*"/"$vhdlFileSpec,hdl"/; + } + # replace PDC file spec + if ($line =~ m/VALUE\s".*\.pdc,pdc"/i) { + $line =~ s/".*"/"$pdcFileSpec,pdc"/; + } + + print workFile ("$line\n"); +} + +close(workFile); +close(LiberoFile); + + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($liberoFileSpec); +rename($liberoWorkFileSpec, $liberoFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#------------------------------------------------------------------------------- +# Launch Libero +# + +#if ($verbose == 1) { +# print "\n"; +# print "launching $designerExe\n"; +# print $indent, "project file spec: $liberoFileSpec\n"; +# print "$separator\n"; +#} + +#system("$designerExe $liberoFileSpec"); diff --git a/zz-solutions/03-DigitalToAnalogConverter/Simulation/DAC.do b/zz-solutions/03-DigitalToAnalogConverter/Simulation/DAC.do new file mode 100644 index 0000000..4bbca67 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Simulation/DAC.do @@ -0,0 +1,27 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /dac_tb/reset +add wave -noupdate /dac_tb/clock +add wave -noupdate -divider {parallel to serial} +add wave -noupdate -format Analog-Step -height 100 -max 66000.0 -radix unsigned /dac_tb/parallelIn +add wave -noupdate /dac_tb/serialOut +add wave -noupdate -divider {serial to parallel} +add wave -noupdate -format Analog-Step -height 100 -max 65500.000000000007 -radix unsigned /dac_tb/lowpassOut +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {88797414 ps} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 175 +configure wave -valuecolwidth 63 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {105 us} diff --git a/zz-solutions/03-DigitalToAnalogConverter/Simulation/sineGen.do b/zz-solutions/03-DigitalToAnalogConverter/Simulation/sineGen.do new file mode 100644 index 0000000..df337bb --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Simulation/sineGen.do @@ -0,0 +1,36 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /sinegen_tb/reset +add wave -noupdate /sinegen_tb/clock +add wave -noupdate -format Analog-Step -height 30 -max 1300.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/phase +add wave -noupdate -divider {generator signals} +add wave -noupdate -format Analog-Step -height 40 -max 66000.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/sawtooth +add wave -noupdate -format Analog-Step -height 40 -max 66000.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/square +add wave -noupdate -format Analog-Step -height 40 -max 66000.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/triangle +add wave -noupdate -divider sinewave +add wave -noupdate -format Analog-Step -height 80 -max 43200.0 -min -32800.0 -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/sineSamples +add wave -noupdate /sinegen_tb/I_DUT/newPolynom +add wave -noupdate -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/a +add wave -noupdate -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/b +add wave -noupdate -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/c +add wave -noupdate -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/d +add wave -noupdate -format Analog-Step -height 80 -max 76000.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/sine +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ns} 0} +quietly wave cursor active 0 +configure wave -namecolwidth 250 +configure wave -valuecolwidth 52 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ns} {52589 ns} +run 50 us diff --git a/zz-solutions/03-DigitalToAnalogConverter/Simulation/waveformGen.do b/zz-solutions/03-DigitalToAnalogConverter/Simulation/waveformGen.do new file mode 100644 index 0000000..ce4b33d --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Simulation/waveformGen.do @@ -0,0 +1,30 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /waveformgen_tb/reset +add wave -noupdate /waveformgen_tb/clock +add wave -noupdate /waveformgen_tb/en +add wave -noupdate -divider {generator signals} +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/sawtooth +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/square +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/triangle +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/polygon +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/sine +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ns} 0} +quietly wave cursor active 0 +configure wave -namecolwidth 272 +configure wave -valuecolwidth 89 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ns} {525 us} +run 500 us diff --git a/zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bash b/zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bash new file mode 100644 index 0000000..933ab09 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bash @@ -0,0 +1,32 @@ +#!/bin/bash + +#=============================================================================== +# waveformGenerator.bash +# Starts HDL designer based on the generic hdlDesigner.bash +# + +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +hdl_script_name="$design_directory/Scripts/hdlDesigner.bash" + +verbose=1 +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + + +#------------------------------------------------------------------------------- +# Main script +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "Launching HDL Designer" + echo "${INDENT}Design name is $design_name" + echo "${INDENT}Start directory is $design_directory" + echo "${INDENT}HDL designer script is $hdl_script_name" +fi + +#------------------------------------------------------------------------------- +# Launch application +# +$hdl_script_name -v -d $design_directory -n $design_name -m hds.hdp diff --git a/zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bat b/zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bat new file mode 100644 index 0000000..bc1b2a4 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bat @@ -0,0 +1,83 @@ +::============================================================================== +:: eln_labs.bat +:: Starts HDL designer based on the generic hdlDesigner.bat +:: +@echo off + +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: TO BE MODIFIED +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: Define environment variables to be modified +:: You can change one or more values as needed +:: +set VERBOSE=1 + +:: Define required Tools to be present +set REQUIRE_LIBS=0 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 + +:: Set project name +set design_name=%~n0 + +:: Manually override Library & Tools Folder location +::set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + +::set HDS_HOME=C:\eda\MentorGraphics\HDS +set HDS_HOME=C:\MentorGraphics\HDS_2019.2 +set MODELSIM_HOME=C:\modeltech64_2021.3\win64 +::set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +::set ISE_VERSION=14.7 +::set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +::set LIBERO_HOME=C:\eda\Microsemi\Libero + +set LM_LICENSE_FILE=27001@mentorlm.hevs.ch + +::set SCRATCH_DIR=C:\temp\eda\%username% +:: +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% + +::------------------------------------------------------------------------------ +:: Static environment variables +:: +set SEPARATOR="--------------------------------------------------------------------------------" +set INDENT=" " +set design_directory=%~dp0 +::remove trailing backslash +if %design_directory:~-1%==\ set design_directory=%design_directory:~0,-1% +pushd %design_directory% +set hdl_script_name="%design_directory:"=%\Scripts\hdlDesigner.bat" + +::------------------------------------------------------------------------------ +:: Main script +:: +if %VERBOSE% == 1 ( + echo "%SEPARATOR:"=%" + echo "-- HDL Designer Poject" + echo "%INDENT:"=%Design name is %design_name:"=%" + echo "%INDENT:"=%Start directory is %design_directory:"=%" + echo "%INDENT:"=%HDL designer script is %hdl_script_name:"=%" + echo. +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +if %VERBOSE% == 1 ( + set command=%hdl_script_name% -v -n %design_name% -d %design_directory% +) else ( + set command=%hdl_script_name% -n %design_name% -d %design_directory% +) +echo "Launch HDL Designer Script" +echo "%INDENT:"=%%command:"=%" +echo. +call %command% + +:end +popd +echo. +echo -- %~nx0 Finished! +echo %SEPARATOR% +echo. +if not %errorlevel% lss 1 pause +goto:eof diff --git a/zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bat.bak b/zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bat.bak new file mode 100644 index 0000000..efe9b97 --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bat.bak @@ -0,0 +1,79 @@ +::============================================================================== +:: eln_labs.bat +:: Starts HDL designer based on the generic hdlDesigner.bat +:: +@echo off + +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: TO BE MODIFIED +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: Define environment variables to be modified +:: You can change one or more values as needed +:: +set VERBOSE=1 + +:: Define required Tools to be present +set REQUIRE_LIBS=0 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 + +:: Set project name +set design_name=%~n0 + +:: Manually override Library & Tools Folder location +::set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + +::set HDS_HOME=C:\eda\MentorGraphics\HDS +::set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +::set ISE_VERSION=14.7 +::set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +::set LIBERO_HOME=C:\eda\Microsemi\Libero + +::set SCRATCH_DIR=C:\temp\eda\%username% +:: +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% + +::------------------------------------------------------------------------------ +:: Static environment variables +:: +set SEPARATOR="--------------------------------------------------------------------------------" +set INDENT=" " +set design_directory=%~dp0 +::remove trailing backslash +if %design_directory:~-1%==\ set design_directory=%design_directory:~0,-1% +pushd %design_directory% +set hdl_script_name="%design_directory:"=%\Scripts\hdlDesigner.bat" + +::------------------------------------------------------------------------------ +:: Main script +:: +if %VERBOSE% == 1 ( + echo "%SEPARATOR:"=%" + echo "-- HDL Designer Poject" + echo "%INDENT:"=%Design name is %design_name:"=%" + echo "%INDENT:"=%Start directory is %design_directory:"=%" + echo "%INDENT:"=%HDL designer script is %hdl_script_name:"=%" + echo. +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +if %VERBOSE% == 1 ( + set command=%hdl_script_name% -v -n %design_name% -d %design_directory% +) else ( + set command=%hdl_script_name% -n %design_name% -d %design_directory% +) +echo "Launch HDL Designer Script" +echo "%INDENT:"=%%command:"=%" +echo. +call %command% + +:end +popd +echo. +echo -- %~nx0 Finished! +echo %SEPARATOR% +echo. +if not %errorlevel% lss 1 pause +goto:eof diff --git a/zz-solutions/04-Lissajous/Board/concat/concatenated.vhd b/zz-solutions/04-Lissajous/Board/concat/concatenated.vhd new file mode 100644 index 0000000..eada0f5 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/concat/concatenated.vhd @@ -0,0 +1,1585 @@ +-- VHDL Entity Board.lissajousGenerator_circuit_EBS3.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 17:45:49 01.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY lissajousGenerator_circuit_EBS3 IS + PORT( + clock : IN std_ulogic; + reset_N : IN std_ulogic; + triggerOut : OUT std_ulogic; + xOut : OUT std_ulogic; + yOut : OUT std_ulogic + ); + +-- Declarations + +END lissajousGenerator_circuit_EBS3 ; + + + + + +-- VHDL Entity Board.DFF.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:05 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY DFF IS + PORT( + CLK : IN std_uLogic; + CLR : IN std_uLogic; + D : IN std_uLogic; + Q : OUT std_uLogic + ); + +-- Declarations + +END DFF ; + + + + + +ARCHITECTURE sim OF DFF IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0'; + elsif rising_edge(clk) then + q <= d; + end if; + end process; + +END ARCHITECTURE sim; + + + + + +-- VHDL Entity Board.inverterIn.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY inverterIn IS + PORT( + in1 : IN std_uLogic; + out1 : OUT std_uLogic + ); + +-- Declarations + +END inverterIn ; + + + + + +ARCHITECTURE sim OF inverterIn IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + + + + + +-- VHDL Entity Lissajous.lissajousGenerator.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:53 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY lissajousGenerator IS + GENERIC( + signalBitNb : positive := 16; + phaseBitNb : positive := 16; + stepX : positive := 1; + stepY : positive := 1 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + triggerOut : OUT std_ulogic; + xOut : OUT std_ulogic; + yOut : OUT std_ulogic + ); + +-- Declarations + +END lissajousGenerator ; + + + + + +-- VHDL Entity DigitalToAnalogConverter.DAC.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:06:08 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY DAC IS + GENERIC( + signalBitNb : positive := 16 + ); + PORT( + serialOut : OUT std_ulogic; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic + ); + +-- Declarations + +END DAC ; + + + + + +ARCHITECTURE masterVersion OF DAC IS + + signal parallelIn1: unsigned(parallelIn'range); + signal integrator: unsigned(parallelIn'high+1 downto 0); + signal quantized: std_ulogic; + +BEGIN + +-- parallelIn1 <= parallelIn; + parallelIn1 <= parallelIn/2 + 2**(parallelIn'length-2); + + integrate: process(reset, clock) + begin + if reset = '1' then + integrator <= (others => '0'); + elsif rising_edge(clock) then + if quantized = '0' then + integrator <= integrator + parallelIn1; + else + integrator <= integrator + parallelIn1 - 2**parallelIn'length; + end if; + end if; + end process integrate; + + quantized <= integrator(integrator'high); + + serialOut <= quantized; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.sineGen.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:40 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sineGen IS + GENERIC( + signalBitNb : positive := 16; + phaseBitNb : positive := 10 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sineGen ; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorCoefficients.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:20 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCoefficients IS + GENERIC( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + +-- Declarations + +END interpolatorCoefficients ; + + + + + +ARCHITECTURE masterVersion OF interpolatorCoefficients IS +BEGIN + + calcCoeffs: process(interpolateLinear, sample1, sample2, sample3, sample4) + begin + if interpolateLinear = '1' then + a <= (others => '0'); + b <= (others => '0'); + c <= resize(2*sample3, c'length) + - resize(2*sample2, c'length); + d <= resize( sample2, d'length); + else + a <= resize( sample4, a'length) + - resize(3*sample3, a'length) + + resize(3*sample2, a'length) + - resize( sample1, a'length); + b <= resize(2*sample1, b'length) + - resize(5*sample2, b'length) + + resize(4*sample3, b'length) + - resize( sample4, b'length); + c <= resize( sample3, c'length) + - resize( sample1, c'length); + d <= resize( sample2, d'length); + end if; + end process calcCoeffs; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity WaveformGenerator.sawtoothGen.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothGen IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END sawtoothGen ; + + + + + +ARCHITECTURE masterVersion OF sawtoothGen IS + + signal counter: unsigned(sawtooth'range); + +begin + + count: process(reset, clock) + begin + if reset = '1' then + counter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + counter <= counter + step; + end if; + end if; + end process count; + + sawtooth <= counter; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorShiftRegister.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:24 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorShiftRegister IS + GENERIC( + signalBitNb : positive := 16 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + shiftSamples : IN std_ulogic; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END interpolatorShiftRegister ; + + + + + +ARCHITECTURE masterVersion OF interpolatorShiftRegister IS + + -- signal sample4_int: signed(sampleIn'range); + -- signal sample3_int: signed(sampleIn'range); + -- signal sample2_int: signed(sampleIn'range); + -- signal sample1_int: signed(sampleIn'range); + + type samplesArray is array(3 downto 0) of signed(sampleIn'range); + signal samples: samplesArray; + +begin + + shiftThem: process(reset, clock) + begin + if reset = '1' then + samples <= (others=>(others=>'0')); + -- sample1_int <= (others => '0'); + -- sample2_int <= (others => '0'); + -- sample3_int <= (others => '0'); + -- sample4_int <= (others => '0'); + elsif rising_edge(clock) then + if shiftSamples = '1' then + -- sample1_int <= sample2_int; + -- sample2_int <= sample3_int; + -- sample3_int <= sample4_int; + -- sample4_int <= sampleIn; + samples(0) <= samples(1); + samples(1) <= samples(2); + samples(2) <= samples(3); + samples(3) <= sampleIn; + end if; + end if; + end process shiftThem; + + sample4 <= samples(3); + sample3 <= samples(2); + sample2 <= samples(1); + sample1 <= samples(0); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.sineTable.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:46 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sineTable IS + GENERIC( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sineTable ; + + + + + +ARCHITECTURE masterVersion OF sineTable IS + + signal changeSign : std_uLogic; + signal flipPhase : std_uLogic; + signal phaseTableAddress1 : unsigned(tableAddressBitNb-1 downto 0); + signal phaseTableAddress2 : unsigned(phaseTableAddress1'range); + signal quarterSine : signed(sine'range); + + signal shiftPhase : std_uLogic := '0'; -- can be used to build a cosine + +begin + + changeSign <= phase(phase'high); + flipPhase <= phase(phase'high-1); + + phaseTableAddress1 <= phase(phase'high-2 downto phase'high-2-tableAddressBitNb+1); + + checkPhase: process(flipPhase, shiftPhase, phaseTableAddress1) + begin + if (flipPhase xor shiftPhase) = '0' then + phaseTableAddress2 <= phaseTableAddress1; + else + phaseTableAddress2 <= 0 - phaseTableAddress1; + end if; + end process checkPhase; + + + quarterTable: process(phaseTableAddress2, flipPhase, shiftPhase) + begin + case to_integer(phaseTableAddress2) is + when 0 => if (flipPhase xor shiftPhase) = '0' then + quarterSine <= to_signed(16#0000#, quarterSine'length); + else + quarterSine <= to_signed(16#7FFF#, quarterSine'length); + end if; + when 1 => quarterSine <= to_signed(16#18F9#, quarterSine'length); + when 2 => quarterSine <= to_signed(16#30FB#, quarterSine'length); + when 3 => quarterSine <= to_signed(16#471C#, quarterSine'length); + when 4 => quarterSine <= to_signed(16#5A82#, quarterSine'length); + when 5 => quarterSine <= to_signed(16#6A6D#, quarterSine'length); + when 6 => quarterSine <= to_signed(16#7641#, quarterSine'length); + when 7 => quarterSine <= to_signed(16#7D89#, quarterSine'length); + when others => quarterSine <= (others => '-'); + end case; + end process quarterTable; + + checkSign: process(changeSign, flipPhase, shiftPhase, quarterSine) + begin + if (changeSign xor (flipPhase and shiftPhase)) = '0' then + sine <= quarterSine; + else + sine <= 0 - quarterSine; + end if; + end process checkSign; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.resizer.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:36 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY resizer IS + GENERIC( + inputBitNb : positive := 16; + outputBitNb : positive := 16 + ); + PORT( + resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0); + resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END resizer ; + + + + + +ARCHITECTURE masterVersion OF resizer IS + +BEGIN + + outGtIn: if resizeOut'length > resizeIn'length generate + begin + resizeOut <= shift_left( + resize( + resizeIn, + resizeOut'length + ), + resizeOut'length-resizeIn'length + ); + end generate outGtIn; + + outEqIn: if resizeOut'length = resizeIn'length generate + begin + resizeOut <= resizeIn; + end generate outEqIn; + + outLtIn: if resizeOut'length < resizeIn'length generate + begin + resizeOut <= resize( + shift_right( + resizeIn, + resizeIn'length-resizeOut'length + ), + resizeOut'length + ); + end generate outLtIn; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorCalculatePolynom.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCalculatePolynom IS + GENERIC( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + restartPolynom : IN std_ulogic; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorCalculatePolynom ; + + + + + +ARCHITECTURE masterVersion OF interpolatorCalculatePolynom IS + + constant additionalBitNb: positive := 1; + constant internalsBitNb: positive := signalBitNb + 3*oversamplingBitNb + 1 + + additionalBitNb; + signal x: signed(internalsBitNb-1 downto 0); + signal u: signed(internalsBitNb-1 downto 0); + signal v: signed(internalsBitNb-1 downto 0); + signal w: signed(internalsBitNb-1 downto 0); + +BEGIN + + iterativePolynom: process(reset, clock) + begin + if reset = '1' then + x <= (others => '0'); + u <= (others => '0'); + v <= (others => '0'); + w <= (others => '0'); + sampleOut <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + if restartPolynom = '1' then + x <= shift_left(resize(2*d, x'length), 3*oversamplingBitNb); + u <= resize(a, u'length) + + shift_left(resize(b, u'length), oversamplingBitNb) + + shift_left(resize(c, u'length), 2*oversamplingBitNb); + v <= resize(6*a, v'length) + + shift_left(resize(2*b, v'length), oversamplingBitNb); + w <= resize(6*a, w'length); + sampleOut <= resize(d, sampleOut'length); + else + x <= x + u; + u <= u + v; + v <= v + w; + sampleOut <= resize( + shift_right(x, 3*oversamplingBitNb+1), sampleOut'length + ); + -- limit overflow + if x(x'high downto x'high-additionalBitNb) = "01" then + sampleOut <= not shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + -- limit underflow + if x(x'high downto x'high-additionalBitNb) = "10" then + sampleOut <= shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + end if; + end if; + end if; + end process iterativePolynom; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity WaveformGenerator.sawtoothToSquare.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothToSquare IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + square : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sawtoothToSquare ; + + + + + +ARCHITECTURE masterVersion OF sawtoothToSquare IS +BEGIN + + square <= (others => sawtooth(sawtooth'high)); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity WaveformGenerator.sawtoothToTriangle.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothToTriangle IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + triangle : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sawtoothToTriangle ; + + + + + +ARCHITECTURE masterVersion OF sawtoothToTriangle IS + + signal MSB: std_uLogic; + signal triangleInt: unsigned(triangle'range); + +begin + + MSB <= sawtooth(sawtooth'high); + + foldDown: process(MSB, sawtooth) + begin + if MSB = '0' then + triangleInt <= sawtooth; + else + triangleInt <= not sawtooth; + end if; + end process foldDown; + + triangle <= triangleInt(triangleInt'high-1 downto 0) & '0'; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.interpolatorTrigger.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:28 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorTrigger IS + GENERIC( + counterBitNb : positive := 4 + ); + PORT( + triggerOut : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorTrigger ; + + + + + +ARCHITECTURE masterVersion OF interpolatorTrigger IS + + signal triggerCounter: unsigned(counterBitNb-1 downto 0); + +BEGIN + + count: process(reset, clock) + begin + if reset = '1' then + triggerCounter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + triggerCounter <= triggerCounter + 1; + end if; + end if; + end process count; + + trig: process(triggerCounter, en) + begin + if triggerCounter = 0 then + triggerOut <= en; + else + triggerOut <= '0'; + end if; + end process trig; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.offsetToUnsigned.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:32 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY offsetToUnsigned IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END offsetToUnsigned ; + + + + + +ARCHITECTURE masterVersion OF offsetToUnsigned IS + +BEGIN + + unsignedOut <= not(signedIn(signedIn'high)) & unsigned(signedIn(signedIn'high-1 downto 0)); + +END ARCHITECTURE masterVersion; + + + + +-- +-- VHDL Architecture SplineInterpolator.sineGen.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:42:04 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY SplineInterpolator; +LIBRARY WaveformGenerator; + +ARCHITECTURE struct OF sineGen IS + + -- Architecture declarations + constant tableAddressBitNb : positive := 3; + constant sampleCountBitNb : positive := phaseBitNb-2-tableAddressBitNb; + constant coeffBitNb : positive := signalBitNb+4; + + -- Internal signal declarations + SIGNAL a : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL b : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL c : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL d : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_ulogic; + SIGNAL newPolynom : std_ulogic; + SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL sample1 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample2 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample3 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample4 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sineSamples : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sineSigned : signed(signalBitNb-1 DOWNTO 0); + + -- Implicit buffer signal declarations + SIGNAL sawtooth_internal : unsigned (signalBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT interpolatorCalculatePolynom + GENERIC ( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + restartPolynom : IN std_ulogic ; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorCoefficients + GENERIC ( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT ( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorShiftRegister + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + shiftSamples : IN std_ulogic ; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT interpolatorTrigger + GENERIC ( + counterBitNb : positive := 4 + ); + PORT ( + triggerOut : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT offsetToUnsigned + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT resizer + GENERIC ( + inputBitNb : positive := 16; + outputBitNb : positive := 16 + ); + PORT ( + resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0); + resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sineTable + GENERIC ( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT ( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothGen + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT sawtoothToSquare + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + square : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothToTriangle + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + triangle : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : interpolatorCalculatePolynom USE ENTITY SplineInterpolator.interpolatorCalculatePolynom; + FOR ALL : interpolatorCoefficients USE ENTITY SplineInterpolator.interpolatorCoefficients; + FOR ALL : interpolatorShiftRegister USE ENTITY SplineInterpolator.interpolatorShiftRegister; + FOR ALL : interpolatorTrigger USE ENTITY SplineInterpolator.interpolatorTrigger; + FOR ALL : offsetToUnsigned USE ENTITY SplineInterpolator.offsetToUnsigned; + FOR ALL : resizer USE ENTITY SplineInterpolator.resizer; + FOR ALL : sawtoothGen USE ENTITY WaveformGenerator.sawtoothGen; + FOR ALL : sawtoothToSquare USE ENTITY WaveformGenerator.sawtoothToSquare; + FOR ALL : sawtoothToTriangle USE ENTITY WaveformGenerator.sawtoothToTriangle; + FOR ALL : sineTable USE ENTITY SplineInterpolator.sineTable; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 2 eb2 + logic1 <= '1'; + + -- HDL Embedded Text Block 3 eb3 + logic0 <= '0'; + + + -- Instance port mappings. + I_spline : interpolatorCalculatePolynom + GENERIC MAP ( + signalBitNb => signalBitNb, + coeffBitNb => coeffBitNb, + oversamplingBitNb => sampleCountBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + restartPolynom => newPolynom, + d => d, + sampleOut => sineSigned, + c => c, + b => b, + a => a, + en => logic1 + ); + I_coeffs : interpolatorCoefficients + GENERIC MAP ( + bitNb => signalBitNb, + coeffBitNb => coeffBitNb + ) + PORT MAP ( + sample1 => sample1, + sample2 => sample2, + sample3 => sample3, + sample4 => sample4, + a => a, + b => b, + c => c, + d => d, + interpolateLinear => logic0 + ); + I_shReg : interpolatorShiftRegister + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + shiftSamples => newPolynom, + sampleIn => sineSamples, + sample1 => sample1, + sample2 => sample2, + sample3 => sample3, + sample4 => sample4 + ); + I_trig : interpolatorTrigger + GENERIC MAP ( + counterBitNb => sampleCountBitNb + ) + PORT MAP ( + triggerOut => newPolynom, + clock => clock, + reset => reset, + en => logic1 + ); + I_unsigned : offsetToUnsigned + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + unsignedOut => sine, + signedIn => sineSigned + ); + I_size : resizer + GENERIC MAP ( + inputBitNb => phaseBitNb, + outputBitNb => signalBitNb + ) + PORT MAP ( + resizeOut => sawtooth_internal, + resizeIn => phase + ); + I_sin : sineTable + GENERIC MAP ( + inputBitNb => phaseBitNb, + outputBitNb => signalBitNb, + tableAddressBitNb => tableAddressBitNb + ) + PORT MAP ( + sine => sineSamples, + phase => phase + ); + I_saw : sawtoothGen + GENERIC MAP ( + bitNb => phaseBitNb + ) + PORT MAP ( + sawtooth => phase, + clock => clock, + reset => reset, + step => step, + en => logic1 + ); + I_square : sawtoothToSquare + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + square => square, + sawtooth => sawtooth_internal + ); + I_tri : sawtoothToTriangle + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + triangle => triangle, + sawtooth => sawtooth_internal + ); + + -- Implicit buffered output assignments + sawtooth <= sawtooth_internal; + +END struct; + + + + +-- +-- VHDL Architecture Lissajous.lissajousGenerator.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:47:09 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY DigitalToAnalogConverter; +LIBRARY SplineInterpolator; + +ARCHITECTURE struct OF lissajousGenerator IS + + -- Architecture declarations + + -- Internal signal declarations + SIGNAL sineX : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL sineY : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL squareY : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL stepXUnsigned : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL stepYUnsigned : unsigned(phaseBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT DAC + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + serialOut : OUT std_ulogic ; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic + ); + END COMPONENT; + COMPONENT sineGen + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 10 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : DAC USE ENTITY DigitalToAnalogConverter.DAC; + FOR ALL : sineGen USE ENTITY SplineInterpolator.sineGen; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + triggerOut <= squareY(squareY'high); + + -- HDL Embedded Text Block 2 eb2 + stepXUnsigned <= to_unsigned(stepX, stepXUnsigned'length); + + -- HDL Embedded Text Block 3 eb3 + stepYUnsigned <= to_unsigned(stepY, stepYUnsigned'length); + + + -- Instance port mappings. + I_dacX : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => xOut, + parallelIn => sineX, + clock => clock, + reset => reset + ); + I_dacY : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => yOut, + parallelIn => sineY, + clock => clock, + reset => reset + ); + I_sinX : sineGen + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + step => stepXUnsigned, + sawtooth => OPEN, + sine => sineX, + square => OPEN, + triangle => OPEN + ); + I_sinY : sineGen + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + step => stepYUnsigned, + sawtooth => OPEN, + sine => sineY, + square => squareY, + triangle => OPEN + ); + +END struct; + + + + +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.12.1.454 +-- Module Version: 5.7 +--C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n pll -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00 -type pll -fin 100.00 -fclkop 60 -fclkop_tol 10.0 -fclkos 75 -fclkos_tol 10.0 -phases 0 -fclkos2 50 -fclkos2_tol 10.0 -phases2 0 -fclkos3 10 -fclkos3_tol 10.0 -phases3 0 -phase_cntl STATIC -enable_s -enable_s2 -enable_s3 -pllLocked -fb_mode 1 -fdc C:/temp/clocker/pll/pll.fdc + +-- Offers 10MHz, 50MHz, 60MHz and 75MHz clocks + +library IEEE; + use IEEE.std_logic_1164.all; +library ECP5U; + use ECP5U.components.all; + +ENTITY pll IS + PORT( + clkIn100M : IN std_ulogic; + en75M : IN std_ulogic; + en50M : IN std_ulogic; + en10M : IN std_ulogic; + clk60MHz : OUT std_ulogic; + clk75MHz : OUT std_ulogic; + clk50MHz : OUT std_ulogic; + clk10MHz : OUT std_ulogic; + pllLocked : OUT std_ulogic + ); + +-- Declarations + +END pll ; + +architecture rtl of pll is + + -- internal signal declarations + signal REFCLK: std_logic; + signal CLKOS3_t: std_logic; + signal CLKOS2_t: std_logic; + signal CLKOS_t: std_logic; + signal CLKOP_t: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + + attribute FREQUENCY_PIN_CLKOS3 : string; + attribute FREQUENCY_PIN_CLKOS2 : string; + attribute FREQUENCY_PIN_CLKOS : string; + attribute FREQUENCY_PIN_CLKOP : string; + attribute FREQUENCY_PIN_CLKI : string; + attribute ICP_CURRENT : string; + attribute LPF_RESISTOR : string; + attribute FREQUENCY_PIN_CLKOS3 of PLLInst_0 : label is "10.000000"; + attribute FREQUENCY_PIN_CLKOS2 of PLLInst_0 : label is "50.000000"; + attribute FREQUENCY_PIN_CLKOS of PLLInst_0 : label is "75.000000"; + attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "60.000000"; + attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "100.000000"; + attribute ICP_CURRENT of PLLInst_0 : label is "5"; + attribute LPF_RESISTOR of PLLInst_0 : label is "16"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of rtl : architecture is 1; + +begin + -- component instantiation statements + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + PLLInst_0: EHXPLLL + generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", + STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", + CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 59, CLKOS2_FPHASE=> 0, + CLKOS2_CPHASE=> 11, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 7, + CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 9, PLL_LOCK_MODE=> 0, + CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", + CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", + OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", + OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", + OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "DISABLED", + OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 60, + CLKOS2_DIV=> 12, CLKOS_DIV=> 8, CLKOP_DIV=> 10, CLKFB_DIV=> 3, + CLKI_DIV=> 5, FEEDBK_PATH=> "CLKOP") + port map (CLKI=>clkIn100M, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo, + PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, + PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, + STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, + ENCLKOP=>scuba_vlo, ENCLKOS=>en75M, ENCLKOS2=>en50M, + ENCLKOS3=>en10M, CLKOP=>CLKOP_t, CLKOS=>CLKOS_t, + CLKOS2=>CLKOS2_t, CLKOS3=>CLKOS3_t, LOCK=>pllLocked, + INTLOCK=>open, REFCLK=>REFCLK, CLKINTFB=>open); + + clk10MHz <= CLKOS3_t; + clk50MHz <= CLKOS2_t; + clk75MHz <= CLKOS_t; + clk60MHz <= CLKOP_t; +end rtl; + + + + +-- +-- VHDL Architecture Board.lissajousGenerator_circuit_EBS3.masterVersion +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 17:45:49 01.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY Board; +LIBRARY Lattice; +LIBRARY Lissajous; + +ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS3 IS + + -- Architecture declarations + constant signalBitNb: positive := 16; + constant phaseBitNb: positive := 17; + constant stepX: positive := 3; + constant stepY: positive := 4; + + -- Internal signal declarations + SIGNAL clkSys : std_ulogic; + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_uLogic; + SIGNAL reset : std_ulogic; + SIGNAL resetSynch : std_ulogic; + SIGNAL resetSynch_N : std_ulogic; + + + -- Component Declarations + COMPONENT DFF + PORT ( + CLK : IN std_uLogic ; + CLR : IN std_uLogic ; + D : IN std_uLogic ; + Q : OUT std_uLogic + ); + END COMPONENT; + COMPONENT inverterIn + PORT ( + in1 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT pll + PORT ( + clkIn100M : IN std_ulogic ; + en75M : IN std_ulogic ; + en50M : IN std_ulogic ; + en10M : IN std_ulogic ; + clk60MHz : OUT std_ulogic ; + clk75MHz : OUT std_ulogic ; + clk50MHz : OUT std_ulogic ; + clk10MHz : OUT std_ulogic ; + pllLocked : OUT std_ulogic + ); + END COMPONENT; + COMPONENT lissajousGenerator + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 16; + stepX : positive := 1; + stepY : positive := 1 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + triggerOut : OUT std_ulogic ; + xOut : OUT std_ulogic ; + yOut : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : DFF USE ENTITY Board.DFF; + FOR ALL : inverterIn USE ENTITY Board.inverterIn; + FOR ALL : lissajousGenerator USE ENTITY Lissajous.lissajousGenerator; + FOR ALL : pll USE ENTITY Lattice.pll; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 5 eb5 + logic1 <= '1'; + + -- HDL Embedded Text Block 6 eb6 + logic0 <= '0'; + + + -- Instance port mappings. + I_dff : DFF + PORT MAP ( + CLK => clock, + CLR => reset, + D => logic1, + Q => resetSynch_N + ); + I_inv1 : inverterIn + PORT MAP ( + in1 => reset_N, + out1 => reset + ); + I_inv2 : inverterIn + PORT MAP ( + in1 => resetSynch_N, + out1 => resetSynch + ); + U_pll : pll + PORT MAP ( + clkIn100M => clock, + en75M => logic0, + en50M => logic0, + en10M => logic0, + clk60MHz => clkSys, + clk75MHz => OPEN, + clk50MHz => OPEN, + clk10MHz => OPEN, + pllLocked => OPEN + ); + I_main : lissajousGenerator + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb, + stepX => stepX, + stepY => stepY + ) + PORT MAP ( + clock => clkSys, + reset => resetSynch, + triggerOut => triggerOut, + xOut => xOut, + yOut => yOut + ); + +END masterVersion; + + + + diff --git a/zz-solutions/04-Lissajous/Board/concat/lissajous.lpf b/zz-solutions/04-Lissajous/Board/concat/lissajous.lpf new file mode 100644 index 0000000..2d14664 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/concat/lissajous.lpf @@ -0,0 +1,334 @@ +### For reference, see TN1262 / FPGA-TN-02032 +# .lpf file format is not really documented by Lattice, normally generated through Diamond + + +################ +#### sysCONFIG +################ + +# The BLOCK commands disable tracing of paths within clock domains (impacting overall timing score) +# It can also be used on paths if the TRACE should not consider the clock domain crossing +# like : BLOCK PATH FROM CLKNET "CLK_A" TO CLKNET "CLK_B" ; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +BLOCK JTAGPATHS ; +BLOCK RD_DURING_WR_PATHS ; + + +# Not comprehensive +# dflt : CONFIG_IOVOLTAGE 1.2, 1.5, 1.8, 2.5(dflt), 3.3 voltage is 3.3V +# dflt : COMPRESS_CONFIG OFF (dflt), ON no bitstream compression +# mod : MCCLK_FREQ 2.4, 4.8, 9.7, 19.4, 38.8, 62 NOR program read @ 62MHz +# mod : MASTER_SPI_PORT DISABLE (dflt), ENABLE master SPI port stays SPI and not GPIOs, other mods disabled by dflt +# dflt : BACKGROUND_RECONFIG - no soft ERC when hot-loading bitstream (due to cosmic rays) +# dflt : DONE_PULL ON (dflt), OFF IPU on DONE pin +# dflt : DONE_EX OFF (dflt), ON not delaying end of the configuration (used for daisy chaining FPGAs) +# mod : DONE_OD OFF (dflt), ON DONE pin as open-drain instead of push-pull +# dflt : CONFIG_SECURE OFF (dflt), ON allows external access to current program +# mod : CONFIG_MODE JTAG (dflt), SSPI, SPI_SERIAL, SPI_DUAL, SPI_QUAD, SLAVE_PARALLEL, SLAVE_SERIAL +# which bus and mode is used to load configuration (for the Lattic IDE) +# dflt : TRANSFR OFF (dflt), ON if using TransFR tool from Lattice +# dflt : WAKE_UP 4 (set DONE=1 before starting user code, dflt for DONE_EX=ON) +# 21 (set DONE=1 once FPGA is already running user code, dflt for DONE_EX=OFF) +# mod : INBUF ON, OFF disable unused input buffers (not sure it impacts the ECP5 family) +SYSCONFIG MCCLK_FREQ=62 MASTER_SPI_PORT=ENABLE DONE_OD=ON CONFIG_MODE=SPI_QUAD INBUF=OFF CONFIG_IOVOLTAGE=3.3 ; +IOBUF ALLPORTS IO_TYPE=LVCMOS33 ; + +################ +#### Labs DB +################ + +### Clock and reset ### +#INPUT_SETUP ALLPORTS 50.000000 ns HOLD 10.000000 ns CLKPORT "CLK" ; +#INPUT_SETUP PORT "nRST" 50.000000 ns CLKPORT "CLK" ; + +FREQUENCY PORT "clock" 100.000000 MHz ; +LOCATE COMP "clock" SITE "K16" ; +IOBUF PORT "clock" PULLMODE=NONE ; + +LOCATE COMP "reset_N" SITE "E13" ; +GSR_NET NET "resetSynch_N"; + + +### LEDs ### +LOCATE COMP "LED1" SITE "T14" ;# red +LOCATE COMP "LED2" SITE "R14" ;# green +LOCATE COMP "LED3" SITE "T15" ;# blue + + +################ +#### SODIMM-200 +################ + +### PP2 ### +LOCATE COMP "xOut" SITE "G3" ; +LOCATE COMP "yOut" SITE "E1" ; +#LOCATE COMP "" SITE "F3" ; +LOCATE COMP "triggerOut" SITE "D1" ; +#LOCATE COMP "" SITE "F4" ; +#LOCATE COMP "" SITE "C1" ; +#LOCATE COMP "" SITE "D7" ; +#LOCATE COMP "" SITE "B6" ; +#LOCATE COMP "" SITE "C7" ; +#LOCATE COMP "" SITE "A6" ; # PP2 11 +#LOCATE COMP "" SITE "D8" ; # PP2 13 +#LOCATE COMP "" SITE "B7" ; # PP2 15 +#LOCATE COMP "" SITE "C8" ; # PP2 17 +#LOCATE COMP "" SITE "A7" ; # PP2 19 +#LOCATE COMP "" SITE "E9" ; # PP2 21 +#LOCATE COMP "" SITE "A8" ; # PP2 23 +#LOCATE COMP "" SITE "D9" ; # PP2 25 + + +### PP1 ### +#LOCATE COMP "" SITE "A9" ; +#LOCATE COMP "" SITE "D10" ; +#LOCATE COMP "" SITE "A10" ; +#LOCATE COMP "" SITE "C10" ; +#LOCATE COMP "" SITE "B10" ; +#LOCATE COMP "" SITE "C12" ; +#LOCATE COMP "" SITE "B12" ; +#LOCATE COMP "" SITE "D13" ; +#LOCATE COMP "" SITE "A13" ; +#LOCATE COMP "" SITE "M5" ; # PP1 11 +#LOCATE COMP "" SITE "L5" ; # PP1 13 +#LOCATE COMP "" SITE "K5" ; # PP1 15 +#LOCATE COMP "" SITE "H5" ; # PP1 17 +#LOCATE COMP "" SITE "E8" ; # PP1 19 +#LOCATE COMP "" SITE "E5" ; # PP1 21 +#LOCATE COMP "" SITE "E6" ; # PP1 23 +#LOCATE COMP "" SITE "E7" ; # PP1 25 + + +### USB (FTDI2232HL located on the daughterboard) ### +#LOCATE COMP "TxD" SITE "A14" ; +#IOBUF PORT "TxD" SLEWRATE=FAST ; +#LOCATE COMP "RxD" SITE "B14" ; +#IOBUF PORT "RxD" PULLMODE=UP ; +#LOCATE COMP "USB_DB_RTS" SITE "B13" ; +#IOBUF PORT "USB_DB_RTS" SLEWRATE=FAST ; +#LOCATE COMP "USB_DB_CTS" SITE "C13" ; +#IOBUF PORT "USB_DB_CTS" PULLMODE=UP ; + + + +################ +#### Extras +################ + + +### SD Flash (External SD card) ### +#LOCATE COMP "SD_DETECT" SITE "G12" ; +#IOBUF PORT "SD_DETECT" PULLMODE=UP ; + +#LOCATE COMP "SD_CMD" SITE "C15" ; +#IOBUF PORT "SD_CMD" SLEWRATE=FAST ; +#LOCATE COMP "SD_CLK" SITE "B15" ; +#IOBUF PORT "SD_CLK" SLEWRATE=FAST ; + +#LOCATE COMP "SD_DTA[0]" SITE "B16" ; +##IOBUF PORT "SD_DTA[0]" SLEWRATE=FAST ; +#LOCATE COMP "SD_DTA[1]" SITE "C16" ; +##IOBUF PORT "SD_DTA[1]" SLEWRATE=FAST ; +#LOCATE COMP "SD_DTA[2]" SITE "F12" ; +##IOBUF PORT "SD_DTA[2]" SLEWRATE=FAST ; +#LOCATE COMP "SD_DTA[3]" SITE "C14" ; +##IOBUF PORT "SD_DTA[3]" SLEWRATE=FAST ; + + +### DRAM ### +#LOCATE COMP "DRAM_ADDR[0]" SITE "J15" ; +#IOBUF PORT "DRAM_ADDR[0]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[1]" SITE "L16" ; +#IOBUF PORT "DRAM_ADDR[1]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[2]" SITE "L15" ; +#IOBUF PORT "DRAM_ADDR[2]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[3]" SITE "K15" ; +#IOBUF PORT "DRAM_ADDR[3]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[4]" SITE "G15" ; +#IOBUF PORT "DRAM_ADDR[4]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[5]" SITE "F15" ; +#IOBUF PORT "DRAM_ADDR[5]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[6]" SITE "F16" ; +#IOBUF PORT "DRAM_ADDR[6]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[7]" SITE "E16" ; +#IOBUF PORT "DRAM_ADDR[7]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[8]" SITE "E15" ; +#IOBUF PORT "DRAM_ADDR[8]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[9]" SITE "G13" ; +#IOBUF PORT "DRAM_ADDR[9]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[10]" SITE "M16" ; +#IOBUF PORT "DRAM_ADDR[10]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[11]" SITE "F13" ; +#IOBUF PORT "DRAM_ADDR[11]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[12]" SITE "D16" ; +#IOBUF PORT "DRAM_ADDR[12]" SLEWRATE=FAST ; + +#LOCATE COMP "DRAM_BA[0]" SITE "L14" ; +#IOBUF PORT "DRAM_BA[0]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_BA[1]" SITE "L13" ; +#IOBUF PORT "DRAM_BA[1]" SLEWRATE=FAST ; + +#LOCATE COMP "DRAM_CLK" SITE "G14" ; +#IOBUF PORT "DRAM_CLK" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_CKE" SITE "G16" ; +#IOBUF PORT "DRAM_CKE" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nRAS" SITE "M14" ; +#IOBUF PORT "DRAM_nRAS" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nCAS" SITE "K13" ; +#IOBUF PORT "DRAM_nCAS" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nWE" SITE "N16" ; +#IOBUF PORT "DRAM_nWE" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nCS" SITE "M15" ; + +#LOCATE COMP "DRAM_DQ[0]" SITE "P14" ; +#LOCATE COMP "DRAM_DQ[1]" SITE "R15" ; +#LOCATE COMP "DRAM_DQ[2]" SITE "N14" ; +#LOCATE COMP "DRAM_DQ[3]" SITE "R16" ; +#LOCATE COMP "DRAM_DQ[4]" SITE "J14" ; +#LOCATE COMP "DRAM_DQ[5]" SITE "P15" ; +#LOCATE COMP "DRAM_DQ[6]" SITE "K14" ; +#LOCATE COMP "DRAM_DQ[7]" SITE "P16" ; +#LOCATE COMP "DRAM_DQ[8]" SITE "D14" ; +#LOCATE COMP "DRAM_DQ[9]" SITE "H14" ; +#LOCATE COMP "DRAM_DQ[10]" SITE "H12" ; +#LOCATE COMP "DRAM_DQ[11]" SITE "H13" ; +#LOCATE COMP "DRAM_DQ[12]" SITE "E14" ; +#LOCATE COMP "DRAM_DQ[13]" SITE "H15" ; +#LOCATE COMP "DRAM_DQ[14]" SITE "J13" ; +#LOCATE COMP "DRAM_DQ[15]" SITE "J16" ; + +#LOCATE COMP "DRAM_DQM[0]" SITE "M13" ; +#IOBUF PORT "DRAM_DQM[0]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_DQM[1]" SITE "F14" ; +#IOBUF PORT "DRAM_DQM[1]" SLEWRATE=FAST ; + + + + +### USB (chip located on the motherboard) ### +#LOCATE COMP "USB_MB_TX" SITE "M11" ; +#IOBUF PORT "USB_MB_TX" SLEWRATE=FAST ; +#LOCATE COMP "USB_MB_RX" SITE "N12" ; +#IOBUF PORT "USB_MB_RX" PULLMODE=UP ; +#LOCATE COMP "USB_MB_RTS" SITE "N11" ; +#IOBUF PORT "USB_MB_RTS" SLEWRATE=FAST ; +#LOCATE COMP "USB_MB_CTS" SITE "M12" ; +#IOBUF PORT "USB_MB_CTS" PULLMODE=UP ; + + +### PMOD1 ### +#LOCATE COMP "dbg_leds[16]" SITE "P1" ; +#LOCATE COMP "dbg_leds[17]" SITE "N4" ; +#LOCATE COMP "dbg_leds[18]" SITE "P2" ; +#LOCATE COMP "dbg_leds[19]" SITE "P5" ; +#LOCATE COMP "dbg_leds[20]" SITE "R1" ; +#LOCATE COMP "dbg_leds[21]" SITE "N5" ; +#LOCATE COMP "dbg_leds[22]" SITE "R2" ; +#LOCATE COMP "dbg_leds[23]" SITE "N6" ; + + +### PMOD2 ### +#LOCATE COMP "dbg_leds[24]" SITE "R3" ; +#LOCATE COMP "dbg_leds[25]" SITE "P11" ; +#LOCATE COMP "dbg_leds[26]" SITE "P12" ; +#LOCATE COMP "dbg_leds[27]" SITE "T3" ; +#LOCATE COMP "dbg_leds[28]" SITE "R4" ; +#LOCATE COMP "dbg_leds[29]" SITE "R12" ; +#LOCATE COMP "dbg_leds[30]" SITE "T13" ; +#LOCATE COMP "dbg_leds[31]" SITE "R5" ; + + +### PMOD3 ### +#LOCATE COMP "dbg_leds[8]" SITE "B2" ; +#LOCATE COMP "dbg_leds[9]" SITE "B3" ; +#LOCATE COMP "dbg_leds[10]" SITE "A4" ; +#LOCATE COMP "dbg_leds[11]" SITE "D4" ; +#LOCATE COMP "dbg_leds[12]" SITE "A2" ; +#LOCATE COMP "dbg_leds[13]" SITE "B4" ; +#LOCATE COMP "dbg_leds[14]" SITE "C3" ; +#LOCATE COMP "dbg_leds[15]" SITE "C4" ; + + +### PMOD4 ### +#LOCATE COMP "dbg_leds[0]" SITE "J4" ; +#LOCATE COMP "dbg_leds[1]" SITE "J5" ; +#LOCATE COMP "dbg_leds[2]" SITE "H4" ; +#LOCATE COMP "dbg_leds[3]" SITE "E4" ; +#LOCATE COMP "dbg_leds[4]" SITE "J3" ; +#LOCATE COMP "dbg_leds[5]" SITE "H3" ; +#LOCATE COMP "dbg_leds[6]" SITE "E3" ; +#LOCATE COMP "dbg_leds[7]" SITE "D3" ; + + +### Ethernet ### +#LOCATE COMP "ETH_CLK_EN" SITE "B1" ; +#LOCATE COMP "ETH_nRESET" SITE "C2" ; + +#LOCATE COMP "ETH_nLED_Y" SITE "F1" ; +#LOCATE COMP "ETH_nLED_G" SITE "G2" ; + +#LOCATE COMP "ETH_MDC" SITE "J1" ; +#LOCATE COMP "ETH_MDIO" SITE "H2" ; +#IOBUF PORT "ETH_MDIO" OPENDRAIN=ON SLEWRATE=FAST ; +#LOCATE COMP "ETH_MDINT" SITE "G1" ; +#IOBUF PORT "ETH_MDINT" SLEWRATE=FAST ; + +#LOCATE COMP "ETH_REF_CLK" SITE "P3" ; + +#LOCATE COMP "ETH_TX_CLK" SITE "M4" ; +#IOBUF PORT "ETH_TX_CLK" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TX_CTL" SITE "N3" ; +#IOBUF PORT "ETH_TX_CTL" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[0]" SITE "M3" ; +#IOBUF PORT "ETH_TXD[0]" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[1]" SITE "L4" ; +#IOBUF PORT "ETH_TXD[1]" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[2]" SITE "K4" ; +#IOBUF PORT "ETH_TXD[2]" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[3]" SITE "K3" ; +#IOBUF PORT "ETH_TXD[3]" SLEWRATE=FAST ; + +#LOCATE COMP "ETH_RX_CLK" SITE "K1" ; +#LOCATE COMP "ETH_RX_CTL" SITE "K2" ; +#LOCATE COMP "ETH_RXD[0]" SITE "L1" ; +#LOCATE COMP "ETH_RXD[1]" SITE "L2" ; +#LOCATE COMP "ETH_RXD[2]" SITE "M1" ; +#LOCATE COMP "ETH_RXD[3]" SITE "M2" ; + + +### Extras ### +#LOCATE COMP "EXT[1]" SITE "P13" ; +#LOCATE COMP "EXT[2]" SITE "R13" ; +#LOCATE COMP "EXT[3]" SITE "A3" ; +#LOCATE COMP "EXT[4]" SITE "A5" ; +#LOCATE COMP "EXT[5]" SITE "B5" ; +#LOCATE COMP "EXT[6]" SITE "C5" ; +#LOCATE COMP "EXT[7]" SITE "C6" ; +#LOCATE COMP "EXT[8]" SITE "D5" ; +#LOCATE COMP "EXT[9]" SITE "D6" ; +#LOCATE COMP "EXT[10]" SITE "A11" ; +#LOCATE COMP "EXT[11]" SITE "A12" ; +#LOCATE COMP "EXT[12]" SITE "B8" ; +#LOCATE COMP "EXT[13]" SITE "B9" ; +#LOCATE COMP "EXT[14]" SITE "B11" ; +#LOCATE COMP "EXT[15]" SITE "C9" ; +#LOCATE COMP "EXT[16]" SITE "C11" ; +#LOCATE COMP "EXT[17]" SITE "D11" ; +#LOCATE COMP "EXT[18]" SITE "D12" ; +#LOCATE COMP "EXT[19]" SITE "E10" ; +#LOCATE COMP "EXT[20]" SITE "E11" ; +#LOCATE COMP "EXT[21]" SITE "E12" ; +#LOCATE COMP "EXT[22]" SITE "L3" ; +#LOCATE COMP "EXT[23]" SITE "M6" ; +#LOCATE COMP "EXT[24]" SITE "N1" ; +#LOCATE COMP "EXT[25]" SITE "P4" ; +#LOCATE COMP "EXT[26]" SITE "P6" ; +#LOCATE COMP "EXT[27]" SITE "T2" ; +#LOCATE COMP "EXT[28]" SITE "T4" ; +#LOCATE COMP "EXT[29]" SITE "E2" ; +#LOCATE COMP "EXT[30]" SITE "F2" ; +#LOCATE COMP "EXT[31]" SITE "F5" ; +#LOCATE COMP "EXT[32]" SITE "G4" ; +#LOCATE COMP "EXT[33]" SITE "G5" ; +#LOCATE COMP "EXT[34]" SITE "J2" ; diff --git a/zz-solutions/04-Lissajous/Board/concat/lissajous.ucf b/zz-solutions/04-Lissajous/Board/concat/lissajous.ucf new file mode 100644 index 0000000..b145465 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/concat/lissajous.ucf @@ -0,0 +1,19 @@ +#------------------------------------------------------------------------------- +# Clock and reset +# +NET "clock" LOC = "A10"; +NET "reset_N" LOC = "D3" | PULLUP; + +#------------------------------------------------------------------------------- +# Analog outputs +# +NET "xOut" LOC = "G4" | IOSTANDARD = LVTTL | DRIVE = 2 | SLEW = SLOW; +NET "yOut" LOC = "G5" | IOSTANDARD = LVTTL | DRIVE = 2 | SLEW = SLOW; +#NET "xOut" LOC = "G4" ; +#NET "yOut" LOC = "G5" ; + +#------------------------------------------------------------------------------- +# Trigger output +# +NET "triggerOut" LOC = "D2" | IOSTANDARD = LVTTL | DRIVE = 2 | SLEW = SLOW; +#NET "triggerOut" LOC = "D2" ; diff --git a/zz-solutions/04-Lissajous/Board/concat/lissajous.vhd b/zz-solutions/04-Lissajous/Board/concat/lissajous.vhd new file mode 100644 index 0000000..02ed33b --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/concat/lissajous.vhd @@ -0,0 +1,1585 @@ +-- VHDL Entity Board.lissajousGenerator_circuit_EBS3.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 17:45:49 01.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY lissajousGenerator_circuit_EBS3 IS + PORT( + clock : IN std_ulogic; + reset_N : IN std_ulogic; + triggerOut : OUT std_ulogic; + xOut : OUT std_ulogic; + yOut : OUT std_ulogic + ); + +-- Declarations + +END lissajousGenerator_circuit_EBS3 ; + + + + + +-- VHDL Entity Board.DFF.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:05 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY DFF IS + PORT( + CLK : IN std_uLogic; + CLR : IN std_uLogic; + D : IN std_uLogic; + Q : OUT std_uLogic + ); + +-- Declarations + +END DFF ; + + + + + +ARCHITECTURE sim OF DFF IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0'; + elsif rising_edge(clk) then + q <= d; + end if; + end process; + +END ARCHITECTURE sim; + + + + + +-- VHDL Entity Board.inverterIn.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY inverterIn IS + PORT( + in1 : IN std_uLogic; + out1 : OUT std_uLogic + ); + +-- Declarations + +END inverterIn ; + + + + + +ARCHITECTURE sim OF inverterIn IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + + + + + +-- VHDL Entity Lissajous.lissajousGenerator.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:53 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY lissajousGenerator IS + GENERIC( + signalBitNb : positive := 16; + phaseBitNb : positive := 16; + stepX : positive := 1; + stepY : positive := 1 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + triggerOut : OUT std_ulogic; + xOut : OUT std_ulogic; + yOut : OUT std_ulogic + ); + +-- Declarations + +END lissajousGenerator ; + + + + + +-- VHDL Entity DigitalToAnalogConverter.DAC.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:06:08 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY DAC IS + GENERIC( + signalBitNb : positive := 16 + ); + PORT( + serialOut : OUT std_ulogic; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic + ); + +-- Declarations + +END DAC ; + + + + + +ARCHITECTURE masterVersion OF DAC IS + + signal parallelIn1: unsigned(parallelIn'range); + signal integrator: unsigned(parallelIn'high+1 downto 0); + signal quantized: std_ulogic; + +BEGIN + +-- parallelIn1 <= parallelIn; + parallelIn1 <= parallelIn/2 + 2**(parallelIn'length-2); + + integrate: process(reset, clock) + begin + if reset = '1' then + integrator <= (others => '0'); + elsif rising_edge(clock) then + if quantized = '0' then + integrator <= integrator + parallelIn1; + else + integrator <= integrator + parallelIn1 - 2**parallelIn'length; + end if; + end if; + end process integrate; + + quantized <= integrator(integrator'high); + + serialOut <= quantized; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.sineGen.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:40 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sineGen IS + GENERIC( + signalBitNb : positive := 16; + phaseBitNb : positive := 10 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sineGen ; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorCoefficients.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:20 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCoefficients IS + GENERIC( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + +-- Declarations + +END interpolatorCoefficients ; + + + + + +ARCHITECTURE masterVersion OF interpolatorCoefficients IS +BEGIN + + calcCoeffs: process(interpolateLinear, sample1, sample2, sample3, sample4) + begin + if interpolateLinear = '1' then + a <= (others => '0'); + b <= (others => '0'); + c <= resize(2*sample3, c'length) + - resize(2*sample2, c'length); + d <= resize( sample2, d'length); + else + a <= resize( sample4, a'length) + - resize(3*sample3, a'length) + + resize(3*sample2, a'length) + - resize( sample1, a'length); + b <= resize(2*sample1, b'length) + - resize(5*sample2, b'length) + + resize(4*sample3, b'length) + - resize( sample4, b'length); + c <= resize( sample3, c'length) + - resize( sample1, c'length); + d <= resize( sample2, d'length); + end if; + end process calcCoeffs; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity WaveformGenerator.sawtoothGen.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothGen IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END sawtoothGen ; + + + + + +ARCHITECTURE masterVersion OF sawtoothGen IS + + signal counter: unsigned(sawtooth'range); + +begin + + count: process(reset, clock) + begin + if reset = '1' then + counter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + counter <= counter + step; + end if; + end if; + end process count; + + sawtooth <= counter; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorShiftRegister.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:24 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorShiftRegister IS + GENERIC( + signalBitNb : positive := 16 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + shiftSamples : IN std_ulogic; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END interpolatorShiftRegister ; + + + + + +ARCHITECTURE masterVersion OF interpolatorShiftRegister IS + + -- signal sample4_int: signed(sampleIn'range); + -- signal sample3_int: signed(sampleIn'range); + -- signal sample2_int: signed(sampleIn'range); + -- signal sample1_int: signed(sampleIn'range); + + type samplesArray is array(3 downto 0) of signed(sampleIn'range); + signal samples: samplesArray; + +begin + + shiftThem: process(reset, clock) + begin + if reset = '1' then + samples <= (others=>(others=>'0')); + -- sample1_int <= (others => '0'); + -- sample2_int <= (others => '0'); + -- sample3_int <= (others => '0'); + -- sample4_int <= (others => '0'); + elsif rising_edge(clock) then + if shiftSamples = '1' then + -- sample1_int <= sample2_int; + -- sample2_int <= sample3_int; + -- sample3_int <= sample4_int; + -- sample4_int <= sampleIn; + samples(0) <= samples(1); + samples(1) <= samples(2); + samples(2) <= samples(3); + samples(3) <= sampleIn; + end if; + end if; + end process shiftThem; + + sample4 <= samples(3); + sample3 <= samples(2); + sample2 <= samples(1); + sample1 <= samples(0); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.sineTable.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:46 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sineTable IS + GENERIC( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sineTable ; + + + + + +ARCHITECTURE masterVersion OF sineTable IS + + signal changeSign : std_uLogic; + signal flipPhase : std_uLogic; + signal phaseTableAddress1 : unsigned(tableAddressBitNb-1 downto 0); + signal phaseTableAddress2 : unsigned(phaseTableAddress1'range); + signal quarterSine : signed(sine'range); + + signal shiftPhase : std_uLogic := '0'; -- can be used to build a cosine + +begin + + changeSign <= phase(phase'high); + flipPhase <= phase(phase'high-1); + + phaseTableAddress1 <= phase(phase'high-2 downto phase'high-2-tableAddressBitNb+1); + + checkPhase: process(flipPhase, shiftPhase, phaseTableAddress1) + begin + if (flipPhase xor shiftPhase) = '0' then + phaseTableAddress2 <= phaseTableAddress1; + else + phaseTableAddress2 <= 0 - phaseTableAddress1; + end if; + end process checkPhase; + + + quarterTable: process(phaseTableAddress2, flipPhase, shiftPhase) + begin + case to_integer(phaseTableAddress2) is + when 0 => if (flipPhase xor shiftPhase) = '0' then + quarterSine <= to_signed(16#0000#, quarterSine'length); + else + quarterSine <= to_signed(16#7FFF#, quarterSine'length); + end if; + when 1 => quarterSine <= to_signed(16#18F9#, quarterSine'length); + when 2 => quarterSine <= to_signed(16#30FB#, quarterSine'length); + when 3 => quarterSine <= to_signed(16#471C#, quarterSine'length); + when 4 => quarterSine <= to_signed(16#5A82#, quarterSine'length); + when 5 => quarterSine <= to_signed(16#6A6D#, quarterSine'length); + when 6 => quarterSine <= to_signed(16#7641#, quarterSine'length); + when 7 => quarterSine <= to_signed(16#7D89#, quarterSine'length); + when others => quarterSine <= (others => '-'); + end case; + end process quarterTable; + + checkSign: process(changeSign, flipPhase, shiftPhase, quarterSine) + begin + if (changeSign xor (flipPhase and shiftPhase)) = '0' then + sine <= quarterSine; + else + sine <= 0 - quarterSine; + end if; + end process checkSign; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.resizer.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:36 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY resizer IS + GENERIC( + inputBitNb : positive := 16; + outputBitNb : positive := 16 + ); + PORT( + resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0); + resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END resizer ; + + + + + +ARCHITECTURE masterVersion OF resizer IS + +BEGIN + + outGtIn: if resizeOut'length > resizeIn'length generate + begin + resizeOut <= shift_left( + resize( + resizeIn, + resizeOut'length + ), + resizeOut'length-resizeIn'length + ); + end generate outGtIn; + + outEqIn: if resizeOut'length = resizeIn'length generate + begin + resizeOut <= resizeIn; + end generate outEqIn; + + outLtIn: if resizeOut'length < resizeIn'length generate + begin + resizeOut <= resize( + shift_right( + resizeIn, + resizeIn'length-resizeOut'length + ), + resizeOut'length + ); + end generate outLtIn; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorCalculatePolynom.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCalculatePolynom IS + GENERIC( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + restartPolynom : IN std_ulogic; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorCalculatePolynom ; + + + + + +ARCHITECTURE masterVersion OF interpolatorCalculatePolynom IS + + constant additionalBitNb: positive := 1; + constant internalsBitNb: positive := signalBitNb + 3*oversamplingBitNb + 1 + + additionalBitNb; + signal x: signed(internalsBitNb-1 downto 0); + signal u: signed(internalsBitNb-1 downto 0); + signal v: signed(internalsBitNb-1 downto 0); + signal w: signed(internalsBitNb-1 downto 0); + +BEGIN + + iterativePolynom: process(reset, clock) + begin + if reset = '1' then + x <= (others => '0'); + u <= (others => '0'); + v <= (others => '0'); + w <= (others => '0'); + sampleOut <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + if restartPolynom = '1' then + x <= shift_left(resize(2*d, x'length), 3*oversamplingBitNb); + u <= resize(a, u'length) + + shift_left(resize(b, u'length), oversamplingBitNb) + + shift_left(resize(c, u'length), 2*oversamplingBitNb); + v <= resize(6*a, v'length) + + shift_left(resize(2*b, v'length), oversamplingBitNb); + w <= resize(6*a, w'length); + sampleOut <= resize(d, sampleOut'length); + else + x <= x + u; + u <= u + v; + v <= v + w; + sampleOut <= resize( + shift_right(x, 3*oversamplingBitNb+1), sampleOut'length + ); + -- limit overflow + if x(x'high downto x'high-additionalBitNb) = "01" then + sampleOut <= not shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + -- limit underflow + if x(x'high downto x'high-additionalBitNb) = "10" then + sampleOut <= shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + end if; + end if; + end if; + end process iterativePolynom; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity WaveformGenerator.sawtoothToSquare.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothToSquare IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + square : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sawtoothToSquare ; + + + + + +ARCHITECTURE masterVersion OF sawtoothToSquare IS +BEGIN + + square <= (others => sawtooth(sawtooth'high)); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity WaveformGenerator.sawtoothToTriangle.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothToTriangle IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + triangle : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sawtoothToTriangle ; + + + + + +ARCHITECTURE masterVersion OF sawtoothToTriangle IS + + signal MSB: std_uLogic; + signal triangleInt: unsigned(triangle'range); + +begin + + MSB <= sawtooth(sawtooth'high); + + foldDown: process(MSB, sawtooth) + begin + if MSB = '0' then + triangleInt <= sawtooth; + else + triangleInt <= not sawtooth; + end if; + end process foldDown; + + triangle <= triangleInt(triangleInt'high-1 downto 0) & '0'; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.interpolatorTrigger.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:28 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorTrigger IS + GENERIC( + counterBitNb : positive := 4 + ); + PORT( + triggerOut : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorTrigger ; + + + + + +ARCHITECTURE masterVersion OF interpolatorTrigger IS + + signal triggerCounter: unsigned(counterBitNb-1 downto 0); + +BEGIN + + count: process(reset, clock) + begin + if reset = '1' then + triggerCounter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + triggerCounter <= triggerCounter + 1; + end if; + end if; + end process count; + + trig: process(triggerCounter, en) + begin + if triggerCounter = 0 then + triggerOut <= en; + else + triggerOut <= '0'; + end if; + end process trig; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.offsetToUnsigned.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:32 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY offsetToUnsigned IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END offsetToUnsigned ; + + + + + +ARCHITECTURE masterVersion OF offsetToUnsigned IS + +BEGIN + + unsignedOut <= not(signedIn(signedIn'high)) & unsigned(signedIn(signedIn'high-1 downto 0)); + +END ARCHITECTURE masterVersion; + + + + +-- +-- VHDL Architecture SplineInterpolator.sineGen.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:42:04 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY SplineInterpolator; +-- LIBRARY WaveformGenerator; + +ARCHITECTURE struct OF sineGen IS + + -- Architecture declarations + constant tableAddressBitNb : positive := 3; + constant sampleCountBitNb : positive := phaseBitNb-2-tableAddressBitNb; + constant coeffBitNb : positive := signalBitNb+4; + + -- Internal signal declarations + SIGNAL a : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL b : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL c : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL d : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_ulogic; + SIGNAL newPolynom : std_ulogic; + SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL sample1 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample2 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample3 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample4 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sineSamples : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sineSigned : signed(signalBitNb-1 DOWNTO 0); + + -- Implicit buffer signal declarations + SIGNAL sawtooth_internal : unsigned (signalBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT interpolatorCalculatePolynom + GENERIC ( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + restartPolynom : IN std_ulogic ; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorCoefficients + GENERIC ( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT ( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorShiftRegister + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + shiftSamples : IN std_ulogic ; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT interpolatorTrigger + GENERIC ( + counterBitNb : positive := 4 + ); + PORT ( + triggerOut : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT offsetToUnsigned + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT resizer + GENERIC ( + inputBitNb : positive := 16; + outputBitNb : positive := 16 + ); + PORT ( + resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0); + resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sineTable + GENERIC ( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT ( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothGen + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT sawtoothToSquare + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + square : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothToTriangle + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + triangle : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : interpolatorCalculatePolynom USE ENTITY SplineInterpolator.interpolatorCalculatePolynom; +-- FOR ALL : interpolatorCoefficients USE ENTITY SplineInterpolator.interpolatorCoefficients; +-- FOR ALL : interpolatorShiftRegister USE ENTITY SplineInterpolator.interpolatorShiftRegister; +-- FOR ALL : interpolatorTrigger USE ENTITY SplineInterpolator.interpolatorTrigger; +-- FOR ALL : offsetToUnsigned USE ENTITY SplineInterpolator.offsetToUnsigned; +-- FOR ALL : resizer USE ENTITY SplineInterpolator.resizer; +-- FOR ALL : sawtoothGen USE ENTITY WaveformGenerator.sawtoothGen; +-- FOR ALL : sawtoothToSquare USE ENTITY WaveformGenerator.sawtoothToSquare; +-- FOR ALL : sawtoothToTriangle USE ENTITY WaveformGenerator.sawtoothToTriangle; +-- FOR ALL : sineTable USE ENTITY SplineInterpolator.sineTable; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 2 eb2 + logic1 <= '1'; + + -- HDL Embedded Text Block 3 eb3 + logic0 <= '0'; + + + -- Instance port mappings. + I_spline : interpolatorCalculatePolynom + GENERIC MAP ( + signalBitNb => signalBitNb, + coeffBitNb => coeffBitNb, + oversamplingBitNb => sampleCountBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + restartPolynom => newPolynom, + d => d, + sampleOut => sineSigned, + c => c, + b => b, + a => a, + en => logic1 + ); + I_coeffs : interpolatorCoefficients + GENERIC MAP ( + bitNb => signalBitNb, + coeffBitNb => coeffBitNb + ) + PORT MAP ( + sample1 => sample1, + sample2 => sample2, + sample3 => sample3, + sample4 => sample4, + a => a, + b => b, + c => c, + d => d, + interpolateLinear => logic0 + ); + I_shReg : interpolatorShiftRegister + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + shiftSamples => newPolynom, + sampleIn => sineSamples, + sample1 => sample1, + sample2 => sample2, + sample3 => sample3, + sample4 => sample4 + ); + I_trig : interpolatorTrigger + GENERIC MAP ( + counterBitNb => sampleCountBitNb + ) + PORT MAP ( + triggerOut => newPolynom, + clock => clock, + reset => reset, + en => logic1 + ); + I_unsigned : offsetToUnsigned + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + unsignedOut => sine, + signedIn => sineSigned + ); + I_size : resizer + GENERIC MAP ( + inputBitNb => phaseBitNb, + outputBitNb => signalBitNb + ) + PORT MAP ( + resizeOut => sawtooth_internal, + resizeIn => phase + ); + I_sin : sineTable + GENERIC MAP ( + inputBitNb => phaseBitNb, + outputBitNb => signalBitNb, + tableAddressBitNb => tableAddressBitNb + ) + PORT MAP ( + sine => sineSamples, + phase => phase + ); + I_saw : sawtoothGen + GENERIC MAP ( + bitNb => phaseBitNb + ) + PORT MAP ( + sawtooth => phase, + clock => clock, + reset => reset, + step => step, + en => logic1 + ); + I_square : sawtoothToSquare + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + square => square, + sawtooth => sawtooth_internal + ); + I_tri : sawtoothToTriangle + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + triangle => triangle, + sawtooth => sawtooth_internal + ); + + -- Implicit buffered output assignments + sawtooth <= sawtooth_internal; + +END struct; + + + + +-- +-- VHDL Architecture Lissajous.lissajousGenerator.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:47:09 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY DigitalToAnalogConverter; +-- LIBRARY SplineInterpolator; + +ARCHITECTURE struct OF lissajousGenerator IS + + -- Architecture declarations + + -- Internal signal declarations + SIGNAL sineX : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL sineY : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL squareY : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL stepXUnsigned : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL stepYUnsigned : unsigned(phaseBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT DAC + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + serialOut : OUT std_ulogic ; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic + ); + END COMPONENT; + COMPONENT sineGen + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 10 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : DAC USE ENTITY DigitalToAnalogConverter.DAC; +-- FOR ALL : sineGen USE ENTITY SplineInterpolator.sineGen; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + triggerOut <= squareY(squareY'high); + + -- HDL Embedded Text Block 2 eb2 + stepXUnsigned <= to_unsigned(stepX, stepXUnsigned'length); + + -- HDL Embedded Text Block 3 eb3 + stepYUnsigned <= to_unsigned(stepY, stepYUnsigned'length); + + + -- Instance port mappings. + I_dacX : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => xOut, + parallelIn => sineX, + clock => clock, + reset => reset + ); + I_dacY : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => yOut, + parallelIn => sineY, + clock => clock, + reset => reset + ); + I_sinX : sineGen + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + step => stepXUnsigned, + sawtooth => OPEN, + sine => sineX, + square => OPEN, + triangle => OPEN + ); + I_sinY : sineGen + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + step => stepYUnsigned, + sawtooth => OPEN, + sine => sineY, + square => squareY, + triangle => OPEN + ); + +END struct; + + + + +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.12.1.454 +-- Module Version: 5.7 +--C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n pll -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00 -type pll -fin 100.00 -fclkop 60 -fclkop_tol 10.0 -fclkos 75 -fclkos_tol 10.0 -phases 0 -fclkos2 50 -fclkos2_tol 10.0 -phases2 0 -fclkos3 10 -fclkos3_tol 10.0 -phases3 0 -phase_cntl STATIC -enable_s -enable_s2 -enable_s3 -pllLocked -fb_mode 1 -fdc C:/temp/clocker/pll/pll.fdc + +-- Offers 10MHz, 50MHz, 60MHz and 75MHz clocks + +library IEEE; + use IEEE.std_logic_1164.all; +library ECP5U; + use ECP5U.components.all; + +ENTITY pll IS + PORT( + clkIn100M : IN std_ulogic; + en75M : IN std_ulogic; + en50M : IN std_ulogic; + en10M : IN std_ulogic; + clk60MHz : OUT std_ulogic; + clk75MHz : OUT std_ulogic; + clk50MHz : OUT std_ulogic; + clk10MHz : OUT std_ulogic; + pllLocked : OUT std_ulogic + ); + +-- Declarations + +END pll ; + +architecture rtl of pll is + + -- internal signal declarations + signal REFCLK: std_logic; + signal CLKOS3_t: std_logic; + signal CLKOS2_t: std_logic; + signal CLKOS_t: std_logic; + signal CLKOP_t: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + + attribute FREQUENCY_PIN_CLKOS3 : string; + attribute FREQUENCY_PIN_CLKOS2 : string; + attribute FREQUENCY_PIN_CLKOS : string; + attribute FREQUENCY_PIN_CLKOP : string; + attribute FREQUENCY_PIN_CLKI : string; + attribute ICP_CURRENT : string; + attribute LPF_RESISTOR : string; + attribute FREQUENCY_PIN_CLKOS3 of PLLInst_0 : label is "10.000000"; + attribute FREQUENCY_PIN_CLKOS2 of PLLInst_0 : label is "50.000000"; + attribute FREQUENCY_PIN_CLKOS of PLLInst_0 : label is "75.000000"; + attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "60.000000"; + attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "100.000000"; + attribute ICP_CURRENT of PLLInst_0 : label is "5"; + attribute LPF_RESISTOR of PLLInst_0 : label is "16"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of rtl : architecture is 1; + +begin + -- component instantiation statements + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + PLLInst_0: EHXPLLL + generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", + STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", + CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 59, CLKOS2_FPHASE=> 0, + CLKOS2_CPHASE=> 11, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 7, + CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 9, PLL_LOCK_MODE=> 0, + CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", + CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", + OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", + OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", + OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "DISABLED", + OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 60, + CLKOS2_DIV=> 12, CLKOS_DIV=> 8, CLKOP_DIV=> 10, CLKFB_DIV=> 3, + CLKI_DIV=> 5, FEEDBK_PATH=> "CLKOP") + port map (CLKI=>clkIn100M, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo, + PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, + PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, + STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, + ENCLKOP=>scuba_vlo, ENCLKOS=>en75M, ENCLKOS2=>en50M, + ENCLKOS3=>en10M, CLKOP=>CLKOP_t, CLKOS=>CLKOS_t, + CLKOS2=>CLKOS2_t, CLKOS3=>CLKOS3_t, LOCK=>pllLocked, + INTLOCK=>open, REFCLK=>REFCLK, CLKINTFB=>open); + + clk10MHz <= CLKOS3_t; + clk50MHz <= CLKOS2_t; + clk75MHz <= CLKOS_t; + clk60MHz <= CLKOP_t; +end rtl; + + + + +-- +-- VHDL Architecture Board.lissajousGenerator_circuit_EBS3.masterVersion +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 17:45:49 01.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY Board; +-- LIBRARY Lattice; +-- LIBRARY Lissajous; + +ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS3 IS + + -- Architecture declarations + constant signalBitNb: positive := 16; + constant phaseBitNb: positive := 17; + constant stepX: positive := 3; + constant stepY: positive := 4; + + -- Internal signal declarations + SIGNAL clkSys : std_ulogic; + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_uLogic; + SIGNAL reset : std_ulogic; + SIGNAL resetSynch : std_ulogic; + SIGNAL resetSynch_N : std_ulogic; + + + -- Component Declarations + COMPONENT DFF + PORT ( + CLK : IN std_uLogic ; + CLR : IN std_uLogic ; + D : IN std_uLogic ; + Q : OUT std_uLogic + ); + END COMPONENT; + COMPONENT inverterIn + PORT ( + in1 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT pll + PORT ( + clkIn100M : IN std_ulogic ; + en75M : IN std_ulogic ; + en50M : IN std_ulogic ; + en10M : IN std_ulogic ; + clk60MHz : OUT std_ulogic ; + clk75MHz : OUT std_ulogic ; + clk50MHz : OUT std_ulogic ; + clk10MHz : OUT std_ulogic ; + pllLocked : OUT std_ulogic + ); + END COMPONENT; + COMPONENT lissajousGenerator + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 16; + stepX : positive := 1; + stepY : positive := 1 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + triggerOut : OUT std_ulogic ; + xOut : OUT std_ulogic ; + yOut : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : DFF USE ENTITY Board.DFF; +-- FOR ALL : inverterIn USE ENTITY Board.inverterIn; +-- FOR ALL : lissajousGenerator USE ENTITY Lissajous.lissajousGenerator; +-- FOR ALL : pll USE ENTITY Lattice.pll; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 5 eb5 + logic1 <= '1'; + + -- HDL Embedded Text Block 6 eb6 + logic0 <= '0'; + + + -- Instance port mappings. + I_dff : DFF + PORT MAP ( + CLK => clock, + CLR => reset, + D => logic1, + Q => resetSynch_N + ); + I_inv1 : inverterIn + PORT MAP ( + in1 => reset_N, + out1 => reset + ); + I_inv2 : inverterIn + PORT MAP ( + in1 => resetSynch_N, + out1 => resetSynch + ); + U_pll : pll + PORT MAP ( + clkIn100M => clock, + en75M => logic0, + en50M => logic0, + en10M => logic0, + clk60MHz => clkSys, + clk75MHz => OPEN, + clk50MHz => OPEN, + clk10MHz => OPEN, + pllLocked => OPEN + ); + I_main : lissajousGenerator + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb, + stepX => stepX, + stepY => stepY + ) + PORT MAP ( + clock => clkSys, + reset => resetSynch, + triggerOut => triggerOut, + xOut => xOut, + yOut => yOut + ); + +END masterVersion; + + + + diff --git a/zz-solutions/04-Lissajous/Board/diamond/lissajous.bit b/zz-solutions/04-Lissajous/Board/diamond/lissajous.bit new file mode 100644 index 0000000000000000000000000000000000000000..085c23452dbbfbbad130d42a737ac6db6d1cee4f GIT binary patch literal 582677 zcmeF43wRt=`TyUU%x*TNz^0^STMJA=C~4)ALV53#O%odV_6Ap)I$zl$(m2 z4WXon{!#=Ch`5CUr6LN7peVnz6fB5ZuA%}WUXYuJ;!jjA|L>W!&73o{Y0u04pC=FQ z5tG@O?5E%NJ@@OpPt)fvTek3&`Tp_q&s=!Q*=Mz%d&;u2m->^=Ub^_~rE`}pJo_xa zW#O`OmMxt>_e?rr{?c=B=P-Xu$Ss_ECcfi09Xw&*c?*|~^$*-{`~mxo-*3V}6KLz# z{NtC+U3Ts{hxt<$o;CLje>21%-@M-ehfr?*ISWra%Rg)Gnez|x&sccQIdd1CeeO9Y zFFSkj8S~GZf5v`iozhO(rKc=dxNQC@%g$YjKRRdbL5rK4scr7kWrz9Gr%XO*_Pz%k zG-cm|CuLiX$sTaf!IYc341abklpj0yLce*uKYrq2&4(U#(82xz%?BJvGtWLBS`Inr z-~(vV*=OMLphFJ`#2)5Pm~hC!hcr*1ne*qi&zpP7>3xQN{M1wF`1z-tyL92Q3;XZ% zn>T6Zq{IB?3l40VIG)~r|NS3-7eC%VoQPh;|FgB}Ne%cdhz_5E-yJJ=yBxpD6u$M* z_sc{A=2vb7X6wz0&ul$bNoV#RtN5(qvyvXD6hS3jQ}RK@XC+-z@ode=StDTZ!fZWL z`tQq~14{o(wol3(o3{Uc>Ax?x&qV2e%JNC2)Ub3I{=D3=X|JHvH_ENdFZB;u-~Zel z@Bf#;tX)&`3*|1)M9F{2_Ccl8u=Ut~Q>o$DJEz1qrq>PPHf^?qX#3kMrVip}sJ%I* zzESSlsnow?f4`!v;FRHmH=X&6c#Ho^xqEf__ zg4m3Iz`2WzE|Q!t#(if+!~0Y=*z}A4TVQ_KeD;?o${m|BE}j|p{`&nv9h?6ZW|lRh zmlamtQiG8^9De;wZr?V3O4C8jWBY+{H#8=9#OqBR{>~B{}T6>?B zJ2veVl=?=w^QI{E4_V(I)Wx>7H6_1L_6R83l%$&BFB`1f^P8fiLv0_FyF4qavh9Iw z&)*RBTvgt-#`d^*`;>j=;qofmzJGOl^p`tnY|p>BeScH-Qe*r6+3ol%_{`sqKNH*W zH$?Bxe?Pxnf4Dt=gyjO;^Jm-lH|36i?fX}^=dUWtw#Q5Q-&B02`(t}PmD6X=C#oxX zb9nu6`#d8WgE9D zKHG+aVjfp~7UPs{+^YC&8xD$jT=7|qQ?_xd;Xj8nF8>%-_XFKTn@ca;}9N4+xe9e&a% zYXrDal}p|n6tP)WGow~k_K3y!WLvlRi8h8@fyFpw8@DPx+lGT;9#?!8f!Aa8S(SiqB%4vW;66 zpKZfIF^?-gi*d>}ZVe8frDIf{)XkiC`Y(aSxNMM&xQG~O$4q9%=6?w+#$}b*jB`rp z-r!9dA(2}z2S-U;@!8f0+3t&q&$ioD1ODOQ$N_N@KZMFEi8d~x?eBdElSZ5q28Wr0 zH_;AWWtG^B^ML)m!I1;vbXSzcd1c^x6`u|4pSY(LpT&8l|DNc-+&^cXGy5ZP>lHs~Y(?~6RABwS*xsv(&$ic7 z{eM^SS-&r~_p0Kv?e$du-&K6p?~Cod;`?my?yWB8esmGzNcQke4C@0lLkDdH_%U{# zuqU^NGHA_=vGN1NW(1T9Kl#tpH*Nn9vJwRhnxT?a z%%m}Rb3l0`AjW4sIi}CbtE?r8d*d~xG7$q?@=YeJtcf;i0vo%JVcLldRi-Ga`P8C>;2L`@%Y|P``YMHSq zC=tO`aW@;373Ru_FdF`IrkQ?}MIXX(+88SbNqIJSm6dfqVDOq5)1;+C*gatxHFW-w z%)A*z5r2k1A4A*N&Qz9*f!%Tw6BfhzFy>7WV<)?P=EuNT#kNva{dSc0hZ&66RIs>^ zo5g^|fz-VZVUtS4*vd{CWrdZuk6zAEsu-8?lZH>xsfzO?E72AyZv5=q?{i>|04y4= zx|ah3Cu$_obw6doqPjkmd9$o$iewab)ND=!pmP!zn@=6Yfkj0Hci1JZhyn7-vnryv zKKfB(m}Ztw8B8oIEXHO0@~pft8O5>Nv`tYK4S$6hn=vfD&qNH3muO=^>J~dYk&F`A z5fDXv5M?nmwwWRZ#*Top!eWGdfY^+ov3)jpC*@~H7HyF%}&Q%kC@kfgr_1ZVMN3l~3jQw`#7#4q>V|hpRVx+OnbYfsDE*}i(ESfL| zOTAfEWg;d52Fbc088vi}w1Nh&vZ%}WmGICQ*yr};=FON2g}r1<#3*C89Akvh#6NuK z%uLCs)5g5TH8aZMr?VIq9~L)A!XEO9DH{h%B^={4cBi7eIiQ@%QZfCVNo|86(TW%| z*+me=jFO!DGp3p4RTg6=JDtU__!<4b1XkXrdyLcAv02_yLq??ylEz+CSXmP-e}=!j z5l~Ju-I%$G6*HOT8DUn;4D5vr0A);A*Na?bCJCR}*(q*%fpc67q+_>m6%!_)%Vs zk295}&1NIOm+ioWInh#^@<%gaDwq3)nY*)NGew>-V85?pDg(#4!hpRsfeTAfB%OWr zOI#RKtud6X{m%!0nX?sdj^o2d_UC&TW^S%BBNNuA+{l1!5oFfG3&*oyj++o$ko~`pv*_vi3gL%WE z?M9;4f6IWW6XRfJqzEcxSTNWmDb=NH3xz+e=E8^~d42X+J}jiE?~>heL??e7opVWXN1L*F`-2}7|R>7gvXmI;H;B7r|CPc+S>(Q7<2<9&A1 zR~gCzO_Hc+h`Z&VTv$09E*{B9E9j`d@|Ah8R154k9b*GqtFa3v|ox*mNegJRRV7t=Trf-|nggTht|*H2->1Tema!eJE4IWV`F&gMkgG1~4st>D0*D5pRM>`T0b zro|N9S6!WM-BeD|j_}nuH@$ zrn3M1gr$tArA9^~;3V$+=Cs;kcTHE=V?-A+N8N=GQJ+1+>Y>yLB#qTQI~d9mtfspw z$cr{y=!RW65rD`P<2!VR;nPPtI52#%70S#y;E4m7Fl48QvY&9vGZYvZnx*q@c!H}8 zFih}hwAI{W-e6{igvO#@j$tZ8-i$;*H!IO%AXLH3THsLbXlNfyHf3pZgm*mjg~FTc`G85nHxupmxhKqsuA0ca z#}#KWBfuS6BW4*3!Dn(uL)#L?7V>qUwR4xJ&rpsxs;48q$22n+Q~imp=(k#PAM zcQKpSStHUZq0y%E4^a?EWkJEV6*!r@%F98=Nk?`FA7wPQ>D7O5V9Ge3BDK>ue$0U>Gf``r%09IZ z3x#WX@ z0eg`<^-Z$NsWo7?Z{jHPRRp-SvO%Zq&MLNZpruwt#x>fea+EDWqIIGXaN@}v*gTA% zIdY`gv;GQW!x>C0(xjS>QGQYP*%$uAQMLp=qp{vpBd1rd=fF_?3aWQf_#F<1eU<}5 z1mspB0*rF|Rn}-|-bc3@V~*bm>muT1bi}4u)qQQPlp1Z(-#BLG0dqP=O_h4v{dU2G z(Oj7^^X5IQ{Z3HkI9-uaBeOqO8Kp*LjlHU0eVYdp)Rl@l@=| zyUgXlJSdX7D)s3I*7(`3=<7Q&u}%j%haJIDrXqlv@qazsTg`$2QgkUjQ-{6z0S4oA z51M!`6bYgk z{&N)r<|}3B@Zh%=ZatgoAE(|-x1;-1D>KxlJ8jKZ z8Ojt4p+^S4`hUFgc?L}7fRyNnWb_-);lLoqAKNLVVdfbh;lTW~b49izWAwx5wtG0R z9Mz#$VHO(n7HeGNoHoQ})Mux$_B-X1G-RyoG0m)E9d=tgWf&4|f122Qb^g~lKFgy5 z#!_q7!2O2RtD~fXMZ(>znF{!f2-(Sy_T9HwW{wClx>29dKJT(%$WBU`5w2pzCd_oQ zQP`wEa+J;62}52o5}OxQv0%!+sIvd?Mh{T?BApp7qwED7WqFL#3c!q{@eFG?8i^Jm zqUQ^ZIoYQmRz&pgM0DTv(M zOb!7XKgWT&K6#yW*$^kVoXXBl2^q-)y)SZ<1tTuP6Na?Axl66d^?idycfQ9_mg*(9 zv(;@fXnEF5#c;ITW!l?hz%FC0aY3OdkZPp?oA?CB%vxWS8lCvR7M9QEzye(wC;0I0 z#T*#Sq|WAbW?4J$?;Kd5qXEZ~Bs6*XU-DpHwl3wGxwF6;^o1Tlxk9t1i|GElIm&Rj zNiR{ON*>{G&dVHF9?H5>J(PCBEIO^10rM0rrgr)yqg$=Yv9uGj@qWKQ*elD@0>GAP z76u-Ku<-xoj3Q?1YD%*JqM;X<(G;~gI%);^TPjg^-3P!t3*l~MsV0~p`q#y7YZ-QB% zw?n%L<@He5`AUwmyiY02@P|0TWg}}+erPY&xX3EspnZq6%ntMDtpyoLXFuf*l&f(K zvj{r>T#nDq2~M3D-D;S5=}R0~9#t?3M>CyOzrumF2R@6$=DVymZ65WebIIsla@xX{ zzUXq40Y*+Sk^|PUIu(F9Gcdz%gqH7dmH9i4DjH_yUuD1=7h{+Zv1tgSk?%8LFj6=@ z%@NrB+;NShKuR;h3Bwu6&};Rxsc{C1=<~m2z`QhFC1UD;%b#SxFdsl!YK~;5ENh)( zp45PQBm#1$a+G0@{4ANo*pePT&RWh1#!SMzlg^^AC0WXRv_sn>UBof0%?4QIm6lDB z&g&S?Ooi%87@M#HgD<#^V05mOnv9n`|`PPqg159A#+gwIK@3+G**2 zELf0eBS~Y@^Gw)mt@Ph)F>9C*^$9t>5uYs|%~X~UdY4*}M?J)Y`IFl)Qe|j6`X3ya zBS=)4^*PbY=h?$BAuJ3{eIHL|%~s>P?&{&xX&`5h=P1hult|&o+oV?l#+uh8kVS)EvV~y5Qp+ z*gWaam?|U6uKNTBmZ!DCi^gWht{m9XY~8FCD<+y|{(v=1xS&XNlM4|u|8NUO*2#-)^9?&MVom(POev$W`4`3!S< zvDWO(B}vWcNNft$ilwxdcB#hj7lGtiTc>_lOo zx7|ULeSy|XI8?K;Qy%?*E*d4(G0maybTh}yyto|ggGggd29A(o(E`fQM4UUm59Cy!s86GW5l2qg&w;Z*gFl_(KykGQIXk zR!=w&Z`FH|weH_w4M#5#NVOZU?*%g)9-hZB6Qc*YE*Y8OpYad})=o5JMKlK-!K%^E zhn4g~Wajm8=D-p<716cs=kf|iOqSkOAhWG;9jjZN_bKZL8IDPHef%#t5r8k|V5U(v z(kj;Y86sfXO1WFFk`oG7e4C@J1^WZmtwCEz5525)+o=u=hjw-}>nwVkwfJMn7$l9t zP_yB3&<{Cg`csf-i;+6u&4W3xC1@Ww(KRmDAIX6sosrs)ZiIEL9563Q?e*eXvwim9 zqby}fdci4<#)&~~VR83uV!^5rn_U^-$O6B9oCT|*Y})OThMm>SQFlbZdt@INus9)>lcUYpZ}FS>E@NHX_CLSXQsD)^PThh%@hL`BI zhz0{iG5M`T+|TX!1>EUn}roCX0FSgf|6Kk{vxJ?I_|X zt4+FU*Y_g^?TH_AV9p2<@Tc~Q)y_${qu$t9Cc7rL&IVS8A8HO@S zB}o*bKAX!JSi(A>IEVDBU22RyF1#1cuZ)FX}$5waer86HdHfS4f zmb97YIA*H$S;~`IdkQB1{x}W{(;oVeQlIk@%(jLb*LnRp3r0zgVtRFJzR5AuokG1e z660rjcsj{}K= zAo?t8ncY6cwcdE?o65e)nh~3E%&d-Di5`?57PAJHz`*#KPQs@@9>ww5lIk>KQ<$`u zPU65+Rp&^PMaxd%z~+rpszM?O?EYp1tZRUKgH zZ{~&JwLMvt@S^2K$0-;nyoG!b!)JbeZ}RG+5%3bLv6m;0>bqKeLt8q{P)1%-M&4fn z@W(kdx}c;xY?U;H(Z*{y%G$9w7a85Kl7?Qwf#s+8`Y>D{b``6S-kx+Z^BM^c*Kw59 zI_Y#F+TF>uabW1UVri%_^X5v{+R)nU1TP!0lGa_wQ8q+&(Zf+QfBiHErtC{c`{*^S zRS~uIap%)IFRkGybJ5m_x{MzCE(eCQ&uC55XFnUkfd#VAu{OfNtObBr8tR(;Lx8{2 z!%$Y27MMbpKH_stSlmnF88EEOJuV@z@z@Wei0Tev!0PJK1T%XfPaAdL$lHquRXQh- z*lstp>TI=3AhU1%u=&DeO)g;NjaQtFX0jd@|H;Z{o0a7;Tdi|~PxBI1u+0S33W^Df zIIALPF}YEX5$!sXql_?m(AiutGr@EBGhx}0Gy9`O70wy9!}MAS&vlqOj_n2Cl>7Sc17-L=v_0-RZX z(#8IONE95wnyC==S>gC(hAFG;l@Ya1p~`s^o*Ij~)wbe8hI345P4 zRir3xIs#kDTF8*QyeeU6yIXr8uaO^`4ujarAr8Y&){1a;c6GL9E^kvvY zb$#Y^o-&-u6Je2fk^}Sn#^K&rq11#!&kGzF%EsCn>{AMpaD5PKLIyb??RZFQI_){u zN|HUqsg&12>eEjx+Zvk*zS`Jm?$M*WSu4s_^B~<2G$6zJzWxbD1bFk3Y0(tM^j&}c zRt8M@t8J`|&~Dw41EVqC)j#Gsw?9ZR(!168%v?%P#Q5=}p=(WVamfpvK zVS+g>2VA!&2iD(t)gpm6!F)z>O-+`J`w?6Tu8GUCn{DkMV?*CX1e9%~UMARS2S@Nqhf?9A&CTuh)h&0`46% zxG)^m0d-oq{~H|GqFahouW^D8um76?Ydj-442P5p6Zg*N88Eap1e#Pu-=OXD84ipv zN+k^vK2}X-z(guJlwuO?ZJbjRy>{|3jT;GLdFgCQPEg7>Y3KZ!VJ0Ebw#1~3D^ncU zaHm#0r)4enPt-D;v_i@WL( z@N{Ee~1UJ2^#}HI&`JT7QO$X~)`PvEG2C zIlVf}@Yi=?$jeM;uRqK18H9CV$SY~W{$e?csG<)^1F?x!t0t_#m=8#fLw1VWsQryi z_GVV8RiP~;(Xi6PX^gRL4+Aa^I0$LwJWG>s@)2z78qsgfB7 zE4>s`4_%CTbjKS~sG~`BzK$ZAz?ob~1r#4Joj2q%p3icwXX+xF!zeX^Q4QKr3KRE< zLpaJX!EA!m=i69okkvP%X_l5S5-r~U+q>2s8qJ%FSi{l7vlu9khA)od_${Nh?t;^4qKFQAj-yO@U(GHw>A>Sy{Y_V>HwZ*B z)FQMxsfp}xwzqjpS$l)+ECN%gAmDn$1|e2LMk zgPCJmC{Yy_6uh^ivLT-oP0of0?5d;fVXArHraFz`7NBos zlxLogZgp!k1CTe(UYYAPlIJ=bglXsemG-de<{779FJcc^I&Y7C>|rP}@IR;5H%pBh zpRtFzUDIWkYmUT0=MfB_C1#DE)Rr{|&lHR^df;KbMr+LM&haPPn^~P+j2z&shZa3N z##m&OROt*u5kf~1{f;q-mGs&la;b2+$)ZbMvo{mx{ogW;oFZVo8t}4DabS5=bt9FT z_%9S*xq%6DoRyJ5k|d*noTP?Z#$hr*nw^2?*4itp_I#L`l_3NBE{oUO!$9&ddawor z9eBgX?P18@r;>;{&+&N1d;q4e5RWmirN`MTL;K9dv`4h*KI>ryjOI>J9)~_XTvE?~ zYU)y+vDwF&akvIi&S602(x)>-9Zrs2_KKygP|aYUo6* zbWPgyzc65Gz}Km1Fj+L>&kUFVtas&&5!lBW8#Maf3msF{-TD|qnR1qlfw_NRz$ld! z3u2}uSFj==?TFTOdrN6}ZFn6=8QP((^(G3#gcsYxNKHA7b$mk?`sj?~x6~*tjf2mm zfqRzGJn#rJC}^K~ChQzed8Up_tf^gzpL$3zM)s0o2w*kQ69B&}?IQr0iTqBd>w2jR zqa7Jloyq{z8gn*bJ?r=_z|a#`uG7L(oPi}p7+UCzz+TF{?`!n!_@F8jZ?iHP{`)ij zWgh`SRhM#I5SzN^sDNrEg^MT_;k%J_GUUW@x>D&D55Jl4Hw1P z80f(7B`^hWz%1Dq?r?&f`n?HQo9)^xs!q3JiYu(=- zMrgRS;TUS)+}E-@BWb8Z3S0rR%8l^H5t+W423^RR5$`dkz5vmx=Qjq@X zfLs9bHQe)47|M|Pi^I`A08|TmFiH&t7^d2_CTy=CS}SvC0@|P|0?e>vKWiAtAt~~5 zO~Pc+j=#2tsn<7~elSi{si;CnHA-!V)W+9ooFy!saG z{Ys`Y=|1;uvGwlx*2-KQV-)mIp4kG~^81fBSi?{?^}!-t&Nr@NbSi*rA?NKmQf$%G z_j};WOT%WL6T#gKkjCldDwdU2&l#jw&-KZOWkcV!R|Xj35fw^(5eET=!Yszj zYoM%2nfYLdgv+Jxv&{4;fJq%zcPsjHf+Y-P z&z-`6IY=4`RxkC~yn?gJYfI8FmHl-$du13sM(U_7L?U1vqee$T3!hEyjlj<143yv7 z=d+3ErfW&#n(_8#!bSv<_f?Z49Cmxc9){5agmjG`{0{wS7-)deyz zcn}G!8Ga0T1qs(Ta96NSnnV!krSJNBA|q!>?e=fM?fD!m?)z4E(eC?(N%RR z*X)XQGp5)2DksB4_3?t=F_iiEqRhCB<~tm|^jmvaPzSi#O(X#ghsUZJFqJ0ULNUw< zuD{LLs10SVFLuEMkAZvgBzt8FA%xozfjv(QSPtp`(u9aq!uwoc4@;j`G^5_c$evJr^b1;pT|E8z)}COm42||8p$;(8KhY2D7iPIhfd~*z z0Y3BlW#Q@}>x?cofB!ZytjTCS9&WFUf}U`-MA9(AuJ)4)YKtDKC&ynLtj`Srzw(Z4 z1o%`X0zfpKUCdawomA_#k_ z-s*fYos~kPeT%c0jr=6FepJ@2GGHHj!oE|1#b=(MEfl)V9ChBc95a=&m~o9K58@~j z81ibOQL8kM5nb^gjnAp6z zG;HXJ?{UoZG2|69e&)W&QKsZzU@38FSQpVnFLRU$qMZwJj=A<=^pBaaRHB%1in_AH zKE;9MQKiRZ^!r&!17^m-e#lthyy@r|0N|-Q68>#B%aMKxJC^+j?Z$f0=x7g{mm0E;=t7K zjo;w-hR?phs)VUI3tbZSYTRFJAOriZ6*=D@&^Ze)~@ zu3#*h1gg1}yartsK7F0BkO9d|9NgVFBPuydqsm429EVR2 zSdLW@8+y!)e(gi{u%tgX~!ZSkRNH+P>MW0IYr-{9}7%I1688bon(?*=LWm_gT_i zfPxU^S@!X*qJoPHA7H>}fhUi}nQ_jQQlr%{hE`EHdP!`BOF8u#i)Jw*05FUNIfY?n zsWJVREHly8m{CagE&1(N*UT7oPu4*h)m}Z^RQBiB8Oj7DB{^$`ImLce>S``$604YZ z@l}B(8w$}M_E>4JjE3YDz#C$kdF?OkVSO31<}r*p>ft`lYC^ZY9`C6re8lXEy~5fE zlqVlWMx^C<(nsyhbY|8PVqlhR)vcsM7%mvI071qiqnMT7!pw&`uB(>8&#(>dj%Q3Fei`gALsXfh@DoUbB zRn<9THM-TG&?@x_<2cF!Gdr>PLs!-{k<+QjCmUM|Xu6Z0&Zh6hQkHZP0i#W2i)Yy@ z3sS>_NVgCdP8oE^PIk)rvX3ulV^xr7{V?s74R$c~-6YLQ`a)2BR?g`wBR3OIdDb3Q zeF8fC-l4zjsgfCgGbi}9;(K;5WoA+=n$kB)CBrDJLH>>%OntjL?W4a*o1A(Bb}XX~ zKz~BbqpR6(AME}xftxfG!t9}Z;hXkmqC88gzK$C568*Y}K6j6OqE#>@D}(KlG7S4R z!)JhD`_z2Q@M{e!qURX1I{^%@eTj_hjW~qqGvRbibfo4oI*m1e37?_Vh{R?aBRj!N zR49NYH1`v|@+!+r1-lB$L@^wjyCgX<5aN`5?nQeTb>)%FTyJ!?5Y|QX@cj%JEs{Ir zhB{ao=W4XZc6(S~AH8<@XlI4iZlUCQ4s03Bbc%Wf0F8%|J*>KC0V1HjOTjcdM?Gc_ z145>;-^P7LLlGVI6bI(ej=?!Un)`|V#p&*Jxt-KGq}fRu%GOWgC`11QTT5L`Kxw$f z%NQ`%^9yR_fi%o~owF=O)x$HdLKE45J;ONW&1tKpDQ%SN6y$5TFV-^5B=V(zx1`RZ zKON41Z5&#Z?p5R5UQHL#Gn|3)nZq#~EN6SdfPJ1ZNTSk%uRv+TXD>5G_Aufi=po8^ z87-9&J?=7mrmT}V*LsyySN);pH3qE3by^)&lr{8&)YV<@Ghn37tHk1`8eezXGrT4J zLaLn$j#}##3dJ^#vOTgycn!AHP((u*qoGtJ+9;6=YSod4p|E;Kdu6E6L8yHygQVLO zV$mL)ULCsCL^HZ0Z@2k8qdZeBy)@Ox$n;u*G3p*HfhF?M-wYc`^y|ayedgp~rWEN+ zR_YOO6=$xl#TAs*^kiSHDI+-`&zN3A1RzO_+%URUl2+30JHg&eOfXMD16lP0bl3wQWxzI0 zTTYW`Tt~h1A+bN4D(zv;%<)O=#Cp@SBn_9wkRa*6XIkj|r@b;nfa<(% z7>(Lf3;V3LSB9z%u&m6OTSrdfY`brnH-;uV-93dZlOLP)Ej`)Z%p@(lMRi_x!=h#_ zJa7gF1~aK6e(5LHW-)FCEjJIG) zo#$NbWo6h%Y$@E^8kT^=l+UoOVvP&rf#ybF zmz-`5bC>5Ri8q6;99?fHJAyM#>$a;3rK{&VnvpoH!?9Kd@8^ATYmKpJfEO}`R?*+| z94u(|3@d3iXJ@H8Mgjlfhp&Ea4J&T9H`5t5JO^0LKoLE_nMGWaT1`@p>nQqLMFki8 z-)ygp0!DXDq`%q2SWSrjCPv-;*`7Y>LC*U(FqC-Bec&GL%nOV_m@V;gbBbtvy;S_tEPJQBU@jqCwDX5-S zWSkAUvPr+Rhb43-?=Q|fR^f>y0B|7?b25e|P?YdawVorUZ^cx0D zO=9f`SWQZg&Ft=+PQ_`<^Hke)SiRp9b{WlORKiFaUb<^Xv>c7>`NN~_l?7^ir`sF9 zMpt%An&Y$iNpZzqg-8xqajHEG%91rzg=lsEqEB4dY2%+{!2J9WMM6`kO{-8YW(~%n;o`240?iFAX&mrXhO)pk zG~7KoM^p9-#?-gdbnX;K)H)d{`*vP#@!QVJ?3Jm>g_;}^ZPutzKGtauOCn)lTbHT> z^eN_Z7@dkFolykN8HFD$`2W^0<}`a{)z9RE?~g3%xrxRzsydKF-{x6mZz$aLNqc3O zz@m|8h$>_;#G?HkVZdY>-Rg1V3XfzMcJ0dy7{+c?%15%*)7hg-7%;f1UJXZV3d_Rv zm+XhU;45#8i(HPNw}R$<+g_QI-UY8Ei|Id|`geO6dQFt-aDC-{T=s`Yc4ojXPpxt> z!R+?-bAqcDMup1tQcPp@pU zhfxboTP2BADd2^}A%A7SU}ZgF8XYV@4Ey5?9GHV1H87$M+c-nvCe|K)?D=lFVz`@D zDJ>LE{V7YCpe!$Mi(Wn5{4)k@X1kwUsJV*Ta1vd%U3%2aH+RcVQop~u0fRravz=5thLlB2H`I_!DQ1hYR6jg0zY zW~x6L*7k?<4rVB;TOvAhE2Nh_Kv%Z5%^sHQS|EoBDMuB$GFsL7NzQTsmCo{Bw1;x{ z%l6%!>JdwPpPba_86A4k_|_DLnba;?_HoiUL_u$BWKXbHrqV^)zq#l*YeseT$0a7W zK4Y&8huL9v&5;f^F^5@nB4e3d(rfWipLD7IrUrZCKzn6XuN<>gS9NOhk2AA zLqiIy)6Fs?!?YZyO}la2YAogHtuj0OukUNGtZL>Ab-F;;PaI-a47+FLM4lET=H9-GHLYOgHWk|&yuVzyAM zD~8P+*~5U*GPE@u+D)WJcZ6Y2Gj`*m4#4zUtxVO(kzp3S$>>zzNDRd0)J+*Gb~lA- zAN`lTnJz6td&Su_>bCAt&0*LY#_DKnIKyki9C?M`orc8zaP3j{$}p&XDtb>yWX0}& z60SB}XAi4J1k|~O^*FdpPiLLKvWIzim8uXJaWMy$@(uQ|D%UR#5l-**^=Vz%XRfh_ zB^%qxpM?J5#zv?Mh2w5yz*2eYZZkWZfIh~UTyUs1@3%>mLr8AnFot2K`hHuRB6$** z{o&99?9FuAh9}fn0A5f{^7ToSe{)txD_6}#?i89XqW_#^ zugsY>e2NE{w@HUN?Dq#CX{aEApPPU9SKBL7Uu`;`8XRhrT6gD!;S3ngODxV#I|ZYr zqaS_49_D1RJGf2YsA3XWZPXu*|ExVMnf{%sg*6KU;z5(_VH9Me&Y`_1i~42Z>X1tr zuuV1O<(jG9)b=$-KOjL_bgO5n_M@XI``Wz>WvaW0K8n;x`0&OYdl;rjSBbu@gSxU^ zkF|$wDf@+~>y_L$~=jDsVlpHF|_IxTgH$`P}*G6d>mu^4C!nbwW)z1BWX0`?0x2l z&MDN3g3%m5JC-r%OVm3Q3k4)WnXCM_C0xtc27yFdM}NgZ7Jvnju?2P*BcoTTbcPYC zR`k)u7LfXVDra&bQ9I13n;k@eR&2lUTl)wgMR0MfChC-7VP$tSmUB`g2%8Hst$G@9 zJ3|?L#7D9~WQJwo`iYAdl+@3 zyJ)epP`8r4_&o;9MIpP+ZO}>#W$b4eGh)c(!g1BM3L_l)4|`>fuU6qJ6B~5eKku=J z0hFQ{X^glWpu-y1b6^-VnCX*O%;-I_^S*Bn3r3LBzDgQ8Y;Q*U%-ORx?KE7`h$gLW z<}$`IJ4hl4j7wOC77jmWoJr!*Of+2fA=yR&bSrP#pY467R=T^c+{)N`@l2Wn>rJh> zRQSjTj+P7la5)D?jwjO8G2`;BqJoRBpJ@-1v%F%ECY+)UyE|hCgIOqG^B8WgdH_|O z9-OD`U_s^{_gNgYSJjzL8Ok=WMh{-tsX->tbXRFD6z<#0PMP{@lICp~N40W@zg~t= z=u&Zp3H?0b^@E#I1)W9HI71O$K1qq1)e+ctSsOI+Zj*QChL1Qm>e5cNOd85ZNztBc zz>@w^PKORVm$5FWnidF8U`S3iUc%wJ)9qt3;m-9_sdYmrTMVO!Ca-67cN*p;(XFOT zeXkCCgfZ=r#7W?3;mpdINn`X-du7Sh^F*VVDu>k@5wL;L*6?!qy1_zc; z7F$*pyB*mhLc-{`tg6l@5;ZdIT&|yi`5o4dzkISg;j9|v6!h`4_6O|E%r(!ZPT|OF zYcJJ1{O2>;w6HPROb*6^hUl^RKEuplD(I$RPFhhqqSR z`wZ)HB|7OHPTCl8dHh@kOe9FX*(p=g-LFbrJ#`lY1_tsujWjFaX^i0;Xy|o?AWs~t zufY5*V`L9!BBlKnp|$grm2}Zz_GY4Q?ltGSF(f@%^%MKU{ZHA$;4XitkW|$)u3M9L z^q1{n)aEu3d`7ZgRB$!s_x7;r2K7D^@;#cZWhdvr{p?|++V7}UV6Gl+oXCI)Do%G0 zn@vX3eZvh581%UG!i)rVk7$ld3m(j$net$&Zt2^M<^j5!)pbHS&Iqs6@|2-c$8rWj zY72#NjAkg5IS1ut=HN3wghDQ3w6+02!q6~%AF6g^ni<0)*3>sZo?NpTCpZ7buR9q- z5nH~UNrXV^=J0p;W}+3O5dGmxFWJMK98yFAt2GKY^@G&ak^i)Z;k_yXN4MTI(M<`h z`F=ZVRfO0ng-v?FKtfpCQH%vMm<&iFr+3fU*Qn|m&S02%<1&A!+q)rC*x+ksKJ)_y zjN03rkeTpy zUvLgnqiJ3p4e!h}X^jJ-d+xAThN6Et(dFpUNnP0sw=!b$#0J^wG!e%8CHAE5;U3Z|cf^@i!(cPT3bZ zMNp6)5;A9QYB@i}SEg23XzA>5#?WdXz>%#9IZ-P&)-cA;0+{${5Stq8EJlaF4+b06 zGM8}RG+cstI_wV^>e3vo5}vpVF9LkA@71+!?rvdWbln|1m|B?S<48x%XPqxHVOX9% zafU?uB^2_XXTrR$;WwDgg9V)aW-w7&k28G7U_dk!Rx!4aWAu#X(yXB4)Er{b-#@}J z6Q!8=sGAVj#1@AA=|>zGY7{I$kf{dU%-b0=hH?l$r4@4tDS0T=3Zz4IeK>b z{i{4>aFyrzIX#`d`biF~h8m=+UTmO;B4ZIa2k4%?Mi(xOAr?O!TpNsaa< zXD|)~>7;#$p0$=Y-()OH0SoUT&*Qj5BLZ&XtR$f&a6Q&v79D+I)&=i!Vw0S`9M4zh z_GzL0d=?COQ-RXMwB+%}9XK!n5c-J7=xf5#HvNYKQ)59mu_lxE!Yq2~YaE!HQo}7i z(Mm08+f4F#Hr2C^0bA7hy*AgCxu)&?)Q=@<8#u^cmf0EQA8$hw&y{R#&L zmbZdNXE*2(u;&w8Sg-1&=*p_+a9{!vnWaRnG4XdrD-(v7j*J?6mu}{(uW(>_6dGuQ zW{qZO_eM^4$A`aMxm&@AfD7N_z?3pav=`ffco|H;be%Y5vV@6FU0iT1H>PHg5;o^{D1R$Vy?>8(H9{xS=e%&SfX;y`Ou zeeu&g7zw`#<7XKR@%4v4vWBDc0Mt958hd4?yB|d~iq)iAsCK<#g1JMF&HWkMZBU*i zg_~M45v#_u2HLHMa(uQRN!7IpoS>j9`}6)B*j)e0GFlSB4V?(a%|}Ac1rHB$|#n{dkAfm#~ykN-evQ zT4o}8oz)5w31BeIKRw*m#*Elb9A$!fopin1)X%cZ(advrur=!CW^0V|&uah8gTd=|u_F{Q+odgQP_OJvYQ21_<_!w5Hvm!7B;+)gAP-Ffoma~w7 zKoh{3Y2zAJ9YC4h-pKY0q8C_Ol+*xKPMN4;qCcGcM|+9);Z+?qsdmOW}nVHSQ=sIcF!W=W_R>L&q@f%oh(=>Ov{u!P_&+WzB{REp>SjLD%;{8MHtd*U$1`{hytc2X_fZB+ zq_8>~dsN{wsZ8DyuKPIyhOGv}L^hE@%W(@Q`2ElbTUb$zUQ#aW^kO(dhn>eNf>17s zk%c)V=X8aoy;H@BO)S{UWu?Hf;V_GKw{5y(q*wI=eD7g3y(YC*(9WkYl(`FTLDkvY zozS`zL{AU5jR0j>9)i@)1*v5Ahq>poV8}&g#su@S{W-8@SeA&Muvt4Dv77_TW5Cyu z6HVBt_ZSgC%U~sXt|n}X{R}@+Y`Z5Bn-SQhtVt}4xOh%4(pi5@s;d)s=a`A4A)H>k z1DLc#dz1YvBHAkLgkA-f^c%`nvl__xU>rilrl#!aH&|vO(PC)TRQBe44y?U}+~Kkg z2}Em$PGi-Zd8(($kJdD0^_;%s?<{2~6P*q9j>M*ePIgp{ZAEY6JX9*2rEqM^8TCtF66S zxans+GyOIPZ?qL|LzuSnL=FsuoHtW+lMJ)y-QRLxD1t;n8m9%}{pd?LF!Xk^M2+SQ z|5uYN7_4)B*r=O%A*+F`Sl$6{N^?Kaj+`C}SSln`k24%Po@befE!sqw;+I~n>jEzs!o6LVBosr4(7lFU|GKd=WA%GP~fsBa=?MlLG?+?PE-gd0LzHvz)~rIFJhC_oMeh<)kHzPchCv3rx$UvzeMM z>Oy+k8uCYT%yhG@lYHvIx@|3dl4EB26ldD3tdx#1g#QF9Z(e|IH5xAD>HUCS)}D%d z6UjnX6KXpx0*+)YOUXMypL(6qtvV=FKd~M9l^r_LOa}E|8L^Uc%H){aW43We4z-DHtL^hh1mgtya?V zl)--V$owX6d3qjgJt(U+lE!O?u{vUo>WE=quWn`=>jc0&p}Ql~BCW#?eSsGNSSlb> zc>P)sxm$kR!CIOKW$>BjJlL~^6Z}4wH536Ds-=`eJ?N_Rhq1F*W}-DK<>9FV^hx<& z9Lb7Jv|1eU)){;F-}G59j9}u}EZ>+#95Iyz3nJi%ng{jRoc?(x3~LWYjyIHjYziv^ zu=YTWx*N*{4z?dXKvF=H3cGqI0@l=@Fo;fA!BmDsJG6eeUOUN!Tv%4_fN>HTRyLfq zHZ+e#j%%>|sY{3bt(T{)fkbf*N};eYx`gq*I`Z1p;psBHg7gU3<57;XB@QK;i}%0@!g(m_xyea(#yXjK4CK3G%fxranCQFb5`7PuQQFnuX*R3hP-tVZd6X=dKyo z?S)wM=5&rSOrW>WFo9g4wQ28W4MpTpY}3$0+iZP8W)rKi7q%^Q&D>j!iC5Vi!t`Cv z_!GltL4QdqfY2h~$2&7%eL5VkOCTmf;pD&A!;%6$bkz*Syi*8;w?S}bt9^+?fpL;9 zUt>}ABlgNb!0I!+=o{yxYRA>Qo3@8xW}!_kctIj~q=#6Ddh7RSj>Nz4cMlwF^xzfosCaizVP>Rk5}CAu;N3~z*SU;F|OhNgRG zW~$M7{mp*%u>IV;FIu3is#_mJp7W+XY$uvWX|dOukvJJiGxL?H_Asw)vFsJuRTC#m zJ*f?6OfK9a=lWf8FWIBUNy6b5_6z5oMAI46>^gU3>NO*Y-aXLX%%nRH*$L&DIlHqL zV>A?}S__myopYc}i%$6&`%Z=G$#uCeD`k2~+w^}N*ka%?bg-i9&pxiUhNBn@nv=BH z!TD!b0M_GgCE?=Yc6(*+(01$`xw9i;=GX3H#HLW2BUe0zq@k}t-ks48aJp2n9V87c zGO3~6UKzPV&_h{OjO2hJmoZ>|-p8Jb%i%LUJMFx}J_681Oyf;@DxK+O`uDS7sO$om zo@nPeOc;6;*PA`zAK%S{^~Gk;xZ0X-=ku1Q!&f+6z>zguLF(eCtoB(R-wb@F5dDF% zTtI!<-#lBbCym9|GtwF5+cqoHVI6yLU`kv}I{U~P4lECtnv^$2LoZ{F({5I#C)$%Z z)3|a0VX3L?sp*xF*dJ~d)@G*2KLrypdv$)q=~jCV`a_=6-4Q5hX@#74iS*rm6w&{1 z7BcuMMF?ti)vD%DIF-=~+WhsQ4Tn|fuv=fV_8DBS+Uyu6bd0|BgG;Sp8LFwGMhrSS z)a-?fL|cP1wAzerHSI#_Re|&Rc{>%Xm8D5y+X5BR6LxX^hJF;$^CPWccw6RYQ%$RoEmOnd17Yh_!$?o+BsZ@T|4pvXW=Plx^5zIl)jY9?hJ3P@l5 z-Vz#GIA(gjs)W(w*@Ef&{jc4vyE}sD6nLy7P^Qrq9BU2Bql-8tu+Lo1dDbBu*tjHB zA)Ud@*08k8zHAMHnN996ELs}Xi!2Zhvl)4l)SL7WGF6>n6w$%OS|{$ZTxiPflpGkrJ`tUH z{fvEul6P@{f=?^DBBu?nx1U47dH<~_XVdhF8mULX|Jrw}RibU_rTVH-&K0`PT8CKs z%vbN?Ad#m}C#2?o`tlNMSUxE!(I9H&sG1HPcKzAbFq9gkB9nS9mG~J7C)>}}<(;8b z4hl8lqZJfFfqtj8vV0!_t1LXFFBIxOYYqE<%$*B(9aXva-K z2yzj%A_vjq1r-In@%`8A>||!m=JUMs_&ndY+RmPxc7FZ8>%QJ~nQ21OSgYk(iT69z zUB!llQZTO5hi1jY^WR{@rcskb6=aEovRyx8!|F=5PpwY5=NOaLek~hDcqN}8$Cz`{ z)aM>#!&cEARJQWwQgc=b&8P(H&S%-M@LBB#LJ;~g5w2R!hB;kMokW~EJ-q%^vhdz7Uldhm?a&o1Q8S+${MWE}Hm5=K4SYIy`EJyZ-gqThHul@Mva<#r9P})! zM$No=q&aL^D)IKr3v5_GvQA3RDoV08Qn5n9XY>DJ1*T!<03K_nx|Zf6&(^g`T5!?oEb|q&Tz>Lqwe+6iD#LO|s~d z{Iv%dyy7=mYnm+|3nL=1XXi4^bixNU49YUVKp9H=M7Z>~{H&p0Oi5GldNT`KN20I; z?n?s;NI*{FEc`XQ8L&w+o3O+J{YSlILrz#p7rvJPD?g^=45KE8I?DaMN!WYN<-_#T zVk}I@c-9z6F?gLq13rzB5=3{$%xu`nS2n$z&vf_Tq*y-NUeAVkSel4@RzPwNqp6F8 zr>3xB*7Q;^oLP|Zzt=+RWwc5e=1)oL)D)udbccf_u+cnA|8oFaS)h=|w3VvWJ1Sx% z(Hs0ptdCR4Q5r_Jm?3rjQ3)3u#8y^*bUEoFfJCT}@Okkye3))ToI;x~3)vwNVbSG$ zCrJTywL_zGWT$^{)JQDO<#&)RD#1bGk9evTr67u1|2W%B4EGUP1!tb5RuZ|0oj37^ z)j`2>w$Sp7I{DZUE7qhR$**b4jrcN!tYNi9bP?V17q*!&@`?_6+}5=%h>`HzTsEw3 zyERQ9bM)rCxwf#?B<*y5rfrb=aSJmZej!shV3I{&O|ix$d|Vf&oYUfo2yDO4vCW)1 z4zq`bY7USQ7G1%2V8ZK;X3DYdn6+0)#InZ6pJywxeXF+9qG4Mq9B~!Rv>)e}O=Jip zVop>HoUFP(eFIyWbZ`>>sLae8wZxE$R<%F)3L8e2aIl_M3zFI+F&RGjO+L({^`21F zC2T92%ZN@ngbfR*Q+EyuIISieJ5AQLFa06~OlOTUV&kNZxV!LbF06#6PAI!z<7o?x zdIa~w|3%k5^3USGyumE&5hz_G7vrRKi=%VvL>24+SLqg|{~j zQNU?-R=Gte|IJ5#$c8!H8wQF}c3titUWk{?pA#DY?D-4$WcYy1YKPi(7mX^Ay*eaE`^7=6h~ zwz9>yEL-Z*GVAK*r6Y~EmLk%M|G`dx!!fAXLABQKEX$g`^g%UA`$UR;C^pWKBEN`@ zK(d*xj=&D)pDwT(b*!t0bTcfPwRB`s82yp|{(vCs^lyPOWPcz#Be1%e>;wqf3LVI_ z*5$L7Zn&N@5!m$Ee3;$}N4X+K`!uT*B%$`WpR;FdERZVjY)r`AaOw6$4@&#uUw7>qb%_R>JxNxys-nagfg% z!U&6>!uU7-nmw$*B-%w7Y?3o0Rst6B6QJMn8YS6!CK2-s(XzR5E!#{)y@mHqDU9a| z!x*qnhK5(!Fp}uA`%Q$^^C;zwY7%x4e-352))#%LAqU5@89lw69cLH1ZlBv(Kt9WkWvRzSPhZA^_h5BcfK1>%!yh$<{YWW8pN>Je2uEmg3 zida)Od`xv^PV)ba{lps6UxmI*hC?|n{K>~~=ah3r^ncByXwfT7fftd-QuFK^tD9MQ zpvq?u`5Btd$hTj8kPYi2EE`sM^%vHDL{UUX@TYN6C-z|^teg?$c`eaIxVVw646q=B zSxEbq5falIZ1E8JAVT7DZ4;91)% zHR^*2)Xk{YH2 zrv4ffZYv?+FBuCEXZQ_eIQJk1yNtgG95DPY={Qqy5AyII|3%iQ7H0|xFs8*Bdx7fs zt&>)!U9|{?vZv<#3uXG5cnAQSQid7Ip1Xk$LnB;<&FzTC*@Y<6yiR!5~TGQ61$QsZv)**3igupyLD`D_LzL^E= zGqB_lo(v)7Yk2!>{y3n|xBB0{*MiIrjnV7lCc@c#CkckFbr?E%)}?#sfI{yCim3N8 zwwbn|Yg$MRS#3SKgo;Vp`TWWeGyGQPd+jz|D(#MWW0SB({y~S~=sZt7i$TfA<&!Kr zpY6aLf4HDDtR;fNgag0UmbY;{=)B4 zA#)+qI(bj(LOPyxL*&j6u(O7KP7pGPUfD18w@TPC=U>C(Jvuxuq*J23b3->fIO9iE zP&hgFrhg5a{MX}mHX`2{!~c=7WnJWNYxs-Vkn2@XNgai)_h_hK@kIF6|H2)u<2e#S zKP~#R2hnz#)o?}RZ;m;GZDyc-8jh_Y#-#iKgM64n!J=MykoHo=MZen0hCx?fw=Zo% zS9>&KB+*w=RNM7>hJOey$fCYkfe}Ts^+W{L&G$L>VV5Kb-ePo+t`>0GNSBB{tW-X4lJ6SpD!x3Qu`gg4IhaSUGg{PFZ)B(D zDw`VDHe+n!(=(qfER6hD``^lj$?if!yI)^ajV(i-im<4QudHqhwpElI9F4atKXYz0 z(|&XVI{{X?%E{)b<>46(#il~EhHt#kg=!*Pe-9gWejbBYoHU7~ z9I5Y$mVigv*|0uGo(D13W}Fa@+%cgG`+4{?uYog)Olx(Ge0FK$#@c8;JN3KltP!Af zf*fqzp%J`7AfoIb{t{Tg2Ha5^q-%?<@q&I^iXAe#pw~;)5qgk^BGNv$Um7$0@7UOi zHG{S_-x`J8#vh0U8Cu=7L~4&Oen*6nWNRT4W^r+`eN4TN!$w-UDrp=qbufd{{Ajb_!UiN2@b}_w)bv zFjv=P0>WbT*)4xat1L`~xSh32Q^SzojPM~R6%4Vj-5UBD3FmK41w&jpj)-u=+n@0} zdnRJ?dz!QG%TL?kM=UiDzayQQ6*)23^|=%<4=d*FmJwAURzCMxlmZr(q+&@M8cWTo zSEPX9I2gaHr`!xg_X=PE^7p_U6%qE+LJu5I_&)$;)7Ve9w2{px( z?MjoHdVX^}#9(i{J&m&FcD%YhsqEFX-q5@ZwZ)WO^v@K^l!9~bI;C+j*jGQy9#m*W zPEQU#Ipnk$>?idpU;?$Qv`Tu7MGUsj<*8t{zrgF!r78w{^oA5L`1Qm%CRR2V{5?ei z4ErwjNtS~e|I{BpIXMLknO0!HAHkGw4?2bqL(#-!X)y_#mf}Srw(YxAL8*wo&;5g+ z0En)zMc0^E0?d7HN|-Dwie-)ISMXtBl_49H#>HR@u1yI8NSLslqx{q?S7ud|edbeX zVUT4iYrj7gEKE&d!e;UJqw9Q8w$y~lhyQ<2hKey^=9(0XbHo16_`>v9K3n;o6lSXO zh!aspG!$OTm+WDD7z(6S>#Vs#0#WXajsx%IJC<}e+imu(JH`={AD`OJSLQ1HJf;^A ztj+p59#)K`fN93~=rEQ5zvK`2%Q6bWn03RCKboR{rk4>~xnYtTYfD_lLubG@Q+M{% z&@G(;jM!SLxAHf)her(epmz|4eEB21UfhsI8Rl_ojyP6^gz`1KZOHIpu0`%%lo{5T z*bF~B$RU(@nLKzaI>SGgKf{mzS6OhNg|!BaUqdlzY7HbIy_XyzH6c2~e|2XnGpX0v z-W94@9$w3rxAC1M7a zYUpyxnKnAZpM5a}42q;2Lk>Z$hWm+ds^G&MGy!(r3a8^Cax!d5GpNvyclC%#%J`j1 z;f&Mv*<*gb1q`1e%==QD&Z+wbIXyx_h^6MKkMQHn#R3wsK%)#;^AUU)raW9BW17n3 zqwF-W#&%z-G9Y^GznBkmkpOkW<;o{E!{7X98d%sr>(QcO#{T!}R4{7Ap$tmHinvt5 zdBc2IwwJPgc6+%5L}&P)eVq@}`HV7Nlm3XAdF3m77?inr6sQpPq`r=aZ~d4LbGH%A zmn}DIgcY4EeI`Xs3p2A`wc*ZBAL9>qvQ3Dp3hbeZjrjZz{wn-%9MCGud*ovY@RwG; zGFPC6%|Eb9Yl_YA|MKg67#LZxpeyMny!x>esVRYz4Lfk9*bM*e&+uVZ6S=eKvXX|y zriyy!@?k)@e(o`)S*SoJgYzdoOlR87xfZ24u}ph3=V-if4HgP|PFfFyU!xtD7rwzy z&9I=8wL!zI@&6$FxU0yAp#)%8k#%_pi=c7nU2R{KX;C33(m%6K?ntLh;gxAoQQ`f}6mCLYDzTi_QzmWhC( z7=hZ+2xrYo12Z6%uW9ClCn~cFr1E(N|FDkAqsh-S%951vpQM3>)ddnD5iU6?O#-Np zlc;S^o1O+%)+EXwlh+%IeAvG=HUF)!&@Q}6Z_>W;ySMy^Z>FR0u&pmfxcG2BtXw7? zVW5ciq}Wr@UI)xVMg=JEorhBe|e6t%r2l2?jA_6$Um12 zvp~^*lHqfyb}$PZN0O}0a3mC4SuoTgX-LXsoc(Pn8z!;TS|31^3=e;d55wM_BuM|{ zll-2e3}IC&4SLTFX_U$8$~r&Gh6NY~S4m3nUH%vyutFEA?PNIS2Wgb`VNY+8MWg)X z=~|g?P?F*I{8e6IYN~3mU-LIM0@g2SEGdj0Z;_9)~DI9 z!6vKAAC_%N+N=Co!~jVt;){>RVYm5ewkrA{{%pS>j2{Rm?*qp>t(jG z5HcD&U~imz@s(^?E!EmZhx9>4Mz}hK3%{P!tz^wh4)}NRXT*HVN8INORr>46DJEpX zhR&)_(%yC`JI(?(6OdJ<6GYb>#D)O`QOSmm==L-DFpm`B#a|>$Lr3^#65Xcz2g$x3 z&3cHh436^Zy^SMN%!q~7EvV9P{$@%FWjec7%V+ncSmT0jD1NI@VC-^VisFP*P4L_%cF|@^gA;zu|Aem$8*){Z{7*D}RT|hwVu!y@i2U~L zeAtw_J@rU{WEJ%Lefcn#PRmivgr3Qp7gMZ3cAbGqK=khV9=@_{L6oA5iTiqf*Bw--QYh;^$EHk_GJbynzk_VA-4^7k!gi+W1qDtOT|}>@@M~n70$n_l;naF| zoMkW&!vdTni;hdt*KkGOgH=#O?>dgH44k$#4myvCaMd(E%$0-f0#j^>aAk_=H9f+Qoxk$Zm3q!OMha+ zbf(RZC3T@byOs?L+$S*B5B>NC@oD~EM1cW%OSlr;V9}}5*~-YC=hG2XCDR_lcaq@H z1MPD3d}S&d<4-Q2IDt}SP)94CVw>5}h2ahwl;lwOBOBN-ZJgdB(5P=>;ViyiW7@)A z?D%sU7Q{gM%c=a8By}as@C#>5D3f+~ivF2qgzI=r*uMO8saDnWq6%`7`|2!vAXNfD zlQMM?oz9JXw8^Atc^f%1Vl%h|Y4PQ7_RQ4L*PaEKk+Q6W!o8g{M@|A(%+Su2az+olcCSc3|krL(=lRe}g zT*8LY6f3CWqJr@+^Cy@CavHlEMtpc`jquC~d}aH?6;b1KjT$I4STC_*fo0XUa_u4Oa@&-)j=!2vQuwmfVEA3vUq~psyeAb5{o_RbC))5o>0pR{ zl~l%GY8|*%&{!4rk8kpoh53xCWR1J{UU!`UIP5mr38H8D3r#f)?VOX0vj+Z17@oL( zA#*gGNPvdx*>Q%`5(k>FO;t*hHkZF{8)o)P^bN*L7X9eEY-OimY6mQlV9{$H8#bVT z5t&K{KEh1#ngonZ!u90I^7PUIwld$RI$BTT8?__C=r4D$VTE;t$LqJN1wuqY`hLz~ zY?xCn;#J~o!!>M}?U(AT7RT|Wsq9Z*VZ(wGoZ#<9(j-jQ0_~1G9lni+ooJ*o;7~b+l0Aa=a)@*f$GSYGTCtMvzV<6UTyW#k{y&6&=?EXe~Ar4 z*S!u0%lMu%VpKzacT&J0$EmWyf*SelCNfz7CvA<2nW(=!z<2tROBa=>8tnD$Y%^&J z?U_Pjy~&pIOW$Y10(W%90g`GrCCt3*F+Qx@K2Hx^(GxPlAtg3!HOauCOtRLd0sibx zpQ~hzpA$wVgUbH3Y-Ovu)$%+_(~w~#(b4C#VFPQMR*p%j@(Eb}Y&NXVT@u(sr|-Qr z0ekvUHf+@yB3PtHV#=iblwSfcA#;IC9aXCB!}zI5?&B@g*;>W3R5+JyW?sy1+d023 zX(fHAmkkr}1=)zMg=UmdeqT0h6<)cf_q=XQz_#7Oh6ODh9j>Y`-kE^?ia&T=xn*(x zmbwfjGwsQI-*yA4jGazT?97j{$WxAIn~9n>a3mgAWyIw59)3PMKTCaC(G%MvUk1L; zk292jzM-6?ydoo9($6+C=sv>lrsJw)+6(f07&5KGh7WtO-pWyq?8qm#_SW%wr1)acmtwLATMZ-j2=Xa@=OQ+Up@{52P;Vb+}tU8r* z#89KkD}zOMEo3JES)I02%V>TA_M3azuvIHIq8TV8+fD0uzLO+0P86#2&#t(Xt<0ge zI*HdmCI@2cXRu-AXfK$tkMCpr{#i&Ft_C}JCRefJ{4_ELKOI z!H2<2-zrQSRi>dS-X#fHt0kruk_ViqBhn}<;T3;Zz9>v(M>nK^SrAtxG3lOnrhwtK zd}LP{3I8L-H~@Q*XvD`rOc{3W{AwCy7@pv5T?UHiZK>+BL2JH*!^Ol6^C$jf*q|)T zBx|&@`TjEjSW98qvhZz6F;i8F)YKj&r%)v|58*Fn!`KOnKMHfdr(&}3DEQJu?tbg#+Y5Xzz$7zHFWfHoG4(2<3L9wMnVqOBbG(}Ul-k;?wzsl72 zSAN3IXVM!TcIb%(jxeR&%kMd&iNhIt((5o;^sQsr${e3+eJX557tIJ8H?U#B36}kK zyesetX|U+AG#+FP`Iz5ovgoV)nid^nMfC0YON2?w9l$oTZo5^3;bE1m@zkH#u&Dqk zh)Q}7zLLUBoi(ViJJCI(5AY}c8YFq5dK>TswwZ90_X}!jcmk%z!_EAT3u4PtR!f^D z<0zu;2iVF;N0zcXTJaGN``*chq56?j=VQr|Svt?#!G@95YAbSNT$TRzG5&A|9mbJb zUsajmf9ywWWdXI>%E5^>%4`Ns|8y#d{z`Jb*=NUF^wN3!r zYDfs9&+zv<1r)|sr>P5r*KW2lyr4diWX~-oXgBgpz{;IfHzugqs!T=l_ zRVJ95`Qy#JaOUXOHzZ5IpZV+0R)wu^Ps1i@87$JKn8 zE&B8r1?Nvpa>Bd)FXY1<(R8U-Ej4TS!)mmf{iLL!N`Gzo8&{blUA3xPQp1qyFyib0 zexFu^V{|u2O51P(SDB3}<9t_CbNc>@KfQ)H3)&S~Dj}`*$6RI9-{sd}&1A9$q7(UR zsRC+6b|s1)TwfNs{y*8uFs$~iUMb6f==J*7*s${BRamrWAsg0^Kf-FG{9GfG;s1Di ze>SYHWH$&qUrKgd4nK_z(?=dy%cNQojjr(i{6Di{)4I1dW?z%mCKG14N3mfQMz5;e zRX!Kh@L|@H8tG(Y1u^-Vy@Cs~%MUAO5>mN)_*yP({7JM;vI41mZay*<%&n8uDJqc4 z=M9gifx$!xbKMGM{Bsk(atxoW%OwWYL|^7l$Y_`zrYA$qY_6F)6~|N5#MQl>3o{9k z?`f6`gpaETq;h-uW&f|0DZFah*`28fn)sY^A6FR?z$f?e2}0!Nnv~UcoAf&(nDXrl z?1By_7133+MrHz&wrU`SnVwhMsj8HKtN8;lt*5(czOCaoC_4MAsxa?cTr*KF$w$|n z0{n@G4*r}l09E=K8vC9z*~;*gjp;Q>iJGI4zr;dopk`a`qk6*I$;-Wb?*SgRx+e*d zyK_=x+VJs=z#F3?AbtC@6zyghXSxAU2JEa`(j-7l37BM(?$wwEM%jFF=t}gx^{HUW ziNANSY_B((2BwedP{}x(=B9x`h^o?c{{(+mQQ4$w*VL^Ob-_*}eBgsA%>+joEINL# zw6H31_8q>@aa>Q8F2brm{C}Yg6|NaP{t}CE#J@Anq)4V_PNs}ASw-0gtW+>G>XeMM zX8zWZFwXq0`i>UXHor zVy=fjhZ4rOWqoKO-Y0JU^jIo0N#trUjbeluzfJ?w2QZEpBz)b;_tS=uhUINZ*zY%| zQr6H_$S7&zj$E1whO(4=O9G`Vn^aOmrY@o%|2~znhU03gj1rsp`vXEnO}0oXJO0*G z$^fbYJ7G#%nAryfzyG;3upkR>sKVp%@Zw!*U|3J&497wG+cTd@2cv~}Ii-m^DOGWj zsQ(-0>4qQ{KA2*HIRs=xj1zu&Ki`3=pMxWMtdJ<`=YKe5oZ(<)r9wTEmpNCbfQ5B- z$0Ve3cXgTu#oo)ctGT162Pu>})7ty1!R|=Yb=L>XjN!1)ig}gKohPSIMiNevqN*?^ zK2PUQ$lzIlKS%T`Tw|e;KPQam1%`B0x>UdYM;bE)fL=^g_~mP<>azgKs!U0K`m8j{ zr1s(DRVXKNIg>x{gr}iw!L;N??VCE%C*;GFfF|);-(Oz$C`}4k-wX zg|pYBft}M$`6_E%I{!Njj4UZflhe4LN>u`a_F2^i{12yclzZLVNzRYOm;RFFA8>-F zY)fW3^9pydaOe+G#hL5WjGs9iqs>hN^U0PmO8LtVQ#qDsr(X^B>2xkHD@VJMS>xptv(uy|5!N6J14Z<^Vj7r(Hzjz< zIBWTC8d!t1%ueVc`e=%d3nZbhA%v|A;L)kt0Myvkl+An~jhVEziZ7Jtt!efNE6Ogb zHm<(wkW|WGrZgf9UyuAvDww6$KqbQ3l%74`KPthZwp8sVI1R}*nAW6g(H#e6JrOph z8ljMtb7X==R=UcOwkO+j9Ep*tMJKnp%GPS@1JlxF4Oz|1^S7pgRV|uyTT3cfNvJ{+ zc+3lFV3w>=a5~~oEVT3I>hOGkKbA_A0qcV5%BmH;h>DV~&V;L(k)K|eVy2>8`xGdr zA|QSHvsCUINhE8?FBq`CH(QpXjDKi;9jp96Rc?rW&7Q{9&stL8+GZ-7`ajhZKtJ+k zA*_64l@{fpxA0+a_McF?*&W|%Bx@U9K^W(5d?<~w77TYfs1!$`MNcvF>TAzxmo=`FXR}hxA_kT(y+T6S<}?M}u`Pem`lmLkFxn?C zSItThXLf*9_${j0>~7RAOaa4j074?(8Ye}CcTY{_!-THRat26$l}GSlq>DISG1oj) zZVe`|eq9P!5I_ZB6O{3rDf|`X8960Eyx*7Y|HiRYsi{g*KW&5;Q_Ug*;_os@`1;{hsg(KDglXJ^ z4PGOArEeLa3Rj3uvuy4>JC!me^$4-UPz}iy9nbT?IGEdAjGuqKF zrBQ|&L(&{&2%~FKxmH0@_CjI8-j~W52Q%$qACndFU|*cZ%o?H$`6i3zh%~TrEo;fR zFgoflX<&{3#`ynPfnlo1SNWL+i=Nph4UERDMjWCb4BC>rQ^BZJN1NH%cz3E6 zJ?Fs8jBobOwmg_lnPFi0Yr3Yc-g6Y$!tA=Ao}%lny*8qYY-O4`$}dh>8V$#W+?urX zy3^TlrdKn&w6w~+)1BYp!{q+LE#(OX)Cl{%n+-!{;oBXF0qCWdY3ZEl(Ng@r@^2B)a?wM(n38LfFoROG~`0}F^u2oliXpuD%W}dv3uMC|j zUCa6n5Eu*FQ%rq3vzySElG|)bs|fUYVOn&0|K` zafWP{xc+iV-cm0)P?q4`lwu(Rs-X6&1%1xn*>Q$LVKBj;OXwo{ z=@1{LWfi1eo@<2rvuxP3mh%9~nH^gE?2;7AhNT|Pbs4Jk*FSxMt*ikxt>egKkBZLR z!-jckS9yhnGR1X#fDO||>I6t0qHrRAwt8RPplp@aH%wvlcYX=Lx*I6Nu`tS{ZK-9O zi3mf>Boip2OS|^Httrm>#Iq@sH8jYkOhK1~co<2M z0Q%f+gr(TO5Qo+Fvy~w!mCk2+loAiupO^*~XH0yX@=rF5+*}Zf%1ltkZ#FitVFBsv zRU?S-I~L|lO98{Ha=e9-WHZSa?56YCFmjzf+mR%W>oQ=Se6KrFGtlRACd1w<*vbGK zRAaKrcAIx?XTt_u(Kjrh%MUYKMBrQaoxMS~dq5v6TWZ$bp=dbncDAx$It8;vb$w>S zs3!%iK0raL0^63VgB&zcUD2=+_P+i_zB0T=EQi^h5f4MCSh#k~Nh6+*^IK!EDfh6=L<#6Wk#NXWvtAw-4f&mE zV1bM7hT2$bDEl2h&YV%vcQZ+$z>Df4`UT(Xu4@gKdMrw`=tu2ln+Y`mX;?y;yoC)b zEAy;}nhQqfap5beU{lbcN*463{28%OnMKxcGg*S7;bOis4zNKNu%xn=>Qk9n?w^@i z<5m8=Q!pj;IU>%;Xr0#mE{(DQD8s@LQ(5zsd>E>24HFwX=0&5Q=xqLQhsv&1E4j*R z8Gk}%KvKWyYbh%{b{E^s6;@%OMWU+BllDAD!^v!z?e_G)Pa~Sm-k#schOM}iXrD4H zstWh>j%LFy>L!{Z;r6}3VA0qUjAiU|+T}TcUGttX*$EU>-XEt5i$+18B;W za#m?9X68HJ##Yu~bt3+Ckl}UmGWB&f%+?S^HiiMVm?YuucD|RYPF=1KwRS{K8GY`3 zFJmifurSQ*v?!PHJ7dD=lfPxd!l)Xxy&4iNaoCMNW5cGkpsTGZ%B(duAIyefY#t!f z7H_s|OL1kV^RosPnd*5z$L_*w>SMHq6a#+vNXF0Ll}-$H!2K!A^m1Ty74RN`7>jQD zLkd_EjY&OpGQ)4?rGROlujnhzZ!PkzXr`WcoZZWwMU;J89wAp*RVGWn zc_H6SG$<}s#U+6EY6LQ@a0?I zW5ZTuJCOir0%k3Z3!}|^_f227EnMm-ZchyOe>aD%3@y1N+jE92>z25o_orCpCGvrr zUrd)<{^4=hteI?OaB3_B#1aWJJ6~qQR%IK{yAFL9HLFX-mF+l{4GX&4`rMozA{GtU zZ}{7~1~!ibw2myAdGppdt#O2{tf9sq+{f>tJDi@bxS3n|Sp#c728kA1^TUacD6Z>R zwzBgV`N4q&OfSsp8i}HCh|NK4SU((?@E#OP&6WYXkDt#1*BNL>wK#Hp!_9GJ&wq@q zOjnKv&O_GNSi3t8`}!YK!SK??+Mz@Vc%?NBY~U(L@)NL){M9!wbKuf>h_hx7%}O*} z%iW-1;kbDT*M{ZWiJJBs?EUC@LINC0&aw8!s49J*|1>wwRKK-0ut=g6xX7R_XDe$9 z;EcohG6Jg#jqo=95?BXuHl4bBnqjbLDSxv;pX<<+JYL^0!*7e@wx{p~wG&MTMQk+t z$TQ!^O#qr|4NA;0qaP&W!ssr(GcF_uqB%5T$7!#mnBnj8C0=-M&2_$;i^D$uJlo7V z^(BcmpoDR7XB_q+zRL@dg@Ij&F@`%we}}ECp~Vko6r`mxM!RXP4%jfeEUP3GiMW|< zzhJ|3zol%ckGYR%IQ%_)SSV-=S0l>k(r5WFto`sE=^I{hNPIoTu$t__+JfDpq85ht zS6sta)}T|sD%g8;%tgaB8~8A?3$)FiYcv3${$)xEm^js1OV+gPOXaLPA#T}iDPZB# zj+lT{ZZ7`_AEp}s%bGi_!kGBHY#JMeb`uR05+Tvlt>>>=EyJYtARFO}?AA#-?bPp* zTsoR)P#zrRn~A1Q5SAt?Lm1tcVpRlS(vwzEny`zOag|A@o6foYD7kdw2ZTYp;~6eY z&w1dtjd6g%qM>zs7-n~-E5|C$RAj|?UpkKqQ+gg9scDrqngxCF*O)N$&$7rGhuT)L zSs1m(C&!pDBxROXei3!h$ZT9CtiM+EKcsFX<&g{@~n}KB}3U6{H-H>Lw+DUvP!Y|(qG>4ZMHIU zblL2wV&)+qAC<*sJ;4kOYgmAiAj2f_cd`J1zF7&7LNp_hY z1LpjYZ6*i-{#5B6H1e0FVA%~;xinx}z${K}sfv?cC{RQa;4^CJDFZ3Y6q0sGY~eS< zeQA_Qmzv0%YO-kNZ~4juVoO@JVM&MqZ~G563@xygg$EywawE>pV$bf#zO_r5+-*%I zZ(~drzO*!Ql{sOH?k`&~nrP8~&7P2P0zrK=B==IvRQ4i!{h2tOmJE8Luto_J_TA&T zX5uw^B5-r?Yb4D4Ko1+H$D6M19yFWT_GkRf2AJUwvhYZ&+0wA{pD(eM1>IU%1C%KP z_T$Idu=B$i{w7TP?J{5==5JA2xprINUXDS<%nk!~iqBStRSYoGuacU_bhBZrvVM>q zgtFPvQ1%@En76*}Rw&bBCxb#|A7vD1gY&xT>S05WY)FvN{ftr)wvIij>lL|PTBOg`NW|GGce0hi z;~PxLW99riOl>!%SZLbq^>>3KH=3{+yV%O6TJ2LU9}R$G)@N(J%!WY^_EtC2LQ@#M zo86}+OnqZ8EUh{DF#~tsk!)pkrEQ*C2NUhB2JE13uwk0e(<+eyMx{ab^Ba_DUA=xw zXRkHp02mEcZ>qiq2GjwOijwsGlc%IIlkyT1Y^IsF@+(KIJ+P^@QQ69D>Si{jQ)V~9 z7h&4D4|lkOK>%V$QlU6vHUTv9XSOoE^d1w|KAk=WY&0zC;KD44=L>eLs!wze>bX5f zM@z`NAewpax1PE3yXs4v7Z!^R+#WEA8650n@(n$vFEVZ~d7SAljP7 z&TFspGHaSKTT!tv{5)@ePMn2#KR80?4(PeatR3gG_U<^e2g>mKcCxXB+=LCaaFjU~ za##>vYs1~RF)LAJ@?av&w5LnTtyggpzMafk9Ss9*ug{%ES-tB!QJ`L9c|&bNKYQ>D zT7lk1*ZNvCDzQv^ILqq}zkz*5U)G+rGyneeW6fcqiWvI}Yn7LN+UsfesGWLhM>a~# z%S2ez!&+vCtrQTKr8e5p(^c|Rl!W^eJ2|Q8TBw2u)$DX+T9rtEcd!OxVZPA012U?( z@Yj8SrA#*^x&&y)QYKMr{3ok&MA<}~)oU2acmkF`jiXFwTD;Z6I%7JH$6k||`d-K! zR3Nq_kXwd2s?6|P%xRCnQ9bQpg2EYRBORjQnoS%t5oclS%lA2wl8*8(uHnFtlqlcb zB(BrFphTSg>LCs+Oii87kZ-Frbq|y{FjR|ZQ$m=|8csCAaDV&{I55`|4jn#4Y(5rE zK)f;0+>3@-u%W_Eyk=96(WTR~KxjfFco(o>I#UpKXKbk&XAe*3z>oki&!ck|NeD_5 zr~8?M*8!zetz6-51Z?&$T%zGCJm0pXmkbMCzZNj@B%&nT_p#0mMFPt4np!$tV+M=< z#@rv^DHJ3im8_vn!l30Y;Uxfam+Bxd+&pe>6Q(^kvS3)nsk6N$9qF`=DMQ)X-7Huq zjd?+c=*p;gJBOcMxHk)i=V2l>S%XBv=`oc3oYg(h52I89B*>QdjE5^;WhoPN$_Bub z>&T%Y5ogi2dCGdGN`(R4RIACRhQvJjZFg~$Av1L8^IC0%io-z`j)$La;J`>V$VNiP z0FI?9Z-rBjv0ykcR974{hCj)7v(}BUVC76Z>QK(Xakn7G!tpCvu<>W%aCG$S0?JmD zcGBZam_s_NN{@z-;p(C&9D9;t8kcB>1jRgj3(HIX#!?0;LpSI$;KM*+wooq|#e#)N ziI%{1oKds^djlH@-{sXBrIjUtmrMuUHcEM-K{=NNL$5_5vr6PPIh2Hbkp-hVVWYl4 zLtslA8N&$AUCN2Gj5yvI+E(8rBsoi{#bD9h_i|t;;N&l~sjoYaytXl{+9JejUtqzG z2pk7buW)hk6D!J}=T+Nk^_kLlsWXeoYA-RpwrPN)tfnq-wjnX|;mZh`M>c10lWUI92hb!`YkSXjKwr(>sS_rmgxMe_@7#64{vg z7&YST5Y`CA84BD+ksDr^r(?107=6B2c;D45Wq!~u`s#gQqux&RTWHxK9GFY1T``Em zm9kBMB@*EC^&FV(OC9@lSk8udbkj=u#&QnKrIkCq{`L)v)h@v7QY^-ZzIG7@=H!;k zvE2LVt=V3{_BM-CD{I~t6e{jJz{Yb(W89~7?cRoF82VPsiM-XSoxgyItRA-)K5L&t>|`S zR?=fg03)37D-O(2)UDuk@5po@(~cS}I;@5Rb7kJO2fipXHaSZ%?ljCiaw`XB$so+6 zeQWiC<{4(*IEvM!qNYG60S;x*7B=hKXn2@4RfI#ah2DMTTat>@76s}1o@Y5`>W=^A zx`{()&m`gd*xNZUYwFRt*Z?zD$lEAy)l0;UTOwVR)gNVEipz> zv0_g25$3X#05uwVRfsc{thNhXOl6Mhn)1EiQfzn91=NYB}w}z<<-b2to1miGS`x7-3oW=E+{j@!_0M#i24g$A6cWvB;osl zdzi}3puF$D)NRSum1fSsydo2Z-th@~kg~+cV_N8^cQRqv=h0>jxL&TKt`ycF5p!Q= ztvx`c=t`6*njUUyoI-Ig{-;^9h!~J8qlJ0Bwf8bV(}H78BD#nUW%+{iqe9f2J?#wC z*D?muUyf!?uK`t}V2B#rOwVNxS-z@?CBtu7&Nx&VKK0G99G6BcMd*r3t*8<{_fBSN zB5R;NgZn0<5_N5nJM&tBNyv#uKhb}3hluEFguk))1O2^1 zOxq6DYBPy0OTcb_iUpIxEetf$R2&`Jl~nervsf_g3hfV@y7ffDH1qxonJ|zVb?!i2 zCdr7iqj=S}>H^G0>m(6rq6?R37-M$!2CZeQ@S4#@HceAfXWVGm>tvppgCzH$sdVc{ zL0O@qD5CA(;K2f9FtP*7)*K{&{+I|mn5&}&Z64{MPmD>e%(_)gfb`9gyyAo>^c)>R zA-u*fD_MRGyf>qTkSSoRJR(+Oht$13%8N62XwlKuEBbMGx)dseR4&)-$AnP{apnvw zC7?{t*hRwpRxWI;UXNhIaX^F<-u`ur33G9_j8E-kWsqr&u<#llY?^kppvxGyZyehB z91n&Zi>YNA)7|7m7+lAL)!*DvC-5pD9cR*{UBg^3GmXZ48Va+Ti6WZGnve;fFVc`B zbQ6#WpJzERwabexEoo=(Py$7C49m9-fV+9b@-3H?S!d(#PGl`)Ky4^cUE44-Hkue$ zncL1w&GKUolClHE041tt9Hc)!#++W$qa{%C;prb{)@|jro8vN^F(Jd-c*K2$2~*Ue z(3Cs63<$kqpbQPkwC)jJoCV1F=mnuJD_A&&H@*zH=S&XF5`${U>6(8!wMt3Ybs2YW z|E8V;gCKa_q3uAq5Jf5;V<`Jivtax8^bHM)R?Y_CX*_@NP^*?!WHi#{fuJGFtXOvKOByWbF21-3x zM|jR!UC^7YZE-WNyn&}o$G+p8hQrjT7#62!0-VTnEUm_N7A$v%m&#hR(b^GLcElW} zvIBbptRz_*9`j}{Lk@OW48O;bN+juI{)ce)hzpKjkIBQpZQMEp4Yq$f|#Ap|d+0Y0Fvp4BkPf z5&0sI_tmLRoiS7tcJ)S%GMC=kFM9Iu$01LM*=7QKq=5&6hqe&bj}otasa5e6P&fXL z2kUn_q%#)mw3ZNU#M%0>Ogo!3S*oiH-G&FQTC7k7sr~e~iEy3dDMKj_T)(v)b7D4> zH8)3La}Hy|;8n6w*>;R!=GDx!FSC}6h3yiuhUlJ~QyIW!stFr$^BZQ?Ky3BTfikkI zD0|=umYEW9wZf)c7K(goi0Oi~6&zSrbkY++TVA-mH3fYZe!QR`_6`n=NR|pK?7Vc5 z%?Jx-;;_|6vS4bE?7oaIT&1@9mf6=hca#Hzm)t`4y8blig0f;UzMAK;5f*HGARUMh zW{t$l=KuVd1GAdEU>JQC-_a&Ig}fs*wGzI6E(fNc!X*F5_|wX0>XtbiSf)4Q%*ViF z8U_{mFg2s5&G81W+v-Xs9P3`U*?JIT;*NQC$GFJwW8m8yW#dnxD3$B$SZ#)7l*>4< zY}2&vCKRG+-MVMs$h0XZ$qqrq?7NLG7%;o!kf=Fy zn0%r8XR$)CisjePW@4eK+yh6gmutpa(@Fsgt!?=lQyF|`*J2u1DRML{8fBDabzHQv zmglK%PPZ=V7iA3C4ewzo^C;^NlBH7<1*}*J# zG;DM2LCl`)tMk_^{PClO^C8xtA~fpsT+QK#JPfPNd={`4=K_Y^8Lf1@tI*MysbNuN zuPx!knI47FU=8;5N?T9%s~C$GuzK5$u6zQd0s5jyOE{`R!z-8htT|zLZOX99sTIS3|rm2Jg^M26UyW* zoUEba%v*_E=R{0!dcr?uN9(4d_3nCe%JyzntaFlg8qyxd%99ufjlt75tLrz|^AhfYg*>fU5Dk@FUAw{NZLO z%omkn(9TML0%VaT%g?tN1AfZC#DO7y!L%_^c0mX=DJr~sk<~iUZ93Ch zvTU5)*{ZYJWLWbmN15KRfR!JkHVpyc$c6E3{8#hlH-Qinso4^qfiNkIZu%!nnXX}r zQkHW>re}#GBP=@lMGj2oh|$q-CxjmB7_K1t2g|ieEn!LbYqXODh0`y_ctzgAEFj7$ ziTzGxTgR`VwSQ!F540ZSeA4?P!!oY)_lfY$A93PLKNc%M@%HJLXR~0s0#jv8mM1hj z8ZP)N2NsrZRNnn#D5RLFz;3vZJWl+}K`CJVDqS-=nBk9isq$R^nQk|!(B5cauFe{X z8cDR{T^wb2)(rp?JDX}+9-KvfT-oESbC6w~0DDO9$yL_aJC)JfUUw=-8Ro9^*a`i| zUAj0mTo#RfqPdaltSb*GXDeXOo<+}6caA4Vj3uW2fGHh1Yj`;lMt+#Vvdg4#N z+-U$E7RW+Aiz$2P&m35`7qxa^^#&OU*sNopj4OMcH(9!^Ax~ZIR{ct0%&pDcHnW7> zG7Gt+R1@sn;e*Vpc}ZI+DtP6-e9#(aA$O*IEld3ai(1n zqZ2mb<~UyWKsUd~O7EO7&am1miX!S@IZ5zr#*tR}4QHyhLHR0*JM2JCoWVM`GeBjF zicRm7_u?}G7vwoGs|iav5n-6A2ovC;&&sH>8>et!c+&M(x^0xzFg*~9m4G({2j*@g zoDff{Zi|MUeDj_0El-zqaA4@TxVgacR_jHb%GteecFfElvKnE;d)CHq2elAj+Go^nVoMHbdHT@TE}C-Y^^LO zMYt*=6Yqp#^vG*BL-SDx^d}a~7{2Y_^9B`CQ(PH@pNXDhKkFcBU2UU9>YOIPbTGl3 zc^Wqp;f>)caV97J`ps{A$`#EGXFjWcmg%LiAWg%6V$H|gpA-9k?_5|#*_-Rx2AD;6 z)|E!^Z*t}gf3IS}%Kwe_Mj!~3PyIO&I;*(}<-}hbH@e!!zBR(ae%~r)FyN2<)2`Yo z%3}Y*;K52A(45P}4FA2^Dlqf;$?p=k4Rl0Ui6JRS>A0H+dolOb=?81`sBINCkb9X4 zPI$9Fcen#(f*OmxC{9ujhNIz{UvkVuQ>TOO1!W5MX4zT(Ub#MY69+c_)TWxBzwFQ< zmbB$~dX)d-gU_>JUa0{mIzriyn2S6d3Gb_x&mw;xznyrrd}Eih$;7`IZDuROvz83o zw_T)SW^O++4UA~BP0JMYO=#8f&iptBR!+_7R)Fk^1^_)r&6o%;ujj)sL=2x#z}|Qd z2Np6UU?O}KgxR1xH=6?sV@SH&8f?mh2hhbA=f22Vdths6B1`+~JfTmsi^tjR+GVKL5e3;u53?u%%gqaWgfdkt=w8q^l2n8izx3H?9a&bbYb#!VbV4K+f zGke9_k)Rb4+pjmr0n^yZWPo0fElB9aAMtgL_c52i617U&N^K$|F}+{**oG0QFALXl z5+ICsG`>ODXfU3-_|T2VnvPemTydY|_H; zZt5pEaTb<<01xULg+K9dN*f!d+W^2?lEvw`2hzgAk#M})e(?Kjn3x_a>q4B}zAstU;zDI*SeU*QdFTF~3!_1)VXBIqpp)n%S~@c}sOXx?frTPu_R+v?Qn0dRS5}5U8oylr z3l1!t;V0xXE2nS@EOJMzs(qC;b_z=XU;|@F1*GOR&9Py{M%Hr9&>VNA4cm-kQ=jG4m@8T%K48IP(y-1fOX|@BRT1{)H4*}sNJg>~L zJgjh080x^|QkeWpqJvmjBm7^&Bpi)GD)%cd;Fzgr_;JeNjyff9lN0mo&I(9>KJY^h z432W$`B^qiAPoqP^0=92evAXt|9u$5h(Z#0R2=_a{^(@6qv7(xEJ_itzVHFd$PYQP zj459)+mEBHT+qq057Z5c&a)#?*f$^Nz?oFS<2TDd$|%@TnT9#k?ge3lHP1C>_+R@n2Zl195ruAaTx_f0>#P z7HHVe49xH=+)RYevq}JF`0>WZY(XothG%_u`K;X&B;4%T%rR3>mLe%fSMCI?XC`L& zJEE}vVy%kMBw7k_?SLi60gL8yl!cD+=1XOm09Z6>EU~bwHNsKpvHMV&oE%gbyCl26 z%~6K_ibJPSz0(ad=WAzv*eqB6!>_UmI-YWnX$x%{wi{KD7pok>cX=RpC6y$f7eGjuo`q+P-i=8O; zDrP0^-@$>Q1i+(usaGxmvmY3XC%{phnl{W4{;KZQKr54Q-^8o!?|B?$u0(1EuHT1D zi}hj+L)XVLt^WlU3=BO$zhH~5{Gn_{Z0qI6I0Zel4&zOT(>ZL0HnZHB*Z&Cypl>pX z6*k%Be=>O;hiP&1@YCk?81RP)JpNA(AFOWX{~fk+%Rw6EtN6=W7IC_vp|Z|=_^w@a zx|>b^*)-0=`5-iBh17ATk0gojDZ+8joHig-=3SJfNV!Mp_e z2NJD`m$izra<1Lvzq;dM4oqi!6erz1K(VZC7>Sq7oXdbw2*Wi9)nLI)d_v~YD_O8G zSGi02g{IB0HpI*3VTW>H;dm3B_6FTSCI|1!iFFT}TRAYT2fhOxQ={R1{9-TRz{Ztz zPDRFrva#H7A&NVMbM}oFwgIGu>o#jM?XB~MwnkwKcuQc*#o7S@u+ZEnEO!pWOsu`c zv%V!7@FFGj;tR{;BjJlV4om>Tp!HSm$A1)l%x5kB2p_?D?vAKhMV-$nPGuF=v8Uq3 zhVNaJe=u?V@?nfP3!egoywVZ2kW`w$ly8cxY21m2VP)|OoAPSnC;I08F|IN^v~BhZ z-V{d^w9UFY3cn3l%l;y1Q5*(0r+ zI^eB}hGoCwDr+ICAtj@b%KhJ2Tj9!2VWy(;c>MFlzjKx8LCTxJzH&Poh7N5%dhT&i z;kVr{v0(#lokuzBd4*RAZB!(jc`O?SFS!GfBO{pd?LDXRVY4wKjd1zVr8rFr(KQ#fTDhk%LF_t; ztIX?JTh~X$F>Aes#lk6{X2Swfq?Ft{EJ-okiJVbgSq5?}(T2qf)Fm-l__F3nt}A=|2Q1+vJm@o%s>dF?J z=okz?Bl<6H>lDHQeF9)I9I!2=vLuS=kkw3B=v9KUSbaTC{&+(w7^ZO@)QtEO4WB=N z2}7Js(Ie|>;UjM{c&+wt!=K^B9@EEy_9khl(tmkaiKz_f=wkPXl2sz@PHvwz%oM@& zrfRTdtd(&v1&4IhVrLhPX}gTDWIEeSNd@?}#{lwB)}vwX?QB?p#cU`}RT5wi$FZbU zWU%4P_g!o)l2K9N{lOWgGHe9ON?J>@&9D);7a!rm{5|b(MJT0rY#ZT(|6syM@8J({ zC1Ie5R$EM%MUAdRGq9jarakv5CJb^cnlUU230*`tzRrc!h22GouBbG54_w8CIZlh` zWm;*TFj;gvcOZr>jf!ZvN?H=_yq~KKdO}V0I5ENqf8v)-3|#GYYnhXbvv(iIS61s| z%oLqHtO$IW@)lI0CWnBw+9S6OVI@Z=h`U!VQa4BF_!zHYB zK|tUHK%wIdfAB3Hj(Lt5XD48ld-GwG4`c$Q zfAHb=GGXYtXK59l)X`>ykG{r)IsOXQ?z6Ez&LH9IA*_Y-cmT>QvAP{4T;W?h9Q0kL zGIvwpjtp$6mNV$riC32?@v|a6pkwAS@~>Ld}qnVNn8Ck*M$wvKa8cNEA@6 zC<8wr>W>CN1Z9cr;Br~KAe-E;`plf^uKKFy)HnS+9A~N^Gu2hy@4Vkz`_k1tLqf-b zf6Q|QLnN$eh0tf>5IS%>J6e%Z%tE*|?gYR_IBo1EXS*{CW%bTTjj3fR3wC3dD_F3m z1fPw=1SU-jIWKzM6-=3V815t)45eNO);+)(?5OU$@Z|-{&2!r8t`K^wk=#AP;{ZC1 zrQBQp$C=sD{n&HIC1%sza_IMTWIO}q9)~bfLy5h>Lv%2-1qiQI6#qomEYY;Vz zQdAY8tZ20G@-r^mQidPL5)j10{_L+6u4aOmf+vbYYZfNCYH=TLCeM{4VWR!reN-o= zb*|gf4Z8Y>eda=UGXc-)$Fga<1HR)7re;(FQnQU+yP;U0O~JGJWh4z|!EX;n~c;`SnZd4D{Eud`fR+CDyZ)6`GhO8a(mnn4u)+*VJL&XI*{_*>^c%w#puFH z`IpeyDOFRKcJJ81ky%p1s)c~ddgC;Z@(=!}8(6Kj#=5NLkr}*4-s1)~45Fl0oxNn^ zSRHU1*Gb>{lK^qbpLoCB=g3S4NMAn~I)Y)HJuB{pD5-h`_v%N~0!Z55T?pnSQ) zlWAs0x^}7XyzREBW#|n$k^>^sSn$Y4oy`=7h*Bwp6ZZ_`Oz#XgtWR+UTO3v9l*2?b zZPx3Qot(j{Q&pyjrbzsm)$AbG1_e_S|HmSbPzy4eJ>j}qjY#YK6L%AydA6Bp&vqSF zC(PpY@ie0fRv^_3vrSxwh^2N>8pKV~<(?(U$79oI|8%Ws@#}-Phj{ixf3OBF*7j@c z^k>)9l-;w+0(W$(x4yMah5xnE6%0OAx(zqy&Quw8Mm9C?a-9vurEeVWK)HRl{{A>h zD+Vz1eOG4f)o8;lW)DH!56aH8>;8u;7#!Y%FSnPc1Vb_nr2LB*WAsuPU;JLHJX6Pl zeQ$DPhP|NKGX+){G2oftS-h?0yMy8DJ8Q#G7ALv-+V&hUp{62CcY|0mvqT4xHT{%JKqu*nPlX#Y%CR0u(yf8BQ;1*Ph0+3d%UOXXdk~Pj=QU zh-C$?kfHW%A^bz6=x8GSDnGC$V_5c&)_5%r%rbV z!`^n+EJA;Hct;M{skm5e>JQ5wbO&q0W#NkGl7^jA7+C|=_tMv+2(;5c_?N;Bv& zYan>-W)9e4vHbKBiCnU7@!#FSaA%4vwf1F!)Ya<@uvUR)c6OLxf84;G8EPiWaU>12 zJ)dEL;f#wMG{ClejtLgww>@-sgzFv`2-M&eVS*((7HqMLGqW|Nff)C?_a$HC$N--F zBWEz&o(}kbFbILnV8KGpqP+S7*yQA)ev?MZZp8n z+l<2u!31Uv-OL^S9|LR-rsiHjzXA5zkSmzVX9(8SB?Fz=>F(WwHPM>tRhTuN2|Hwz z$s4CRZ?OQJLGCTZFhymJY?o?p_bsppHa8YhfEgs~THVJ^3IM;%7h_j6iv`ObU@-&rZHZP53!dHB9ju0tZOoIf zvg<0M&L(~ByuyzUm!icos>n1<&A;It?q=ePjj(b?92#(&pY9IU_QGL!zQabdKu3G8 z`*;(-9bT1i&z>Fqx}m>!UR*%U!lU4K0<*u)?2X;s%`62~oRN}74Fos+ivgyFJ40Z) z3*3(?z$ysA_E}>xd-SUeW?>huc~`oOGSIt)Ggze*?=F@22DM!ny`WN`fcEL}sfK9F zraCjj;v_nRs(+AO#;tnX9jvYUu=wjeb4;*focD%erY%<`bg5G;H23Qz&dkdAk_^o0 z?KKnNm$x~CDP|De7f;i80lapFJ6N*v`nv&hapBN&IADk2eiG<0GVRdQ?qFD)=u@p1 zGdeB_m?0Pjyz;U;Gt?|OCfhLc3HP}mND`o8bPfQ%#28ednITMHf}ooFkI%ZBiD0Ej zZ79^;k$+=>tq(#+!s|b}zC4A`C^IAMARBnky3g#X1Rz%Z@UOJEk2e)q1;12;PiyXy zFS0aKXX%)3(*qe`_;R}t!US9XggaOShsVKsQ%$hj7=4YXzp6T*dM6ZMnfu$gII6}Y z2G(0{GW)CJ7T6lXIYJV|;N3IaHLGRUI0mVa`^|bBFa(+2qaoqtVV5w#qTXoecQe(% zI_vs>;tm$T?P%$8kwwC*TioB>sbTa+1{O@)&YhXsfD?3MS=aGaF?!obsSGFn(`5GY zejH|qGlV@2{6FI!Wz1_R0CmWlVdh_5Uph{xV6kk86JO@Xaqinv)bnMv#%#E&dHc2p zpx3N4p!!Wt0xVt|XQ{LkNRj7OGdW-&gN{AbW|FL1c?btgNR%xG{F!k_Kg$8bQxvAR zPMe{hF!R?o4w&Neyq;z8OcGXV-a63TFC%r#RmOeTbw4dWot&oGBR3DrS_27yl6UH2I7{1gJn$6SS)^cWsGhS6JPyH2x+6nF}6{y)WWjwAQVm$#ae#w~` zR%7@v0=PgLrE{|v+`+K37gVs>G~Bk!SYTK#)h7crx7>Y;h3bYPN~|H_<;T9uVpfX6 zTa774xaxj)u(r5bn%{l4S)V-=xr3pZZN&adfb|)@ZG1%lByRK4XI9}{_cdXa0B!hs zqnXeC@B@aK0yXRDGc}uksymn()rA6V%n#G1JNn;699QD4IEKPFr6V zNTL4vb$4bU55q2kzE=RjzWOtFun>-d0kvO4cPsaD2g98o<#G!qWvrnc>9~rh9>)$^ z03fi_r@0fq=gv%hnoNi??n=?Xwsw8xa^!g-6uqoF)cr*uP#=G(^x(vc$YR08ueqBE z*N6?fdn81$2KJWwP7;-h(_%4%c};UmZ*XUpw3|ZA)@$0}&?DW!kk2%s70ZNU2QxJz zZ0uTM&CGuH*KHvAB!RxoTH#)Du(O$=#OFE}l&2Ua;9&RtXSMpZM*ytsMA(#@vzs$B z=(;WnqIrvu*p}HsaQ&suVCw;v&EB22Y|nmI0vX=OSYA_x2@y?G^V=DBI^(bofe1^& z-kHYC(qUcBX5x$cn8|`LVJ6em_E_N#hLt1jKJ(Vw40cwea)jvYpQ8~xz9Ql>xs%;qbS3^ zhuWRNpd{79Q$eU-Y4?5i6J}tu1i;iEE@0%dT@o;TNQZ&TPh^;xHZARUE&+jn(l&@7 zjCvN}Zq~wIpKNcbo|-0=_}9A6*~~~4^jM9;blDEN+8GRB3xMs^t0Owt-2?7m$r^4* zG{bY>=L$9$MiCaKfLJZ<9$_#;tT^gSbE^5ze#ITE_9PBob+|W=XM(lh$USGOiflp{lJwBW-5Oz(lZ%`t-PE8rg$t^&umcse4IO2 zFh`*gZYihZA`mE?X)dol?B1oq7ylJ2%o@6D=L{+ojxl?QVSn4)U9*HxIF$U#{5bbs zcd%sHRc2sn7tcNF4wgK*L{(~<+kO=TEJ6@~#ox#G{Xy$ex)#F+B^V^-lFcHgAWMOJoSG?>Drs`~f+whle>O0=$4p!<>L^`7c zRC!0-Au$6?J=_vO>j=om?+(UNQJZR*LfF|zgB@ovnW0{Nn5r3fO@}*J@{w*7OvV`~ zZ0Z;rvr4KBz~evk#Ds4!D#wyQFmaxdGhoyG7-lBz<~A*wk$4XBG2HSvB1;q%~J%m3r_rz`PW+o7V=u(0EL^;&*nZ`<8a$0mufD*KHm|d#* zjHU0=N(Dx&R=02Kt{JX7AvyEK1-OJU5X0647npmcq1s<|c4yYMSb-5!5tVPagW+5d zZWova#(@8WjP-ydHSv{i1ME6ZO{+e96siHgmT@mt!SWYIYTbvfs$GyZ*r4Oawu^s(}U9 zveq}FUraZrFL6IDr+*ehNh~*s0cYPf+K4^(xV-CRzejp zhbX6?>kgI}R{^8hgkLRl2gA`J&Te<%_bwCI(I0o;;|>PrAy`YfHyj+rNqk#5&pF~_ zDgnYu9LfY@^v7%KxHAjpUVkx$9H825&Xsg`^ueD4I=S|0~k#(=S_s^>sxIb*ST;3x#Q6*`g@H3A8Wg~F z?(-ToGrMJMfIadmmzglIVC^dyU}#`j+=*b7EMWX=1{gw27aLZCm02u!@`oI-DIj|^ zAa(grzdIO|@xAtLiBD^0Uf1moR#GfPY>p9ca9^#iDy)7n3unEQFXdi;iOUQ(x#+IC zn==^T=3EpjG^^T~>$-#Cn+wQEs1llW_cFRv_#%*&jKi3(T;k4*9GxhRj zbB8n5(Pv{z2SRE{c=?(CaLyXRIs^EiP-i}C?)UCsh_F94oEnh2d~BsNGkgluV4AVh z9pLfD7+?dvc=%BNHU_twUUUcRR0R|94EJD!a1dEIg+O3>? z1}%t2xY^sfCjizOm^D@gv5xn(dG25(Rh|TxX6|yVE0};%Sm}apofckC;cNhm7I(E% z`_JCJrzA18K#p(JlFu{aoqPpDb zS$D9uQ>rR!nC^48xr5h3}?+)s-?vTiA(bt<$Q z+SXgelO_o>58l{avs%}kF!OxI3$&ox0NezQKeF!JTN%t|Rn_Eir_4g(@eb}Yd)R0q z7_JHHSa6m5#1gdOSzgdZfIWD>yO~j}I1yl<{3!$M|6)Ve62wwrrrjq#;tuu>wKWkx z1fRx9{I6Er!LT_|9hU(E?1H84V96$u)Bub!PZwOB8c&4*8P-IoO%#L^hue8 z0=RE?cV;DM#|>yi)_C=!?qKTc4QS>PofxdeNX<5YK?GZv>=8{PzW+^kW+jPW=nSw6 z_jd&o&<15%WSlz)RW%225IdcS~{(D&~lbIl2BkT+?gdWxAz!}9yo(M-yLk2 zkU34V@c~RQAp_k?IDzrzLJhXLxc!q7ALvtU`*fCVdkJOZRYmw%eYCQ6j<5~ z_e;h!VFDrzD1ajv+w2IKS`g>$HT5KUc=VSTL&Ste|6tizul~|pR@T6!Z?n>XWsHrc zido!Ev`+W&@>$d}gkQ4KOTdatS!Uw*o$$a2y%zc*V<46!CR`E5Oae{4F9$qaYd z#iKAapLib&EEKB0p}R-iH!>)QFkQaum?3YT7N^jz!wqe9n3_j)vCPD!bX|SK`;POg zy~Au;#jq#!>yUmHvoM}I+_g<%0khVqy=WlQNa%l>u_TF)l`8mxh6aW2?J*nSGMqhV zfL(YCOEVC+!BPXPFW`YCU((aj-o-djGr^$7n+I^2sV^z%Ztd%sX6iudnwihkqf-6I zxP`oePWLu`$m_Tup+1>mr7phgzQ82r2r{fs!J1G{_hH`kwc|j&IDET2ry*1y$cd!y5kkA|ISg`8- zEHG5f;DzU(?ZN|7N3k1@n>D1GTh-A%%6O}&h9OPO?p~i~=Bmh?Jb>~EJTQQzQnwPW z`4$%pJIOj4?~HQwu7@cZJaZI}+4Ar_ofp9AjO8_j5=K(}%DR^s2LvmGc=(_4*WLDm zJTr-gj$dt8BvCk-2Lc39xTJ1f-81(qOfw-!CTr_J%5%pHY%pOQ6TjJ}Tri>znzDcg zHYo8l_Kb~qvHvAJFnrWB^GAoUzyt&_;%V;Kzq7z_u+t|sD1dFB=Yd^EwJ2}=iv<>g z?2@rgt@S%BFzh)713DIzT9{zadZhujnmd6R5CwfFZU;H+>o=HPei_rup1##mN89}z zCKv>F=~!?$v)aZzV`hbR=gVAXErykF0(Zb46AOPAZ^vX7EnR77_Uu9?ST}KYbo*Q; z7*)`J!R$Fgfh7Q^ZwPnG=UHar#8TPpQeAro6HE-5)LM8eVmRk$V!?xqrEi2O;eV!? zpXIEG*_Jrj2>^qpo#)MCCV;UTgV@YPtb+4dB(Q^^S=z-LBG#DcU^{YJ^cWA%4T&lI zkKfBofH3aVjKm$~SFym(f-Y%b!SS3bD8~HXZDPSDN3)of0zqgN+{6OI@lM&KcFs0D zu)u`ElFwUQz&GUyv?Drr%&1vHID*#;iXf_(_1PDB34oItv1#Y@{g`H=WTyiVV70yhHG5G@Ce?TnZnqd_|l(U!LnpB zSL{qHBeST#%-FY`un`$fwToR@9hWi;brEQXJj&Eez~%(=k-Jz5U243k4HJ)NFP4VNu&g`r1)gTu zoZ~4cn!BWn1r~%|GiC`53oiLF3k=`-HoF?IYyl6f(hDs*F*xp37FZDW4yxqLx<$-! zz?KUDt4Pf~HI2m#UmJ;Hp<%&)|0fTuds@q^SO@#qK|HWBvHc7NA{JQCu_&5qnE6}Y z*a<4VOQmil%siOI3}7{gSV#LQbEj|T>abhKf_3Nen60K3m{+{S1C!=%T7Wel|9vKFjE*Z6GXi7m%6)mN1eGaE3~GmN&ondWFh^pK3^Bo`2ZMF3 zMm+cNro8Z2@xZVW4qzNrfJ90#m001wm+@Lx0wy{x8?mnb`>My7njJ`(dG5nZumuE| z;O)LC!9E1oT{D=>b|b)+{DKL#I*_8S{II9*7$#VmVD{MSEHLZ?^_k2Lx|Ic1f=dZz z^BG5$p+Z<|5MZ+hSoW4;rghD5`_urVz*42^l`u+`BV69y}glGpcN5a?j z22j<>t-OH63|fSQSO?qYmn^Un^qTcq@L^u}0Ay9_yZ}yH&0+>~y1_Jaml6*Q-!?Ga zWAA2x$?4NXY#92$i5xIo-C3kft16!Tk8{9qhE_ zhwHkOXQny>(*V0~Qzn?+m?)Oq>1dGZY0WjoJN(d%GaI^FJcpr~z;Y?1xzlmGHyL1x zQ8Y*W%(~}~XM)MYvq0*K^Dw$Y%x0K7bjSHXeS*hY|5ZX+hMm`KfKj?;wo`jyOQ zE8UpY`G~BA$w-4Ieh~s z@Q!x~AiPgE63=tw&bk9pZ%^T^ykc2uHAOp+w;~46hDAVkTRzV!o9fL4^Bw*hc^erN zC!rIAPj13s7D0f=Z5vo{{?-gIwID++%761L2AKK=GX?f1-plQ!C2}hn>xTY@xsd_C z4lQ*oc+YPcW-86_>(B<+A$K#ufGFsfZPN^3(Qc-hZ?-d;_1t5E{q#dju-#fsuzhDS z!32>2JAIA`R%mKQH<)HV+0SHF=uQ$KiqliqGnq~8GtInZCKn7$$9_>8c?livEZ(Ag zDIl6n`1yO7X5wqAhI=P>#}dT&pXN^D?Lo$)i-m@SmqTA=nn`K4>srkK2C(H>dK&zQ zwsq5`495DPeA_ca~5iBsAJs`|%WeyPoQ+_<3me+H{t~=o_Igo26 z0mhsp!FTwDS#2N5d#x)XQ}ffiG0l|AO=j=@APcM%$U#H2V7CWYU`B6r!APp$)trL+}Tj9&pOo}^^E&aA2R_$nZZde*82?;%!C?` znV6conHO+(#udwqK;x%op;%?uYD2`0XtLK*fR$63Tu&qhXjjx%bVOlCmYxq=DSYV@`7 zD2mgVU_h+!5AillVslP(T)5{sLW|Kk0C<%<;16b*S>ue0xSGW#*nVqs!Az`iG$=#@ zyvBR4j-Z7>?51wsA`@^w-U>f}AclzZZf7QdKw^5M^1aziur6tu*%C0p1eoK^ZswVB zsF`t&4!rVt9y8HRv;l|mW~t zdvJfIncd4xu>a58W(Pe)tXk&+m+jOFSMX{5n1Cq+!xNMcJtfZKCOUOI8{^a!WqnhE+FjF zu;B5giTIfzpjb?-4B z;4s4s8xS?^j+{-C2^FH&m^Q#+hG?yI<3>H~FE)-sjQZFklu?LL9~-Q@cNApA_xG)s znsv<|ff)TAu3>^11rssZQ(i5jghqV9J?Ar-jUc3dvlAdBN&t6TN}5pJ&t`^mLE-8; zOwDurA#by0s?Q=cQpx2G+?hSx{$w1~e-5`rr?a>nZ<*t z?>OjH+V>A@x03li4p>>rRLxOf3G^L~}RoI1V`Jl_s^*n45Er zg;E90?oye&c$H%fN1AZ#7QNU(g-MKGoCE>J-m^zw@tHNRX6j5+-PHH6W{`E1;cI@i zO#qGqY?7F1iZ%|-nifo&%-Hpr2{n$IHYCvec#{xijG*Sow1uW7Wii{#vNYjl|Dzd( zJHjN!@9Y(7W}%I6p=LHOST~WH?0rG=39#tAX2`T^m!m!-dg^9uW;ztW#hjP)Dp5e? zS-9{LHZyZV?&!HZFw;g%-T9o??$OMtM9zRea`$UcVCI65xHf)%M* z^ESeSX2Ah%yy67SYo;+iM7!C$B8-(%B_j82k0b#!;r=wPmko*n6U6-4CvIS74UyQH z{m~rr8d*-YD}GH&piMw9EsG^avxkU;Wc*pGLcyq>3x5kNL5-a?1Odh_PKAOI3l&YW zSlYaqb{3JEKfZ%m(-K(x;?%sU>CL1R$PW`lbaT`i1hH{sTEfog{$?mng_DI#DXVzpEL)k5?SM& z%b2wWL5$x&iwU&--pgb*lQ8p@ZJA(&rly}gAkuk^pl%|by;@ypY6^lGyVmF-z^=NS zn`sF!cC}4l@e6vvV8Xx~NB5vGFcV18+-O`YVhSPUnjtj>(L2L0YCqBpnO4Y@Si@fS zaW%ar7O)TPn?Zox!ad-G+OWaio*og**sD9!39zj{#myShL}PcUOeo;5@CyQs-3Ab2 z3jP><9>MGo?#BqA-R!*IxhXd_31C?t0H}+Yp zCB*8~@P6_%Gc^l6Gfogg;ZL&0rm+S^*er#&?W$wXXLQ&BiyL&)XXU+01qGqDH zWG4WDH4(v@Gc_fuFNhR)^RMo;M!K((fn>kKPcdT`r$WKvjDfWa_5>z@H4#gIH=Hm=@$*@s%nDt^+LW+!@1MKZX9*0^rRARj8xm-zPG>SBtQ<#bN)wJfe-;rF z8_PG~nn{4M7fWN)N@$L1yMks4jolZXYzEB=9S0~2bu;&0!ZH)P8?l1Rx?Opn04@}) zN}gG^{*^2<(LCbKy7%!u&z;q3?#S0Am-YLcs`P{0_1)OOEKx@j>V2Wmaec zG%uJSsIf}`fyK|Xg)%D?tWYxvF#ZZZ0me^&<^`MAYjRt*GjoJe==!EGiSggWYTd^~ zTlEUlOcTm4dr+E;6~^wL5z}Y<$vBWE&muP&@oSBMnDRRN9A>61Rx?vFzL^Bp!~+u` zwlEDni}w{J{JfAf!A|3TW|YWg{QYMpuW?k4I2~s==5aN#xxA0ie+Zey$)JS+?E0*E ztDs`dB+&SpO?WUW^Cw2L*U<^dSedqYnI#qq!cO);tWYq57%Kr1oI;ru3Rb9@9*k1J zJ*+uu+UDH;WK**_G^5ygW|S)VVQkF=fy-`C@O)ZxEXLjyK`>*RNnr6?r((=Rr6jq& z53u7&u3h(bUfE!0XGtu~2qo!R3vHWMInHiMf3qCUf zZ32Q7x-$-l5kGq`l^_y;pJ{zQBjj`snt&yM62Y5EsgfV&HOti}reeR$J(Gk2Yl;LA z6tj)z`4*khB`>TgQj;V&Ihgqselqb>fy4^`_)h6hVJmNwFx|}U4`Z&?5j$YV@p3z1=eW$&@jRHW$k^9jv#~YO@9>)G zii~~jH5*$a{SL30uE^NeUbC?^((mw^>57bf?KK-)BmEAqnXbs#*Iu)+HPY|!n(2y+ zeeE?HTO<7rubHmM*wVKakpf{6JB|q$K*UU=KGqXx#jqsXTrLjhM&8*T`BfMr-X{-@mGpjV#2(Ot{8f%2t z%qopF!fR%g#u_nsG!s@0Tq8C*bRrFRtkzGStYMYFIl^n^ESW!%!Cf-1nb*vwfUkmV z%GkrbW_E3CFYdNLg|Ny=J-ckda<9QkL96uUW1or}SN3 zv$PtG`MqY1>6E_9YnE1{F~8TWF`d$PdCk&lH0Jl3HKtSgF0WZyjmG?5v&M8v-{mz+ ztI?R>Yu1=f>ASpUX*C-2d(9ftDSemMEUiXkey>?$I;HROnx)lf%s)9a^DF#~<%Y~% zlOt>R1?EhmH+JE(Mq@gq@A8_Z)o9G`HET?#^j%)Fv>J{1y=IN+l)lSrmR6%Nzt^lW zozi!C&C+T#=J%R4rc?T^qBRp~@NxP5DgEy96`h*y3~Wz$W;SK);a)SlHnteAnN1mc zxYx|CjV;D&W>dxc*_5$|d(G_H*kZh9Hf8MLO|6-<^LC6W`tTJjdCe@sTJjdCe@sTJjdCe@sYh#P?n%R`GhoffF9_tw6SNI(T!`quW(@J-HEKd}@j^4b?ECMisi!7Ud zg`bxIjHfJ*unT&GfE5k&fn`m+Ek)zn;AKddx!Z*k&P+-TcGmD@~{~#}ef=vj~$D>@~{~#}ef=vj~$D>@~{~#}b8_2}_iFuwUVK*UV>HubD*w zpEWGPTJjdCe@sTJjdCe@sTJjdCe@sTJj6|I@H z{2ljTzrydXS<$KK&cOBrGLyDA=WxHm?<|?x{83l}_!WM4{onrGH^N4G>fRG+>3fox zX-mwvKc9JK6R9}))by!o%>$N*rtXGXI5UF1neL)_&Aet71$@@92$K^$c{CF_D!4`Y z6@E9p)ZLROpSf#ed%|mGQ^p?dHM47Di}9M-l(C0<&FtFPV!UQHW$fWzGrKmn7_XU4 z8GE?b%&v_s#%pF%#vYEENqekgj9=k*6bx@~>P#!$?Xf)JSNJW$yj5bIW!9nXq&KW!s*nV9I5Hogwtu>pxXc8bWyk=fAivm7tScJ(5 z_L}90V~O&bS%k?6_L}90V~O&bS%k?6_L}90V~O&bS%k?6_L}90V~LtPn#C3c+=Fq9 z?*5SNiOG`yHU-?ny=GoBivm7tScJ(5_L}90V~O&bS%k?6_L}90V~HwKGrz)b5jiio z$OQ0<@_9;I;(P*Fgvkl^n&pUNiSn9Rgvkl^n&pUNiSn9Rgvkl^n&pUNiSn9Rgvkl^ zn&pUNiE3)iq=mG5aM7Qbb7x?CqNx+WM%q2xo9Q*PDB!b(MVOpmuUU>bmME{8MVOpm zuUU>bmME{8MVOpmuUU>bmME{8MVOpmuUU>bmME{8MVOpmuUU>bmME{8MVOpmuUU>b zmZ+xH%&+iUQYcE;<3m_;U`?{~pZ35sPv>(a1e@&qx6N{@(8Y4t+0Um zobm5qW}R*$o_dO>Zoc}331?=?y1Mt@qiojcay{Nmcjb!KOuAFBJW+ISyLp*e1YiUg zotg{^mPb4@i!eFCUb7r=EK!q4GhvayIrwAq3ho8P&J-*U9D8}e%q)M$J$Mr3Gk0+; zPk7BN!sG;d&2q%CL`@#e>ce}B5{(D1wXd&f8&|7#C+0J1Qo$9bcK^xm^9kUp+~g_f zuFBZ&pB$R`6@GgTu*OV|tWo#^vsF*$2%iAXlKIqhmu#YGRMxbV~HPSW0e@V|(F_>ucXEp(R*|aHR4=-9XVgFN( zF&iD~XG1NLSw)_@H&ZkH-A(m}4_VFxqgZqDSz;!|?A(XnW@eOyNf^h>$pl8F=G&gn zk_0G}S)pK5{_y#XQYAmkYnHDM73(#lRLKwXn&s<5#d^&sRr15UX8HP1v0gJumHaTT zS-w70tk;ZEB|pq-mah*L>oucP$q)0IqEtQ%_vp!!@Oqs`cSc6GfI{GFt1s@K2)sNj8Y{( z%xjjf4;AY*qg2Tc^P1)BL&bW{C{^;qyk`0OP_g6JOpe07x`W?lH>yTszHui&kor3- zPV)(nlA|H-xDz1#S3Fo+jmG?5v&M8v-{mz+tI?R>Yu1=f>ASpUX*C-2d(9ftDSemM zEUiXkey>?$I;HROnx)lf%xWYXWLkPTM!^|JJW3-n<6! z%HO3+n!-#X1zx-LZDy7^hg_zz$kb!rW@afaS?>fan^^;ER}<7sy?9R0Z!qg+{ph*A zI8nJgFKmLA0A;dYT*yoK(YQ?WG#U)wc=qisP8nu$l-dpVBQsUWB}we$Nj}OV@X@;G zn5P>wbIvFMtM9>YD=>-wlFG^MshCkQK9yKJ;^{3s0R;Yuy5S9bOo1<2(V%AP(XreC zpGUBa;Sl39jf9v=zjb=i%*LYMV0?7II~!!y2q3fCE-S&}FwuAP&zyM?k6Em8xzt+W z)i=FW%lo7F4f>=;3m-dn11=cmo+JaM{`RU*xS1)jASd>r$Iz_wMK`bn0x8AAHA9LQ zz<2-b3Z@<(22&iK^Qdrrm9__D2Q=4ndEK`Cl{kA|C(% literal 0 HcmV?d00001 diff --git a/zz-solutions/04-Lissajous/Board/diamond/lissajous.ldf b/zz-solutions/04-Lissajous/Board/diamond/lissajous.ldf new file mode 100644 index 0000000..f1ae61d --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/diamond/lissajous.ldf @@ -0,0 +1,22 @@ + + + + + + + + + + + + + + + + + + + + + + diff --git a/zz-solutions/04-Lissajous/Board/diamond/programmer.xcf b/zz-solutions/04-Lissajous/Board/diamond/programmer.xcf new file mode 100644 index 0000000..468a2da --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/diamond/programmer.xcf @@ -0,0 +1,50 @@ + + + + + + JTAG + + + 1 + Renesas + ECP5U + LFE5U-25F + All + LFE5U-25F + + 8 + 11111111 + 1 + 0 + + + 04/11/23 16:14:28 + 0xA4B0 + Fast Program + + + + + SEQUENTIAL + ENTIRED CHAIN + No Override + TLR + TLR + + 4 + + + USB2 + FTUSB-0 + LFE5U-25F A Location 0000 Serial 018VFVT3A + + diff --git a/zz-solutions/04-Lissajous/Board/diamond/reveal_analyze.rva b/zz-solutions/04-Lissajous/Board/diamond/reveal_analyze.rva new file mode 100644 index 0000000..4249e0e --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/diamond/reveal_analyze.rva @@ -0,0 +1,638 @@ + + + lun. 6. mars 14:29:10 2023 + + + + + + + + + + + + 1 + + 0 + 1. LFE5U-25F + 0x41111043 + true + false + + 8 + reveal_config.rvl + reveal_config.rvs + 1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/zz-solutions/04-Lissajous/Board/diamond/reveal_config.rvl b/zz-solutions/04-Lissajous/Board/diamond/reveal_config.rvl new file mode 100644 index 0000000..28d2f1c --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/diamond/reveal_config.rvl @@ -0,0 +1,662 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/zz-solutions/04-Lissajous/Board/diamond/strategy.sty b/zz-solutions/04-Lissajous/Board/diamond/strategy.sty new file mode 100644 index 0000000..a2b5a4a --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/diamond/strategy.sty @@ -0,0 +1,203 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/zz-solutions/04-Lissajous/Board/hdl/DFF_sim.vhd b/zz-solutions/04-Lissajous/Board/hdl/DFF_sim.vhd new file mode 100644 index 0000000..cf8868a --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hdl/DFF_sim.vhd @@ -0,0 +1,14 @@ +ARCHITECTURE sim OF DFF IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0'; + elsif rising_edge(clk) then + q <= d; + end if; + end process; + +END ARCHITECTURE sim; + diff --git a/zz-solutions/04-Lissajous/Board/hdl/buff_sim.vhd b/zz-solutions/04-Lissajous/Board/hdl/buff_sim.vhd new file mode 100644 index 0000000..8ad27be --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hdl/buff_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF buff IS +BEGIN + + out1 <= in1; + +END ARCHITECTURE sim; + diff --git a/zz-solutions/04-Lissajous/Board/hdl/inverterIn_sim.vhd b/zz-solutions/04-Lissajous/Board/hdl/inverterIn_sim.vhd new file mode 100644 index 0000000..fb039ac --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hdl/inverterIn_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF inverterIn IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + diff --git a/zz-solutions/04-Lissajous/Board/hdl/inverter_sim.vhd b/zz-solutions/04-Lissajous/Board/hdl/inverter_sim.vhd new file mode 100644 index 0000000..ca5b6b5 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hdl/inverter_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF inverter IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + diff --git a/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_EBS2_masterversion.vhd b/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_EBS2_masterversion.vhd new file mode 100644 index 0000000..68a2277 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_EBS2_masterversion.vhd @@ -0,0 +1,110 @@ +-- +-- VHDL Architecture Board.lissajousGenerator_circuit.masterVersion +-- +-- Created: +-- by - zas.UNKNOWN (ZELL) +-- at - 14:16:11 02/20/2020 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.3 (Build 4) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY Board; +LIBRARY Lissajous; + +ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS2 IS + + -- Architecture declarations + constant signalBitNb: positive := 16; + constant phaseBitNb: positive := 17; + constant stepX: positive := 3; + constant stepY: positive := 4; + + -- Internal signal declarations + SIGNAL logic1 : std_uLogic; + SIGNAL reset : std_ulogic; + SIGNAL resetSnch_N : std_ulogic; + SIGNAL resetSynch : std_ulogic; + + + -- Component Declarations + COMPONENT DFF + PORT ( + CLK : IN std_uLogic ; + CLR : IN std_uLogic ; + D : IN std_uLogic ; + Q : OUT std_uLogic + ); + END COMPONENT; + COMPONENT inverterIn + PORT ( + in1 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT lissajousGenerator + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 16; + stepX : positive := 1; + stepY : positive := 1 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + triggerOut : OUT std_ulogic ; + xOut : OUT std_ulogic ; + yOut : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : DFF USE ENTITY Board.DFF; + FOR ALL : inverterIn USE ENTITY Board.inverterIn; + FOR ALL : lissajousGenerator USE ENTITY Lissajous.lissajousGenerator; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 4 eb4 + logic1 <= '1'; + + + -- Instance port mappings. + I_dff : DFF + PORT MAP ( + CLK => clock, + CLR => reset, + D => logic1, + Q => resetSnch_N + ); + I_inv1 : inverterIn + PORT MAP ( + in1 => reset_N, + out1 => reset + ); + I_inv2 : inverterIn + PORT MAP ( + in1 => resetSnch_N, + out1 => resetSynch + ); + I_main : lissajousGenerator + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb, + stepX => stepX, + stepY => stepY + ) + PORT MAP ( + clock => clock, + reset => resetSynch, + triggerOut => triggerOut, + xOut => xOut, + yOut => yOut + ); + +END masterVersion; diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhd._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhd._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_EBS2_masterversion.vhd._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_EBS2_masterversion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_EBS2_masterversion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs3_entity.vhg._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs3_masterversion.vhg._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs3_masterversion.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs3_masterversion.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhd._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhg._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_masterversion.vhd._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_masterversion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_masterversion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_masterversion.vhg._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_masterversion.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_masterversion.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/@d@f@f/symbol.sb b/zz-solutions/04-Lissajous/Board/hds/@d@f@f/symbol.sb new file mode 100644 index 0000000..555b689 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/@d@f@f/symbol.sb @@ -0,0 +1,1211 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 157,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 158,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 159,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 160,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 161,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 162,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 101,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 104,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 106,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 102,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 110,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 118,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 120,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 122,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 124,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 100,0 +vaOverrides [ +] +) +] +) +uid 156,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 164,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 165,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 132,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 135,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 137,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 141,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 145,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 147,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 149,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 151,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 153,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 155,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 163,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/DFF" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DFF" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:05" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "DFF" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/DFF/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:05" +) +(vvPair +variable "unit" +value "DFF" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,4625,23000,5375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "courier,12,0" +) +xt "24000,4300,25700,5700" +st "D" +blo "24000,5500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,15500,13400" +st "D : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*61 (CptPort +uid 57,0 +optionalChildren [ +*62 (FFT +pts [ +"23750,9000" +"23000,9375" +"23000,8625" +] +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,8625,23000,9375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,12,0" +) +xt "24000,8400,27200,9800" +st "CLK" +blo "24000,9600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,15500,11600" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*63 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25625,11000,26375,11750" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +font "courier,12,0" +) +xt "25000,9600,28200,11000" +st "CLR" +blo "25000,10800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,15500,12500" +st "CLR : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*64 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,4625,29750,5375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +font "courier,12,0" +) +xt "26200,4300,28000,5700" +st "Q" +ju 2 +blo "28000,5500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,14500,14300" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,3000,29000,11000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +) +xt "27600,10700,30600,11700" +st "Board" +blo "27600,11500" +) +second (Text +uid 15,0 +va (VaSet +) +xt "27600,11700,29400,12700" +st "DFF" +blo "27600,12500" +) +) +gi *65 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +isHidden 1 +) +xt "30000,10400,42600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *66 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 97,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*68 (MLText +uid 98,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "23,13,991,725" +viewArea "-672,-4956,43920,21156" +cachedDiagramExtent "0,0,43800,15100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26600,24700,29700,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +) +xt "26600,25700,28800,26700" +st "" +blo "26600,26500" +) +) +gi *69 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *70 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,8300,8600,9500" +st "Declarations" +blo "0,9300" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,9500,4200,10700" +st "Ports:" +blo "0,10500" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,14300,3000,15300" +st "User:" +blo "0,15100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,8300,9400,9500" +st "Internal User:" +blo "0,9300" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,2000,15300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 188,0 +) diff --git a/zz-solutions/04-Lissajous/Board/hds/@f@p@g@a_sine@gen_tester/interface b/zz-solutions/04-Lissajous/Board/hds/@f@p@g@a_sine@gen_tester/interface new file mode 100644 index 0000000..f018467 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/@f@p@g@a_sine@gen_tester/interface @@ -0,0 +1,1571 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2007.1a (Build 13)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2009,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2005,0 +) +) +uid 208,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +uid 210,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "triggerOut" +t "std_ulogic" +o 4 +suid 2007,0 +) +) +uid 212,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "xOut" +t "std_ulogic" +o 5 +suid 2008,0 +) +) +uid 214,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "yOut" +t "std_ulogic" +o 8 +suid 2009,0 +) +) +uid 216,0 +) +] +) +pdm (PhysicalDM +uid 156,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 93,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 96,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 209,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 211,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 213,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 215,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 217,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 94,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 102,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 106,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 108,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 110,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 114,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 116,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 118,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 92,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 148,0 +) +] +) +pdm (PhysicalDM +uid 159,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 127,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 129,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 131,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 149,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 125,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 133,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 139,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 141,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 143,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 145,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 147,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 157,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "chronometer" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\FPGA_sineGen_tester" +) +(vvPair +variable "date" +value "27.01.2010" +) +(vvPair +variable "day" +value "mer." +) +(vvPair +variable "day_long" +value "mercredi" +) +(vvPair +variable "dd" +value "27" +) +(vvPair +variable "entity_name" +value "FPGA_sineGen_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3195" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "D:/Labs/ElN/Chronometer/Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "FPGA_sineGen_tester" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\FPGA_sineGen_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Chronometer\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "11:20:56" +) +(vvPair +variable "unit" +value "FPGA_sineGen_tester" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2007.1a (Build 13)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2010" +) +(vvPair +variable "yy" +value "10" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 71,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 183,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 184,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 185,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 186,0 +ro 270 +va (VaSet +) +xt "22500,7000,23500,9100" +st "clock" +ju 2 +blo "23300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 187,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,62000,5200" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2005,0 +) +) +) +*65 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 189,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +ro 270 +va (VaSet +) +xt "24500,7000,25500,9100" +st "reset" +ju 2 +blo "25300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +) +*66 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 194,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +ro 270 +va (VaSet +) +xt "56500,7000,57500,11400" +st "triggerOut" +ju 2 +blo "57300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,62000,2800" +st "triggerOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "triggerOut" +t "std_ulogic" +o 4 +suid 2007,0 +) +) +) +*67 (CptPort +uid 198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 199,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 200,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 201,0 +ro 270 +va (VaSet +) +xt "58500,7000,59500,8900" +st "xOut" +ju 2 +blo "59300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 202,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,62000,3600" +st "xOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "xOut" +t "std_ulogic" +o 5 +suid 2008,0 +) +) +) +*68 (CptPort +uid 203,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 204,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 205,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 206,0 +ro 270 +va (VaSet +) +xt "60500,7000,61500,8900" +st "yOut" +ju 2 +blo "61300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 207,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,62000,4400" +st "yOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "yOut" +t "std_ulogic" +o 8 +suid 2009,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +oxt "15000,6000,59000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "34900,8800,38400,10000" +st "Board" +blo "34900,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "34900,10000,47100,11200" +st "FPGA_sineGen_tester" +blo "34900,11000" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "16000,6000,30000,8400" +st "Generic Declarations + +signalBitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,44800,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "SineInterpolator_test" +entityName "FPGA_sineGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,6000,44400,7000" +st "User:" +blo "42000,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7000,44000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 217,0 +) diff --git a/zz-solutions/04-Lissajous/Board/hds/_buff._epf b/zz-solutions/04-Lissajous/Board/hds/_buff._epf new file mode 100644 index 0000000..d74258b --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/_buff._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom buff_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/zz-solutions/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs2._epf b/zz-solutions/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs2._epf new file mode 100644 index 0000000..ee31fd6 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs2._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lissajous@generator_circuit_@e@b@s2/master@version.bd +DEFAULT_ARCHITECTURE atom master@version +TOP_MARKER atom 1 diff --git a/zz-solutions/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs3._epf b/zz-solutions/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs3._epf new file mode 100644 index 0000000..260a577 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs3._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom masterVersion +DEFAULT_FILE atom lissajous@generator_circuit_@e@b@s3/master@version.bd +TOP_MARKER atom 1 diff --git a/zz-solutions/04-Lissajous/Board/hds/buff/symbol.sb b/zz-solutions/04-Lissajous/Board/hds/buff/symbol.sb new file mode 100644 index 0000000..f9ad06a --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/buff/symbol.sb @@ -0,0 +1,1107 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 97,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 98,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 99,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 100,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 96,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 103,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 0 +dimension 20 +) +uid 72,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 101,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "buff" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:01" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "buff" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:01" +) +(vvPair +variable "unit" +value "buff" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,6625,23000,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*57 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,26310,5700" +st "buff" +blo "23910,5500" +) +) +gi *58 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *59 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*61 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,1352,888" +viewArea "-600,-3900,62412,40055" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *62 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *63 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 149,0 +activeModelName "Symbol" +) diff --git a/zz-solutions/04-Lissajous/Board/hds/inverter/symbol.sb b/zz-solutions/04-Lissajous/Board/hds/inverter/symbol.sb new file mode 100644 index 0000000..515ce1d --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/inverter/symbol.sb @@ -0,0 +1,1092 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 97,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 98,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 99,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 100,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 96,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 103,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 3 +dimension 20 +) +uid 72,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 101,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "inverter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:09" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "inverter" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:09" +) +(vvPair +variable "unit" +value "inverter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,6625,23000,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*57 (CptPort +uid 22,0 +optionalChildren [ +*58 (Circle +uid 28,0 +va (VaSet +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28750,6625,29500,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,28710,5700" +st "inverter" +blo "23910,5500" +) +) +gi *59 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *60 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*62 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,915,670" +viewArea "-630,-3856,36676,17902" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *63 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *64 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 126,0 +) diff --git a/zz-solutions/04-Lissajous/Board/hds/inverter@in/symbol.sb b/zz-solutions/04-Lissajous/Board/hds/inverter@in/symbol.sb new file mode 100644 index 0000000..85e0913 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/inverter@in/symbol.sb @@ -0,0 +1,1095 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 98,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 99,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 100,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 101,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 44,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 47,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 49,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 51,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 70,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 45,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 53,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 57,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 59,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 61,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 63,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 65,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 67,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 69,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 43,0 +vaOverrides [ +] +) +] +) +uid 97,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 3 +dimension 20 +) +uid 73,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 76,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 78,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 80,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 74,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 82,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 86,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 88,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 90,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 92,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 94,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 96,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 72,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverterIn" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "inverterIn" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "inverterIn" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverterIn/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:14" +) +(vvPair +variable "unit" +value "inverterIn" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +optionalChildren [ +*57 (Circle +uid 42,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22092,6546,23000,7454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21342,6625,22092,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*58 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,30510,5700" +st "inverterIn" +blo "23910,5500" +) +) +gi *59 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *60 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*62 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,915,670" +viewArea "-630,-3856,36676,17902" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *63 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *64 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 127,0 +) diff --git a/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/master@version.bd b/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/master@version.bd new file mode 100644 index 0000000..b03118f --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/master@version.bd @@ -0,0 +1,3971 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_dff" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 1071,0 +) +(Instance +name "I_inv2" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 1806,0 +) +(Instance +name "I_inv1" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 1817,0 +) +(Instance +name "I_main" +duLibraryName "Lissajous" +duName "lissajousGenerator" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +mwi 0 +uid 2310,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb4" +number "4" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\master@version.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\master@version.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "masterVersion" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "master@version.bd" +) +(vvPair +variable "f_logical" +value "masterVersion.bd" +) +(vvPair +variable "f_noext" +value "master@version" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:46:55" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_QuestaSimCompiler" +value "$HDS_PROJECT_DIR/../Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_SvAssistantInvoke" +value "$HDS_PROJECT_DIR/../Board/svassistant" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\master@version.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit\\masterVersion.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "master@version" +) +(vvPair +variable "this_file_logical" +value "masterVersion" +) +(vvPair +variable "time" +value "14:46:55" +) +(vvPair +variable "unit" +value "lissajousGenerator_circuit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "masterVersion" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "15000,29625,16500,30375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "16500,30000,17000,30000" +pts [ +"16500,30000" +"17000,30000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "10200,29300,14000,30700" +st "clock" +ju 2 +blo "14000,30500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-1000,8000,11800,9200" +st "clock : std_ulogic" +) +) +*3 (PortIoOut +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "81500,25625,83000,26375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "81000,26000,81500,26000" +pts [ +"81000,26000" +"81500,26000" +] +) +] +) +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "84000,25300,87800,26700" +st "yOut" +blo "84000,26500" +tm "WireNameMgr" +) +) +) +*4 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "15000,41625,16500,42375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "16500,42000,17000,42000" +pts [ +"16500,42000" +"17000,42000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "8200,41300,14000,42700" +st "reset_N" +ju 2 +blo "14000,42500" +tm "WireNameMgr" +) +) +) +*5 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-1000,22000,16200,23200" +st "SIGNAL reset : std_ulogic" +) +) +*6 (Grouping +uid 51,0 +optionalChildren [ +*7 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,65000,76000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,65500,59200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,61000,80000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "76200,61500,76200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,63000,76000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,63500,59200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,63000,59000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,63500,55200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,62000,96000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "76200,62200,90300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*12 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "80000,61000,96000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "80200,61500,80200,61500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,61000,76000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "60350,61400,70650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,64000,59000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,64500,55200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,65000,59000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,65500,55200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,64000,76000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,64500,59200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "55000,61000,96000,66000" +) +oxt "14000,66000,55000,71000" +) +*17 (Net +uid 253,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +declText (MLText +uid 254,0 +va (VaSet +) +xt "-1000,9200,12500,10400" +st "reset_N : std_ulogic" +) +) +*18 (PortIoOut +uid 429,0 +shape (CompositeShape +uid 430,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 431,0 +sl 0 +ro 270 +xt "81500,29625,83000,30375" +) +(Line +uid 432,0 +sl 0 +ro 270 +xt "81000,30000,81500,30000" +pts [ +"81000,30000" +"81500,30000" +] +) +] +) +tg (WTG +uid 433,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 434,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "84000,29300,92100,30700" +st "triggerOut" +blo "84000,30500" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 441,0 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 4,0 +) +declText (MLText +uid 442,0 +va (VaSet +) +xt "-1000,10400,12800,11600" +st "triggerOut : std_ulogic" +) +) +*20 (Net +uid 476,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 6,0 +) +declText (MLText +uid 477,0 +va (VaSet +) +xt "-1000,11600,11900,12800" +st "xOut : std_ulogic" +) +) +*21 (PortIoOut +uid 569,0 +shape (CompositeShape +uid 570,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 571,0 +sl 0 +ro 270 +xt "81500,27625,83000,28375" +) +(Line +uid 572,0 +sl 0 +ro 270 +xt "81000,28000,81500,28000" +pts [ +"81000,28000" +"81500,28000" +] +) +] +) +tg (WTG +uid 573,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 574,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "84000,27300,87800,28700" +st "xOut" +blo "84000,28500" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 611,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 7,0 +) +declText (MLText +uid 612,0 +va (VaSet +) +xt "-1000,12800,11900,14000" +st "yOut : std_ulogic" +) +) +*23 (HdlText +uid 818,0 +optionalChildren [ +*24 (EmbeddedText +uid 823,0 +commentText (CommentText +uid 824,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 825,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "22000,33000,28000,35000" +) +oxt "0,0,18000,5000" +text (MLText +uid 826,0 +va (VaSet +) +xt "22200,33200,27700,34400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 819,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "21000,32000,29000,36000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 820,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 821,0 +va (VaSet +) +xt "21400,36000,24000,37200" +st "eb4" +blo "21400,37000" +tm "HdlTextNameMgr" +) +*26 (Text +uid 822,0 +va (VaSet +) +xt "21400,37000,22800,38200" +st "4" +blo "21400,38000" +tm "HdlTextNumberMgr" +) +] +) +) +*27 (Net +uid 893,0 +decl (Decl +n "resetSnch_N" +t "std_ulogic" +o 8 +suid 10,0 +) +declText (MLText +uid 894,0 +va (VaSet +) +xt "-1000,23200,18300,24400" +st "SIGNAL resetSnch_N : std_ulogic" +) +) +*28 (Net +uid 895,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 6 +suid 11,0 +) +declText (MLText +uid 896,0 +va (VaSet +) +xt "-1000,20800,16700,22000" +st "SIGNAL logic1 : std_uLogic" +) +) +*29 (Net +uid 897,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 9 +suid 12,0 +) +declText (MLText +uid 898,0 +va (VaSet +) +xt "-1000,24400,17800,25600" +st "SIGNAL resetSynch : std_ulogic" +) +) +*30 (SaComponent +uid 1071,0 +optionalChildren [ +*31 (CptPort +uid 1054,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1055,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,33625,34000,34375" +) +tg (CPTG +uid 1056,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1057,0 +va (VaSet +font "Verdana,12,0" +) +xt "35000,33300,36700,34700" +st "D" +blo "35000,34500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*32 (CptPort +uid 1058,0 +optionalChildren [ +*33 (FFT +pts [ +"34750,38000" +"34000,38375" +"34000,37625" +] +uid 1062,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,37625,34750,38375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1059,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,37625,34000,38375" +) +tg (CPTG +uid 1060,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1061,0 +va (VaSet +font "Verdana,12,0" +) +xt "35000,37400,38200,38800" +st "CLK" +blo "35000,38600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*34 (CptPort +uid 1063,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1064,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "36625,40000,37375,40750" +) +tg (CPTG +uid 1065,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1066,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,38600,39200,40000" +st "CLR" +blo "36000,39800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*35 (CptPort +uid 1067,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1068,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40000,33625,40750,34375" +) +tg (CPTG +uid 1069,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1070,0 +va (VaSet +font "Verdana,12,0" +) +xt "37200,33300,39000,34700" +st "Q" +ju 2 +blo "39000,34500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 1072,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,32000,40000,40000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1073,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 1074,0 +va (VaSet +) +xt "38600,39700,42200,40900" +st "Board" +blo "38600,40700" +tm "BdLibraryNameMgr" +) +*37 (Text +uid 1075,0 +va (VaSet +) +xt "38600,40700,41300,41900" +st "DFF" +blo "38600,41700" +tm "CptNameMgr" +) +*38 (Text +uid 1076,0 +va (VaSet +) +xt "38600,41700,41600,42900" +st "I_dff" +blo "38600,42700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1077,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1078,0 +text (MLText +uid 1079,0 +va (VaSet +) +xt "11000,29000,11000,29000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*39 (SaComponent +uid 1806,0 +optionalChildren [ +*40 (CptPort +uid 1797,0 +optionalChildren [ +*41 (Circle +uid 1801,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44092,33546,45000,34454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1798,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43342,33625,44092,34375" +) +tg (CPTG +uid 1799,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1800,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "45000,33500,47700,34900" +st "in1" +blo "45000,34700" +) +s (Text +uid 1815,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "45000,34900,45000,34900" +blo "45000,34900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*42 (CptPort +uid 1802,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1803,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "50000,33625,50750,34375" +) +tg (CPTG +uid 1804,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1805,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "46050,33500,49750,34900" +st "out1" +ju 2 +blo "49750,34700" +) +s (Text +uid 1816,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "49750,34900,49750,34900" +ju 2 +blo "49750,34900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 1807,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,31000,50000,37000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 1808,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +uid 1809,0 +va (VaSet +) +xt "46460,36700,50060,37900" +st "Board" +blo "46460,37700" +tm "BdLibraryNameMgr" +) +*44 (Text +uid 1810,0 +va (VaSet +) +xt "46460,37700,52860,38900" +st "inverterIn" +blo "46460,38700" +tm "CptNameMgr" +) +*45 (Text +uid 1811,0 +va (VaSet +) +xt "46460,38700,50460,39900" +st "I_inv2" +blo "46460,39700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1812,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1813,0 +text (MLText +uid 1814,0 +va (VaSet +) +xt "45000,37400,45000,37400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*46 (SaComponent +uid 1817,0 +optionalChildren [ +*47 (CptPort +uid 1826,0 +optionalChildren [ +*48 (Circle +uid 1831,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22092,41546,23000,42454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1827,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "21342,41625,22092,42375" +) +tg (CPTG +uid 1828,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1829,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "23000,41500,25700,42900" +st "in1" +blo "23000,42700" +) +s (Text +uid 1830,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "23000,42900,23000,42900" +blo "23000,42900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*49 (CptPort +uid 1832,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1833,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "28000,41625,28750,42375" +) +tg (CPTG +uid 1834,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1835,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "24050,41500,27750,42900" +st "out1" +ju 2 +blo "27750,42700" +) +s (Text +uid 1836,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "27750,42900,27750,42900" +ju 2 +blo "27750,42900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 1818,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,39000,28000,45000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 1819,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 1820,0 +va (VaSet +) +xt "24460,44700,28060,45900" +st "Board" +blo "24460,45700" +tm "BdLibraryNameMgr" +) +*51 (Text +uid 1821,0 +va (VaSet +) +xt "24460,45700,30860,46900" +st "inverterIn" +blo "24460,46700" +tm "CptNameMgr" +) +*52 (Text +uid 1822,0 +va (VaSet +) +xt "24460,46700,28460,47900" +st "I_inv1" +blo "24460,47700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1823,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1824,0 +text (MLText +uid 1825,0 +va (VaSet +) +xt "23000,45400,23000,45400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*53 (SaComponent +uid 2310,0 +optionalChildren [ +*54 (CptPort +uid 2290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,29625,57000,30375" +) +tg (CPTG +uid 2292,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2293,0 +va (VaSet +) +xt "58000,29400,61400,30600" +st "clock" +blo "58000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*55 (CptPort +uid 2294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2295,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,29625,73750,30375" +) +tg (CPTG +uid 2296,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2297,0 +va (VaSet +) +xt "65400,29400,72000,30600" +st "triggerOut" +ju 2 +blo "72000,30400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*56 (CptPort +uid 2298,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2299,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,27625,73750,28375" +) +tg (CPTG +uid 2300,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2301,0 +va (VaSet +) +xt "68800,27400,72000,28600" +st "xOut" +ju 2 +blo "72000,28400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*57 (CptPort +uid 2302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,25625,73750,26375" +) +tg (CPTG +uid 2304,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2305,0 +va (VaSet +) +xt "68800,25400,72000,26600" +st "yOut" +ju 2 +blo "72000,26400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*58 (CptPort +uid 2306,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2307,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,31625,57000,32375" +) +tg (CPTG +uid 2308,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2309,0 +va (VaSet +) +xt "58000,31500,61300,32700" +st "reset" +blo "58000,32500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +) +] +shape (Rectangle +uid 2311,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "57000,22000,73000,34000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 2312,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 2313,0 +va (VaSet +font "Verdana,9,1" +) +xt "57600,33800,62800,35000" +st "Lissajous" +blo "57600,34800" +tm "BdLibraryNameMgr" +) +*60 (Text +uid 2314,0 +va (VaSet +font "Verdana,9,1" +) +xt "57600,34700,68100,35900" +st "lissajousGenerator" +blo "57600,35700" +tm "CptNameMgr" +) +*61 (Text +uid 2315,0 +va (VaSet +font "Verdana,9,1" +) +xt "57600,35600,61700,36800" +st "I_main" +blo "57600,36600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2316,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2317,0 +text (MLText +uid 2318,0 +va (VaSet +) +xt "57000,37600,80500,42400" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) +stepX = stepX ( positive ) +stepY = stepY ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +) +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*62 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "17000,30000,56250,30000" +pts [ +"17000,30000" +"56250,30000" +] +) +start &1 +end &54 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Verdana,12,0" +) +xt "17000,28600,20800,30000" +st "clock" +blo "17000,29800" +tm "WireNameMgr" +) +) +on &2 +) +*63 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "73750,26000,81000,26000" +pts [ +"81000,26000" +"73750,26000" +] +) +start &3 +end &57 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "Verdana,12,0" +) +xt "76000,24600,79800,26000" +st "yOut" +blo "76000,25800" +tm "WireNameMgr" +) +) +on &22 +) +*64 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "17000,42000,22092,42000" +pts [ +"17000,42000" +"22092,42000" +] +) +start &4 +end &47 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,40600,21800,42000" +st "reset_N" +blo "16000,41800" +tm "WireNameMgr" +) +) +on &17 +) +*65 (Wire +uid 245,0 +shape (OrthoPolyLine +uid 246,0 +va (VaSet +vasetType 3 +) +xt "50000,32000,56250,34000" +pts [ +"50000,34000" +"53000,34000" +"53000,32000" +"56250,32000" +] +) +start &42 +end &58 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 251,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +font "Verdana,12,0" +) +xt "50000,30600,58600,32000" +st "resetSynch" +blo "50000,31800" +tm "WireNameMgr" +) +) +on &29 +) +*66 (Wire +uid 435,0 +shape (OrthoPolyLine +uid 436,0 +va (VaSet +vasetType 3 +) +xt "73750,30000,81000,30000" +pts [ +"81000,30000" +"73750,30000" +] +) +start &18 +end &55 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 439,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 440,0 +va (VaSet +font "Verdana,12,0" +) +xt "76000,28600,84100,30000" +st "triggerOut" +blo "76000,29800" +tm "WireNameMgr" +) +) +on &19 +) +*67 (Wire +uid 575,0 +shape (OrthoPolyLine +uid 576,0 +va (VaSet +vasetType 3 +) +xt "73750,28000,81000,28000" +pts [ +"81000,28000" +"73750,28000" +] +) +start &21 +end &56 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +font "Verdana,12,0" +) +xt "76000,26600,79800,28000" +st "xOut" +blo "76000,27800" +tm "WireNameMgr" +) +) +on &20 +) +*68 (Wire +uid 873,0 +shape (OrthoPolyLine +uid 874,0 +va (VaSet +vasetType 3 +) +xt "32000,38000,34000,38000" +pts [ +"32000,38000" +"34000,38000" +] +) +end &32 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 877,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 878,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,36600,33800,38000" +st "clock" +blo "30000,37800" +tm "WireNameMgr" +) +) +on &2 +) +*69 (Wire +uid 879,0 +shape (OrthoPolyLine +uid 880,0 +va (VaSet +vasetType 3 +) +xt "28000,40000,37000,42000" +pts [ +"28000,42000" +"37000,42000" +"37000,40000" +] +) +start &49 +end &34 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 881,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 882,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,40600,33100,42000" +st "reset" +blo "29000,41800" +tm "WireNameMgr" +) +) +on &5 +) +*70 (Wire +uid 883,0 +shape (OrthoPolyLine +uid 884,0 +va (VaSet +vasetType 3 +) +xt "40000,34000,44092,34000" +pts [ +"40000,34000" +"44092,34000" +] +) +start &35 +end &40 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 885,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 886,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,32600,48600,34000" +st "resetSnch_N" +blo "39000,33800" +tm "WireNameMgr" +) +) +on &27 +) +*71 (Wire +uid 887,0 +shape (OrthoPolyLine +uid 888,0 +va (VaSet +vasetType 3 +) +xt "29000,34000,34000,34000" +pts [ +"34000,34000" +"29000,34000" +] +) +start &31 +end &23 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 891,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 892,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,32600,34400,34000" +st "logic1" +blo "30000,33800" +tm "WireNameMgr" +) +) +on &28 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *72 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,0,3900,1000" +st "Package List" +blo "-3000,800" +) +*74 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*76 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*77 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*78 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*79 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*80 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*81 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4438,-1432,122918,67764" +cachedDiagramExtent "-3000,0,96000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 2507,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*83 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*84 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*86 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*87 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*89 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*90 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*92 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*93 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*95 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*96 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*98 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*100 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*101 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*102 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,6000,4000,7000" +st "Declarations" +blo "-3000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,7000,400,8000" +st "Ports:" +blo "-3000,7800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,14000,1800,15000" +st "Pre User:" +blo "-3000,14800" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-1000,15000,19800,19800" +st "constant signalBitNb: positive := 16; +constant phaseBitNb: positive := 17; +constant stepX: positive := 3; +constant stepY: positive := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,19800,6000,20800" +st "Diagram Signals:" +blo "-3000,20600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-3000,6000,3000,7000" +st "Post User:" +blo "-3000,6800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-3000,6000,-3000,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 19,0 +usingSuid 1 +emptyRow *103 (LEmptyRow +) +uid 1406,0 +optionalChildren [ +*104 (RefLabelRowHdr +) +*105 (TitleRowHdr +) +*106 (FilterRowHdr +) +*107 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*108 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*109 (GroupColHdr +tm "GroupColHdrMgr" +) +*110 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*111 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*112 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*113 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*114 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*115 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*116 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 1377,0 +) +*117 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 2,0 +) +) +uid 1379,0 +) +*118 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 1381,0 +) +*119 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 1383,0 +) +*120 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 1387,0 +) +*121 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 7,0 +) +) +uid 1389,0 +) +*122 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSnch_N" +t "std_ulogic" +o 8 +suid 10,0 +) +) +uid 1395,0 +) +*123 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 6 +suid 11,0 +) +) +uid 1397,0 +) +*124 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 9 +suid 12,0 +) +) +uid 1399,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1419,0 +optionalChildren [ +*125 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *126 (MRCItem +litem &103 +pos 9 +dimension 20 +) +uid 1421,0 +optionalChildren [ +*127 (MRCItem +litem &104 +pos 0 +dimension 20 +uid 1422,0 +) +*128 (MRCItem +litem &105 +pos 1 +dimension 23 +uid 1423,0 +) +*129 (MRCItem +litem &106 +pos 2 +hidden 1 +dimension 20 +uid 1424,0 +) +*130 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 1378,0 +) +*131 (MRCItem +litem &117 +pos 5 +dimension 20 +uid 1380,0 +) +*132 (MRCItem +litem &118 +pos 1 +dimension 20 +uid 1382,0 +) +*133 (MRCItem +litem &119 +pos 2 +dimension 20 +uid 1384,0 +) +*134 (MRCItem +litem &120 +pos 3 +dimension 20 +uid 1388,0 +) +*135 (MRCItem +litem &121 +pos 4 +dimension 20 +uid 1390,0 +) +*136 (MRCItem +litem &122 +pos 6 +dimension 20 +uid 1396,0 +) +*137 (MRCItem +litem &123 +pos 7 +dimension 20 +uid 1398,0 +) +*138 (MRCItem +litem &124 +pos 8 +dimension 20 +uid 1400,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1425,0 +optionalChildren [ +*139 (MRCItem +litem &107 +pos 0 +dimension 20 +uid 1426,0 +) +*140 (MRCItem +litem &109 +pos 1 +dimension 50 +uid 1427,0 +) +*141 (MRCItem +litem &110 +pos 2 +dimension 100 +uid 1428,0 +) +*142 (MRCItem +litem &111 +pos 3 +dimension 50 +uid 1429,0 +) +*143 (MRCItem +litem &112 +pos 4 +dimension 100 +uid 1430,0 +) +*144 (MRCItem +litem &113 +pos 5 +dimension 100 +uid 1431,0 +) +*145 (MRCItem +litem &114 +pos 6 +dimension 50 +uid 1432,0 +) +*146 (MRCItem +litem &115 +pos 7 +dimension 80 +uid 1433,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1420,0 +vaOverrides [ +] +) +] +) +uid 1405,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *147 (LEmptyRow +) +uid 1435,0 +optionalChildren [ +*148 (RefLabelRowHdr +) +*149 (TitleRowHdr +) +*150 (FilterRowHdr +) +*151 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*152 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*153 (GroupColHdr +tm "GroupColHdrMgr" +) +*154 (NameColHdr +tm "GenericNameColHdrMgr" +) +*155 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*156 (InitColHdr +tm "GenericValueColHdrMgr" +) +*157 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*158 (EolColHdr +tm "GenericEolColHdrMgr" +) +*159 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 1488,0 +) +] +) +pdm (PhysicalDM +uid 1447,0 +optionalChildren [ +*160 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *161 (MRCItem +litem &147 +pos 1 +dimension 20 +) +uid 1449,0 +optionalChildren [ +*162 (MRCItem +litem &148 +pos 0 +dimension 20 +uid 1450,0 +) +*163 (MRCItem +litem &149 +pos 1 +dimension 23 +uid 1451,0 +) +*164 (MRCItem +litem &150 +pos 2 +hidden 1 +dimension 20 +uid 1452,0 +) +*165 (MRCItem +litem &159 +pos 0 +dimension 20 +uid 1487,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1453,0 +optionalChildren [ +*166 (MRCItem +litem &151 +pos 0 +dimension 20 +uid 1454,0 +) +*167 (MRCItem +litem &153 +pos 1 +dimension 50 +uid 1455,0 +) +*168 (MRCItem +litem &154 +pos 2 +dimension 100 +uid 1456,0 +) +*169 (MRCItem +litem &155 +pos 3 +dimension 100 +uid 1457,0 +) +*170 (MRCItem +litem &156 +pos 4 +dimension 50 +uid 1458,0 +) +*171 (MRCItem +litem &157 +pos 5 +dimension 50 +uid 1459,0 +) +*172 (MRCItem +litem &158 +pos 6 +dimension 80 +uid 1460,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1448,0 +vaOverrides [ +] +) +] +) +uid 1434,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/student@version.bd b/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/student@version.bd new file mode 100644 index 0000000..7b47360 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/student@version.bd @@ -0,0 +1,3978 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_dff" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 1071,0 +) +(Instance +name "I_inv2" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 1806,0 +) +(Instance +name "I_inv1" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 1817,0 +) +(Instance +name "I_main" +duLibraryName "Lissajous" +duName "lissajousGenerator" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +mwi 0 +uid 2310,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb4" +number "4" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\student@version.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\student@version.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "studentVersion" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "student@version.bd" +) +(vvPair +variable "f_logical" +value "studentVersion.bd" +) +(vvPair +variable "f_noext" +value "student@version" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:46:48" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_QuestaSimCompiler" +value "$HDS_PROJECT_DIR/../Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_SvAssistantInvoke" +value "$HDS_PROJECT_DIR/../Board/svassistant" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\student@version.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit\\studentVersion.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "student@version" +) +(vvPair +variable "this_file_logical" +value "studentVersion" +) +(vvPair +variable "time" +value "14:46:48" +) +(vvPair +variable "unit" +value "lissajousGenerator_circuit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "studentVersion" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "15000,29625,16500,30375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "16500,30000,17000,30000" +pts [ +"16500,30000" +"17000,30000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "10500,29300,14000,30800" +st "clock" +ju 2 +blo "14000,30500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-1000,8000,11800,9200" +st "clock : std_ulogic" +) +) +*3 (PortIoOut +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "81500,25625,83000,26375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "81000,26000,81500,26000" +pts [ +"81000,26000" +"81500,26000" +] +) +] +) +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "84000,25300,87100,26800" +st "yOut" +blo "84000,26500" +tm "WireNameMgr" +) +) +) +*4 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "15000,41625,16500,42375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "16500,42000,17000,42000" +pts [ +"16500,42000" +"17000,42000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "8900,41300,14000,42800" +st "reset_N" +ju 2 +blo "14000,42500" +tm "WireNameMgr" +) +) +) +*5 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-1000,20000,16200,21200" +st "SIGNAL reset : std_ulogic" +) +) +*6 (Grouping +uid 51,0 +optionalChildren [ +*7 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,65000,76000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,65500,59200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,61000,80000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "76200,61500,76200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,63000,76000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,63500,59200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,63000,59000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,63500,55200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,62000,96000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "76200,62200,90300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*12 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "80000,61000,96000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "80200,61500,80200,61500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,61000,76000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "60350,61400,70650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,64000,59000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,64500,55200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,65000,59000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,65500,55200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,64000,76000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,64500,59200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "55000,61000,96000,66000" +) +oxt "14000,66000,55000,71000" +) +*17 (Net +uid 253,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +declText (MLText +uid 254,0 +va (VaSet +) +xt "-1000,9000,12500,10200" +st "reset_N : std_ulogic" +) +) +*18 (PortIoOut +uid 429,0 +shape (CompositeShape +uid 430,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 431,0 +sl 0 +ro 270 +xt "81500,29625,83000,30375" +) +(Line +uid 432,0 +sl 0 +ro 270 +xt "81000,30000,81500,30000" +pts [ +"81000,30000" +"81500,30000" +] +) +] +) +tg (WTG +uid 433,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 434,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "84000,29300,90800,30800" +st "triggerOut" +blo "84000,30500" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 441,0 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 4,0 +) +declText (MLText +uid 442,0 +va (VaSet +) +xt "-1000,10000,12800,11200" +st "triggerOut : std_ulogic" +) +) +*20 (Net +uid 476,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 6,0 +) +declText (MLText +uid 477,0 +va (VaSet +) +xt "-1000,11000,11900,12200" +st "xOut : std_ulogic" +) +) +*21 (PortIoOut +uid 569,0 +shape (CompositeShape +uid 570,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 571,0 +sl 0 +ro 270 +xt "81500,27625,83000,28375" +) +(Line +uid 572,0 +sl 0 +ro 270 +xt "81000,28000,81500,28000" +pts [ +"81000,28000" +"81500,28000" +] +) +] +) +tg (WTG +uid 573,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 574,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "84000,27300,87100,28800" +st "xOut" +blo "84000,28500" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 611,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 7,0 +) +declText (MLText +uid 612,0 +va (VaSet +) +xt "-1000,12000,11900,13200" +st "yOut : std_ulogic" +) +) +*23 (HdlText +uid 818,0 +optionalChildren [ +*24 (EmbeddedText +uid 823,0 +commentText (CommentText +uid 824,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 825,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "22000,33000,28000,35000" +) +oxt "0,0,18000,5000" +text (MLText +uid 826,0 +va (VaSet +) +xt "22200,33200,27700,34400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 819,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "21000,32000,29000,36000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 820,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 821,0 +va (VaSet +) +xt "21400,36000,23000,37000" +st "eb4" +blo "21400,36800" +tm "HdlTextNameMgr" +) +*26 (Text +uid 822,0 +va (VaSet +) +xt "21400,37000,22200,38000" +st "4" +blo "21400,37800" +tm "HdlTextNumberMgr" +) +] +) +) +*27 (Net +uid 893,0 +decl (Decl +n "resetSnch_N" +t "std_ulogic" +o 8 +suid 10,0 +) +declText (MLText +uid 894,0 +va (VaSet +) +xt "-1000,21000,18300,22200" +st "SIGNAL resetSnch_N : std_ulogic" +) +) +*28 (Net +uid 895,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 6 +suid 11,0 +) +declText (MLText +uid 896,0 +va (VaSet +) +xt "-1000,19000,16700,20200" +st "SIGNAL logic1 : std_uLogic" +) +) +*29 (Net +uid 897,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 9 +suid 12,0 +) +declText (MLText +uid 898,0 +va (VaSet +) +xt "-1000,22000,17800,23200" +st "SIGNAL resetSynch : std_ulogic" +) +) +*30 (SaComponent +uid 1071,0 +optionalChildren [ +*31 (CptPort +uid 1054,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1055,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,33625,34000,34375" +) +tg (CPTG +uid 1056,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1057,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,33300,36600,34800" +st "D" +blo "35000,34500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*32 (CptPort +uid 1058,0 +optionalChildren [ +*33 (FFT +pts [ +"34750,38000" +"34000,38375" +"34000,37625" +] +uid 1062,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,37625,34750,38375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1059,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,37625,34000,38375" +) +tg (CPTG +uid 1060,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1061,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,37400,38100,38900" +st "CLK" +blo "35000,38600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*34 (CptPort +uid 1063,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1064,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "36625,40000,37375,40750" +) +tg (CPTG +uid 1065,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1066,0 +va (VaSet +font "Arial,12,0" +) +xt "36000,38600,39200,40100" +st "CLR" +blo "36000,39800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*35 (CptPort +uid 1067,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1068,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40000,33625,40750,34375" +) +tg (CPTG +uid 1069,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1070,0 +va (VaSet +font "Arial,12,0" +) +xt "37400,33300,39000,34800" +st "Q" +ju 2 +blo "39000,34500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 1072,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,32000,40000,40000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1073,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 1074,0 +va (VaSet +) +xt "38600,39700,41000,40700" +st "Board" +blo "38600,40500" +tm "BdLibraryNameMgr" +) +*37 (Text +uid 1075,0 +va (VaSet +) +xt "38600,40700,40600,41700" +st "DFF" +blo "38600,41500" +tm "CptNameMgr" +) +*38 (Text +uid 1076,0 +va (VaSet +) +xt "38600,41700,40400,42700" +st "I_dff" +blo "38600,42500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1077,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1078,0 +text (MLText +uid 1079,0 +va (VaSet +) +xt "11000,29000,11000,29000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*39 (SaComponent +uid 1806,0 +optionalChildren [ +*40 (CptPort +uid 1797,0 +optionalChildren [ +*41 (Circle +uid 1801,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44092,33546,45000,34454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1798,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43342,33625,44092,34375" +) +tg (CPTG +uid 1799,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1800,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "45000,33500,47400,35000" +st "in1" +blo "45000,34700" +) +s (Text +uid 1815,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "45000,34900,45000,34900" +blo "45000,34900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*42 (CptPort +uid 1802,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1803,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "50000,33625,50750,34375" +) +tg (CPTG +uid 1804,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1805,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "46650,33500,49750,35000" +st "out1" +ju 2 +blo "49750,34700" +) +s (Text +uid 1816,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "49750,34900,49750,34900" +ju 2 +blo "49750,34900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 1807,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,31000,50000,37000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 1808,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +uid 1809,0 +va (VaSet +) +xt "46460,36700,48860,37700" +st "Board" +blo "46460,37500" +tm "BdLibraryNameMgr" +) +*44 (Text +uid 1810,0 +va (VaSet +) +xt "46460,37700,50360,38700" +st "inverterIn" +blo "46460,38500" +tm "CptNameMgr" +) +*45 (Text +uid 1811,0 +va (VaSet +) +xt "46460,38700,48760,39700" +st "I_inv2" +blo "46460,39500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1812,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1813,0 +text (MLText +uid 1814,0 +va (VaSet +) +xt "45000,37400,45000,37400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*46 (SaComponent +uid 1817,0 +optionalChildren [ +*47 (CptPort +uid 1826,0 +optionalChildren [ +*48 (Circle +uid 1831,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22092,41546,23000,42454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1827,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "21342,41625,22092,42375" +) +tg (CPTG +uid 1828,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1829,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "23000,41500,25400,43000" +st "in1" +blo "23000,42700" +) +s (Text +uid 1830,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "23000,42900,23000,42900" +blo "23000,42900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*49 (CptPort +uid 1832,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1833,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "28000,41625,28750,42375" +) +tg (CPTG +uid 1834,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1835,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "24650,41500,27750,43000" +st "out1" +ju 2 +blo "27750,42700" +) +s (Text +uid 1836,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "27750,42900,27750,42900" +ju 2 +blo "27750,42900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 1818,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,39000,28000,45000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 1819,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 1820,0 +va (VaSet +) +xt "24460,44700,26860,45700" +st "Board" +blo "24460,45500" +tm "BdLibraryNameMgr" +) +*51 (Text +uid 1821,0 +va (VaSet +) +xt "24460,45700,28360,46700" +st "inverterIn" +blo "24460,46500" +tm "CptNameMgr" +) +*52 (Text +uid 1822,0 +va (VaSet +) +xt "24460,46700,26760,47700" +st "I_inv1" +blo "24460,47500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1823,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1824,0 +text (MLText +uid 1825,0 +va (VaSet +) +xt "23000,45400,23000,45400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*53 (SaComponent +uid 2310,0 +optionalChildren [ +*54 (CptPort +uid 2290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,29625,57000,30375" +) +tg (CPTG +uid 2292,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2293,0 +va (VaSet +font "Arial,9,0" +) +xt "58000,29400,60700,30600" +st "clock" +blo "58000,30300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*55 (CptPort +uid 2294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2295,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,29625,73750,30375" +) +tg (CPTG +uid 2296,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2297,0 +va (VaSet +font "Arial,9,0" +) +xt "66900,29400,72000,30600" +st "triggerOut" +ju 2 +blo "72000,30300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*56 (CptPort +uid 2298,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2299,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,27625,73750,28375" +) +tg (CPTG +uid 2300,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2301,0 +va (VaSet +font "Arial,9,0" +) +xt "69500,27400,72000,28600" +st "xOut" +ju 2 +blo "72000,28300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*57 (CptPort +uid 2302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,25625,73750,26375" +) +tg (CPTG +uid 2304,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2305,0 +va (VaSet +font "Arial,9,0" +) +xt "69400,25400,72000,26600" +st "yOut" +ju 2 +blo "72000,26300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*58 (CptPort +uid 2306,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2307,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,31625,57000,32375" +) +tg (CPTG +uid 2308,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2309,0 +va (VaSet +) +xt "58000,31500,60100,32500" +st "reset" +blo "58000,32300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +) +] +shape (Rectangle +uid 2311,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "57000,22000,73000,34000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 2312,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 2313,0 +va (VaSet +font "Arial,9,1" +) +xt "57600,33800,62500,34900" +st "Lissajous" +blo "57600,34700" +tm "BdLibraryNameMgr" +) +*60 (Text +uid 2314,0 +va (VaSet +font "Arial,9,1" +) +xt "57600,34700,67200,35800" +st "lissajousGenerator" +blo "57600,35600" +tm "CptNameMgr" +) +*61 (Text +uid 2315,0 +va (VaSet +font "Arial,9,1" +) +xt "57600,35600,61100,36700" +st "I_main" +blo "57600,36500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2316,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2317,0 +text (MLText +uid 2318,0 +va (VaSet +) +xt "57000,37600,80500,42400" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) +stepX = stepX ( positive ) +stepY = stepY ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +) +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*62 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "17000,30000,56250,30000" +pts [ +"17000,30000" +"56250,30000" +] +) +start &1 +end &54 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Arial,12,0" +) +xt "17000,28600,20500,30100" +st "clock" +blo "17000,29800" +tm "WireNameMgr" +) +) +on &2 +) +*63 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "73750,26000,81000,26000" +pts [ +"81000,26000" +"73750,26000" +] +) +start &3 +end &57 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,24600,79100,26100" +st "yOut" +blo "76000,25800" +tm "WireNameMgr" +) +) +on &22 +) +*64 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "17000,42000,22092,42000" +pts [ +"17000,42000" +"22092,42000" +] +) +start &4 +end &47 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "Arial,12,0" +) +xt "16000,40600,21100,42100" +st "reset_N" +blo "16000,41800" +tm "WireNameMgr" +) +) +on &17 +) +*65 (Wire +uid 245,0 +shape (OrthoPolyLine +uid 246,0 +va (VaSet +vasetType 3 +) +xt "50000,32000,56250,34000" +pts [ +"50000,34000" +"53000,34000" +"53000,32000" +"56250,32000" +] +) +start &42 +end &58 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 251,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +font "Arial,12,0" +) +xt "50000,30600,57500,32100" +st "resetSynch" +blo "50000,31800" +tm "WireNameMgr" +) +) +on &29 +) +*66 (Wire +uid 435,0 +shape (OrthoPolyLine +uid 436,0 +va (VaSet +vasetType 3 +) +xt "73750,30000,81000,30000" +pts [ +"81000,30000" +"73750,30000" +] +) +start &18 +end &55 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 439,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 440,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,28600,82800,30100" +st "triggerOut" +blo "76000,29800" +tm "WireNameMgr" +) +) +on &19 +) +*67 (Wire +uid 575,0 +shape (OrthoPolyLine +uid 576,0 +va (VaSet +vasetType 3 +) +xt "73750,28000,81000,28000" +pts [ +"81000,28000" +"73750,28000" +] +) +start &21 +end &56 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,26600,79100,28100" +st "xOut" +blo "76000,27800" +tm "WireNameMgr" +) +) +on &20 +) +*68 (Wire +uid 873,0 +shape (OrthoPolyLine +uid 874,0 +va (VaSet +vasetType 3 +) +xt "32000,38000,34000,38000" +pts [ +"32000,38000" +"34000,38000" +] +) +end &32 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 877,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 878,0 +va (VaSet +font "Arial,12,0" +) +xt "30000,36600,33500,38100" +st "clock" +blo "30000,37800" +tm "WireNameMgr" +) +) +on &2 +) +*69 (Wire +uid 879,0 +shape (OrthoPolyLine +uid 880,0 +va (VaSet +vasetType 3 +) +xt "28000,40000,37000,42000" +pts [ +"28000,42000" +"37000,42000" +"37000,40000" +] +) +start &49 +end &34 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 881,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 882,0 +va (VaSet +font "Arial,12,0" +) +xt "29000,40600,32500,42100" +st "reset" +blo "29000,41800" +tm "WireNameMgr" +) +) +on &5 +) +*70 (Wire +uid 883,0 +shape (OrthoPolyLine +uid 884,0 +va (VaSet +vasetType 3 +) +xt "40000,34000,44092,34000" +pts [ +"40000,34000" +"44092,34000" +] +) +start &35 +end &40 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 885,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 886,0 +va (VaSet +font "Arial,12,0" +) +xt "39000,32600,47600,34100" +st "resetSnch_N" +blo "39000,33800" +tm "WireNameMgr" +) +) +on &27 +) +*71 (Wire +uid 887,0 +shape (OrthoPolyLine +uid 888,0 +va (VaSet +vasetType 3 +) +xt "29000,34000,34000,34000" +pts [ +"34000,34000" +"29000,34000" +] +) +start &31 +end &23 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 891,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 892,0 +va (VaSet +font "Arial,12,0" +) +xt "30000,32600,34000,34100" +st "logic1" +blo "30000,33800" +tm "WireNameMgr" +) +) +on &28 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *72 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 85,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,0,2400,1000" +st "Package List" +blo "-3000,800" +) +*74 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*76 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*77 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*78 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*79 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*80 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*81 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4438,-1432,122918,67764" +cachedDiagramExtent "-3000,0,96000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 2453,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*83 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*84 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*86 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*87 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*89 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*90 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*92 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*93 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*95 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*96 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*98 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*100 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*101 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*102 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,6000,2400,7000" +st "Declarations" +blo "-3000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,7000,-300,8000" +st "Ports:" +blo "-3000,7800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,13000,800,14000" +st "Pre User:" +blo "-3000,13800" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-1000,14000,19800,18800" +st "constant signalBitNb: positive := 16; +constant phaseBitNb: positive := 17; +constant stepX: positive := 2; +constant stepY: positive := 3;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,18000,4100,19000" +st "Diagram Signals:" +blo "-3000,18800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-3000,6000,1700,7000" +st "Post User:" +blo "-3000,6800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-3000,6000,-3000,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 19,0 +usingSuid 1 +emptyRow *103 (LEmptyRow +) +uid 1406,0 +optionalChildren [ +*104 (RefLabelRowHdr +) +*105 (TitleRowHdr +) +*106 (FilterRowHdr +) +*107 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*108 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*109 (GroupColHdr +tm "GroupColHdrMgr" +) +*110 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*111 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*112 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*113 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*114 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*115 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*116 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 1377,0 +) +*117 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 2,0 +) +) +uid 1379,0 +) +*118 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 1381,0 +) +*119 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 1383,0 +) +*120 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 1387,0 +) +*121 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 7,0 +) +) +uid 1389,0 +) +*122 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSnch_N" +t "std_ulogic" +o 8 +suid 10,0 +) +) +uid 1395,0 +) +*123 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 6 +suid 11,0 +) +) +uid 1397,0 +) +*124 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 9 +suid 12,0 +) +) +uid 1399,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1419,0 +optionalChildren [ +*125 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *126 (MRCItem +litem &103 +pos 9 +dimension 20 +) +uid 1421,0 +optionalChildren [ +*127 (MRCItem +litem &104 +pos 0 +dimension 20 +uid 1422,0 +) +*128 (MRCItem +litem &105 +pos 1 +dimension 23 +uid 1423,0 +) +*129 (MRCItem +litem &106 +pos 2 +hidden 1 +dimension 20 +uid 1424,0 +) +*130 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 1378,0 +) +*131 (MRCItem +litem &117 +pos 5 +dimension 20 +uid 1380,0 +) +*132 (MRCItem +litem &118 +pos 1 +dimension 20 +uid 1382,0 +) +*133 (MRCItem +litem &119 +pos 2 +dimension 20 +uid 1384,0 +) +*134 (MRCItem +litem &120 +pos 3 +dimension 20 +uid 1388,0 +) +*135 (MRCItem +litem &121 +pos 4 +dimension 20 +uid 1390,0 +) +*136 (MRCItem +litem &122 +pos 6 +dimension 20 +uid 1396,0 +) +*137 (MRCItem +litem &123 +pos 7 +dimension 20 +uid 1398,0 +) +*138 (MRCItem +litem &124 +pos 8 +dimension 20 +uid 1400,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1425,0 +optionalChildren [ +*139 (MRCItem +litem &107 +pos 0 +dimension 20 +uid 1426,0 +) +*140 (MRCItem +litem &109 +pos 1 +dimension 50 +uid 1427,0 +) +*141 (MRCItem +litem &110 +pos 2 +dimension 100 +uid 1428,0 +) +*142 (MRCItem +litem &111 +pos 3 +dimension 50 +uid 1429,0 +) +*143 (MRCItem +litem &112 +pos 4 +dimension 100 +uid 1430,0 +) +*144 (MRCItem +litem &113 +pos 5 +dimension 100 +uid 1431,0 +) +*145 (MRCItem +litem &114 +pos 6 +dimension 50 +uid 1432,0 +) +*146 (MRCItem +litem &115 +pos 7 +dimension 80 +uid 1433,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1420,0 +vaOverrides [ +] +) +] +) +uid 1405,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *147 (LEmptyRow +) +uid 1435,0 +optionalChildren [ +*148 (RefLabelRowHdr +) +*149 (TitleRowHdr +) +*150 (FilterRowHdr +) +*151 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*152 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*153 (GroupColHdr +tm "GroupColHdrMgr" +) +*154 (NameColHdr +tm "GenericNameColHdrMgr" +) +*155 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*156 (InitColHdr +tm "GenericValueColHdrMgr" +) +*157 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*158 (EolColHdr +tm "GenericEolColHdrMgr" +) +*159 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 1488,0 +) +] +) +pdm (PhysicalDM +uid 1447,0 +optionalChildren [ +*160 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *161 (MRCItem +litem &147 +pos 1 +dimension 20 +) +uid 1449,0 +optionalChildren [ +*162 (MRCItem +litem &148 +pos 0 +dimension 20 +uid 1450,0 +) +*163 (MRCItem +litem &149 +pos 1 +dimension 23 +uid 1451,0 +) +*164 (MRCItem +litem &150 +pos 2 +hidden 1 +dimension 20 +uid 1452,0 +) +*165 (MRCItem +litem &159 +pos 0 +dimension 20 +uid 1487,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1453,0 +optionalChildren [ +*166 (MRCItem +litem &151 +pos 0 +dimension 20 +uid 1454,0 +) +*167 (MRCItem +litem &153 +pos 1 +dimension 50 +uid 1455,0 +) +*168 (MRCItem +litem &154 +pos 2 +dimension 100 +uid 1456,0 +) +*169 (MRCItem +litem &155 +pos 3 +dimension 100 +uid 1457,0 +) +*170 (MRCItem +litem &156 +pos 4 +dimension 50 +uid 1458,0 +) +*171 (MRCItem +litem &157 +pos 5 +dimension 50 +uid 1459,0 +) +*172 (MRCItem +litem &158 +pos 6 +dimension 80 +uid 1460,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1448,0 +vaOverrides [ +] +) +] +) +uid 1434,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/symbol.sb b/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/symbol.sb new file mode 100644 index 0000000..e1ad5db --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/symbol.sb @@ -0,0 +1,1657 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 163,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 164,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 165,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 166,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 167,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 168,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 169,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 104,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 107,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 109,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 111,0 +) +*24 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 130,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 131,0 +) +*26 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 132,0 +) +*27 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 133,0 +) +*28 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 105,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 113,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 117,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 119,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 121,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 123,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 125,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 127,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 103,0 +vaOverrides [ +] +) +] +) +uid 162,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 171,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 160,0 +) +] +) +pdm (PhysicalDM +uid 172,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 136,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 139,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 141,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 143,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 161,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 137,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 145,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 149,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 151,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 153,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 155,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 157,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 159,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 135,0 +vaOverrides [ +] +) +] +) +uid 170,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajous@generator_circuit/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajous@generator_circuit/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajous@generator_circuit" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajousGenerator_circuit" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajous@generator_circuit/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajousGenerator_circuit/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:18" +) +(vvPair +variable "unit" +value "lissajousGenerator_circuit" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,17400,36400,18600" +st "clock" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,19000,11900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 84,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,19400,37700,20600" +st "reset_N" +blo "33000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,19000,12800" +st "reset_N : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +font "courier,9,0" +) +xt "40400,17400,47000,18600" +st "triggerOut" +ju 2 +blo "47000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,19000,13700" +st "triggerOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 93,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 94,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,15625,48750,16375" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 96,0 +va (VaSet +font "courier,9,0" +) +xt "43800,15400,47000,16600" +st "xOut" +ju 2 +blo "47000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,19000,14600" +st "xOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*68 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +font "courier,9,0" +) +xt "43800,13400,47000,14600" +st "yOut" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 102,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,18000,15500" +st "yOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,21800,35100,22700" +st "Board" +blo "32600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22700,46100,23600" +st "lissajousGenerator_circuit" +blo "32600,23400" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,25600,42500,28300" +st "Generic Declarations + +bitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "43,23,938,764" +viewArea "-1920,-1040,75120,50530" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15500,2500,16400" +st "User:" +blo "0,16200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,2000,16400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 379,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/master@version.bd b/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/master@version.bd new file mode 100644 index 0000000..16c15fe --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/master@version.bd @@ -0,0 +1,4392 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "U_pll" +duLibraryName "Lattice" +duName "pll" +elements [ +] +mwi 0 +uid 168,0 +) +(Instance +name "I_inv1" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 199,0 +) +(Instance +name "I_dff" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 219,0 +) +(Instance +name "I_inv2" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 245,0 +) +(Instance +name "I_main" +duLibraryName "Lissajous" +duName "lissajousGenerator" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +mwi 0 +uid 265,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb5" +number "5" +) +(EmbeddedInstance +name "eb6" +number "6" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\master@version.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\master@version.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "masterVersion" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "date" +value "01.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "01" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "master@version.bd" +) +(vvPair +variable "f_logical" +value "masterVersion.bd" +) +(vvPair +variable "f_noext" +value "master@version" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "01.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "17:45:49" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\master@version.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit_EBS3\\masterVersion.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "master@version" +) +(vvPair +variable "this_file_logical" +value "masterVersion" +) +(vvPair +variable "time" +value "17:45:49" +) +(vvPair +variable "unit" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "masterVersion" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (SaComponent +uid 168,0 +optionalChildren [ +*2 (CptPort +uid 132,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,6625,51750,7375" +) +tg (CPTG +uid 134,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 135,0 +va (VaSet +font "Verdana,8,0" +) +xt "45700,6500,50000,7500" +st "clk10MHz" +ju 2 +blo "50000,7300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk10MHz" +t "std_ulogic" +o 8 +suid 1,0 +) +) +) +*3 (CptPort +uid 136,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 137,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,5625,51750,6375" +) +tg (CPTG +uid 138,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 139,0 +va (VaSet +font "Verdana,8,0" +) +xt "45700,5500,50000,6500" +st "clk50MHz" +ju 2 +blo "50000,6300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk50MHz" +t "std_ulogic" +o 7 +suid 2,0 +) +) +) +*4 (CptPort +uid 140,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 141,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,2625,51750,3375" +) +tg (CPTG +uid 142,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "45700,2500,50000,3500" +st "clk60MHz" +ju 2 +blo "50000,3300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk60MHz" +t "std_ulogic" +o 5 +suid 3,0 +) +) +) +*5 (CptPort +uid 144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 145,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,4625,51750,5375" +) +tg (CPTG +uid 146,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 147,0 +va (VaSet +font "Verdana,8,0" +) +xt "45700,4500,50000,5500" +st "clk75MHz" +ju 2 +blo "50000,5300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk75MHz" +t "std_ulogic" +o 6 +suid 4,0 +) +) +) +*6 (CptPort +uid 148,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 149,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,6625,39000,7375" +) +tg (CPTG +uid 150,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 151,0 +va (VaSet +font "Verdana,8,0" +) +xt "40000,6500,43200,7500" +st "en10M" +blo "40000,7300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en10M" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*7 (CptPort +uid 152,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 153,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,5625,39000,6375" +) +tg (CPTG +uid 154,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 155,0 +va (VaSet +font "Verdana,8,0" +) +xt "40000,5500,43200,6500" +st "en50M" +blo "40000,6300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en50M" +t "std_ulogic" +o 3 +suid 7,0 +) +) +) +*8 (CptPort +uid 156,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 157,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,4625,39000,5375" +) +tg (CPTG +uid 158,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 159,0 +va (VaSet +font "Verdana,8,0" +) +xt "40000,4500,43200,5500" +st "en75M" +blo "40000,5300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en75M" +t "std_ulogic" +o 2 +suid 8,0 +) +) +) +*9 (CptPort +uid 160,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 161,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,8625,51750,9375" +) +tg (CPTG +uid 162,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 163,0 +va (VaSet +font "Verdana,8,0" +) +xt "45800,8500,50000,9500" +st "pllLocked" +ju 2 +blo "50000,9300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "pllLocked" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +*10 (CptPort +uid 164,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 165,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,2625,39000,3375" +) +tg (CPTG +uid 166,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 167,0 +va (VaSet +font "Verdana,8,0" +) +xt "40000,2500,44600,3500" +st "clkIn100M" +blo "40000,3300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clkIn100M" +t "std_ulogic" +o 1 +suid 10,0 +) +) +) +] +shape (Rectangle +uid 169,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,2000,51000,10000" +) +oxt "20000,20000,32000,28000" +ttg (MlTextGroup +uid 170,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*11 (Text +uid 171,0 +va (VaSet +font "Verdana,8,1" +) +xt "34400,8000,38100,9000" +st "Lattice" +blo "34400,8800" +tm "BdLibraryNameMgr" +) +*12 (Text +uid 172,0 +va (VaSet +font "Verdana,8,1" +) +xt "34400,9000,36200,10000" +st "pll" +blo "34400,9800" +tm "CptNameMgr" +) +*13 (Text +uid 173,0 +va (VaSet +font "Verdana,8,1" +) +xt "34400,10000,37500,11000" +st "U_pll" +blo "34400,10800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 174,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 175,0 +text (MLText +uid 176,0 +va (VaSet +font "Courier New,8,0" +) +xt "15000,-67200,15000,-67200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 177,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "39250,8250,40750,9750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +*14 (PortIoIn +uid 178,0 +shape (CompositeShape +uid 179,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 180,0 +sl 0 +ro 270 +xt "24000,2625,25500,3375" +) +(Line +uid 181,0 +sl 0 +ro 270 +xt "25500,3000,26000,3000" +pts [ +"25500,3000" +"26000,3000" +] +) +] +) +tg (WTG +uid 182,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 183,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "19200,2300,23000,3700" +st "clock" +ju 2 +blo "23000,3500" +tm "WireNameMgr" +) +) +) +*15 (PortIoIn +uid 184,0 +shape (CompositeShape +uid 185,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 186,0 +sl 0 +ro 270 +xt "25000,21625,26500,22375" +) +(Line +uid 187,0 +sl 0 +ro 270 +xt "26500,22000,27000,22000" +pts [ +"26500,22000" +"27000,22000" +] +) +] +) +tg (WTG +uid 188,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 189,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "18200,21300,24000,22700" +st "reset_N" +ju 2 +blo "24000,22500" +tm "WireNameMgr" +) +) +) +*16 (HdlText +uid 190,0 +optionalChildren [ +*17 (EmbeddedText +uid 195,0 +commentText (CommentText +uid 196,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 197,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "29000,13000,38000,15000" +) +oxt "0,0,18000,5000" +text (MLText +uid 198,0 +va (VaSet +) +xt "29200,13200,37400,14400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 9000 +) +) +) +] +shape (Rectangle +uid 191,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "29000,12000,39000,16000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 192,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*18 (Text +uid 193,0 +va (VaSet +) +xt "31400,16000,34000,17200" +st "eb5" +blo "31400,17000" +tm "HdlTextNameMgr" +) +*19 (Text +uid 194,0 +va (VaSet +) +xt "31400,17000,32800,18200" +st "5" +blo "31400,18000" +tm "HdlTextNumberMgr" +) +] +) +) +*20 (SaComponent +uid 199,0 +optionalChildren [ +*21 (CptPort +uid 208,0 +optionalChildren [ +*22 (Circle +uid 213,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32092,21546,33000,22454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 209,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "31342,21625,32092,22375" +) +tg (CPTG +uid 210,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 211,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "33000,21500,35700,22900" +st "in1" +blo "33000,22700" +) +s (Text +uid 212,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "33000,22900,33000,22900" +blo "33000,22900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*23 (CptPort +uid 214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38000,21625,38750,22375" +) +tg (CPTG +uid 216,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 217,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "34050,21500,37750,22900" +st "out1" +ju 2 +blo "37750,22700" +) +s (Text +uid 218,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "37750,22900,37750,22900" +ju 2 +blo "37750,22900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 200,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,19000,38000,25000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 201,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 202,0 +va (VaSet +) +xt "34460,24700,38060,25900" +st "Board" +blo "34460,25700" +tm "BdLibraryNameMgr" +) +*25 (Text +uid 203,0 +va (VaSet +) +xt "34460,25700,40860,26900" +st "inverterIn" +blo "34460,26700" +tm "CptNameMgr" +) +*26 (Text +uid 204,0 +va (VaSet +) +xt "34460,26700,38460,27900" +st "I_inv1" +blo "34460,27700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 205,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 206,0 +text (MLText +uid 207,0 +va (VaSet +) +xt "33000,25400,33000,25400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*27 (SaComponent +uid 219,0 +optionalChildren [ +*28 (CptPort +uid 228,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 229,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43250,13625,44000,14375" +) +tg (CPTG +uid 230,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 231,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,13300,46700,14700" +st "D" +blo "45000,14500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*29 (CptPort +uid 232,0 +optionalChildren [ +*30 (FFT +pts [ +"44750,18000" +"44000,18375" +"44000,17625" +] +uid 236,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,17625,44750,18375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 233,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43250,17625,44000,18375" +) +tg (CPTG +uid 234,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 235,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,17400,48200,18800" +st "CLK" +blo "45000,18600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*31 (CptPort +uid 237,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 238,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "46625,20000,47375,20750" +) +tg (CPTG +uid 239,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 240,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,18600,49200,20000" +st "CLR" +blo "46000,19800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*32 (CptPort +uid 241,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 242,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "50000,13625,50750,14375" +) +tg (CPTG +uid 243,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 244,0 +va (VaSet +font "Verdana,12,0" +) +xt "47200,13300,49000,14700" +st "Q" +ju 2 +blo "49000,14500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 220,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44000,12000,50000,20000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 221,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 222,0 +va (VaSet +) +xt "48600,19700,52200,20900" +st "Board" +blo "48600,20700" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 223,0 +va (VaSet +) +xt "48600,20700,51300,21900" +st "DFF" +blo "48600,21700" +tm "CptNameMgr" +) +*35 (Text +uid 224,0 +va (VaSet +) +xt "48600,21700,51600,22900" +st "I_dff" +blo "48600,22700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 225,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 226,0 +text (MLText +uid 227,0 +va (VaSet +) +xt "21000,9000,21000,9000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*36 (SaComponent +uid 245,0 +optionalChildren [ +*37 (CptPort +uid 254,0 +optionalChildren [ +*38 (Circle +uid 259,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "54092,13546,55000,14454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 255,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "53342,13625,54092,14375" +) +tg (CPTG +uid 256,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 257,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "55000,13500,57700,14900" +st "in1" +blo "55000,14700" +) +s (Text +uid 258,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "55000,14900,55000,14900" +blo "55000,14900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*39 (CptPort +uid 260,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 261,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "60000,13625,60750,14375" +) +tg (CPTG +uid 262,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 263,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "56050,13500,59750,14900" +st "out1" +ju 2 +blo "59750,14700" +) +s (Text +uid 264,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "59750,14900,59750,14900" +ju 2 +blo "59750,14900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 246,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "55000,11000,60000,17000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 247,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 248,0 +va (VaSet +) +xt "56460,16700,60060,17900" +st "Board" +blo "56460,17700" +tm "BdLibraryNameMgr" +) +*41 (Text +uid 249,0 +va (VaSet +) +xt "56460,17700,62860,18900" +st "inverterIn" +blo "56460,18700" +tm "CptNameMgr" +) +*42 (Text +uid 250,0 +va (VaSet +) +xt "56460,18700,60460,19900" +st "I_inv2" +blo "56460,19700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 251,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 252,0 +text (MLText +uid 253,0 +va (VaSet +) +xt "55000,17400,55000,17400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*43 (SaComponent +uid 265,0 +optionalChildren [ +*44 (CptPort +uid 274,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 275,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,9625,67000,10375" +) +tg (CPTG +uid 276,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 277,0 +va (VaSet +) +xt "68000,9400,71400,10600" +st "clock" +blo "68000,10400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*45 (CptPort +uid 278,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 279,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,9625,83750,10375" +) +tg (CPTG +uid 280,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 281,0 +va (VaSet +) +xt "75400,9400,82000,10600" +st "triggerOut" +ju 2 +blo "82000,10400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +) +) +) +*46 (CptPort +uid 282,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 283,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,7625,83750,8375" +) +tg (CPTG +uid 284,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 285,0 +va (VaSet +) +xt "78800,7400,82000,8600" +st "xOut" +ju 2 +blo "82000,8400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +) +) +) +*47 (CptPort +uid 286,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 287,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,5625,83750,6375" +) +tg (CPTG +uid 288,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 289,0 +va (VaSet +) +xt "78800,5400,82000,6600" +st "yOut" +ju 2 +blo "82000,6400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +) +) +) +*48 (CptPort +uid 290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,11625,67000,12375" +) +tg (CPTG +uid 292,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 293,0 +va (VaSet +) +xt "68000,11500,71300,12700" +st "reset" +blo "68000,12500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +] +shape (Rectangle +uid 266,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "67000,2000,83000,14000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 267,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 268,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,13800,72800,15000" +st "Lissajous" +blo "67600,14800" +tm "BdLibraryNameMgr" +) +*50 (Text +uid 269,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,14700,78100,15900" +st "lissajousGenerator" +blo "67600,15700" +tm "CptNameMgr" +) +*51 (Text +uid 270,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,15600,71700,16800" +st "I_main" +blo "67600,16600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 271,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 272,0 +text (MLText +uid 273,0 +va (VaSet +) +xt "67000,17600,90500,22400" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) +stepX = stepX ( positive ) +stepY = stepY ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +) +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*52 (PortIoOut +uid 294,0 +shape (CompositeShape +uid 295,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 296,0 +sl 0 +ro 270 +xt "91500,9625,93000,10375" +) +(Line +uid 297,0 +sl 0 +ro 270 +xt "91000,10000,91500,10000" +pts [ +"91000,10000" +"91500,10000" +] +) +] +) +tg (WTG +uid 298,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 299,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,9300,102100,10700" +st "triggerOut" +blo "94000,10500" +tm "WireNameMgr" +) +) +) +*53 (PortIoOut +uid 300,0 +shape (CompositeShape +uid 301,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 302,0 +sl 0 +ro 270 +xt "91500,7625,93000,8375" +) +(Line +uid 303,0 +sl 0 +ro 270 +xt "91000,8000,91500,8000" +pts [ +"91000,8000" +"91500,8000" +] +) +] +) +tg (WTG +uid 304,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 305,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,7300,97800,8700" +st "xOut" +blo "94000,8500" +tm "WireNameMgr" +) +) +) +*54 (PortIoOut +uid 306,0 +shape (CompositeShape +uid 307,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 308,0 +sl 0 +ro 270 +xt "91500,5625,93000,6375" +) +(Line +uid 309,0 +sl 0 +ro 270 +xt "91000,6000,91500,6000" +pts [ +"91000,6000" +"91500,6000" +] +) +] +) +tg (WTG +uid 310,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 311,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,5300,97800,6700" +st "yOut" +blo "94000,6500" +tm "WireNameMgr" +) +) +) +*55 (Net +uid 356,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 357,0 +va (VaSet +) +xt "2000,9000,15100,10200" +st "clock : std_ulogic +" +) +) +*56 (Net +uid 358,0 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 359,0 +va (VaSet +) +xt "2000,11400,16100,12600" +st "triggerOut : std_ulogic +" +) +) +*57 (Net +uid 360,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 361,0 +va (VaSet +) +xt "2000,23400,19500,24600" +st "SIGNAL reset : std_ulogic +" +) +) +*58 (Net +uid 364,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 5 +suid 5,0 +) +declText (MLText +uid 365,0 +va (VaSet +) +xt "2000,10200,15800,11400" +st "reset_N : std_ulogic +" +) +) +*59 (Net +uid 366,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 6 +suid 6,0 +) +declText (MLText +uid 367,0 +va (VaSet +) +xt "2000,12600,15200,13800" +st "xOut : std_ulogic +" +) +) +*60 (Net +uid 368,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 7 +suid 7,0 +) +declText (MLText +uid 369,0 +va (VaSet +) +xt "2000,24600,21100,25800" +st "SIGNAL resetSynch : std_ulogic +" +) +) +*61 (Net +uid 370,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 8 +suid 8,0 +) +declText (MLText +uid 371,0 +va (VaSet +) +xt "2000,22200,20000,23400" +st "SIGNAL logic1 : std_uLogic +" +) +) +*62 (Net +uid 372,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 9 +suid 9,0 +) +declText (MLText +uid 373,0 +va (VaSet +) +xt "2000,13800,15200,15000" +st "yOut : std_ulogic +" +) +) +*63 (Net +uid 380,0 +lang 11 +decl (Decl +n "clkSys" +t "std_ulogic" +o 10 +suid 11,0 +) +declText (MLText +uid 381,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,20600,19500,21400" +st "SIGNAL clkSys : std_ulogic +" +) +) +*64 (HdlText +uid 382,0 +optionalChildren [ +*65 (EmbeddedText +uid 387,0 +commentText (CommentText +uid 388,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 389,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "22000,5000,31000,7000" +) +oxt "0,0,18000,5000" +text (MLText +uid 390,0 +va (VaSet +) +xt "22200,5200,30400,6400" +st " +logic0 <= '0'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 9000 +) +) +) +] +shape (Rectangle +uid 383,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "22000,4000,32000,8000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 384,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +uid 385,0 +va (VaSet +) +xt "24400,8000,27000,9200" +st "eb6" +blo "24400,9000" +tm "HdlTextNameMgr" +) +*67 (Text +uid 386,0 +va (VaSet +) +xt "24400,9000,25800,10200" +st "6" +blo "24400,10000" +tm "HdlTextNumberMgr" +) +] +) +) +*68 (Net +uid 411,0 +lang 11 +decl (Decl +n "logic0" +t "std_ulogic" +o 11 +suid 13,0 +) +declText (MLText +uid 412,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,21400,19500,22200" +st "SIGNAL logic0 : std_ulogic +" +) +) +*69 (Net +uid 464,0 +decl (Decl +n "resetSynch_N" +t "std_ulogic" +o 4 +suid 14,0 +) +declText (MLText +uid 465,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,25800,19500,26600" +st "SIGNAL resetSynch_N : std_ulogic +" +) +) +*70 (Wire +uid 312,0 +shape (OrthoPolyLine +uid 313,0 +va (VaSet +vasetType 3 +) +xt "26000,3000,38250,3000" +pts [ +"26000,3000" +"38250,3000" +] +) +start &14 +end &10 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 314,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 315,0 +va (VaSet +font "Verdana,12,0" +) +xt "26000,1600,29800,3000" +st "clock" +blo "26000,2800" +tm "WireNameMgr" +) +) +on &55 +) +*71 (Wire +uid 316,0 +shape (OrthoPolyLine +uid 317,0 +va (VaSet +vasetType 3 +) +xt "83750,6000,91000,6000" +pts [ +"91000,6000" +"83750,6000" +] +) +start &54 +end &47 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 318,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 319,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,4600,89800,6000" +st "yOut" +blo "86000,5800" +tm "WireNameMgr" +) +) +on &62 +) +*72 (Wire +uid 320,0 +shape (OrthoPolyLine +uid 321,0 +va (VaSet +vasetType 3 +) +xt "38000,20000,47000,22000" +pts [ +"38000,22000" +"47000,22000" +"47000,20000" +] +) +start &23 +end &31 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 322,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 323,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,20600,43100,22000" +st "reset" +blo "39000,21800" +tm "WireNameMgr" +) +) +on &57 +) +*73 (Wire +uid 324,0 +shape (OrthoPolyLine +uid 325,0 +va (VaSet +vasetType 3 +) +xt "83750,10000,91000,10000" +pts [ +"91000,10000" +"83750,10000" +] +) +start &52 +end &45 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 326,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 327,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,8600,94100,10000" +st "triggerOut" +blo "86000,9800" +tm "WireNameMgr" +) +) +on &56 +) +*74 (Wire +uid 328,0 +shape (OrthoPolyLine +uid 329,0 +va (VaSet +vasetType 3 +) +xt "42000,18000,44000,18000" +pts [ +"42000,18000" +"44000,18000" +] +) +end &29 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 332,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 333,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,16600,43800,18000" +st "clock" +blo "40000,17800" +tm "WireNameMgr" +) +) +on &55 +) +*75 (Wire +uid 334,0 +shape (OrthoPolyLine +uid 335,0 +va (VaSet +vasetType 3 +) +xt "50000,14000,54092,14000" +pts [ +"50000,14000" +"54092,14000" +] +) +start &32 +end &37 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 336,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 337,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,12600,59300,14000" +st "resetSynch_N" +blo "49000,13800" +tm "WireNameMgr" +) +) +on &69 +) +*76 (Wire +uid 338,0 +shape (OrthoPolyLine +uid 339,0 +va (VaSet +vasetType 3 +) +xt "39000,14000,44000,14000" +pts [ +"44000,14000" +"39000,14000" +] +) +start &28 +end &16 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 342,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 343,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,12600,44400,14000" +st "logic1" +blo "40000,13800" +tm "WireNameMgr" +) +) +on &61 +) +*77 (Wire +uid 344,0 +shape (OrthoPolyLine +uid 345,0 +va (VaSet +vasetType 3 +) +xt "83750,8000,91000,8000" +pts [ +"91000,8000" +"83750,8000" +] +) +start &53 +end &46 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 346,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 347,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,6600,89800,8000" +st "xOut" +blo "86000,7800" +tm "WireNameMgr" +) +) +on &59 +) +*78 (Wire +uid 348,0 +shape (OrthoPolyLine +uid 349,0 +va (VaSet +vasetType 3 +) +xt "27000,22000,32092,22000" +pts [ +"27000,22000" +"32092,22000" +] +) +start &15 +end &21 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 350,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 351,0 +va (VaSet +font "Verdana,12,0" +) +xt "26000,20600,31800,22000" +st "reset_N" +blo "26000,21800" +tm "WireNameMgr" +) +) +on &58 +) +*79 (Wire +uid 352,0 +shape (OrthoPolyLine +uid 353,0 +va (VaSet +vasetType 3 +) +xt "60000,12000,66250,14000" +pts [ +"60000,14000" +"63000,14000" +"63000,12000" +"66250,12000" +] +) +start &39 +end &48 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 354,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 355,0 +va (VaSet +font "Verdana,12,0" +) +xt "60000,10600,68600,12000" +st "resetSynch" +blo "60000,11800" +tm "WireNameMgr" +) +) +on &60 +) +*80 (Wire +uid 376,0 +shape (OrthoPolyLine +uid 377,0 +va (VaSet +vasetType 3 +) +xt "51750,3000,66250,10000" +pts [ +"51750,3000" +"63000,3000" +"63000,10000" +"66250,10000" +] +) +start &4 +end &44 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 378,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 379,0 +va (VaSet +) +xt "53750,1800,57850,3000" +st "clkSys" +blo "53750,2800" +tm "WireNameMgr" +) +) +on &63 +) +*81 (Wire +uid 393,0 +optionalChildren [ +*82 (BdJunction +uid 403,0 +ps "OnConnectorStrategy" +shape (Circle +uid 404,0 +va (VaSet +vasetType 1 +) +xt "33600,4600,34400,5400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 394,0 +va (VaSet +vasetType 3 +) +xt "32000,5000,38250,5000" +pts [ +"32000,5000" +"38250,5000" +] +) +start &64 +end &8 +sat 2 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 397,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 398,0 +va (VaSet +) +xt "35000,3800,38800,5000" +st "logic0" +blo "35000,4800" +tm "WireNameMgr" +) +) +on &68 +) +*83 (Wire +uid 399,0 +optionalChildren [ +*84 (BdJunction +uid 409,0 +ps "OnConnectorStrategy" +shape (Circle +uid 410,0 +va (VaSet +vasetType 1 +) +xt "33600,5600,34400,6400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 400,0 +va (VaSet +vasetType 3 +) +xt "34000,5000,38250,7000" +pts [ +"38250,7000" +"34000,7000" +"34000,5000" +] +) +start &6 +end &82 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 401,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 402,0 +va (VaSet +isHidden 1 +) +xt "32250,5800,36050,7000" +st "logic0" +blo "32250,6800" +tm "WireNameMgr" +) +) +on &68 +) +*85 (Wire +uid 405,0 +shape (OrthoPolyLine +uid 406,0 +va (VaSet +vasetType 3 +) +xt "34000,6000,38250,6000" +pts [ +"38250,6000" +"34000,6000" +] +) +start &7 +end &84 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 407,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 408,0 +va (VaSet +isHidden 1 +) +xt "32250,4800,36050,6000" +st "logic0" +blo "32250,5800" +tm "WireNameMgr" +) +) +on &68 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *86 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 42,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*88 (MLText +uid 43,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*90 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*91 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*92 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*93 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*94 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*95 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-100,-13000,93015,38040" +cachedDiagramExtent "0,0,102100,27900" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 467,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*97 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*98 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*100 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*101 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*103 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*104 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*106 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*107 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*108 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*109 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*110 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*112 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*114 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*116 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,6600,7400,7800" +st "Declarations" +blo "0,7600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,7800,3700,9000" +st "Ports:" +blo "0,8800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,15000,5200,16200" +st "Pre User:" +blo "0,16000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16200,22000,19400" +st "constant signalBitNb: positive := 16; +constant phaseBitNb: positive := 17; +constant stepX: positive := 3; +constant stepY: positive := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,19400,9500,20600" +st "Diagram Signals:" +blo "0,20400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,6600,6400,7800" +st "Post User:" +blo "0,7600" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6600,0,6600" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 14,0 +usingSuid 1 +emptyRow *117 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*118 (RefLabelRowHdr +) +*119 (TitleRowHdr +) +*120 (FilterRowHdr +) +*121 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*122 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*123 (GroupColHdr +tm "GroupColHdrMgr" +) +*124 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*125 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*126 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*127 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*128 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*129 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*130 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 413,0 +) +*131 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 415,0 +) +*132 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 417,0 +) +*133 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 421,0 +) +*134 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 6 +suid 6,0 +) +) +uid 423,0 +) +*135 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 425,0 +) +*136 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 8 +suid 8,0 +) +) +uid 427,0 +) +*137 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 429,0 +) +*138 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clkSys" +t "std_ulogic" +o 10 +suid 11,0 +) +) +uid 431,0 +) +*139 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "logic0" +t "std_ulogic" +o 11 +suid 13,0 +) +) +uid 433,0 +) +*140 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch_N" +t "std_ulogic" +o 4 +suid 14,0 +) +) +uid 466,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*141 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *142 (MRCItem +litem &117 +pos 11 +dimension 20 +) +uid 69,0 +optionalChildren [ +*143 (MRCItem +litem &118 +pos 0 +dimension 20 +uid 70,0 +) +*144 (MRCItem +litem &119 +pos 1 +dimension 23 +uid 71,0 +) +*145 (MRCItem +litem &120 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*146 (MRCItem +litem &130 +pos 0 +dimension 20 +uid 414,0 +) +*147 (MRCItem +litem &131 +pos 1 +dimension 20 +uid 416,0 +) +*148 (MRCItem +litem &132 +pos 2 +dimension 20 +uid 418,0 +) +*149 (MRCItem +litem &133 +pos 3 +dimension 20 +uid 422,0 +) +*150 (MRCItem +litem &134 +pos 4 +dimension 20 +uid 424,0 +) +*151 (MRCItem +litem &135 +pos 5 +dimension 20 +uid 426,0 +) +*152 (MRCItem +litem &136 +pos 6 +dimension 20 +uid 428,0 +) +*153 (MRCItem +litem &137 +pos 7 +dimension 20 +uid 430,0 +) +*154 (MRCItem +litem &138 +pos 8 +dimension 20 +uid 432,0 +) +*155 (MRCItem +litem &139 +pos 9 +dimension 20 +uid 434,0 +) +*156 (MRCItem +litem &140 +pos 10 +dimension 20 +uid 467,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*157 (MRCItem +litem &121 +pos 0 +dimension 20 +uid 74,0 +) +*158 (MRCItem +litem &123 +pos 1 +dimension 50 +uid 75,0 +) +*159 (MRCItem +litem &124 +pos 2 +dimension 100 +uid 76,0 +) +*160 (MRCItem +litem &125 +pos 3 +dimension 50 +uid 77,0 +) +*161 (MRCItem +litem &126 +pos 4 +dimension 100 +uid 78,0 +) +*162 (MRCItem +litem &127 +pos 5 +dimension 100 +uid 79,0 +) +*163 (MRCItem +litem &128 +pos 6 +dimension 50 +uid 80,0 +) +*164 (MRCItem +litem &129 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *165 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*166 (RefLabelRowHdr +) +*167 (TitleRowHdr +) +*168 (FilterRowHdr +) +*169 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*170 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*171 (GroupColHdr +tm "GroupColHdrMgr" +) +*172 (NameColHdr +tm "GenericNameColHdrMgr" +) +*173 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*174 (InitColHdr +tm "GenericValueColHdrMgr" +) +*175 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*176 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*177 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *178 (MRCItem +litem &165 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*179 (MRCItem +litem &166 +pos 0 +dimension 20 +uid 98,0 +) +*180 (MRCItem +litem &167 +pos 1 +dimension 23 +uid 99,0 +) +*181 (MRCItem +litem &168 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*182 (MRCItem +litem &169 +pos 0 +dimension 20 +uid 102,0 +) +*183 (MRCItem +litem &171 +pos 1 +dimension 50 +uid 103,0 +) +*184 (MRCItem +litem &172 +pos 2 +dimension 100 +uid 104,0 +) +*185 (MRCItem +litem &173 +pos 3 +dimension 100 +uid 105,0 +) +*186 (MRCItem +litem &174 +pos 4 +dimension 50 +uid 106,0 +) +*187 (MRCItem +litem &175 +pos 5 +dimension 50 +uid 107,0 +) +*188 (MRCItem +litem &176 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/student@version.bd b/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/student@version.bd new file mode 100644 index 0000000..1c21e81 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/student@version.bd @@ -0,0 +1,4715 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_inv1" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 519,0 +) +(Instance +name "U_pll" +duLibraryName "Lattice" +duName "pll" +elements [ +] +mwi 0 +uid 539,0 +) +(Instance +name "I_dff" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 585,0 +) +(Instance +name "I_inv2" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 611,0 +) +(Instance +name "I_main" +duLibraryName "Lissajous" +duName "lissajousGenerator" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +mwi 0 +uid 631,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb7" +number "7" +) +(EmbeddedInstance +name "eb6" +number "6" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\student@version.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\student@version.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "studentVersion" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "date" +value "01.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "01" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "student@version.bd" +) +(vvPair +variable "f_logical" +value "studentVersion.bd" +) +(vvPair +variable "f_noext" +value "student@version" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "01.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "17:45:56" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\student@version.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit_EBS3\\studentVersion.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "student@version" +) +(vvPair +variable "this_file_logical" +value "studentVersion" +) +(vvPair +variable "time" +value "17:45:56" +) +(vvPair +variable "unit" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "studentVersion" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,4000,38000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "21200,4000,32600,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,0,42000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "38200,0,41200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,2000,38000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "21200,2000,31200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,2000,21000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "17200,2000,19300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,1000,58000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "38200,1200,47600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,0,58000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "42200,0,43800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,0,38000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "22350,400,32650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,3000,21000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "17200,3000,19300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,4000,21000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "17200,4000,19900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,3000,38000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "21200,3000,36000,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "17000,0,58000,5000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoIn +uid 489,0 +shape (CompositeShape +uid 490,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 491,0 +sl 0 +ro 270 +xt "22000,10625,23500,11375" +) +(Line +uid 492,0 +sl 0 +ro 270 +xt "23500,11000,24000,11000" +pts [ +"23500,11000" +"24000,11000" +] +) +] +) +tg (WTG +uid 493,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 494,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17200,10300,21000,11700" +st "clock" +ju 2 +blo "21000,11500" +tm "WireNameMgr" +) +) +) +*13 (PortIoIn +uid 495,0 +shape (CompositeShape +uid 496,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 497,0 +sl 0 +ro 270 +xt "23000,29625,24500,30375" +) +(Line +uid 498,0 +sl 0 +ro 270 +xt "24500,30000,25000,30000" +pts [ +"24500,30000" +"25000,30000" +] +) +] +) +tg (WTG +uid 499,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 500,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "16200,29300,22000,30700" +st "reset_N" +ju 2 +blo "22000,30500" +tm "WireNameMgr" +) +) +) +*14 (HdlText +uid 501,0 +optionalChildren [ +*15 (EmbeddedText +uid 506,0 +commentText (CommentText +uid 507,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 508,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "20000,13000,29000,15000" +) +oxt "0,0,18000,5000" +text (MLText +uid 509,0 +va (VaSet +) +xt "20200,13200,28400,14400" +st " +logic0 <= '0'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 9000 +) +) +) +] +shape (Rectangle +uid 502,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "20000,12000,30000,16000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 503,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*16 (Text +uid 504,0 +va (VaSet +) +xt "22400,16000,25000,17200" +st "eb7" +blo "22400,17000" +tm "HdlTextNameMgr" +) +*17 (Text +uid 505,0 +va (VaSet +) +xt "22400,17000,23800,18200" +st "7" +blo "22400,18000" +tm "HdlTextNumberMgr" +) +] +) +) +*18 (HdlText +uid 510,0 +optionalChildren [ +*19 (EmbeddedText +uid 515,0 +commentText (CommentText +uid 516,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 517,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "27000,21000,36000,23000" +) +oxt "0,0,18000,5000" +text (MLText +uid 518,0 +va (VaSet +) +xt "27200,21200,35400,22400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 9000 +) +) +) +] +shape (Rectangle +uid 511,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "27000,20000,37000,24000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 512,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*20 (Text +uid 513,0 +va (VaSet +) +xt "29400,24000,32000,25200" +st "eb6" +blo "29400,25000" +tm "HdlTextNameMgr" +) +*21 (Text +uid 514,0 +va (VaSet +) +xt "29400,25000,30800,26200" +st "6" +blo "29400,26000" +tm "HdlTextNumberMgr" +) +] +) +) +*22 (SaComponent +uid 519,0 +optionalChildren [ +*23 (CptPort +uid 528,0 +optionalChildren [ +*24 (Circle +uid 533,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30092,29546,31000,30454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 529,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "29342,29625,30092,30375" +) +tg (CPTG +uid 530,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 531,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "31000,29500,33700,30900" +st "in1" +blo "31000,30700" +) +s (Text +uid 532,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "31000,30900,31000,30900" +blo "31000,30900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*25 (CptPort +uid 534,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 535,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "36000,29625,36750,30375" +) +tg (CPTG +uid 536,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 537,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "32050,29500,35750,30900" +st "out1" +ju 2 +blo "35750,30700" +) +s (Text +uid 538,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "35750,30900,35750,30900" +ju 2 +blo "35750,30900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 520,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,27000,36000,33000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 521,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 522,0 +va (VaSet +) +xt "32460,32700,36060,33900" +st "Board" +blo "32460,33700" +tm "BdLibraryNameMgr" +) +*27 (Text +uid 523,0 +va (VaSet +) +xt "32460,33700,38860,34900" +st "inverterIn" +blo "32460,34700" +tm "CptNameMgr" +) +*28 (Text +uid 524,0 +va (VaSet +) +xt "32460,34700,36460,35900" +st "I_inv1" +blo "32460,35700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 525,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 526,0 +text (MLText +uid 527,0 +va (VaSet +) +xt "31000,33400,31000,33400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*29 (SaComponent +uid 539,0 +optionalChildren [ +*30 (CptPort +uid 549,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 550,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,14625,49750,15375" +) +tg (CPTG +uid 551,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 552,0 +va (VaSet +font "Verdana,8,0" +) +xt "43700,14500,48000,15500" +st "clk10MHz" +ju 2 +blo "48000,15300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk10MHz" +t "std_ulogic" +o 8 +) +) +) +*31 (CptPort +uid 553,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 554,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,13625,49750,14375" +) +tg (CPTG +uid 555,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 556,0 +va (VaSet +font "Verdana,8,0" +) +xt "43700,13500,48000,14500" +st "clk50MHz" +ju 2 +blo "48000,14300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk50MHz" +t "std_ulogic" +o 7 +) +) +) +*32 (CptPort +uid 557,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 558,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,10625,49750,11375" +) +tg (CPTG +uid 559,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 560,0 +va (VaSet +font "Verdana,8,0" +) +xt "43700,10500,48000,11500" +st "clk60MHz" +ju 2 +blo "48000,11300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk60MHz" +t "std_ulogic" +o 5 +) +) +) +*33 (CptPort +uid 561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 562,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,12625,49750,13375" +) +tg (CPTG +uid 563,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 564,0 +va (VaSet +font "Verdana,8,0" +) +xt "43700,12500,48000,13500" +st "clk75MHz" +ju 2 +blo "48000,13300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk75MHz" +t "std_ulogic" +o 6 +) +) +) +*34 (CptPort +uid 565,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 566,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,14625,37000,15375" +) +tg (CPTG +uid 567,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 568,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,14500,41200,15500" +st "en10M" +blo "38000,15300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en10M" +t "std_ulogic" +o 4 +) +) +) +*35 (CptPort +uid 569,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 570,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,13625,37000,14375" +) +tg (CPTG +uid 571,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 572,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,13500,41200,14500" +st "en50M" +blo "38000,14300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en50M" +t "std_ulogic" +o 3 +) +) +) +*36 (CptPort +uid 573,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 574,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,12625,37000,13375" +) +tg (CPTG +uid 575,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 576,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,12500,41200,13500" +st "en75M" +blo "38000,13300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en75M" +t "std_ulogic" +o 2 +) +) +) +*37 (CptPort +uid 577,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 578,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,16625,49750,17375" +) +tg (CPTG +uid 579,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 580,0 +va (VaSet +font "Verdana,8,0" +) +xt "43800,16500,48000,17500" +st "pllLocked" +ju 2 +blo "48000,17300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "pllLocked" +t "std_ulogic" +o 9 +) +) +) +*38 (CptPort +uid 581,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 582,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,10625,37000,11375" +) +tg (CPTG +uid 583,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 584,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,10500,42600,11500" +st "clkIn100M" +blo "38000,11300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clkIn100M" +t "std_ulogic" +o 1 +) +) +) +] +shape (Rectangle +uid 540,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,10000,49000,18000" +) +oxt "20000,20000,32000,28000" +ttg (MlTextGroup +uid 541,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 542,0 +va (VaSet +font "Verdana,8,1" +) +xt "32400,16000,36100,17000" +st "Lattice" +blo "32400,16800" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 543,0 +va (VaSet +font "Verdana,8,1" +) +xt "32400,17000,34200,18000" +st "pll" +blo "32400,17800" +tm "CptNameMgr" +) +*41 (Text +uid 544,0 +va (VaSet +font "Verdana,8,1" +) +xt "32400,18000,35500,19000" +st "U_pll" +blo "32400,18800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 545,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 546,0 +text (MLText +uid 547,0 +va (VaSet +font "Courier New,8,0" +) +xt "13000,-59200,13000,-59200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 548,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "37250,16250,38750,17750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +*42 (SaComponent +uid 585,0 +optionalChildren [ +*43 (CptPort +uid 594,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 595,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "41250,21625,42000,22375" +) +tg (CPTG +uid 596,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 597,0 +va (VaSet +font "Verdana,12,0" +) +xt "43000,21300,44700,22700" +st "D" +blo "43000,22500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*44 (CptPort +uid 598,0 +optionalChildren [ +*45 (FFT +pts [ +"42750,26000" +"42000,26375" +"42000,25625" +] +uid 602,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,25625,42750,26375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 599,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "41250,25625,42000,26375" +) +tg (CPTG +uid 600,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 601,0 +va (VaSet +font "Verdana,12,0" +) +xt "43000,25400,46200,26800" +st "CLK" +blo "43000,26600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*46 (CptPort +uid 603,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 604,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "44625,28000,45375,28750" +) +tg (CPTG +uid 605,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 606,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,26600,47200,28000" +st "CLR" +blo "44000,27800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*47 (CptPort +uid 607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 608,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "48000,21625,48750,22375" +) +tg (CPTG +uid 609,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 610,0 +va (VaSet +font "Verdana,12,0" +) +xt "45200,21300,47000,22700" +st "Q" +ju 2 +blo "47000,22500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 586,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,20000,48000,28000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 587,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 588,0 +va (VaSet +) +xt "46600,27700,50200,28900" +st "Board" +blo "46600,28700" +tm "BdLibraryNameMgr" +) +*49 (Text +uid 589,0 +va (VaSet +) +xt "46600,28700,49300,29900" +st "DFF" +blo "46600,29700" +tm "CptNameMgr" +) +*50 (Text +uid 590,0 +va (VaSet +) +xt "46600,29700,49600,30900" +st "I_dff" +blo "46600,30700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 591,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 592,0 +text (MLText +uid 593,0 +va (VaSet +) +xt "19000,17000,19000,17000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*51 (SaComponent +uid 611,0 +optionalChildren [ +*52 (CptPort +uid 620,0 +optionalChildren [ +*53 (Circle +uid 625,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "52092,21546,53000,22454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 621,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "51342,21625,52092,22375" +) +tg (CPTG +uid 622,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 623,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "53000,21500,55700,22900" +st "in1" +blo "53000,22700" +) +s (Text +uid 624,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "53000,22900,53000,22900" +blo "53000,22900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*54 (CptPort +uid 626,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 627,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "58000,21625,58750,22375" +) +tg (CPTG +uid 628,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 629,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "54050,21500,57750,22900" +st "out1" +ju 2 +blo "57750,22700" +) +s (Text +uid 630,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "57750,22900,57750,22900" +ju 2 +blo "57750,22900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "53000,19000,58000,25000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 613,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 614,0 +va (VaSet +) +xt "54460,24700,58060,25900" +st "Board" +blo "54460,25700" +tm "BdLibraryNameMgr" +) +*56 (Text +uid 615,0 +va (VaSet +) +xt "54460,25700,60860,26900" +st "inverterIn" +blo "54460,26700" +tm "CptNameMgr" +) +*57 (Text +uid 616,0 +va (VaSet +) +xt "54460,26700,58460,27900" +st "I_inv2" +blo "54460,27700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 617,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 618,0 +text (MLText +uid 619,0 +va (VaSet +) +xt "53000,25400,53000,25400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*58 (SaComponent +uid 631,0 +optionalChildren [ +*59 (CptPort +uid 640,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 641,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,17625,65000,18375" +) +tg (CPTG +uid 642,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 643,0 +va (VaSet +) +xt "66000,17400,69400,18600" +st "clock" +blo "66000,18400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*60 (CptPort +uid 644,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 645,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81000,17625,81750,18375" +) +tg (CPTG +uid 646,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 647,0 +va (VaSet +) +xt "73400,17400,80000,18600" +st "triggerOut" +ju 2 +blo "80000,18400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +) +) +) +*61 (CptPort +uid 648,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 649,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81000,15625,81750,16375" +) +tg (CPTG +uid 650,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 651,0 +va (VaSet +) +xt "76800,15400,80000,16600" +st "xOut" +ju 2 +blo "80000,16400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +) +) +) +*62 (CptPort +uid 652,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 653,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81000,13625,81750,14375" +) +tg (CPTG +uid 654,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 655,0 +va (VaSet +) +xt "76800,13400,80000,14600" +st "yOut" +ju 2 +blo "80000,14400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +) +) +) +*63 (CptPort +uid 656,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 657,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,19625,65000,20375" +) +tg (CPTG +uid 658,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 659,0 +va (VaSet +) +xt "66000,19500,69300,20700" +st "reset" +blo "66000,20500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +] +shape (Rectangle +uid 632,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "65000,10000,81000,22000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 633,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +uid 634,0 +va (VaSet +font "Verdana,9,1" +) +xt "65600,21800,70800,23000" +st "Lissajous" +blo "65600,22800" +tm "BdLibraryNameMgr" +) +*65 (Text +uid 635,0 +va (VaSet +font "Verdana,9,1" +) +xt "65600,22700,76100,23900" +st "lissajousGenerator" +blo "65600,23700" +tm "CptNameMgr" +) +*66 (Text +uid 636,0 +va (VaSet +font "Verdana,9,1" +) +xt "65600,23600,69700,24800" +st "I_main" +blo "65600,24600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 637,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 638,0 +text (MLText +uid 639,0 +va (VaSet +) +xt "65000,25600,88500,30400" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) +stepX = stepX ( positive ) +stepY = stepY ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +) +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*67 (PortIoOut +uid 660,0 +shape (CompositeShape +uid 661,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 662,0 +sl 0 +ro 270 +xt "89500,13625,91000,14375" +) +(Line +uid 663,0 +sl 0 +ro 270 +xt "89000,14000,89500,14000" +pts [ +"89000,14000" +"89500,14000" +] +) +] +) +tg (WTG +uid 664,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 665,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "92000,13300,95800,14700" +st "yOut" +blo "92000,14500" +tm "WireNameMgr" +) +) +) +*68 (PortIoOut +uid 666,0 +shape (CompositeShape +uid 667,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 668,0 +sl 0 +ro 270 +xt "89500,15625,91000,16375" +) +(Line +uid 669,0 +sl 0 +ro 270 +xt "89000,16000,89500,16000" +pts [ +"89000,16000" +"89500,16000" +] +) +] +) +tg (WTG +uid 670,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 671,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "92000,15300,95800,16700" +st "xOut" +blo "92000,16500" +tm "WireNameMgr" +) +) +) +*69 (PortIoOut +uid 672,0 +shape (CompositeShape +uid 673,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 674,0 +sl 0 +ro 270 +xt "89500,17625,91000,18375" +) +(Line +uid 675,0 +sl 0 +ro 270 +xt "89000,18000,89500,18000" +pts [ +"89000,18000" +"89500,18000" +] +) +] +) +tg (WTG +uid 676,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 677,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "92000,17300,100100,18700" +st "triggerOut" +blo "92000,18500" +tm "WireNameMgr" +) +) +) +*70 (Net +uid 744,0 +lang 11 +decl (Decl +n "logic0" +t "std_ulogic" +o 7 +suid 17,0 +) +declText (MLText +uid 745,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16400,19500,17200" +st "SIGNAL logic0 : std_ulogic +" +) +) +*71 (Net +uid 746,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 18,0 +) +declText (MLText +uid 747,0 +va (VaSet +) +xt "2000,12000,15200,13200" +st "xOut : std_ulogic +" +) +) +*72 (Net +uid 748,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 19,0 +) +declText (MLText +uid 749,0 +va (VaSet +) +xt "2000,9600,15800,10800" +st "reset_N : std_ulogic +" +) +) +*73 (Net +uid 750,0 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 20,0 +) +declText (MLText +uid 751,0 +va (VaSet +) +xt "2000,18400,19500,19600" +st "SIGNAL reset : std_ulogic +" +) +) +*74 (Net +uid 752,0 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 21,0 +) +declText (MLText +uid 753,0 +va (VaSet +) +xt "2000,10800,16100,12000" +st "triggerOut : std_ulogic +" +) +) +*75 (Net +uid 756,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 23,0 +) +declText (MLText +uid 757,0 +va (VaSet +) +xt "2000,13200,15200,14400" +st "yOut : std_ulogic +" +) +) +*76 (Net +uid 758,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 8 +suid 24,0 +) +declText (MLText +uid 759,0 +va (VaSet +) +xt "2000,17200,20000,18400" +st "SIGNAL logic1 : std_uLogic +" +) +) +*77 (Net +uid 760,0 +lang 11 +decl (Decl +n "clkSys" +t "std_ulogic" +o 6 +suid 25,0 +) +declText (MLText +uid 761,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15600,19500,16400" +st "SIGNAL clkSys : std_ulogic +" +) +) +*78 (Net +uid 762,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 26,0 +) +declText (MLText +uid 763,0 +va (VaSet +) +xt "2000,8400,15100,9600" +st "clock : std_ulogic +" +) +) +*79 (Net +uid 764,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 11 +suid 27,0 +) +declText (MLText +uid 765,0 +va (VaSet +) +xt "2000,19600,21100,20800" +st "SIGNAL resetSynch : std_ulogic +" +) +) +*80 (Net +uid 817,0 +decl (Decl +n "resetSynch_N" +t "std_ulogic" +o 10 +suid 28,0 +) +declText (MLText +uid 818,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,20800,19500,21600" +st "SIGNAL resetSynch_N : std_ulogic +" +) +) +*81 (Wire +uid 678,0 +optionalChildren [ +*82 (BdJunction +uid 684,0 +ps "OnConnectorStrategy" +shape (Circle +uid 685,0 +va (VaSet +vasetType 1 +) +xt "31600,12600,32400,13400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 679,0 +va (VaSet +vasetType 3 +) +xt "30000,13000,36250,13000" +pts [ +"30000,13000" +"36250,13000" +] +) +start &14 +end &36 +sat 2 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 682,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 683,0 +va (VaSet +) +xt "33000,11800,36800,13000" +st "logic0" +blo "33000,12800" +tm "WireNameMgr" +) +) +on &70 +) +*83 (Wire +uid 686,0 +optionalChildren [ +*84 (BdJunction +uid 690,0 +ps "OnConnectorStrategy" +shape (Circle +uid 691,0 +va (VaSet +vasetType 1 +) +xt "31600,13600,32400,14400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 687,0 +va (VaSet +vasetType 3 +) +xt "32000,13000,36250,15000" +pts [ +"36250,15000" +"32000,15000" +"32000,13000" +] +) +start &34 +end &82 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 688,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 689,0 +va (VaSet +isHidden 1 +) +xt "30250,13800,34050,15000" +st "logic0" +blo "30250,14800" +tm "WireNameMgr" +) +) +on &70 +) +*85 (Wire +uid 692,0 +shape (OrthoPolyLine +uid 693,0 +va (VaSet +vasetType 3 +) +xt "32000,14000,36250,14000" +pts [ +"36250,14000" +"32000,14000" +] +) +start &35 +end &84 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 694,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 695,0 +va (VaSet +isHidden 1 +) +xt "30250,12800,34050,14000" +st "logic0" +blo "30250,13800" +tm "WireNameMgr" +) +) +on &70 +) +*86 (Wire +uid 696,0 +shape (OrthoPolyLine +uid 697,0 +va (VaSet +vasetType 3 +) +xt "37000,22000,42000,22000" +pts [ +"42000,22000" +"37000,22000" +] +) +start &43 +end &18 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 700,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 701,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,20600,42400,22000" +st "logic1" +blo "38000,21800" +tm "WireNameMgr" +) +) +on &76 +) +*87 (Wire +uid 702,0 +shape (OrthoPolyLine +uid 703,0 +va (VaSet +vasetType 3 +) +xt "48000,22000,52092,22000" +pts [ +"48000,22000" +"52092,22000" +] +) +start &47 +end &52 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 704,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 705,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,20600,57300,22000" +st "resetSynch_N" +blo "47000,21800" +tm "WireNameMgr" +) +) +on &80 +) +*88 (Wire +uid 706,0 +shape (OrthoPolyLine +uid 707,0 +va (VaSet +vasetType 3 +) +xt "81750,16000,89000,16000" +pts [ +"89000,16000" +"81750,16000" +] +) +start &68 +end &61 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 708,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 709,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,14600,87800,16000" +st "xOut" +blo "84000,15800" +tm "WireNameMgr" +) +) +on &71 +) +*89 (Wire +uid 710,0 +shape (OrthoPolyLine +uid 711,0 +va (VaSet +vasetType 3 +) +xt "36000,28000,45000,30000" +pts [ +"36000,30000" +"45000,30000" +"45000,28000" +] +) +start &25 +end &46 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 712,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 713,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,28600,41100,30000" +st "reset" +blo "37000,29800" +tm "WireNameMgr" +) +) +on &73 +) +*90 (Wire +uid 714,0 +shape (OrthoPolyLine +uid 715,0 +va (VaSet +vasetType 3 +) +xt "81750,18000,89000,18000" +pts [ +"89000,18000" +"81750,18000" +] +) +start &69 +end &60 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 716,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 717,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,16600,92100,18000" +st "triggerOut" +blo "84000,17800" +tm "WireNameMgr" +) +) +on &74 +) +*91 (Wire +uid 718,0 +shape (OrthoPolyLine +uid 719,0 +va (VaSet +vasetType 3 +) +xt "25000,30000,30092,30000" +pts [ +"25000,30000" +"30092,30000" +] +) +start &13 +end &23 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 720,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 721,0 +va (VaSet +font "Verdana,12,0" +) +xt "24000,28600,29800,30000" +st "reset_N" +blo "24000,29800" +tm "WireNameMgr" +) +) +on &72 +) +*92 (Wire +uid 722,0 +shape (OrthoPolyLine +uid 723,0 +va (VaSet +vasetType 3 +) +xt "58000,20000,64250,22000" +pts [ +"58000,22000" +"61000,22000" +"61000,20000" +"64250,20000" +] +) +start &54 +end &63 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 724,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 725,0 +va (VaSet +font "Verdana,12,0" +) +xt "58000,18600,66600,20000" +st "resetSynch" +blo "58000,19800" +tm "WireNameMgr" +) +) +on &79 +) +*93 (Wire +uid 726,0 +shape (OrthoPolyLine +uid 727,0 +va (VaSet +vasetType 3 +) +xt "81750,14000,89000,14000" +pts [ +"89000,14000" +"81750,14000" +] +) +start &67 +end &62 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 728,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 729,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,12600,87800,14000" +st "yOut" +blo "84000,13800" +tm "WireNameMgr" +) +) +on &75 +) +*94 (Wire +uid 730,0 +shape (OrthoPolyLine +uid 731,0 +va (VaSet +vasetType 3 +) +xt "24000,11000,36250,11000" +pts [ +"24000,11000" +"36250,11000" +] +) +start &12 +end &38 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 732,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 733,0 +va (VaSet +font "Verdana,12,0" +) +xt "24000,9600,27800,11000" +st "clock" +blo "24000,10800" +tm "WireNameMgr" +) +) +on &78 +) +*95 (Wire +uid 734,0 +shape (OrthoPolyLine +uid 735,0 +va (VaSet +vasetType 3 +) +xt "40000,26000,42000,26000" +pts [ +"40000,26000" +"42000,26000" +] +) +end &44 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 738,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 739,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,24600,41800,26000" +st "clock" +blo "38000,25800" +tm "WireNameMgr" +) +) +on &78 +) +*96 (Wire +uid 740,0 +shape (OrthoPolyLine +uid 741,0 +va (VaSet +vasetType 3 +) +xt "49750,11000,64250,18000" +pts [ +"49750,11000" +"61000,11000" +"61000,18000" +"64250,18000" +] +) +start &32 +end &59 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 742,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 743,0 +va (VaSet +) +xt "51750,9800,55850,11000" +st "clkSys" +blo "51750,10800" +tm "WireNameMgr" +) +) +on &77 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *97 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +uid 42,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7600,1400" +st "Package List" +blo "0,1200" +) +*99 (MLText +uid 43,0 +va (VaSet +) +xt "0,1400,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*101 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*102 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*103 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*104 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*105 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*106 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-600,-9300,92515,41740" +cachedDiagramExtent "0,0,100100,35900" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-73000,0" +lastUid 820,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*108 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*109 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*111 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*112 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*114 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*115 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*116 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*117 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*118 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*119 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*120 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*121 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*123 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*125 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*126 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*127 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,6000,7400,7200" +st "Declarations" +blo "0,7000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,7200,3700,8400" +st "Ports:" +blo "0,8200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,6000,5200,7200" +st "Pre User:" +blo "0,7000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6000,0,6000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,14400,9500,15600" +st "Diagram Signals:" +blo "0,15400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,6000,6400,7200" +st "Post User:" +blo "0,7000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6000,0,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 28,0 +usingSuid 1 +emptyRow *128 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*129 (RefLabelRowHdr +) +*130 (TitleRowHdr +) +*131 (FilterRowHdr +) +*132 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*133 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*134 (GroupColHdr +tm "GroupColHdrMgr" +) +*135 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*136 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*137 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*138 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*139 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*140 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*141 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "logic0" +t "std_ulogic" +o 7 +suid 17,0 +) +) +uid 766,0 +) +*142 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 18,0 +) +) +uid 768,0 +) +*143 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 19,0 +) +) +uid 770,0 +) +*144 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 20,0 +) +) +uid 772,0 +) +*145 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 21,0 +) +) +uid 774,0 +) +*146 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 23,0 +) +) +uid 778,0 +) +*147 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 8 +suid 24,0 +) +) +uid 780,0 +) +*148 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clkSys" +t "std_ulogic" +o 6 +suid 25,0 +) +) +uid 782,0 +) +*149 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 26,0 +) +) +uid 784,0 +) +*150 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 11 +suid 27,0 +) +) +uid 786,0 +) +*151 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch_N" +t "std_ulogic" +o 10 +suid 28,0 +) +) +uid 819,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*152 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *153 (MRCItem +litem &128 +pos 11 +dimension 20 +) +uid 69,0 +optionalChildren [ +*154 (MRCItem +litem &129 +pos 0 +dimension 20 +uid 70,0 +) +*155 (MRCItem +litem &130 +pos 1 +dimension 23 +uid 71,0 +) +*156 (MRCItem +litem &131 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*157 (MRCItem +litem &141 +pos 5 +dimension 20 +uid 767,0 +) +*158 (MRCItem +litem &142 +pos 3 +dimension 20 +uid 769,0 +) +*159 (MRCItem +litem &143 +pos 2 +dimension 20 +uid 771,0 +) +*160 (MRCItem +litem &144 +pos 6 +dimension 20 +uid 773,0 +) +*161 (MRCItem +litem &145 +pos 1 +dimension 20 +uid 775,0 +) +*162 (MRCItem +litem &146 +pos 4 +dimension 20 +uid 779,0 +) +*163 (MRCItem +litem &147 +pos 7 +dimension 20 +uid 781,0 +) +*164 (MRCItem +litem &148 +pos 8 +dimension 20 +uid 783,0 +) +*165 (MRCItem +litem &149 +pos 0 +dimension 20 +uid 785,0 +) +*166 (MRCItem +litem &150 +pos 9 +dimension 20 +uid 787,0 +) +*167 (MRCItem +litem &151 +pos 10 +dimension 20 +uid 820,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*168 (MRCItem +litem &132 +pos 0 +dimension 20 +uid 74,0 +) +*169 (MRCItem +litem &134 +pos 1 +dimension 50 +uid 75,0 +) +*170 (MRCItem +litem &135 +pos 2 +dimension 100 +uid 76,0 +) +*171 (MRCItem +litem &136 +pos 3 +dimension 50 +uid 77,0 +) +*172 (MRCItem +litem &137 +pos 4 +dimension 100 +uid 78,0 +) +*173 (MRCItem +litem &138 +pos 5 +dimension 100 +uid 79,0 +) +*174 (MRCItem +litem &139 +pos 6 +dimension 50 +uid 80,0 +) +*175 (MRCItem +litem &140 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *176 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*177 (RefLabelRowHdr +) +*178 (TitleRowHdr +) +*179 (FilterRowHdr +) +*180 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*181 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*182 (GroupColHdr +tm "GroupColHdrMgr" +) +*183 (NameColHdr +tm "GenericNameColHdrMgr" +) +*184 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*185 (InitColHdr +tm "GenericValueColHdrMgr" +) +*186 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*187 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*188 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *189 (MRCItem +litem &176 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*190 (MRCItem +litem &177 +pos 0 +dimension 20 +uid 98,0 +) +*191 (MRCItem +litem &178 +pos 1 +dimension 23 +uid 99,0 +) +*192 (MRCItem +litem &179 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*193 (MRCItem +litem &180 +pos 0 +dimension 20 +uid 102,0 +) +*194 (MRCItem +litem &182 +pos 1 +dimension 50 +uid 103,0 +) +*195 (MRCItem +litem &183 +pos 2 +dimension 100 +uid 104,0 +) +*196 (MRCItem +litem &184 +pos 3 +dimension 100 +uid 105,0 +) +*197 (MRCItem +litem &185 +pos 4 +dimension 50 +uid 106,0 +) +*198 (MRCItem +litem &186 +pos 5 +dimension 50 +uid 107,0 +) +*199 (MRCItem +litem &187 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/symbol.sb b/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/symbol.sb new file mode 100644 index 0000000..7f1df32 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/symbol.sb @@ -0,0 +1,1569 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 115,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 117,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 116,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 101,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 102,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 103,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 104,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 105,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 106,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "date" +value "01.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "01" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "01.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "17:45:49" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit_EBS3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:45:49" +) +(vvPair +variable "unit" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 121,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,19800,7700" +st "clock" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 122,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,62000,3200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,6625,44750,7375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 126,0 +va (VaSet +font "Verdana,12,0" +) +xt "34900,6300,43000,7700" +st "triggerOut" +ju 2 +blo "43000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 127,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,62000,4800" +st "triggerOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*64 (CptPort +uid 128,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 129,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 130,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 131,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,21800,8700" +st "reset_N" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 132,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,62000,4000" +st "reset_N : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*65 (CptPort +uid 133,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 134,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,7625,44750,8375" +) +tg (CPTG +uid 135,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 136,0 +va (VaSet +font "Verdana,12,0" +) +xt "39200,7300,43000,8700" +st "xOut" +ju 2 +blo "43000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 137,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,62000,5600" +st "xOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*66 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,8625,44750,9375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +font "Verdana,12,0" +) +xt "39200,8300,43000,9700" +st "yOut" +ju 2 +blo "43000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 142,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,61000,6400" +st "yOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,30300,16000" +st "Board" +blo "26800,15800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,44700,17200" +st "lissajousGenerator_circuit_EBS3" +blo "26800,17000" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,51000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,6400,45200,7600" +st "User:" +blo "42000,7400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,44000,7600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 211,0 +activeModelName "Symbol:CDM" +) diff --git a/zz-solutions/04-Lissajous/Board/ise/lissajous-XC3S1200E.bit b/zz-solutions/04-Lissajous/Board/ise/lissajous-XC3S1200E.bit new file mode 100644 index 0000000000000000000000000000000000000000..a7ea091bb4983bf3d5afe0c456ff15707381ec84 GIT binary patch literal 480260 zcmeFa4RjsHbtZVLU%!Wb-~-qoAqBEQrT{_;q^0d933(|6_9;@Z1Zx=0lP!*AjhuP1 zWR3i@$+IlaDjp|OpdcBRDdpLcGFHZ#ffLh_VNHy6ls(>Y#;0X65+x(YoQ<=iITN3O zC?(^wnWKec`>Z2-js0#_*ZaW_0g(88>Px=5Rkv8J8*Th|LT1aeSlit{pc)U z;_;(*Mx+H1wM3%C_>+;4!2A9t60s29o_-{vnCX=8r(er>DQwLpf%Ufb z0_|yZ`r0b_I;s5%-L<5qfnJhjRT<{CH#fbijir?>YyrJ6dCjPE+nbwSvxd`(Qwub+ z1!OZTm+F>UAhkehfz$%$w19Wc+%&TpEufoGsZ^)UX@PXGt$V*@)%rG>nbTZ$PC89C zp9Rtv+RfLesYa;q1#lJcLr{*MrfU$!_mq2T# zaF!V37&x_It{|#7oq(Q$kyHUVwW&da*KnXc#hfO=kqv{wyq4SMQ5*p|hP@R8#2YX6 zzTv8`j?Kla=K+h$u)@k3Wn8Fm5yX0_El=QRoE4b-Ah1KDfI9QcWvD#Htm;g%&_-oj zf|G0k{()l38;jVQID5rVT5*YLfijV25^qRI5ri?Kgkho^nXGmS!5c*pwMH~3%3x|W z-5myD7&4u%rb0xbNUcF=rWvSLqgE3y*vd*FEM>r012L2{;M6ziazZ?Rq%+?ZWMxx}$Gtb9$XUb5F$p;i7Xij`C|J3BMQ{Ijz^FU-!q z*80t{SBGY2U+sN*`}0@vzmt!jeEbA{&tLVG_qrd)ER}P<^4=53b?Fn}M*8-zeBjwf zY-sWGx4+uq{=EcvwS#{)oE1}lF)Jp%I`tQf@o#ow%3v|`!@AS6_LBYkzwC7Y^8e zzkl@ikAC5R{kiz>AARh^BPSm_VZ$#!_T|T(edO6kzWmr%KJb+feEBh!-fF^_!D(eR zwHjJ3&Yhk8+W743_s3>u?*ts3xbx0Iz@2vVGUp zeVXHrRxhJf&BO7fprM4v_VbZuj^*12Eo ze*(W<$dKUCEwvvi+Vc`q=M?;EbxFgLzKco%(Lc)Rd}d=~GwamZe!a~`Mb ze_Y0tOX$0R`Nupi&!w665V!5xua8%STSml{bC`>8e8(bsB=Q*fzi#DoG8-8=A9R+l zo`>U{?{ulh5A6`%W1Po4`xBhRO_?Nb{!QrIR~pOEceyNQ&%WBrYa!Ov8D3kb{^FHa zW@o<}bNQ*i;5GHT$a~=hUTGhH{N%}rqmKh7jxy%o)Bzhmd2;H2rJn#y9A!FlPaQaM zV(P%jlg#<};~Zxir?>?3*t`=bIPLN$j&gfu&Bt|T;;a0RWwC4ba&@b}PHnD@$tgSw_~->2I;Mg|&~ZnaiCxaSMRga}F7JWxwT?!NCJl zyt;FW^A1dz>)Pzh#Bz0We4f9^oq=Om7M3dG%NxO}GroPS0E{gO)9Dab0sOH9e>lPa zJi+@Dd_{sUOYl1q{LTb({alaP|JnNSU?ABIBHfGj;*5Xg-+@iz&gTwp-`>M=$z_4I zTwITDywFI%NKl^`c>Jc<7#w85x=fn=DDHXQxwz57B6!e z);{?h^$wx7moxtR>10eT*PO&8B-(b8GDDK+q-r_KX(58Co%ysx_=4KbMrNw zCe(6v`Wc%O$Bg5aQ<)(}afT&Rnb=4bx2m{>+w~N+Kz`-LnU)yL*OB1^_P{3yG2AI?1pa-6$eoaAtk9l<>3!V`+FJ%ZeFvx%^tdSfg>^VCr&_bH$Pzv*nL`eOd z2AFgYg_6}YmBfaw2~E*3s9O7Bk7ugYilt&nz${I4u>cH>piL4A^axW{OD3ScLnJ-3 z-a{=2%$55*reJtqVu=_g$=8DrbjcC|BTF;x91k=q@dN3F(8ORNIp4z~5LW4VzEp(} zn*T|Zm=8`~IX`O+DXay+e~_|6bSvGbgTr(wUA^-UcX@mXrBUdY-Ax}n+5L6u>86e; zarnnFBoTKX7MHaj^mMnHkp+!34T&c{g!H~2cPfmDcODwT-z*Z+({BkPaU@5wXZsc6 zNca93F&SVM?fa!ukCuhb(WxBKU6tK*&GhawotD^y?enkt6W{dDF+= zeHeD@pVkG=ql_6Hp&25EU;>TMj3A2@n7!Oj!$TBxJW}{+m!i(!8@uGw(>-l|SRt>t zJvb;-H@)j=jK}G2I{RoIa_4DAgk_H|p|f8r^m~VQ32)@VKzuv!{{HhsAJw}=@7EN4 z>~l!}?q?xKKOG)D?qxL6U;A~+9LrJf?~dohnVsH_kv}8xZSp#)t#D&Zg6Lt`Biu2a z!?XQt8%~#KqvE(AzJ>{%@o2j)3(T1+$ZBjABN*?B${6!>7v2uM^z0YN*MhPw6bYDX z+7GlJZ!gEW0_gn}YQgN2cp*SEV1#I)r!(X212dE#%gFwL;g(%=hi=Ck*D>lIZ5vRz z;9%zV!#bvDxLfDmDv2Ur7h*ymx6;KrZrK-g>X2lvAVk~_QD|S6q$ti^gia6DOEJCc zJ6B$NPxkjdf`#8xq9wQ=Odj|QOeeU8pbtxD`W?0$Lb_FZJ)`u&_p6aIGWs?0WZa#l zcU(gh7dkUiw#pVdDA0E#b`4}S?wL-BAY;bXjz`g#G%dn|M3)ECenDEu>m;u@GXnH>F`}EOfCV`T?m(Jo;zNh?a_oDA1$C^Uuo9g>X_9B8cEbxf>qEHSL55YF?8VINp3$kC)0w$FH z-uxjRg z7=0JYu2Jt8(KSN>jfHfDhY3WX4{b340&UHS0-Qnf`JIzJm15``SAu3%I!( zYsVVZnfdU2NA9DHE@$L+T$sb)5>2mg3qFi`sKOUH?~{QDHL2Z~JiSem_(Sh*Ts>)I zTIZu+2Z`~AKbHBU_xUe>szCqSGkP@0e7CIpi%l=GsE`}RL|6~#7XyjeOtM7`%R}9w zbNGt~5BZ%m{bBkwzfkaB)|lTyjr6^z=l!RzE$=%u^u=QVy5er~js;ZQ`!DxAoB!eV zN%B?C4XrPYM7LA^O1kc^=wujdqg;3YZTbPC>43VhESxSKMvI#A(*q=Nt)RQwF7HFy zYblb5G2t_lp#}#=Y=7x}m>kbultIPRin&7m5u?P9vPuzkLvO3XT82VV30*-qsvQKe z?kc^7qUk{P`{VtzXRP1}b*(1aF_8JIU&eYC4rI>!GJTPD5B$@={AC*QyDz`3*g{`w z-93@IrT#IkSt-w2JUNqdWBvm88q5^X+u|AoUVmK}B2|c9)CdA5&RUp*YiWEn$om zM+xim4OIO5PeJDggm~`>qGxp29{Rf}$K5{4;m zwv1BV6Q~9Ze!<5cH5?Lr+lqZy*mcO)o*q*2kniU|=hLwEeP0jBA>VHej)D1bp>voD zhYS8_AqWa0c(8DU>+rq8NUN{M3a!WeF$_6umXB#thalLfCWsBo;sFuH4`#XTOjOJf zzAjnNys833qM_jKAo4u#n9oeXan#U@DTdueVHgW^E1X|CMXNtV$QHvL$Al0aexHv^ z5-3V2aR`m-iU&6sFK;A3+t3}GSqhG6R<9Ko1zFI=V<=NZt`ex-*Lc9ucZe@qDj-dy zc%{O1Qt_T1l^0=s4|Ovr6WX9YUPrEvqM}A}d;SzYkA(mRFBI4j z=qsRu=$i`}B=yyJ#pD_m>~&nY#b?%X#yC>51Hr?16h=1DVSz~Bh`c>+Hz)-P$d~dv0fM@AAuG;bnjr{ zu|KmT2x9f3pRptr+P+lZ4|$T52M-D}AePU{)+~Cht(E*NYJvt?$(r!BtCg}@4F4eX zy*BhJs?DKH&f7(p@07ze;a{{B=wgoBw0{L^G;UTwE8iq91-ix{YtebJP*q84Kfg-5 zkq4`|m1vB$gF)U!>PC)6)Bz(PB(Yx!jlNKP=TrV@{`%{oZ(%R1-Vc>NiOV9Qg`kao zhsxL#`eNIyt^4@y{Oxo#+@=P~?+Xr6W=D?@g)5@B@czZ?$6%=x;5J1Afj`Ll`Q`AF z5d}BVZ&Lv`1rnm{eMknd9tGX9jQu^Sy%LO(Pel}H=-{LmFeWgSF*HKjfvU@%-|s1} zC?M)E(?yo{{g)!Hv8sZh)k!yoK@=216+i(IU@2=G#5@*$6dXk7`GsBZ-omJ${a_ow z!m^y^8JNC5~VW(msWDz^q zTVyL0+p%GgsJETA72X`QCO%>$CQ+&dn`K^=IuKtDXv4(qEf?5w&S18Tvt@$qlr#w# z4NCB+Y=`F37?D>>tSDKYfhHeQ0U&a`aG7g{8QWO@n9S8A^)mK&e488;HP;kZ33ndn z89-I8kRvtVM)|8*zL`EQ>G>=XDs@$156Nu@mtl$KST79iK?*O6y#-88&bz3|JsJ%rP4W@@R-t4y26)z^)w0B$nh^jwM6e+iFR^8UqFtbVX=% z;glI<2AcrpaDb^{tcFF2ju9?ZnCIByqQfCKZtO;lf(Xga=dh09f@N~^)E4j!i*3iY zVOudvKet}%wnXpxZZ0&6RbK&1Bv>8`+C?nJ3TA+H!w>t6Drm&esMJ{BAU^Q%q}vL8 zrW+u;=4T}+lsA}*`faunOGihWeC}{1yS`}xiu@y(GujPR$>z(6#a@fm~y)GFB2YDTRt@Uf;ueHAL!uQA6gNS`J z*++_3BVLJ4oZz*M*F6@1{bPCk|5vlKznGZfJYMCPk3+l4xwVh|pE%!@w`(VduN7^a z*S4t%>)Xl{>xXOeIOO&Dg%|1-pO~1XnVG4nS(<<|*UXH?M~^Z$^I4xR*4(YnR+<2RT`~&yd z{42G^`x1Q4`eUNLgs(Tjd^g8(nvEf79&IM@a4!M~UuLYm#5c-!zCaF3+|RJp7V{Ny z^sptV=MjTDgFOaU%gOr^I-Y)*UU->oyDUUKcua?_ju|YfSSL()d5Q92m2UYQe`iAP zq!H%Vc+z0%;Tuq{x0CMc>$MZJXFleM=qH!Q(!)r*>%S2~0e|I0;g)(Qi#Y2=)C~(a*7~+#Jzdy#y{%O2J zYC$^Fk~C{Q@FYl}9)0yvF`-A%==VvJM z9n#;SXDO474|`Z&im^U@GG53oBd1YfC&fD{li7(U<2!d|_GCn64;myw$Z(qOLmWoM zJQReyo#P4iz_nA1gB6gWJsEl)Y~UMxB=h{9KhKQoA3by3Gvn9n6!Bl~JQ?p9I{hc3 z&%HeKjKqWS%wN(U3X$=4e&^JETqyGledjb@MP`gBkU^X_GG#Ji&rZCg%+PcCv^b3< z#s68vJ2N`o!@|?c<1a(wOnmzE2-mYV;3w7kq)dz-l1bc3YMxv?+!N5us9XvU8og0o zrM*0+!MHajgHa+WNwar=C-9z9X1Ivj`wtdaVYNZXbu(ywn#^7Ynm{OBntUG zh!OEhhq90XDbxn6KtUXB05cs}lMkKAi@j0QqX^Ao*nsl__UE(+Kq7{SACQ-P_{9K! z7y>ZS8?}!h?(%5NBR%}ewp%;1a>sB+DfJ2QUx!} z;RRhNbqF7&cflCp_HY1xIq;8ZC9l$^e@aJ2%YVeuUH(>>O(PO@eTHqwfH9L}jQ7-a z0HvznJ%~KN8~oj{E6Qz$99WHJNFJoSdIj}BcP#!)5AkH%242a=THCAc;s*I zDZEr7?{W%0_!2bjM~y=1nLWCbhXzU72BUYQ+3o?z=Yc>trvU*2^mCwGWaU`I)iN+J z+8;M*%pp8~+m$F<(XC4Y8kOKJ3S! zFHIB1k1nVipMh_r?moaiQc}Y~N?#OtiWnjj=GeCaw#tP_qAPPnV74UDv7fs>}PQa|TWwVdqs*D<(tO`CGth=sleW zZ#&6)QlY9o zkLxQD=J~N9#DUR4L)MzquX}hQ!gdvZV((9n;b~Cl;RPr?R*`r}xeeWbim;YrKRZ-G zXM{8yLW^spslQ)6 z^rRC z=B6%&G}C!Fa~+1GTn-k|pYelseqy!_&nb8rkB0F@EtW}RT*H=X6yl7KCr8Hv2#nQK z!sn1OD8kE$fdFy*KWtMM9^T_ARFZ`mDk4KI^ocJSE785vLJN8$l?N2%xfx$!bi=*; ztwjHG3A#V8so%qb9bKX|yDLR`y*I`(5Pk3oOpErzL1{ShkM!u5{&f(2>Y6`_{^qm# zp#P5cLj%R`a_0W)LOQc=K#b;f{tOR>e=>~8=a;aZgD=Z4-Ymm&Ai&if=~8%4D~26F zmGJJPiwXx#f?A&h_T-(IA2{3L3=*&m@4WC!`ZpoDEY9}%BHXgugEMMB_GZD~w&0yC zuE+3M^kn8?Qn!!wmohPUevUE2dk8>=PM!Is|A@E2M|Af0czw~Q^0M=7w7qh~KT{l% zUiXn}bM%erpuckHvW`4u;-8>gR3d%b%YRD)&_l-k-=Cf})(?+MfkBEIA z`xQFX`{8by&g#$Z`SPz{g>vi&H%RmV8ud)m+&n4#>Wc zx(=}ZFraS=nAX%OuPIP0dFc_Ik`0~JCEzQWNd{^l^MC%bFX)%wTG~#Brgx7F+@^BT zOBKR!`Pb@j;5{kMh=IH=1V21;NM*5(<+0B5JQTVM)fY{Coc=8d#Wf=DemOSX6YRT- z_G*j?Z9C#7e1(p)DZ1@`UJF@nV7Ms2fzqV0@HF6Qq&zAN()Mc@L+)P}-tHJw1^?As z=_dL$I5S|lfx-i58a~ao4W(#zfm&khHOvMuP}HfS=@z7NDw5l`grQ z?hxr2^xYqj9=s!?>5K8m+rQMRqO)1J0Bpx9@n&%ac7DohFKM6j&`V=e8sz|T(2QGS z4^A`WEV|IpHw~Z`gL$<}07g^n4S)5vAEV@HcxGcBVsiQvz~|+P zA=>NrUxF&aeL+tUjQBhJ-mBS-vLhHnWnJ)k8p>k=>rONrXulI9sMmY2llJ)n4pm1+ zZ|aer2y}<qlnaDtZ}`IiJz7DOw2nWgfE$-fbf^r&79_t2;5Cgl&093Jxy-=v4c z;jWHDLzfRfs=9o2>m^;ES6w$1Zwk6P_~IUhon1iy_hPJMs$!K0Es_>pNSM@wNyP@^ zInbJq29XGGc|v9(&l%qe;K5S(eR}L$q^`(Qd$!OHf3Yt-*WFvGVj5xx2Fa~1+W;jeDw}MlSP+Nt9Ns|>(2wlMX$LvcPjAQRqn51tNF{D31 zgK&I?pAd%7-84Q<6?lVvi9LC4o{Ylr2&3wrN>uLjuez%^nT5mul_e@X^#%$)9)usK zao(C;6kT$2C}{$6j2GZqgNxOZ&?=1D|F|f_HoB((SKIdY4RrVK4QRIvY40UD_!32J z_$X&tUQ|Z&t*}P~P0;Le0cL9e=XC=jvTh#bOmm+Ice12ft%+AJ?7VP;!qa6Rf-gcs6&SnSMdjThH2E1Dle&A`U1k*A`8f@aBR zR3SKyAB&}vAJ0Jh14;lC{MSfnuzOkX2foMqDvhcHd*8839QJB4mL<633t0$f#3?0> z3}^rwK1sNx(=5~RvCa|rNk(+Mdy*zwgEthe4x-~QNvn>=3gEey-Y{07<37FVGb4pJ zlNYr1iQtQ)-oeWy7509v0QX+_N5ZcJNs>NAsG0V%Usa%~9j;#HVud@WFrt!X!Ilrg zuvUA%z)4FphN-Uzs$l(1D9Kg=G|0jLqZ^Ev^(i%Je~b$RX2L>vTiT4PYLjMgB}K6{ zusj&}?S8ulvqK`52e_|bo0rUN1m!w7Qegi-B-(QkqXcR(N2$X$vz`TU{6|Gb&pQ1; z^soRkZ;ju`1Pc6j5$0@;uqMc&3J+xtbBWeK4ucK#;y?RPcv3MpLhBX-yq9ak8$yJN z{j4O$1}UzXd6~=`svcnY8wQ*PA5S;Fu9Cp2Ybs~Dk zX;ZGMwj88@iTu3c3@(HpcKKC6v@QiQ8`Om+%6ed5VB@f7Jv+oVxi-^;+%!5d;mX7A z;PHi#G>3}9)`dC-sqcEBq25NX_f6%bl@h-;VJ2J>=N0BP!$#?^)t@;IA3Wkw^o zVJB!PLC1*X)JnixEsG> zNXL6vaXHs|VkQX6B{vxO?XDByh1SZkGz5C~0zsf3MGeL`-w%E;-CJ_p^KH2&HO2TTfKS!Gk|XAs);jBBi2H*9L<=OtaJA$Zkwb$qRLV&bW%UVQN<L*z`0WIU|BLgy;D48@Qu6|Ozw&r#l&s}^b9G(_u&xr z@yj#Eg!+-gk9pS{xLtE?fnLEg&SHr*^z#g=Igyk!Nd+Z zZSrxCZ|t%BA+t!et3vLjJ@>^!&z+?E_MD94m>zjvzkK#PLeS9k$+IV0G7=6g&)L7` zfSZrP;BCqLIrXA#-?VSAk!hYJTt!W zZbV9tz+!t_I_V^CS8c*%XdgWM0ElS{KL$yfgQ{r_aeV?v0?>Fu2sIRjY78k9x({ig z@Gsj+{YG-b$ARR)f)-sKUedDQ%p)xo_2HiZp^sUNcC>Q!XpI+>p&qw9CY(Q->N3|i z>d|gX|89`ST;+pv>t zh%SR$r4C5-;PVVRkDlbGl?Lu|5nvc$Z<5?gMV}ulBCWgej&ko^q;q8y0Cei zpb1?hjmNcIv_s=n+eU)w)&&0p@hpUZ#1h>Gmo*ruKs)yAG0zZjIMNV>dli&|9=n0X zVU_Fd^uL`U_6eb)P;{spbZz zIvXLWFy2EdW!~fjn~YluA|f23(^61=+=kT|zF`bz#5#T05D7rF5~4lN^y6(iG>t== z=7*2`Jf4B=OO+kUhYwLk4E(1rA2T(Xyp0NG*dGwGzWcPKOdnLklX<8ncJLqW2jRhs z$M`8M-ca)52p`JuQHkzT5uXV~Va1OWlB_L;3k+EU&Sy~gq5CB&-56~PEJZ(kTjT14 z_n;(oKenetn&}e9f{b#+f?voKC69xCAJ6O!;9a}H+9qviR(li0^+MsrTwtpMiQN%# zvC}l9eCmOHgoF`r{vbg69I7$RXv09nNuT=zI4I&`_>6l8API#P*o_5_AE)2XBow144A_IH9${TS0o&b?;!8^2?d zw|;@DHpL?{!#*kkmmREANcwFm#+jCot9s2YQ@a@==wR5z0BjR;gx9&cJkpFm$NZE8NJ(GaZ!Z$y<6*DBlzKQQy8E zERyfSQGTja!Mr8!+ptSv==mNPVje4q{l)p#DQxOqOfh} zL8ba|ar3FO^Cr;&o&o%M$c+WRQaBa`#{(bkME+R!kzhyu=9^&WpfbYm<@zHSh5s=~ z6JBt@2Cs*?>H0j5SJ>+ZgC9$JjwOQ+*=4OaUs4<{<%(S&>3X^?$hBR3Lr^FUUeboa zG1(S;E5I?C5w#D{o1)h679SrT%T5ygX&0TKFTJ7lPf1D|rz{=B=Dys@Z3OvoMhxta zF}^AzeV$@Ttwqxg_LdYD>{7%8yuzLX^+Sa3q_bE6uxwFfl7_D?Rpj+|a0)y3Q1X@r z-Srgx88Cb*uS8;;*RmVn_5unN22U7%15?|N!ke<#GCrD@$ngh)K>B@`q8gk)+fkv9 z`UklV_F7|f;h<3k{}}j!q7BAKwYWOpOeEkh{z37ObH~9hqrEaH1V!zmbOhHmf99&k zZ!N%&@c7_uc&?zsgTZe>4Zn1+%oj%GI33hbeLx8`L2yYIPU77e(c2FaFSs~24$}_b3@nq@ z&|b5-juV6}5{<%mnDsM)`gK7c)@AyJ)=|j*d^!l*kFn!aAa_r8!+HVT3{%0$ueES= zFCFyZUeSl?4Cl0B>s=Sa3nTF5!GKDhWTDDYhYm4>WFqKlA?F!nF=VeWFVh$w|61PFXC|koIJJ4)b zfHq-cAR3d)NU?{|@5qDD|&ax55aiH^B6^jF;LIj)B7uopE*2#2H+ zh#bQ16&DS^PvR!O1YN93$d~vxT4o#Jzrw%6C_@?%5I8{oS^#$tH)*kH-}GWbTRf1B zU=UtIX=HD29PMFVbDVj|)CIf}`1ZM(`KrVU@YJ&gv1xx! zu_SF~DDzof_QCc?$?t~}OzShHyrCr53)ni z86PPwzgd8NpB4hv&FME6FU0R?tlMIBIv$6e9UNvl$GN?Fn&B6&)cWC9hF*QewZ_x(>kkBa?*m}*xpUgKXgx8z*b`T|W&aC|*sYGUCw zzgw7@dH7-L`^s^a>3iR^>BZ!;K3@oNmf>PNj?TJUJGiqB`8$UEo1OjCPjU8@fmeGS z|Hfdca1$M6hKx_t71*T2p5NgK>_OlY^^SU(aKDGMILxjSZ=sXjr%i|0q9B&RZ?k|@ zcEdGS9@dX|cIhMs*h`{|Bki*f)m1Mu2g;iQu+Q!Ed1I&Bna=578Dl%I}qQG^y=w*EH^%FcjF$CVDWI zLfJH)TN;MV5@;ic6}n!gL_g2U%lGihll!ExPr&cV8O)r3{yD)9co{PtIK!u%09$*4 zt_y@_f<0B~Yf|mOUzppJ>uZN=JoT|`l4zl`VrSg@Tno~@_;J<1 zjABJCz-LWs8M;oBIF0k9-hCa#-@OmSli;Dusf@neiv@hquERM9hL`CZ0*T5%e5`py zS{g9|obe?6(PUPGq$EF)VE}8$r9>;Nr$cp2af+YRVAgCZPzy<8W+XC<&~Ef95E65@KN&Gep?xA1d2gWS_ zm3-H5?ZtFurt2=n0#!ILfX<56#gjS`JV~AqIRdz(Y6g%3PP;%YR&`@FtNh6K`+R@P$I2Fp9@~Hjw5= zE<5}Zp2uM8tC6XFyuO;ov_3@mzTOZhNV}(ioH9eeMAj`RF1duymMfdMgI*oenYWhcOV z+^zpt?rU@rK`%gr;k+nhKgBP<1Ue0B`8&`>p?RMk=eh$w_K;_Mr^K1|Pm>>Cj#~F# z3?jFGJ>zLLVBYD%g^2&`5q;ds;YI?UHr$M9+r={U16`HIPG7M?lF12p> zBa5^Y{W%rx=6QyZXjXQN>GC4H33*sUZ_1qx-%d0lB)nrpk$?vVvDOj%r6v)05<%t+ z51zuK2mtKs%}|`o#F#UheWMHnw7q7ZgtneYp)sksMTw84@rduk2gLB!2ycRL{ps(= z^#`wv;6bHeBw$jAXx-|o(A&|Amu=<+nuh%p1}_%)8n8h|t4W>29Ij@pXM{x}+?6XJ zH@hXG6947_yN#)2XzVZyH?g-DF3jxr=tK47;SA2@U|xQWN;7k_Iq_vMBgy31xYwzhO{yjfi;9jbJHA z3Pk8&hE|d#8{^9vuXr*U3(3GKP>J~frQaz}^4iU(S*h`e8=Iv3cy>Gt3Kg7DbWlgX z{a*O(MD1@2#{bk5S?xeCQDMk#K#+@pJ%||K8zX^u%E}OD?qM9jy9Z2sEQi)0?+eXG z5!-O|5|utfn%?-4Gb8xh04m%okPQ~BhNXuPH8(TB)ka)nhPIvoW|uvX3f@odKrPe0 z#PTccV%M&D5;`b=vtlLyTzt)#J&1FqF!Xb52v`MXDQs)!^Pt%MDTmKUg1bGs%MZF> zyceSa{3t(Z>=?-|91kW@;Qzo49XC*6E3GYri&PKqR>@f<2&sSt@!k?TAYBK_#j7T% z%kML4@z@6&_c@^29zUvK<0wW9Dv4@NunE@!j9C||ST+)!FivcnTYr`jQ_z(jx>qRZ zQlKMH@(2#J<1)BR*dhuD?nAYX1b;7Qj>2h_a0oSsS(*Dx1M32sK&9LZd;BEl+-qpJ$s-g3YQ!;BE92I$}#Xzp3Q zKl2I#bkoZPFNb-~TnYgXFbN*^l#+;>KvH-_B9k4zL1bPF8d2pE%g5f~|z3>K`fluI=qcKSJd?(1>{1Mts*OFNvM!zWbfnt9s<0FN*^Oxc+`j&)q>Aq5hV5`U2CTt(zU3Kv)4S9`QC9%?0 zR)?R@jjH#Ns;||4L+=E>0{Zyl6G!=*p*Ci}Av$r?(YXwt7gb-@($1cpOWRE8haa9L z=PR|8TsZG(#_GhrzSh5~{=7r>5_9KCvF{k4DaB_;4GtciI6A?nOL6P~{9k`EzEoRl zoUiSxf2rJ=KNxy_D{WI?i8`NhD{W`>`DJW(-si44(NBts(Nmq9dvoVH-yofcX_gw7 zSb^y0TW$qStO@He9;pl8TQszn=;u4!kh#?oIuZEPas-z(rZ|au7H5DQDaqL)2~nMmPUJ4T0v7X=@Xs=?WjG7ZOqstsh$Dz`fN#Q z@NU5Xzca4~xV}}B`d&oY3Xvfdy3Hzp;2@v7;l0B;lzH}yp=zo1j$|ZSG~Zpk!Yi4^ z2NgbUW?~3fcJtmBitzVM@W_q^p+gxM{$YmlHVq`E+2?{|^8iIREp)PEadv6>z~bJr zF@~>+DWiC) zGH?k$<1~YE+OD0tME!Rp1vU;D-yq}X(m~TKhEVAG>V38{I(V_-ER0r8_cKO{?Zso7 z>Et*5?Y1Fri6ADLHcBoftvJXWaEfAVzCj9XrirCl}d&X#Igf3j}8t zpluRTl#ln~1PQeqaYmspk8N%DFA|4qp??!FUCif6(LJE+Nlb`HX!yt?zlaNij}vHS z_|KlG@Fw;ySQk)9D-KJO#)(LXNS3UJyAysrhp>%+D?km_Hi3{dk(4f(U})%g1XMSFpk%VJNV6$wV}xxXBxv{# zw;)fb5ecics!;a#;4B?@O$>AdVR$SIi#~No*hiu{iDG14vYN5(MV$Sv%XJd-i_gFYUU&>D`w4O*NI)Om@8PBU1kh$x{N}=nC3VY%kJ&) zK|PSh%kQng6SxfGcqPh@(_|FAl~3+Ch?A8loP1&*N>ZgY+r}1m1Ix9w+Bi%qbl4`b zh)c)<;dMVRR5)B|5DrZs<6BtpiZbp##wW^NrZwBs=`u=DX z=F;Tz*;LU0PLCO5=PTJqk-V9n>f`3Rg^1ukCCi{o%yp1R$XdIcUKXeYxby-vNNK_0 zg?SXne8FLG&ty5R48;bu8hBMRP_-aZk|~OVEda7X#;RtXjj^W_k8^{0)kdtUbG0OR zu-@Al>vA1-^}7+%XG`(b;`||8pr~AcR^5iX))(hVd~oud-G{EoN)ejF??X zj-3yv^C`4iWvcq{IcWemv~ho049z&r*3q0<1@IU@m;&f#@cWty64}5y!DbBjxF6=? z{v^EZFj7_us6?%_%%c2mnkLvHmd=99cIhfch8=VD9Oe;6m8BMuwdm%FEi`48EuGcK zhPIH6`q{`F8T#;JtM*9*YYY6QcR4NanIg;KOL;Eb0_-1EtEGO*)TkE{%P(`E zurhzB3y~--Yxa%K3>)Md<(gkjKOtA=X2-1aYRb4H`3@m`pxB3u{i7HgA2P(*M+)iO zL#x^5h1A9VQLhaB{HY76gUc@DBXyy5u2uP^&Wu`VyY9Ps>{_YQi~?MH^#rtuN3bAM`n6awxu)hce&>A)zX}sg+r~u%C1z> z@lXj$~gBZ&`U9&GoSscF06)gR=?_* zd~VjNfigAu2>I0--bMMg_nD68w1DfF9-8P{1uLl?tIikKN{&rhuU=)jo=NH(2Uhrv zn9#g~;TewM<?=1k z5EkGk13#n8VB`Baxq6MenxwdE{sU`t60v6qDhX4Vj#wWCqa)Q#433>M@5q%&VPhP z@c6M~iZS>3MuFA~x4a11XuFVYl3L1KUokRg|F9i2(hswrQ+Av*VJB3915BlC$396U zv?L^ZB(kL(#DtKO5h3T}RQS_zW8L;ScBcyiUP2lnY)sat;QO;^>&XCNZ&+Pd2GSdF z{mTgfBC`vVn+9LPTHl3pxt5()%jP^E#(BN6L?!b_ z|G5ho{>AK|O<8AGixJkHdwK54lic%~2jZL?EvKf#%aCIZ>tZ@Dqb96m%ivl+7S}<` zZPD=K>b4-*&T_^AR%Tb0bCkJ-cui;kTfwoF3S}2f*-+I5B+$LJ9(FPRJSv>0-+9!r zS&E#G{tL-2=Mp7qhM`ug{<+ns={2x`J2PrIRe!d3X6D6~+Bf|E-9NV9)jRfNjb^t8 z%c(8p*(eJLX;6{& zIwptd|8rN9=58hn$a4#$tJ&K0HPSq%eP9Nh1g=%u=hhfoDRd967LxfJBIZBS23(9I zC-BjlUiT_v`v9)#IVB#D_|#eoTg(Fb9P&nD+@97R)DqBG zxD7kI6t;>5##m6jxU?fCD}YZFuiyoVbG1l;e*_T?w#S%I! z?T@#}WdM&GUrXo}?Pn=ULSjDJGYc6PCbD z8L#1=>wswmPCzxrQA?Iw7Y2O+trSsKx}F}#Tm8!1%AoLtG%&ZiRZlNRxM-19*@J8j z;5;ou=>eYPSX(Fyr9emOAU;5+E5#B|uJyQ{OKv?Za)=Ej2X@qPEFswFVxe9v1N3v{ zt&e)=5N=hnoQBn|3g=c^^^>a0z{MN?%_p$8!Trn@#)qiuSk^YG&QZO$aNcr-!x)-( zVenm*=W5sSG!cdiXd|e`WD}*9J3N|0Wx2Q-jq`nx$+UR!1#|dM4^|uIP0|%MFmItl z`3tRcg(9pkyIkMqwn}S%aLd%xR*`jMTOUTUta0+=VE`QJMVn_arVn`-Z}goJWH`( z$Xg4aru*+r*Y@KlYBqS}j#~Wudvm!ZWlY51G-oWe#eE6xWvploAoiv5e7P1Y*BVH> z`c>k_Tw^DTp9N*?EM0O<@iAhvcj6DK>amxkMe;nQdN;jlvW%INU&TUO`c-RVGgkz6 zm%Rjho;?lntxjUkvx$4q>QuM&YMFC<^IF(= z5u+&3?KH;wTQl}7W;&-8{;dr-|G%}Vee>74c9va{?!I1V6>nUHR#f4l%<%saU-8Bl z&2Rb)VLwaf^u$F@;JY^K4hKu-+j;n=Uq(?@)74G_?INp+yY+2rQ}L=wxptKWopYZ? zuAe!~#sNbt2ypgAC%(PH1VPGRMAvSgB~kn96&eo;qSblwzD#50xKr8JI<(&z5f#3Q z+iQHe1#^CF0M4J$&@s6-m2ZVwy%?Wi%_=AAG)GLpku?SkFiourtpqf)Et%BYc;hCq zw^Qa4GmYF;hPx(Lxx%eh9p+j++`$LP7-QE{w^-aP7FC(q0|TFt!$m;tZUW z9BFE>#&9NKofD9_j^Z~ z4T#t2UzgF`QK)U*qJRVZO`=9JVo%62{l{I>Sa|`bDlo4lxB)q*jYY_FxRT_&0a7x@ zWD%8!?qVKb%@`NRBQz z_T)#Zte~i>&uOAK_u)dYDK6ae+_V;w^a5xL4qmoMC_{x4123TJ&0JKki*O_7*UUN( zJWP8t1@JTuSgwm@Hd7<>m%Ys--D=5lU%{S*^u25A&qT7dpBi4zcgL%x(S_IZ+H3Q_ zXE@(i!Ay<|Z)^icfqS-1IMOM%=H19o8I&atdf8` zMDDS_7r7@E`K|T-YL&EDUNwLHNaNc6XFqC8FRzwn;Cjz%?|buae6#%Duf6483R}z=N%oa4lJ`*qmKC%`FWt{(4P}}BY#i3E% zl#$dbDH=Q0Afpjl7+?aEg>*Olc)K!*tsU_4t?|!--NVVSGOz!M_chH5 zW<#Fbw{*JY+gJFCCTl!8gg|ku4r+s;j=1{K;_6q4p5#skpqByM=TGEmS4f!R0CSJp zc=^`NtKJ>FHaVAxrFO^sT>uL`~Sog$cS(R-pQ&?-B*C#WRB_ck5Ba$=A6y3%+ zR1IAY3Usy);2CdfFj_5N!o?P9>8emJvRQDW#C5k@PQbcuw*8i5@bXyK z&u0WhEl7Qx5M^`dIh~!Enf%Pe#Q%G8V&aRB&&)h`@662qb<4!WslJJc&&|GG-=Qz3 zZ|ARr%PF-Pc-a5xT))jAINt zxqf!(4Nolg`uhNe+=0Yz{V{a?j18Jy2`^5qOL{^4jYEOH6v*qWTrwSX+^MRFcc zb20lyy&izIR6QKVQsHW*2o}4=H_~T9I zBQ(e0Pj=Wd5dX)!r>6d-uXY~dB>aHqu%^i-umGOCXrest=70mONGjgpS~@?8OrhB< z;J&5j@R(6Bz?0V|xNtAhS@zp6JhII@B|r4-yQMkwMM^gV+4Zf%dlIEwx*2qwtAkDm zSk?^8!Ae_l(}u2Z9d?S&O*{J5$NW6Pqd)=Sd5xLlfrodih2fqNita9h_>Ool?h<2AHcnvOEweMSjPQPjA~xaOTb1s zJ~>5qGmY2&rUtuJ!~R9r)MQ(jn>CJXaj^Q;Hf6p!2u~QY;NA^8!b`$@2OMa0z{@;f z7~QV$FEE%X82qD*0osIHH-MjO(ybOJiNsFOt2u^zrFwJ_RN_T@KVQD3^%n>)js`-U zhR2RliVeWdzt#{ATSjCxGgRE6h;#egIZSOa<#kQo!g0h+TJwm8LYU3%aEfdbMkRntvV^ zSf7c(t91&g1S)Es$CuwLoftO=|)8Og#o)DdQuR_)I-fmrmljruUoL zv>mhtf(;HHow(%|K0}Jnm*Rt_?!5CBz@2w;nqz$a6wR(d(`l8d1yT#77Dz3yMi$5< z58dWtnY37Y>$pZDq}8so1$5FLu;M7NdF~FJKrQAdDQ*G_pqG_V!*n;ksp~6kp1M~b z)*_igvsgeGMFRGR8+3jIfzFs?rXtS6`^G3XOli<07U0LRd}Nq1`q&rB{5n;z!=z-Q zq~MInOoJw|fc9gfiH7q`h;PmP7%9AP)lBqaL_Lg&5~osV77M6Ek&ruBn(O*N{|xr( zJmpK6{^)a2N|;i#H7pPk4y^Y0j;w>bF%+C8>V%gBRllQ%QDi>GLm>`xH+@?S^R|zjcG*T3A#)H1+$Og!7!yEzrx$jq zMk$xxx|+?G+`KpsNFyik;1Pu@Hiu)HZ^l4HexX3^$L&0CZ>_#)w~@w?fFfHJv@td2e+&a>6N9_mc9=!2cJF+-T~|9ipQCvw=hbnxklxf*aF=O^Sy*<1Hl12`(M~$q zQiQqGZ)&|(K{$Orb;$E6v`Na$oyay_qt;@ZMBr46)B>pmQVXOONG*_BAhkehfz$%2 z1yT#77Dz3SS|GJRYJt=OsRdFCq!vgmkXj(MKx%>10;^|%@EldKiwwMF5Z1jzHF184 zR!=)eC27{Cvw%E@L?PbyMXrZDxBlVR{>$!xH~x?A&X4??58wX5 zYwm6N?e|$W6NK^Ykgt*6!Q|rPur=tu(1aGqXmj1Zrv>MU;QC!g`a`(BccQz+%~@oo(7YDF=e6p#@I1{^ zXj%&>obv`4RzZQ!2vQ0Od10;vU33#1lE zEs$CuwLoft)B>+x3z#FL@E6wjpTg_J0wG1{_O#DmC*7T@nOY#VKx%>10;vU33#1lE zEs$CuwLoft)B>pmQVXOONG*_BAhkehfz$%21yT#77Dz3SS|GJRYJt=OsRdFCq!vgm zkXj(MKx%>10;vU33#1lEEs$CuwLoft)B>pmQVXOONG*_BAhkehfz$%21yT#77Dz3S zS|GJRYJt=OsRdFCq!vgmkXj(MKx%>10!?KBbAV8KicnMa=~Bu_W-tLqQb;Y3THt&v zpjTRGkx!eQqneeM@YTHPw53GzGE%~dEg)B1Vm%7XmkO7325rJLSYHdQ{6m)OQDS{< zkhYduU?VM%EPxv+LfUp}feW!fcDVCrm)`KiBEPl^sX8sYIV~_dJ25d!Q&TfDj3*{I zHZx;m6B7px%mOy2-*55>UpYV+M-^cP7|< zEuDE%XdVl2f8v*+%uXQ?(oR8pIE}J~S&j=;;F}HqGk>uzh1FnJ^8S z$^zRGZ4x|PRZf|hT?DGcG?H2%wLoft|G%C43ytf_4>ta7U$?Y6*Fv0?Ez8T@@2<;#NdwD;op1RQ#DDO(__^B61 zGdiL=NT4&LZ{SfT4{D=sGzFZC|Neb>y+4}K5!FEgt|=tA>1PjWqi$pcs^yUljlhNo z6!nk>sz+C#8Qsu`>|g=+?!oocj;_Gm?a_^m$PN&wqzaOLQX}{82N;c7RV{W07c8tj zzi6={X@mvz@8DKK8((*X<0Q0!K%)m?P#_-*0nYbWoaX&O7LBY`T4g_^aS-6UQR8ry z_s7V0qxO4@ONsABjmw$Nac*ya@^ii$HD2d@x=P=TdN^KDk9V|uH)<@;|HF^3xbKf; z*XO{;^{{8DX<&bq#~*Ron`W@$QSJdV0RsQKObsGsBg@dYgg36_z@L?Grk+iXmN z9{VsWy@k_zIBw&{APvW&|KPjT8UdwbhHR&f(70^=i~-;Mgxz8^I{ z5fdo_2q1s}0tg^*@&zWmd?GJ2{2Szxe=5Ir1l5x7%B;ArJ%ElUULfjsCQf`11n_7A z@1*WL&mT?gME5SdoDq43=lY30i;uSXN_@}}wS@R+1L=KY1!`$*?-lRrC-xLR#>UgP z+k;%-_vep+cVdTx6T5RZ{lm57LCv#lT_j1np$vk)L8UX|lKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I6>b?d|{VZEHG;spBD&^bcu2Z2Xh9AF})tHy^gY{vj(IHvUQ5cbBil&0pUw zy4^#LMpMAeH=`LCQ4JNa*Y)k~|9Wv~hsS7m=4}xl+&6~}J^c^XQvTVn_U>J6iRDYf z+B;WL%g{Yf+W0UvbpB!U9##GC+_9O4$A9V*Z4Tx7u=UQotA4Q@8lT1QL+5{njc@&z zhPB^V3yqU{by)l3)OTiVRPoucv9{+T%J6m_Ux<7(Z2Y-fDjKW%!`e^f@k8eyHtwkE zJLh%(0gKKxAh-7{tX)#OFwM<-Rri*Sz2V zw;TOmHkW(&KnLX1={T|U(Lte}%ed4EebWxXFi z-mzvY#=j8oPXG?z4 zk=St$_Vu7@WFn@DArG!;(yN0tm(u8UXb+XY*@T{0 z$xW1+4^s4YR29CkZXz#KQ7@6*RC#+QMX8+l)KE1|+-?3<92G%ViKPZ^tA(4z-u+wE zp5&xllCWE`+~_MoFUBVLnb4Q$E0J5bciXi_w5pO=Xqa{wqQAMPUB_E$N)0|n@!nM# z%4%ITT}($iI)bznx(hbVAUe$z-^$Udb!YMyZGOw@wOpriekf+s_S1L`LsRHh7Ldpt zvHm@oNqH%6x9zf!=CLjsiw{LNm%F(}`{(ic2H4gdW0v-B>kQ)eK~K5vbWq+otwAoP z{S0zR`_=LBV($NUDcAV({&TMFXIjtdRhz2}ayrNNyoIIhx6?QuvP)Xm)(>-gope8E z@7aK(NT2mZR)fyu#ijYYG*_b@-50S;(^u~ta#{NaZPaniX4L$YyzDi1Jy~*AR-kKI zy!Uw_SFL+~R1>}B zzUsC8ZnSwBQ?p&sni`3c4wS&kdX4`^%JqHI@>qquY<_xa%~sL2`<>@nt~j2@(&hCl zc_tq$x9c=bd+?1mk0Bqtl(XAu|Bbl$gt<_X+SJvF2Y3o}T zX`am$QLiRT<&~Td9w%Z8GpKmn++Da4FQr&rbXRSbYxUXK)8}2D(bX>I!`(d7IQ?{| zskiZFx=JQAl1;04<@VZEPsewnY-w@pTK$WSs0Y{Tg@>BC8*w38`2+pY;)NS`u4`BE zOL_yNxm>F*Sy^8sUQ1;?JjUcMuD`jI;$DiS?caYOG421d4{ zEn9!W#dp*CE~a^`NmDT|-8vsW`D4(hn$6N)oOYNm+o17lL9-#LN%eXy=j%7Lxisnh zOB?Nzh1-&NJHLO$!iO|u#wNA7Ed^z>+Z|0V_mlV9eBb+7>TwJYVG!@m+-|V{RHN;~ zQnZF9*rTNzf1dZ<+_GHbyJxjqB8!%5ifvrEq-Bdch)fSG+J>yLJ8q}i{q11rqvw7{ ze0OZKd)7-yFCumo*lE*Zsr%J~RHOGUX;V$LTxhGWswKay8!zSj!faX2YI|4w4=U-N zeQ~ywnJcBP)`(1Xo!5>p>*#Vtnp);&DnHjqHCL5kR%WGa%Bpmg-oj0Jxm(RG+P$FH z)+W0ZWW~?#!1+n|wJ0-PGfyedEq_ZR1v@KX0AZb0}+i zq?^-v-N6|<&>pp&!5~(yW~VDzy=x`?OSG${bi4B}FZ$>GPs<-kcUn)JoG;gYZ|c2z zTHgBYmAiggJO1&dpH{M1t(;!?-fR_Q_IvfW7Nz%mb=p>1(Wz|xo@jBgs^#0&ne4f; zrq7yt+A7!W!P322v^J+f-WI=Jrh`_}e0tDM@IzZ>t-3DdR2j~!lq>pD^KIQyExHxO zm`~43{rk8xbjVGcyH>hse4l^Q+4-V z*P`sbSHG!C_j=dwy(`^||JYkw`C0Yz%D8`4SFiqJaqai7SF5Y_b@_oUnA7}1VNZa` zHh!f%Bo+CIyVJ{_iK3qq_f*HT7XA&Zj&`b}UZ;AHJ}JjH#8ndYg49;6N>7NDS_9V7 zgvhL3rkC}4PzL=78TBaLzm>~D=X`C(YO1JnmUcaVOGkhCtOOSopj-B$oz$KV5e>># z*6H;b@RIm>#f$l((+RzFgY`a)Ihs=6r*i*7Ly2irdN9$Tr*LPs1%>xotCw-G z9!;QY^q)FJI^HrQUk)0Wa? zm-7_mWof!vF@3pcM7}AZk;`eNL7sg)ukihPX(t=H48fAY#f!3#asw0qIz@*_X)+0!o_s~5AKm%J@K7~I#{KJ1jI zqRi)Ru)MhX0#$k?w)Rkqu z`$zEye)`c<}m>cW=WzpLZ@mh*VLrP^w6%gTQThD@Q%fD{=0=z-q1;O zV`V;3X?HeUe^PC`ghigKn-rAY*jXwy$ka2n+K^Oj5)187XmiVQYhzA!xR*<+ndl{+ zy}745hX^2m00IagfB*srAbXqu2O12A76{l3PBfP5PTR&t36q!?H84I@?1fhD2laL2x zoPv;UHIUT~VY-j13J?hxCC2HlESph=F50L)gN9CKxnow(HFChl%A(TrB$??UBmXd+ zwX#+%0cM8fct!K=^Yh+)Pwz=jDn0$x-O|1L?0xpx=bU|h?tN$9b1!x7S+IXZ;{9~= zBOm(M$KLtZ$$vv1r0_j@ z5iot}%>4;zK|~#iC^0T2LINNCK_XHiz9}C{C}lo5xz2<*zD$dR6pf1BKVaUr24zYg zZ|gd2_rmXtHjvxB8FoVX+eVkGMM&{}^*Q?OZH?X zgyu56PNZ(t`&X=Kq*oNFD^Q!Rmady9XV$lXuFqbPTbr(yUQt0VkXs@7RVQqH^Rz!9=Qds7YoEB ztE;N3NlO_A9g(g?Iu2Dh_0l!X^J=216xuDqR&%RFb^?(!szg)u4yh@zMtLmd*Qo34 zG9ooxvfQPqw>hWmhE)PTE?uE6FAFr*oqdYbcC%~ zy6dA%>iVc=Udyd+fyKoqp0Ec$3&n7Cn9p49#l`QYE0zEL3>=?3d6LPKCy&p0_L=GX z?>|0wW;(0K>(BDGPq-DAHP)Gs7#K5$4_lk8oL`SPcEd4o?7-J?EQ3FvwK3*G2Fs4zxjlwg?{en0b!g&3e49TAWe0^@B~i2AUb` zUIx!%&T+*T7w4w^+{1B;i$9rKT>Sn7hn6$U!k+0fmo818nLaZ+J9m8U_$2`2%a^Cm zT)u4a?ChmWtcStoRgIU^zfI#b(Hfpkd4{ME(%VDLkH!X9Ds`8NsLs2)PpS_xiL=mk@*fV_TN&iI;iZq>x-&P30c z^YTS3aI`rAo5dUuDJ~|Q78syu(BPB~8{Ft5T|`~1h}hE4JpI(u7oL6&N}q#X;AgTe z?G#*Z$Xr{rsdY>tNg^p}(mdX%$Uf5-I-4|k8FJD!sO%0Zpv8HNMIx{(Q@Td-x+TYn zI@vI6@5GLaB52b|i(}LWcK33|xseWu!9edBYljqYz%~bNC#(Sm`U{{UYD-T67f#>= zIB5~#q2e6a0*VTlDwG&&qv(swn5Ul&!KF}<>;DO}8^K=44qem-$6tg*4;>UDrlEp7 zc$DsZWGK9F@Qx`GL!~g=j*&Ay21O3W0wGN>#rT7F0G)~LfN(PeN#Zr-C zC6eeAje;cVkKe^)L|O@>hbaagF*#%`5T}K4Lkb-{Vfn)L)2Fn(B4>mf1_OPc=+H27 zaYhjJ^2h-;tJMXxN{7A$)X#Z+rkT;e4mZ?#y+oMn?t-ap-;C|RXadE3n%;d++sb`b zXuk2$)TWKuhua=x+{5X)8K_-TJlGZ>gn?#Yx5J*c-0gnWt^$#(9Za$W6gV1(ip^b# zA~1ABDj28NP!t_P6e;w~E*c5JCv}QB5m}XiV59Pk6Jl~fAOwm3hz$+tz(gYuL8W35 zF`;p>$K9>g5Qrm+MsbyfUIm6k-b?_#2x$gJCS*y&+m5HOnLXBCfG>aS8R((PhL0&V#_WU#z$m7Vehi7Rt|Z#uH3D`tIwsIPN5zPW zcP9`yir)xAj45LP5#3FnGW!zqMwnszP3 zZ5T6@?2Y&GIPgV8G_%dMgK-87AGF7rsI3{)rdYma&6d$OT3Np6uarS#s~(uy2!<|l z$Mv`@k8UhM$Tr4-4ZE(*{6UU$hn>x`XVZMP2vK=hK-xgj4I7U=-?cqR(+4W-GF{8h zh_p6nt*;tovc%X{(04J9D>FpV84D9RL^-)~7^nh5i;IUaySD?&2$ZIa+;f5TArj+c zv96@Zgv{JyY115;C=Pm_$3EKA9lflA)ixk9hiCNJpAn0uYQn2&z}9(Ls$3OzvrL7y4N1h8@Rf+vi5&< z_@$R#c%jw`KA!m;ZWgk7I8BybDg4SStCL?zUvu$EmDuZU{=~y?x%m^1^6})&pE$rr zhBkcA%rpEsHpFHr3^$*FwiZ1ixPkqa&VOnAn#Y`flQHvw%RWuk z?+?ynwfQw$u638Iy$G!$gT=*Hi*|lspCfx5EoT3v^E6nG;U)$6AaCVz0^j2B z9S*W1PSI)B7eE4?K%2x8kceo>x{#x8&BLQJ%Q(;(XR&VrZ1Z z%6#MxtDziRYK_+&Ssc}1&yT&_iy>0#-sm$1i!%SPq2p-W=n13Wt=1cJcFjP!T*HvY zQjs`fuynrC=rhAM|A&q+4rFSS3K~}|$C)+@q$7cOaw`a_$i@a zsD>Z}#|P+~@Feh(Xxbab7>05x2*b%p?^kUh$yn;W;UOLXap6=Ho<|TGvEHF{%7sR$ zn}enYW^?M+C?y3Fb_b*KAQg0X5R1MOg~>k9?>j>Ao*%E@Z4&?|)G3h^5d-NAWC zn(q)8@95thLKn2BfzekB`XKnp7>^6tQDpkQ`Tve+*Hrh*@@YNzS^ePH;79s~gx(Vm zQLhfEo#3*-KHWgOr&0IUb}6S6RkM zsN$s5rVxCqd$6JpVTQL_wCWp8HJx=4ucvuTLxn2`nP$v1_ywjP z6RQ1u(uwN@=$8;LzS*sQ5K}?iegM;#4hk1>?W8VU&_Bz~O7stjM^i#=0@FGT%~R&N zh#MRGnMW~Tf|Tz%73QnH3D(g@$McTO!k=5CL)X(E&@_d@EnE`;vY&eB)D{; zl%!A=!{PGsBxeu@w;_~_AJP<@2WM15WyYiSkD27VajzQDA73WKb=tvE5sNkf?})NwirWC1jr&DvKGR7u}nY5LrIKt&CAyUM=$D;!cQVm?}HNYB^ts$osk|-0e zTx&S0oJPSW49c8CX)zvwFm%QkMPrvbwc=+)yIF~Cb(;?JiGcu?H364Bt_sS?WpgzG zn3@!F*ZshTQ8`)1o!5}%b9gD=_a@t?D)OG6+*HYArklR6d<)C<2P@4Yk!hihq$1CQ66${+?* zIh+TUT3~T;>2ne+H9hy_gqOzL)6e0bOz|E}_Js2O%S)FoU*=tz)wJhl{gc_S*O}vu zwSY4BLFR^aV>8ZkD_bBnq3i0lrCj%B06B;L^(tOv%shI{u8Yj>OGlS_IuE7E& z-}S3>L~K}$oDg14ZYCD8ICZp&gf#s9jB{wh0x+VgCx+($@KL>Tj2Q>8J+Dp+@E_ng z2}?O+*m3}Wsio2L4P64}rYV(^bHKUFs(3l!EWoS%OQ22v68xCT^Ua_rf#PMqg*_^1 zLmT}N+weo|<$rmP!qYJ^Eg+s9J&YMVw$ycUhNXKK z{I3OkGLP4yqqS*_d8@Km%5moRQf@4aS(;sEma`kiN60*pWjO3XV|NZXJc2qq@c~z;wbaV}rwf9KhhH zebv(Z#MR}rJcNxnVOwQN; z;Y#gZKZOYCQ)Dq7+{lpar!#~LX5v{rg$n9@bJ|9;PgHW&Oe-7~yqrnrnL7k8F22%b z_eDMaIKKyy-%0yI|J4_K^%fWZ>aTpb5oUjb^*HcbA7^Ln+aNi_?|!s1g$qso(og3kw&YnV$Cf{{H{Du<(uVR%p*(KP=Zz)v7clkgh&@ z!Ur-vmgANOeitpjhc^2z+DsUJw_~`es_gCb+BhG7Ft0jaOJ{jmS+8SVG0(_b8JwTf z`8Q#2yC2hG_I>W|!8>VzO&l<6UnS$ypgopCPdHL;cOUk+R=N?tR&Ld_o#dNNwlMvQ zeWtKZ3f_y!>>sBKc(-h2za`Hzwc>uRSzP4(ny-vj_G-RruAqyHJV)`~P2PdYZ@A{; zuHl9Lv;}f$2XSugpXL(tcG~9-1$bI`W){g?Y*^Jg2#;f9m>Qb@lFOi zE5xm?q8LUF8XMdO_!bY7@kNtkqzw}EyGIbvm76dpA5EJ0?Q)XM7IbQ|f6ZM^4R-#DmLU+|t$fQ+3z8{tY4 zguDV(#Vr%c$9qmK?fIL4749Y*Je8R#4L0I+iE}l@-k%XL!8RB|@~Ub3dC<}L9qptL z_|TVelGuY;Xb-VMhdBYisc!Po>%e>O@ z03FdqEUv4;sK63$jdz*JUc|d3rC>yE#^OlxV#oq7L@7hD@u?uWt@?N$D0Z~K($dE9 z8JmDYBTomGt5qez=7qQ_48{sJ*x(!uvwOfn$hB6aRXWI=r*NQKBOaGcX3%JWlMc+H z(rINppIAxdPN{H?6v5{|F3Sd0tT{qQW;|hZBaj6d&_E|9{SRmwz>D@6WS3pZeGd~S zc2TfDb5XF<8$WWeMc@Y2s35(FFy#!ZMXV(=slvB0AxORWN7mjQFt^gDj?Np84$+VSDen^oF~kq?i65>VWSEdqB}6-iFQ0j5*zW zWpWYFF=*eZmqdj&(^j_Nl)ytl(L5!CvxHnM1QK}^s9p2w_Pph7?%7QcD;46NiU2w~ zQHBPE-(h>OWs1S;!|0LJ{S7KHlj9j1h?&DpQHt9wAdp6t!#x-Kiw#@pAD8*b-7o(!>$kM6jKGQp3Q8LW|)6jk@3moxt^6 zxF|;0BFG3QgNal>a?R*)>I!H)1eh{5=Z#WkO{Hk5dtz^M%A(luZ?lDU|L zxfj;RzH2^NrNyZ6YLVGL- zG)4sXH8lZo1q_D6l60Al-hs)#)jjkFSS0O$O>NW@qAEut~9{30uL@nky!oQ(YG0GyrMN?gYY2 z8O*FX%*~19GY*5fGuvl0j9n4qR08{uVWtmXep{4M@{Hs`r%yPqk`TQ2;ysh>=7{41jtf2Zq}@BDjheV9)quRh_^ z{(Jp*&-n0W;OG9<`Z;U!{`6uie40sZHVtT&^G8;s+4MF|=fDFd;BnSZSnEH`=CaY@ zY+15-H{xeyRvixHs*|jgKs2e6eS=y5VCTTdN~(8eyuD(ssct+s)k~OtmSJJK;?t~^ z<@qK%=RE%S*QRP^nxz+CwLZ{h<#)PVYHlrg^=K)e$rW>fM{&(ffz359FmD@@3fKVn zV>Yz$XQ55`1L{&4pB7Arx7Wz3B^jT?5fk8vl_F)r z=5)dZZ!`FrAbcymRCpKr07ximkMVLke!nn95|R>u=Aey#T2O;1T#~`guh0Dfx||J^b0A5 z5ibISp=+MK9|T3_^>m-&P*dP?Yf82$A@-xzy)UcNE=?(12O*)+`D6Z>41<-IsFl?W zZUeC6KviPfd10>ydowj~aY%gn=Z5BYwTlHh$*VHs30D5bIEwiF*sG}T0;gF|=tDj0 z%tge{6qM_~o*AyJ3l9Yvq1W~Vq;K-_Fx!oo%l(3>XD@?&wYs_I{#+tH-TT!C+HN69 z@kvsoB=w&Bz$B-SXI9k)%VfExsCHF9*^PbZ80$Xj>gQEA8^?@&5;Q>>mL_nIUKt7Z zajuBYYZ02a%y!}>2hkfS>}ONs5`$qDMtDnYKN=f6kjn$QDee#;Gih=@jgJwI@<_!$ ziX{htHDdWNP%gA*I1D{iA$YL!1wG7i{eR8qx=zf z2gUt7Rz^{?8B9S4M$uGpcd?j!67&o<`V}9EN2wTh#BqgqcfpDkw`SgzrUUPAH*041Cu^r@Jwuwd2u;^^kK$^ z;wUyTC~48?;C+b*>}Fy=PC%OqC=Dhn4KvqpfR9M@QluLVLj;Dj z7zBy#9LHBs*-3sVD4`>oyW0g$XF(%9=0FgY`%ew|B6$C;$$2*&jieQ(XpE9R2(y*> zK+u54p5g&i;n@)9R0Y;OYWmGa%|V&`tE`&gW295YZz-gSD|4zOErCwTPc=3M)i`QN zj9{}`;6C8`fisnlsYGNrs|!jBeS&OX^Sq70xcHR8%(=Eqk~@#{TNW{?7qyWpdoo=b zWwB-iU*P&x!{x_%xrBV6x1bzllW~-du%X81CQG%2e3^Kw3aTSBRpM%A=}eRlawQks2-=5E}X2z&#Hdi1!0c3oaPR#Pxt<=|`XnKylm-XM-*sR(w=!(yh)36VL z{g~``$nMQ-R$r^+{`U{p_h+tuesYy66Ug(icBu6SGHrQBRc+kbbGi1E8&;N}IeWhD z=65{&cQ?P|(VAoRb0xm5`6Rxp=?{Ek6W>8K^rPT$`18$LkN0C@4`y~BCWk%ku=x&B zvnsc=+#*c_=H?b@0l;{AdXdhY$@(pusQ}BLndUv*yr9yjB6Y8VBx4;#H(-iKul78Bk;*)kr zZ+Q5Qrg#R5_8lgXu0kP6CtQmQt5MQWw!dM_rbH<7Thu(^39E$yG=4Cc9^HI-jJ-`d zq|rExKK#=17_23ZAMlz6P>hGp6F15)t;t1A5Ri|S9bzyQ-f&JI8fLoU`Bvs{p$m2Kp2u){R`S0?RHjO*TG9sE_;9=7QdF-Ap`fOl^4LM#no`kFDDMCd>-Xtw{k z5=r6Hp5!e3F|ZwiBX;;u&)WV$UG1RWRA>0(KW_>#_ zGkE|9wE5%bU;y^qRAwy@Dx1Dyh!*6soXSl7Pe~T!slp#juL#+>g(ng|mO_2*Qn_U~ zr}Q$BYmds7f9+bfSW4cDIX6AGz{N_ZU!DH`#KPRw{>FQzeDN0-Urj3E@1`6EuiQ_4 z-1ftA!;8#5!tB0G4tsj}!jzi;Vm|Eg@+r4=tlZS%`MsFg{h6Cupe>yFD_!6DPAiJ{ z%BcNb?@Pm1Us_4OeHX1Q2fr(u<(aoqx;(zV1qvbkDq`?6x4@H$E`;LN`jR=f6&4VD zr=baN|HIv$#)?0Cf^;BTkyoy>fdx`54^e^W8H|HxAd~hBeEBkS7u+CS?D)K!&_bwP zAV;gSfHn(&coQ0WzrY#vsYvpZ*egOTS;U+;u*zSHHp%up8(-403qz}`mkM!DuZz^) z``bwP4!Y?JyN}Sjn|pg}JnZrcoILBg4#3P3jo+!cY6~cOD}`x06)qxa;UI|=7ldGN zB|RkwRF*C=F@@<$N%Htc78q>j3H=rq{kwc=rou@+FAEBZBJnoJ@Qx?*fji*h$g+uc zN@S=|6BuBfKqT<82wVliJ>yT{<+Ajnv!5Fzx$;~jQ@7f5iuZ{EgpLfpUQR`|ZZ(zg zyA&p&uDkdcE5!qNA7#7}m~L>pks6^kcO3}LOu16h?HXS*Iq2r=!6_jwO$r43D3Tlm zurW0Uq}hXssT~0eCcr23aG`)16zmM00uLo=&9rkVaR9efCcT8f`T*21ScJWp95BbG z@5|I&E(3`VtQpUklw2=kOyie8kUb4uUG_;K?9Jp@fN_~}pvuUZyP-sCt-JN^1PEed zNTA0!fq8(;1Xgvj<#OFZ`WGAeMp>1rYZk7VYhBS?4~F*fhBcVZiHA=gB^<~6Q5+>tfP*Inz}eBjW(BC~-e{SP&upV| z3cQ)Z&|q0yrhO0h*ehJw1!q&#rt|67j%7Bf$`YFxcGblG?bQ^*l)gNk0yBSedc&tO z?HU*z9Z(jp|H=p!v5@#ctFdf#2F>XAHiB#rG?Rd3eCPDo8@Eh1P?X86frMkr<)g1D z)(=>(q?Y#losJh5uMRIRejnekdo{uL?-uyGb~gNK5#QJZeYKzYYzGc+UwdrWh+cz< zxNOZFta>ZgV}+^*as`34N5AgoyB@|qOWtdl-FtZ;OCxOdXfp3fw?C74zj*WAt2tJ_ zc(1h@*hgBk7d5*#b$#+JthI0}r<-}_ADnsQxIZ|=e~%ouJWG4(TMvEZ;jcXW>{&j4 z>!D}QKL7mHy;t}0*@o)jtjucnhpt?DD}g!B>_(lQURdD0sB?3?FV%*or;i_RRld!Z zZ)G`N;NfdHW3!JF_>J1qM;+$PZXADu!+RZ;+VTe+?su2~EYFv2#zwzt(OjfgC_nOz zX)A->cPYyII4$k>b@r~n_wiod>siW&p#Ur0ZW*Cfov@5$!1$t5(t(+4{6LT3KAL|#a+$Fb8~5o zdc>g4JPong8MIK~LSHLvVcUQ%3hZGIOzzA<{>otF%WbrkA>rc9?^20CLr8qgkG%%K zpgTe1eYL0n)4S5{=;YW0^ak)HvN9l6C3Y#hBw7O-zp_q{3U()$JV?F9yX;7v)v z(99n~Jtj(6*@H{w4GH)2l#a?kv1zdu7njH^V0f%S24+Fy3PSU|7o`1s#m5O=iy*Uz z!uf6s=%%UtT<#)G4KkjfDWCvL)KdeFZyb^n_{Jg+YvwW5xJl~67{KVKKOn8)G@#dx zzX9)5Al{me7NT)Qf;UT>iQobnOF_0(z~w^6z@ZH0rAE#eoUMIRgfi-O>>V!Q)rewY zH}8*(+EtLGT%!~Ve-Y_6Esjc#*C(*AQqO}qRALj4#US+4?FzNrPm?G9F|QN~y7`N6 z4M6_Hjh)GSho-jQkIcSKXf%xh+zPO5fbm%cjxJR(w;Z7gT7Ki-;G3{~S^dx0e;H7x zei_B=Op9+lw+sAK=zH+4#vm=8oDWnQsnQ=WV1IX@ixK1$4}`P29V|@+=wSSjJ$)*` z82OC8`&=MT^#1q@_i)76WUH2_c4G%xu7kOd%5u#*|^M?Idk7 zht{TJy;EtUFmCcqw|uGPMzWt3m9SwEy$Tp0LyxCG;R>99K0yPN$U{gI^4k+Qg5`_h zs7*IPCs+fN7IDAI@g7f=^^P&Sl^|QGe091jBLL|{Ijs#jntMD0aBL+!?J4uPsgbZ8 zduH8Ml4+lYvDfIi9KSZGD*xJ4Y^R%y%iRoaNdxt^p3J zbp%UAz2K^Fc`{p7s^*0&eW>{~tCJLJb@Hpbh34|tztgDHtP)yfNt}3n{cF=zFIB7c z*UPh|yv4;Qp5P4p-lvvkmMd>y0o?c)@VJ3k&fYN==n?G?|46hy+TdvQwWOgPzk?m! z4I^6+vAFn?sl~;|A7|Bzi(i{+K^DuXeBZzLjW>Vt$N%WLci;arKRwa=z`wn1_Cv4v z$i83xOLg)$X=eY{_gyYiwLB`fKyHCmSwKRXf7!L+;4tIO0r&fIb286!t!DvMkGU~C zjcl&I*ddugr8*CCx=2OXF&wLFDU{h}?4;gvpuro(uAR-SgD9yTRH?01qG-0-OE^EXjh$j@-hidK_%wg4Wo!Vqwz;D7c*ic(SzMu_Lz=dZo+y z1L~D|QG9J`;fHg7wkW3m9pkw_v)I#|hWS|;&+{^jnYSqB{*3YVf@DLAyWBfu{X1qG zVJ={8+;Y4PsnEJ+BG*-0R#~T0?Y?VyAp0%LVW%iXO(7iL9dO>_Qv){-$%)8A}Fv@(Fr~v*j^Z6~cI2Q~R5c3%zpTiOhDCVe$cppd> zl!+IKAZD;+f~2F(yrg+G4-0L;Ig~9h;zBXCa|rfx zAV?muL!&DIOF!TJ2!(}%vFiT6V90~?R6Dl#J@k4?v6fVtJc#t{&^Z_{?1`w5M)3l4 z6;g^91pServ91g}W3$-k#cp4#EsZrrkHcuENJwlnC@A!ASPPd@(BP}Fo(>v18@KB? zjD~{3InmSo_z+zwioLfy{$`O5>b3{Jfz}w*cj$?sP`^R{DYk!vn$G_~KN5pJFKKcp zyzrqhGFOC^-_c3e7qC?{JW)K?XjgS$rpvQNDBC+D+~0({J&M|t)P%{UE07@;kOwgz z!G&lxh9FTF>k&olMFE7N_%IM(k_{g(4*qkdIWC843n=kv%qdZKidJ|7g(?*ZFfO_< z-cgM$XqZ+8&(8mT5S0X-o%+4Iz@qOJZXCaIqaHl_Z+{Q3v=o#U_RtF*zZ{(X)PwPS z+(-Pq&6jTKqq7s(BR)ohXZ3^Fr`$uO%(8!VF|JE$q+IKtA$oZ?sgO?S1-^7r(cyyu z=s@nFkA~_i@LR_EC+a-=FHg3qx2bebJQ1qXVSp+A9KO%^BT9q&C*K$Thz`>CuHgN^ z-CZaD@(OgE*rh*n!JBFCyaWv_=eLz83{f zSki=n?Hw-oZbLE^%?~nen2Abju^?`{5{oP6%rLAlw{3`R(iCWGKayo>>C*zUQJAj8 zvNZt?S)zQFF63iDdu3&rbr00-EbE@j8Wi#z-7M71{MyqFgO<9Y%No@@VNqdnNY?ao zOE(;6oTMJu_N&u$jRuJ?Zarn2$O}%drVUI%Gc}Z8@BzR>MGW?Ag7}TVP^a@r6Jbuq zjv2ZVvvQ~z{VQw9C2|Yo7RW7-TOhYUZh_naxdn0yqr)mQTc%Pml>9Z-bC)xj->&x~36;VH-W@omQbpghBTuaSkuoaB_lWweA* zj>!X67SL7HQesK`62{Ur*9FJ>63dls3Yow}iP@_cF&^eh~Ah$qnf!qSQ z1#%1I7RW7-TOhYUZh_naxdn0y(!dO|yPL#lY%j?$h zvg6vb32)63ktK{BXO+A{xdn0yq;pd?iTR>LyXMz=G9?C7SaTZV;msL$p_1wYr zcs0p(Ah~(-u&!gxdb~PfIV-oo4z&P(J#*(&O>WDoEcgA*ZC9bD_uX%9%{m80Hnad6 zo!^F5ZjG7ocYD{EbWO?l3&LwkxN{R}e2xW21@NX4S)tZFr1Q^9RogTmem6|zuGqXO zMz^jFY<}BhlCCyYOR0S|9n*YL6FQbKAn6T!(koMDE85J8BY~Q%7t}&<1N*mY@V%GWG+%;yg zNy%%w$0ik{iEPmXV^w0J^5eOR&qQ2)EwGD$PRj;#94)KNr2#`de(CjaNT6y|_4W zb$Xg}p18V*V|tn~!_+HtbLp!#Y#8~eB^KzE3H5{zn7+%{ADme>+>*?5r9^Eby-(hJ z*MYa(eAlDEe3ZkE_xUYprtN-5kumBb;QsqBU79<7>C*I>=`)uv&mFg6%e#E}(j}J3 zB*}y8ZULDJ8c2y`8if7hj0+)OQ<)BN|0EUYWqwnvdx5Syg%=1*Z90(WLtql|1;)BJ zvUEV>e3j74{jR(2Ot$_N7Kd@44X zhXHy#j|IX=I7QN6K}t!_zaFfyNp+GaMAxNwo^&4bYquX4VBXVeo1#sal z(p!m!3X)1u`{o1DMgk6A;2(5uf){Sd4)= zTn7ANW?l~0Zh^czU%L&jRfC!RuT{x*Nxx|;EqPDh)Gl&$czTWt@M`i`f5mw5?}hmK z*XQOYt}ZO_{-{MUy@2E2xo{P(<#{>JXPR{~EQ-zC`y@>nw(806zx0?dtKKKcylXvt zy({`XX9IDtfqAVms~!vo$9Oq@4#9j}lXT7^uS+w;PZ($oO{{7Nu_i3_x&to~(Fgu(3I2*SV zZhA@_3w&Qz3O>nOnFhYa;X53DyTk8t*!)_6oVl_Co8JV$ALDp<8qA_bt$vQn!7Vvx zlIG@9S1cmfs?4`Is==NgufUJp$_BSD>)V;I9`}@mSy(!SefX$?0ISf24$CSOsGmdC z*vEUEiAQjl<*A&`D$36_7QnSiPwXef(+3t7svtlwHuH<-XN0jL3aG_C-eVt6B(g%1J;k7OR?q^L;r68>9=(l<9~gZ- z?R%cY`_(~;4~Y|JFB}vv{x$puvk@(zh0dm(NQbM-g@cCvwOPbg%Sm&wHFJP(T~|nCR^zdw82PkOzo_85RXsLJU#N}@OO$lOon>G(~KYZE!j?S zN8eEI#dC;%Y^YbiA&_(hcsKlL@lfy6=L{X{&qIDM^**gI$atvn9=Dwd@|vm@DM`0e z&=wK&#Af~wY-*_w3HWA${Sz?Y0Ok_35rw@}jBu5}F51BeSK8i-;%;C#FsX~>(0-3F zpW63+0P@T~0feF2If~?n*m-a_s&s3*_Ij1zgXB449#5!*rSKip_H8Q2s9WHfIi2p3 z`qaOgNY3gnk$O3zvr`Y!{Qvhwi*IuDO}&_d!2jocWB1?r1#U$XpSBl8L3fKYlYO93 zKoKCHCH{rAmwfA_R4B@axreP0bOgK1@1azD8nY6~B>2x?LbHhEg?XZTh)%uS`;n6! zS1^<8`D^M(Uk}*>xMaNk==`299cfoLB@5jLsBLop(S?IAN1-}>Z2qq$jQzsHOONb<~uyQq-d{4?%S=cus-v~SR2(Fkd28yJ4dE;!F7 znc0c{Y$24!#=8^Alg|thKVsAdQRxJ18!+M4iCj|BMo3wVh2hc>GfU&t6lExkVNSaMevnhOtZ%isea{$f6K;rj5$Us&)pL zd1F;o(Y4a11z1nL!wTDGa0x4qS|C(uz*@D}4_zMO^{cGvv#YqK8Cj3O-?+n`wvy!s zC#n)MQE8ARQI4@f35`^vvC>FqOZi&2RQ)o_O-m3BIBW(*S==)Xqw<7MB~+GN5~xbL zB-SyS5^9t<=UWT>IGLOKZu&~s^qlpJ=62b53$X9g`#d*3_vo~d~o=|2lFkNY=?~jnZh@tkry8T-j8Yb zW%4em99nOI^SF)Wn_2&E+HtnK##*bfzld93f;@-A*oKLN+hHHKMuBF70v%2dQ-7g9 zH_rxH06rNv7Pt)}Is^v z%@vf-E25AFu|n6A!e4;3>>OHe0gWYSb5}$PnejpsgAUAWWKV{ZpIT>u5uu@+ugN7` z3qn0iotpM)z8oii^n(;AhgMr4DQM0@@peFjl)8fbm|?ts2+X6VeK`7h06PP$drkS0 z8sIS4(Sz+*?|XsHEshGk|Jo?VRR zOlyP70ttpk$w`;sq(sCd9i{s(-il|v=p#vNb}Cal_}2xf7S>WEHOe!-%uKLG2qe#7 zRj{mdm1It=U;$By&jc$>AL1FR3YL|wlH59k+8RY^dIqoV=hf8KsLIYQ#p2@Jg0J25 z+=B5Vcsj=$Wr4~iV^jBAZd7%*a6at2^v4!1W}Ul*EnV6b3%9uV(o0_G$^Pl-C;Jx` z@^@T&i);qwcg_;-horI(>TO0DuJ1hUJ7>+i9y@MX8IA5Ak@*xW=1 zSBZ@)$M(!AboVulFn(!rw4n|F0#LDz|oxESjN-a(@Yy& z>Y33olcT{iaA<;_1jqAfbZpcX$>JIREl0zbR<4_N&%9^Mx-*^plJqX04X)lsWvACG zBRiYKxX9LOELAb8eh(ywD&e#wO;wWZL}*i3m|^|F&)3-hYM@NJtd^mT(U*xgQMK`` zmK$i>fwjKcV&z_QAJXM0@TYyX(`ah2HTpI==3$SX^epPwU6zm+z7O?v$1G2cc27A6(f$!tJyw_9VYm;NXP*FB~ zHh_;=?h}V+!77M-`xx&#d_jDVzjtY{i7(887KerT6z0CePjM{h3;VdEKXdp(VV_3e z@NhE>5D8EP?FE zgi=cxj3DIff}9dMR_N=XS?&-Cq`_13DP(vz6nHr-wZP=A)G&X#D~&mj!JcpML`a9C zQlOJU+9k#c3U{1+^v^!S?>^x4k+Y}O*)_frZJ;L3Un{g z;AcU{luC}5B&AYJ?l-@mqM!u3??WF~cz-hH3|vin8B=NZASRY!niuV*4%m8uZipj&Unq1J zCa9O5Mn?xt-=e*kYbJ-qU)&J=G*n4Jqv0Zr7hc~$3!y?Ayz#`sb!6C8R5c8AkzQ(p z?@~mzmc)+v)%Nk%DMImSog_h|8 z%(dY8p|tN7G_*z>8V3Kk(~=Z}_V1JR@`%czt_94y!!t2UXl$??Yqw-onmfG~|Xi zL8z(SsEbH*8uOh@Gy-397_1%>+^#3p`ht(RES6?vt+JxpC{u*7%*V z{u*#?WpH!WwJF)u)WP}~VwpO$dbVh_{$;ARHKV2%rWd$m-vO*=3E|hQ>hHDmdgitL zb>Vtxz~l9*QLVmOdcE?=_2d?~HVZ7wz4X%6;j6fqW!L~Pq(;u zb(nb-{GIPCF536ZdYK&O7RW7-TcFwkskAg!nhjV#jw6~zaYhnLe&$V4%#%2P1>+nV zSU@ShUzemVy8Cw3K=7K_@|sfb;KUDzc6Pj=m)^!poI!dmbuCpAYZHvjGLl>0BbsmP zsYv9ZvIPXXeu?Pg;-(mQaI74$h5%1I4oWrHk=o%LWh@Yi-(vR*jne>IP+=9sI24}B(pZgz$T%W17-F59hee<{*3Yj z;T-B%pk#fH{7uVI^DqjmT+P>^2wtbTfnqIkrg>OH7&uI{RcpXV}h}9aB>v$3_q~3ygM_88Vi(PLLHAbc!|LbQb-)uh-RxF zJ2IIZlkCZ47zIjzXK*C=>jUlDK*c4F;MlSf6V6W!EKq+O)ChYgsAF5#WmYCy;#%{* z9kk7M1>1`@rS#r<&1Sw@`DXQQx}4th5;R=^{#BO4`dVPe_UrXEQwz26cQ5%pvObH- z`(v5U?~;9W6X!`^s-2G6#91?YI*WI@4f6Ws7O)oJ=OgSsOZzR%3Vn5WH8$e+V6R3# zm(4AZTOhYU#sXEpdvztEieF*pp%z#`W_4!PGphJmnLNDC77*J0_&sM{VW;VwvOnC; z?XvuWZaTwE`!$^=7c>@#tsNMJ5gx9_9K@Rqs((2c#tJ93LVO-w#{%4O1C5hy29R(C zD7@+suZq18xdBlpUCvrR3(T8|CN7B-+Yj8nC}Ql!x^rIg6N!?(FC`PX$0Yp{S& z?V6L}!;1#qtJ?WO5WW9GaU3{#zcTD*PMWu1Ei@x}oyuUkS#q-(Tx5q#7;5zUDAroU z*!nGd#IUi^D29d_ISyvM~mtfwI$3Vn=I?AjD}#~(TEZ_ zkumMyzu2Rq(~YS}tV1ER6ZvmW)?(_yTg;=3u3At`zTc=?U@0 zqZOe+xe6;L+%y3(pqAz>sQ2q4c(mSe417KicL4bFOA}XfdPHyo%lZRpOgMvC-|n7p zqzU^v-EAYie|Pgc9&L2|*3Iu&di%S@+ThjVD_!{RE{?BF@z}+CVlgj$e`0a*i6^+0 z-=CO1GkxYV;L;_FEA-rP<}!bFmT|Skmr}>)j?dkHzdi1~_kI9}8BU&L`uNt;a2fBK$6EVrTG>Jd+xa`<_2sjSdOP9nyJ%=bAZ!ZJnq9qxCSHU!L9IyA{^&1~{o6|9hcrE;2n zr2XoJq3UY%dID}S{F*#(u>W4C|9+?cZYRDpwn31tB_Bn8-j(P>(4cXoZnlhtc`Xz; zF+SR5A@%`>9SWMfsZ61TkA^U7W1gm`D>96~!hm(Gqq{XPD+*giprv)Fv> zvn=1@0G88Mp96{y2Z1p^BP`e9eZ4#%8J1*>pbDxD>4*lRFRAVP_bgQUu|NEU~VvsB0Wb0Slm)$08pIaQlY^`Q%VDHsL8-^0YG z7e`$2wO!G9Ubi2MWE|b2BYt^o@x5C4j{qvr&A?A9n{*C3dB0lKg{DV*OFQA_!Sx~yit`-rKW7bAemLT)5`Q~d>j*O3g+&3ENglo~Ckwds@;jIdRt80DU+I2;&D!o5IoI}&5~ zU^x_cCD5eg1cd%I4wlw9SQoHuN;9DlmB63E(HI1pfTWMNIlJPa5~i5I95O9xaLZ4^ zE-$Y(AFhh3Buek288Hd^{d$nO3EmwGZ!#VIwq{A5_QlvPO@H`hj>T|DQN<2?(=jDc zTDiZ$R(*qJ?wg0EHhiyh9`hV9tUf#sjPKVzfi~9ML^v%2T&nVPWZR&yjQGYx{mojk zsd_8;9o%K~F~RT2Lu3_Js`~Q6B@k`HYIu_7K1c`@4$jaa#Ej-)Z3%p zs2JUihb%%o_!9EB9ic56u^C0t1SdpWvOH-TVMG(qXaN(S3Gg#BdK}*pJ1W||0T*!Ijp#ixx1V>x8%?>1^y@W;^M93(v9nvc^3 zmcwQ*CiF=;1tqXh3Gsa(Iv+3UNA6a4vph_#9*bmDeC+NKe%z%PqlHS~Pn|19r4P~m zDKrn{%9ffMvq3*Kb9)8Uj+|kABNuXazyZ1w?O{m5M8j+E@cKA7KVh8>|dH5&*LS$YAgo;|LV-R|<_NI80XouGnG_ z7A{h!Mr#RiI-)n6melz+CuZc)xMhjT?wwf>{Pz9W0gNo+zth_W$dg&c@f71wWu_}O32{BuoY@uwtJ6o|T*2WVv@4S3z_R?41J9~+7{e!vBzUiy) z<-^Ka>e)9vd-mD0-+Jg<4>A7A!_S`m>Ic92!K-`u@A>ELkqz;hzJ*uHSAM3s)-Iw7 zWvx*a;fTwZX907^+5f;^i0Lzo`OG{Udj7fTY1Z?{AGSEF^Xn%nJXV>FYaC7*N3AjD zbvJ+F;kVrUiAR?^p1k=J2WsLEI3Dx;VRSrs^Cu7dV*LZt!%-z9*P&96ivJOD@Tq2x zY?ZQnAMO#yZa5~6!Jl>vzPK`mZ>~(kuV($09%pq{^BVXrvk5p{khPr6qu~d9%guM8 zE$)Kt?%Zn7|bP2Q*ZB>bBT za20-kg6qb##rNNTX8PWH&rF{@d1l&rBiSE$@+61POtYub+rn$~*!woEtkuJ*IKTZZoc z^DFQrK##fRdHn%XgSbx(sj4@58h1Wsl7jrLoD%%V794da0k*OEJ^lL==y(VopioqSOqDhQ#zfVF3|7`vqoq*eF64y@-37hZhk_Gi94|Gj6Qnx)||xI&5-D9|7T|=pvY5s3i~=2#7(O zv%#>cG?X?Y6hTWG9-oAEL1+8`izbe<;b;Ll7N)v`1u3wO%ow!A31d1$BVxV~ERY^y zIVs_9_@WHc+u}i?9t&KhD6xK}&Q&1-Fnwe0NB)CoJd(#{yY= z<`;e&hYUDP7iT93<||qN9vQ23~0C=zYxbd=x=tVCk97%DXg}mnOu7y zxRDT~_&QTSL!74$wXe3pT1>$|jCmu#FH`Yf8TZh9iY4PTNQx=iY?kHXq4Bg341Al0 zIv|m@(-EN$lKcoB8_`8d--eZlv_1ZT?i(y>h!3AsIA@?wfl%m|5fv06&vU>~Ts)T% zLXfW%3^5n>got8=Fn&E36MbRmJeCT(;9fW}A0hU9PuzVsQHuJ^<2K+tT5X=-QqnXg z(m0B{v?8U&!k+)R1nFPDQXtSLMAD@}ZUS`mbE&anH-K|My@e9`BzldbPlyt+m%Mjh ziMl9(r$)v>sHm?^LQc>fZS(}X8EGmGa@R~LOn6hEq&8^dsY2r1uMe?y+q7VUupr^o z294lnk;sG?L)*jv&RA>-;pJr30sd|t{`b3V z`%t(6@h-y5C=e|Ma6&nXK$`<2=;sBwhrfYIn0p%H=RbpZx{;24rtgUY`uX!WVaQK) zEBfbbv^}>|XCDUl=?{L%%XbdOl1C#iW2W<48<)(?WaSH|Q>& zuj2<6;1d*DoDkpTj;Ya@{0s~QupyxD6H1K*7=f-D7- zdW=Y`zoD)N#23(%@Ftuiq56r=oht+o>Ecxibqks&#I%)yV}biV+})ohp8pkw+|bK= zc;UV`z;{47Zj%AkbTxH2VizIzU$_>{U=6X-9El(bVq6|0hPxl= z>!m|@ZU8@G0>R^{xEGEGo)AMJ2=~z_X&9Oed?mO#E{t*^3}OU-%v3f`A;>8eepQ-~ zKUGtn+d4esRKsMpNT{HhpV@0`fftMcC9VdIo+DYjv70T5)nlaYrcP-Z$#mkWnJy-} zXS$1M5TdEC(+zD&J1d_F@KH=O-94NJ{xqJwXp)NEh-GL;!eVi%lYN%dZPr)Onju_r z7#rpeD#i`emoQTufFo}b_fS)anGD_?6TO-Kq=&CL385ua(AE}TreYfk!hm9%_UJLy z;8D00!3b+{@~k-asr61#0CNl%bcofi#`(3kZ^Ou<^u z=zxBSlZ=7KH>D9BBl=`ChQZq5=O$R#IY2p3fl(8@f)QEal|!KfSRartCmhnaM z{1Y1ABqTcN6q_6Ju(+A2ILU6XLIEKLzE{p-L->-EvE{mRxeC=4{(`@_zv8c)DcSJSJB z&o|OhPcQSbKFWIGEU%G{hWypcEe(}idxRKJ%PR5lJtBaOjlYq7jIgoYhlw!z8)0Wd zf4&ED8QSnOG|O`O^0i^P%(H&W<>b~Jhu_*;0altDd^~#;@4fdVfIXJS=RCIiDD8eq z>wC1jEZKW$y^)-XJ(=F4iS3>6P&QNdCps$gP&4w|QAQGJl$(#bfcqm|6@hCm?t7Q^ z9$Xf|U-5Q?hdz$cDxJ#^qgpCF?|5HU1o@cXdeAe#eBj}1{B{fT{X2eOH7s_&iO-nU z)VfZBM*JGhLV=-cn9Gpk3XwXjNL;i*uLs*!R;90^Xp4me?OU!y*x*Rg3Ghl}Kd-nF zrOc8JGwQgrG7eH_wp#qBGzdRMTUx%GWF^Z&S`({k=p90?R4p6tq$B|_Fl5ayD;OO= zyQJo5B4y5XuqLTH>x?5OUyD?^^?i&q0p&=K9l2eRT%k|GbK(Ij7$F^iv&)0lHl!b* zHj$L*99G=mchHggvPP4{5&dC=EzK9AV9G3OoK#N}Mithhc>=8Hv0Cb!$7l~f315ME z9~9zN6BG*NH#&XH4#ee)!60{QkseeUjya);berw(ny02hG>nCb618K|DLl<~fIO!S zPNZ1So9{^8@RCNGq_JyM{Hk6VU|-~8^jKkqmETY!9J0>>Sx{f5lYrcw&}<#}0co~I zLG7nBkhm!DDk@m$?wM2{SmBVh>-0LeoX(m3_%5E5wfpD`?W z4Il*nHQD>9L5kV%==$0YBjY?ZoNavK<>%!f(_M|E*)mgdDN0o0&8&*3)H}wwGbLIe z{nArxsSb@W4Bnwjn0roB_*n8IqBlx+3!o9V0zl`faK5IwxM9%$Q5p%1TUGlE2Q#-R z*zVN0@WxMRqp`?e(BdSzIOcq?tT7nF)R>b)Dz$e+(f!y_OWi!*|Nrfs&u<(_6~|xq zwC%RlHob`t0R>cXA{bB(!zx-Cv4;+9 zy5G#WiHG=C(zmJLdaEl1(FIFaa;c2^`wKYpwZDJ9@9phuVWs*KFO0r_|0a)LiGY#! zOyW||ys|h5KxE2pX3YO!#WyjDUd`~W%uD!)Nm@^Bf`ClSU*(G_eib^;vO;d9IBLm{ zOCvs>tfe({!~gNJ*kUQ0e$Q-RVZRd#W$`g0L_a0s$0?X(8>O~alJ{LaT+JW4y_vzz zo&>=`zf0KW?OQvY{Dc}qM(lC{yjj19Kn$W}xX{kVh*8HYX&TQWAPpR|v$M1mV>3G& z&n&Gka?q1zrQ!4qw9}k#%B6EO0pvKouIR{v6Ps zzk(QM{9@dA7if?NsD=$Flzzro%4p+BH0@+bxO3 z!nLrozAZ04T4vamz-9}?bBC$*89l~8kNjPBymvspv%6(5-k~f{*ZA&Z0@A&-S z0K(kzXZ%JY@i#`&%!nFcrZ}z0_7YN@Gd+zDf06ksvhw{*gHP1)Clci)#_|R&{i2*7 zOdA*Tm4Dr9`D zL;5fS=2+&uIZO-t)UEB~KY?yO2k~x?>Rj~C@BWuQ{j~bC948RZcy_tq*yFOvjr*SG zVLSaEnKo`D>|xuPrurQotR?f#D|pw4?|B|}?7t+mjeR@!i@{2+l@kZWIko zQyZ7J+-T2_;@M@vkEs)aQrSVE{9Inr9Q^cG0r{4P!5IWEgMSrLX{;z$W%9jLxu(yy z>4(f3r;Gk++n>I#;md>tAq=q)4u@t)_?mmDR^KOWOIE69yBY}*gMO70nzDYsgWh`> z;<^+%}qWI8dVMTv6j3=DP2z5a;7RBRT-zj7S2XN?;LaCv*8_0#reEE zjit)Al-@g8-ShA|Q#(~5?7JJyU@UWYiG3{Y$UFGuVaTNK)60$+_P%A0LxI8AYldHf z;fqBDsc?uKa<@m+%pvzet1AH|pahhF5>Nt4KnW-TC7=Y9fD%vwN>(?6i1zMhq*NOfl-vwN3 zEY9HTl=zmo3xDF5Yf%Z`pM+^wj1o4MO{e^|V!6555;Czoa8D9uC#lrfTcSCp(@I zRIm7I>v;A^dzl!4h1SHnp7M_IlRq<4jzEX61eAahPy$Lo2`B+2pahhF5>Nt4KnW-T zC7=Y9fD%vwNNt4KnW-T zC7=Y9fD%vwNNt4KnW-T zC7=Y9fD%vwNNt4KnW-T zC7=Y9fD%vwNh0&fD%vwN?-~IL{l)vV=}}u$y#zuc4caJNt4KnW-TC7=Y9fD#xhf%ZPV*$Mmi>0aGRKna{O0@?c*kg5G= z*swlxm;J+kHP8F64y*6lkG?X@KhN#c{LuQt>YXNg4L=43!hat&Cg+bI9@77G&-#{z zwm+=i>9)5isy*(8F`jL0)N0hO&C#!G?TFenq6h8nN87S49s?oiBZKB6B=)KmS`$zCkr%ot}Bl)R3 zH~w2Dr5L|$o)n3>FmEYIQj-E#NeVfZ@GFzIk&2CNk|}xfgkt{Py@-|*%l6_9&sY$b zjwntG;(F+PYJQ;1&=rv7VLQ!fk;}yPmRS}}CeOrdzL2=`PPa_9#`cu#s%Ue@mzUD0 zpL>+=I)ibFF|)MrlJ+=*lBv1EDeO;a{+;DiZ?E~$iN^Xj1Ob>fR^!&cV!F=RB8pbn zUeNMemJ`tI-=;{ib5zW6qD}ToQ1px2#*8PW9?+J8WF z(~@-h4itS7M{U;AAz$>vv_=wk*5%uxUdanS1F^XE-w4aL5F=J@Dt@fto#&3owUd+g z`*I2Klt2vCu`P1z4+&cnGZkk=%bCkrPWd)!xK)e=YORblx=)gbh_+$q+$5^O5M8)i z@3Q0S{V($gWCq6za`Dq=yyW4ZvviH^k^Nd*^j*3JbE9KdZ?ONNm2&hZ<>H?^PuDHQ z?T2t$ygGJs!$7}9s~eoZL95$P(;#M#K_>8R$vg;_sZQSoUTtBXWH%^U`zoEd(8}CkdndfPm<$28Shl-VmUVtM# zm*(@#h%cGR=Y)TXD{{e?Wm;jFIVB5eoTGsvNwTE4lVo)ZFZ^+yq!2!Vad~VK+2(nX zTI4JyEjMZUKAlS&X_}uyCtQ_vYU*o>Bn?FAcm2+MQ*}b`aSq zCE(yipTxH~;r|p&(q!i?dKU@@53b*7*2rJSOA5i-qsj)$EwDt*h8$&v->jOZw1%`u z!)sm)`0tMZ-(c{aEM4$2E=ggK;TPKt8Ei$0xDYKCgQSThFZEZ5)?%zowxb?<3Qii< zNX1CU1y2Ku+wuVdav6M)_}uL1~}joGb`V99VWN zg9FzZWkKV>^_nyTsgUoN3l@jc*%MmnwPOTwCTqPsN=3f#&9i1(xeUAT+(9aZdIcLHAs;9^YsJDd z>_H=VwJt%}f`|93Bf@|&Y}IprdKD$AI~T4r1}p1jv^L{ zxBX8;dxP4y&ky)2<)Vu=4E0x58liE8czyNW7LKsx!!@<>xZMpc)No^PaijKlX8TF| zlP3>5h*@?zPoDJrT<#G`_EEe0$xS>fY}?Mi+o3+|IRsEG;;Co5wDAaf=3#gY4ks!< z;zHXuyR}F3fbMmz#7@~Z4zc6tT|52T_cvw_V+&mQ8aKhGgyXFu@*eJ&P&f#P9i{3H=mBpdl_6 z`Yg`gL_TW8^dq*;+TtPd)RFcOD~${Be;Cs>n4|5ue#0VBiz9<9w}0Crf%dyC^wEi< zXPp0#qBf0F&iKIlIQ#7N^;w_tCk`8aSFgtQBsYlTmJ-*^t@@8X#@G&0*Q#5`xStH{Rn4n9c)KV7xSb=Gg$7pTR4cU+myGKv4& zRIop?nJa%|dG!CyLJsZc&b{8ux7Y)jahBX`m%~;fwY}Vg6BhmRYe4*Hf;IAG zctO*QrTj(bLGY75s3EJcfrSJ=m&ndHFrUa{n-+4gfTHE=s(d;r^UdGlplG@dnA}_g z_3>t;Nw1M@SQt{n!P4A_OFFsax{^+0!@co~d$O#Z*pL{K_bqy_;n|l1G*oLeU>k)D zEb9X_xmS(yq1tcC)qpP!{)FG}2$oQaW0maXqy0N82}zdH zKA{F0j`^677WqsO@TmdO-(ol|D$BfqJq;25g!=z63r$-yqAYe8KCQK}KJbW#H#xrh&(p Sm=1n(0sX`8d;8+x{QM6exY7#% literal 0 HcmV?d00001 diff --git a/zz-solutions/04-Lissajous/Board/ise/lissajous-XC3S500E.mcs b/zz-solutions/04-Lissajous/Board/ise/lissajous-XC3S500E.mcs new file mode 100644 index 0000000..d4a2f1c --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/ise/lissajous-XC3S500E.mcs @@ -0,0 +1,17742 @@ +:020000040000FA +:10000000FFFFFFFF5599AA660C000180000000E089 +:100010000C800680000000060C80048000008CA785 +:100020000C800380804304C90C00038000000000A2 +:100030000C000180000000900C0004800000000013 +:100040000C000180000000800C0002000A8028598A +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000020000000000080000000000000000D5 +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:100290000000000000020000000000080000000054 +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:100350000000000000000000000000040000000099 +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000A00A000000000000000000000000000062 +:1004F00000000000000000000000000000002000DC +:100500000818023100510000000000000000000047 +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:100530000000000000002000081802000000000079 +:100540000000000000000000280000022000000061 +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000020000000000000000000000000004A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000002000000000001A +:1006C0000000000000000000000000000001000029 +:1006D0000200000000000000000000000000000018 +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D00000000000000000000000000000005401C4 +:1007E00018000000000000000000000000000000F1 +:1007F00000000000000000000000000000000000F9 +:1008000000001001000000000000000000000000D7 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000100100000000A7 +:100840000000000000000000000010010000000097 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000001000000000000000066 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000136 +:1009C0000000000000000000000000000000000126 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:10112000400000000000000000000000000000007F +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000100000000000000000000003D +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000100007B +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A0000000000000000000C00200000000000079 +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F000A00000000000000000000000000000004B +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000008000000000000000000000071 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000800040000000000000019 +:101BC0000000000000000001000000000000000014 +:101BD0000000000000000000000000008000000085 +:101BE0000000000101000000000000000000800073 +:101BF00000000000000001000000000000000000E4 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000010000A3 +:101C40000000000000100000000000000000000084 +:101C50000000000100020000000000100000000071 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D3000000000000000000000000C000000000097 +:101D40000000000000000000000000000000000093 +:101D50000000001000000000000000000000000073 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000200010000000000033 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000001000000000000003 +:101DD0000000000000000000905000000000001013 +:101DE00000000000000000000000000000000050A3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000A00000000000000000CFC +:101ED0000000000000000080000000000000000082 +:101EE00000000000100000000000000808000000D2 +:101EF00000000000000010000000000080008800CA +:101F000000000000000006000000000000000000CB +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F400000000000000C0000000000000000000085 +:101F5000000000000E5000000000000C000C00000B +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000800000000000000000000D8 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000020000000000005C +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:102500000000FFFF1D1DFFFF1D1DFFFFFFFFFFFF61 +:10251000FFFFFFFF7722FFFFFFFF00000000FFFF2C +:10252000F3030000000000000000000000000000B5 +:10253000000000000000000000000000000000009B +:102540000000666666666666666666666666CC33C4 +:102550003C3C666666663C3CCC3366666666666690 +:102560006666AA556666CC33AA55000000000000D6 +:10257000000000000000FFFFFFFF0000000000005F +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000C00000000000000000000008E +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:1026800000000000000000000C0000000C0080248E +:102690000E0080240E0000000C0080240E000000BC +:1026A000000000000C00000000000000000000001E +:1026B000000000000000000000000000000000001A +:1026C00000000000000048804CA84C804CB84D80B1 +:1026D0004CB84C804CB84D804CB84C804CB84D80B8 +:1026E0004CB84C804CB84D804CB84C804CB100007C +:1026F0000000000000000000000080240E00000028 +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B00000000000000000000C000000000000000D +:1027C0000000000000000000000000000000000009 +:1027D0000000000000000000000000000000800475 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:102810000000000000000000000000000000802414 +:102820000200000000000000000080240200000000 +:102830000000000000000000000000000000000098 +:1028400000000000000000000000488040A94D800A +:1028500040B94D8040B84C8040B84D8040B94C8064 +:1028600040B84D8040B94D8040B84D8040B94C8053 +:1028700040B0802402008024020000000000802478 +:1028800002000000000080240200000000000000A0 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000400000000057 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000FFFFFFFF000000000000000000006B +:102970000000000000000000000000000000000057 +:10298000000000000000FFFFFFFF00000000FFFF4D +:10299000553300000000FFFF3355FFFFF303000035 +:1029A0000000FFFFFFFFFFFFAF05FFFFBB11FFFFB1 +:1029B000FFFF000000000000000000000000000019 +:1029C00000000000000000000000000000000FF008 +:1029D00055AA999955AA55AAC3C333CC33CC999912 +:1029E000999933CC33CCA5A555AAA5A533CCA5A5DB +:1029F00055AAC3C39999FFFFFFFFFFFFFFFF000028 +:102A00000000FFFFFFFF00000000FFFFFFFF0000CE +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000100001001000000000000D4 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B10000000000000000000000000130000C020C2 +:102B20000092200100018000400B00000000000026 +:102B3000000000000402000020000000000000006F +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B7000000000000000000000002200040300002C +:102B80002401200100018000400B2400000100000E +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C600000000000000040008000000000000000A4 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000802193 +:102CA000000000010004E0028000000100000000BC +:102CB0000000000000000300000000000000000011 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF000000000000000000000000000000047008D +:102D0000800000004000E002800000010000400060 +:102D1000800000000800000000000000000000002B +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000130000000013BD +:102DF00013000000000000000000000000000000C0 +:102E000000000000000000000000000000000000C2 +:102E1000000000C000000000C00000000000000032 +:102E20000100020000C00000030000801300000049 +:102E30000300000000000100140000000000030077 +:102E4000C4000000000000000000000000000000BE +:102E5000000000000000000000000000000004006E +:102E6000000000000000040000000000000004005A +:102E70000000000000000400000000020000080044 +:102E8000000013238323C123D3000001D3000100DA +:102E9000D30000130001000000000000000000004B +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F900000000000000000400000000001000000F0 +:102FA00000000800200004000004040009000002E2 +:102FB00000000200180000000000200000000000D7 +:102FC00000004000000000000000000000000000C1 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF000000000000000000000000000000000A031 +:103000000000000000000000C000C00080000001BF +:1030100010804000100000000001000000000000CF +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:1031200000008100009000000110200000000C0051 +:10313000C000000000300000010001000000300E5F +:1031400000380000007000000000000000000000D7 +:10315000000000000000000000000000000000006F +:10316000000068008480144001F00E600000004000 +:1031700001F040A00000004001F06000200010407D +:1031800001F10000001000A00382000000C02C002C +:1031900000000000003C00000000000000280000CB +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A0000000000000000200C008000002800EA024 +:1032B0000010290000000007007420000200002018 +:1032C0000200400000000000000C000000000000B0 +:1032D00000000000000000000000000000000000EE +:1032E000000000000000880002001C21017881C756 +:1032F000000000480078808008001CC004788C0022 +:10330000E2391C20C178A20500801C062070000054 +:103310000000000000000000001028000000000075 +:10332000008000000000000000000000000000001D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000020001100006B +:103430000000200008400001800000100000000093 +:1034400002000000000000004001000000800000B9 +:10345000000000000000000000000000000000006C +:1034600000000000000000000000044004008A0189 +:1034700000000010020000200004000082000A008A +:103480000000000044088A000400001000010280CF +:103490004001000000000400000000000060000087 +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A0000000000000000000000000000000080310 +:1035B000C08100000000008001401D0000000000EC +:1035C00000802800000000008301140000000000BB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F0000000000040012680E001000400300D8042 +:103600000000280C000000800000000C000000C03A +:10361000000082E001640000006C14000000000063 +:10362000000010000000000000401000000000003A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:10373000000020000000000F0000000000380D0A0B +:1037400000000000002800000200002000004A1ACB +:10375000A060000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000008001000008000100A0010080009E +:10378000000022A07100800000480020000080009E +:103790000000006001000814A04000000008400084 +:1037A0000000000000B040000000000000084000E1 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000010000000047 +:1038800000000010400000000000000001000000E7 +:103890000000000000000000000000000000000226 +:1038A00000000001000000020800100000000200FB +:1038B00002000001100002408100980010108400F6 +:1038C0000000000210000050001220011000000251 +:1038D0008200000000100040000000000040800056 +:1038E0002000000000100220100001000000202035 +:1038F00000002000001000800000100000400020A8 +:1039000000000010000010100002021000010080F2 +:1039100011019000000014000101001000081000C7 +:10392000100A200010000002020101000400000142 +:10393000001000000000002902100000000000023A +:103940001000001000000000100000000000000047 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A00000000000000000020000000000000000096 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000400100082 +:103A3000000000000000000030000000000018003E +:103A40001030000000100000100000209020000046 +:103A50001000A000000000000010000000000000A6 +:103A60000000000000000000001000003000000016 +:103A700000000000000000000030000000003006E0 +:103A8000000000000000002600502010005000261A +:103A9000B000000010503006900020100000902070 +:103AA00090080070200C00100000000000000000D2 +:103AB0009000000000300000000000000020000026 +:103AC0000000000030000020000000003000000076 +:103AD00000000000000010000000000000001000C6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000C000000000000000030000000F9 +:103B900000000000080000000000000000000040DD +:103BA000020000000000004C02020E080000000CA1 +:103BB000000200000A000800440002080E500C1029 +:103BC000185012000000100A0E800004000A801233 +:103BD0000208B00C000A008C18000080800E021051 +:103BE00000000000801032003E000000000008309D +:103BF0000000040A00003010000010000000002047 +:103C000040000000001040300000000000000E00E6 +:103C1000400808000E08822048081A0000000080B2 +:103C200008080000000000500F08A0800000000CF1 +:103C30008808880004000008000000000000000858 +:103C4000080080000000000C0000000000000000E0 +:103C500000000000000000000000800000000000E4 +:103C600000008000000000000000000000000000D4 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000100000000B2 +:103D1000000800000000000000000000000000009B +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000030000000000000000000000000000043 +:103D50000000304010000000000000401080000013 +:103D60000000004000400000004000003000300033 +:103D700000400000300030000000000000000000A3 +:103D800000000000200400000004A0002004004007 +:103D900020040000000400002000000000040000D7 +:103DA00000140000000400000004000000002000D7 +:103DB0000000000020000000000020000000004083 +:103DC0000000000030000000000010003000000083 +:103DD00000000000000000000000000030001000A3 +:103DE0000000000030001000000000000000000093 +:103DF00000000000000010000000000000001000A3 +:103E000000000000000000000000000000001000A2 +:103E10000000000000001000000000000000000092 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E8000000000000000000000000000000000082A +:103E90000000000000020000000000000000000020 +:103EA00000000000000000000000000000003000E2 +:103EB0000000000000000000000000000000000002 +:103EC00000000000008000000000000000800000F2 +:103ED0000000000000000030000000000000003082 +:103EE0000020000000000010001000000030000062 +:103EF0000002000000300000000000000000000090 +:103F000000000000000080018021840000016001A9 +:103F100080210410800180010021000080008001C8 +:103F20000001840080810001000184008001000004 +:103F30000000000000000000000000000000000081 +:103F40000000002000000000000000000000400011 +:103F50000000000000000000000000000000000061 +:103F600000004000000000000000400000000000D1 +:103F70000000000000000000000040000000000001 +:103F800000004000000000000000000000000000F1 +:103F900000004000000000000000400000000000A1 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000008200000040010000000000000001DC +:10402000000000000041000000000000000000004F +:104030000000200000000000000000000000000060 +:104040000000001000000000000000000010000050 +:104050000000000000000000000010101000000030 +:104060000000000010000000000000000000000040 +:1040700000000010100010000000000010001000F0 +:1040800000000000000000000000002000200400EC +:104090000000002000200400000000200020040098 +:1040A00004000020000004000000002000000400C4 +:1040B00000000000000010000000000010000000E0 +:1040C0000000100000000040400000001000000050 +:1040D00000000000100000000000000000000000D0 +:1040E00000000000100000000000000010000000B0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000DF2A599C39996 +:10419000A599C399A599A599C399A599C399C399B7 +:1041A000A599C399A599A599A599C399959A939C01 +:1041B000939C939C939CC399A599A599939C939C3C +:1041C000959A939C939C959A93C693C665A965A965 +:1041D00093C695A693C665A993C663C97788778861 +:1041E000778877888787778887877788878787879B +:1041F000878787877788FFFFFFFF00000000FFFFAA +:104200007722272747470000000000000000000039 +:10421000000000000000FFFFFFFF000000000000A2 +:104220000000FFFFFFFFFFFFFFFFFFFFFFFFFFFF9C +:10423000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8E +:10424000FFFFFFFFFFFFFFFFFFFFFFFFFFFF00007C +:1042500000000000000000000000FFFFFFFF000062 +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000005F5FFFFF000072 +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:104300000C00000000000000000000000000D8A425 +:104310005EA9DCA45EB9DCA45EB9DDA45EB8DDA450 +:104320005EB8DCA45EB9DCA45EB9DDA45EB9DCA431 +:104330005EB9DCA45EB8DCA45EB8DCA45EB9DDA422 +:104340005EB8DCA45EB9DCA45EB8DDA45EB8DCA413 +:104350005EB9DDA45EB8DDA45EB8DDA45EB8DCA401 +:104360003EB8DAA43EB8DAA43EB8DAA43EB8DAA47D +:104370003EB8DAA43EB8DAA43EB000008C020000D9 +:10438000000000000C0000000C0000000000000015 +:104390000000000000000000000000008C0200008F +:1043A00000000000000080240E0080240E00802405 +:1043B0000E0080240E0080240E0080240E00802435 +:1043C0000E0080240E0080240E0080240E00802425 +:1043D0000E0000000000000000000000000080242B +:1043E0000E000000000000000000000000000000BF +:1043F00000000000000000000000000000000000BD +:104400000000000000000000000000000000900418 +:104410000C00000000000000000000000000000090 +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000400C0000000000000000000000E0 +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000A01228DCA452B8DCA408 +:1044D00052B8DCA452B1000000000000000000004F +:1044E00000008024020080240200000000008024DC +:1044F00002000000000000000000000000000000BA +:1045000000000000000000000000000000000000AB +:1045100000000000000000000000000000004880D3 +:1045200040A84D8040B94D8040B84D8040B84C8087 +:1045300040B84C8040B84C8040B84C8040B84D806A +:1045400040B84C8040B080240200802402008024C7 +:104550000200802402008024020000000000802469 +:104560000200000000000000000000000000000049 +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:10460000000000000000004000000000000000006A +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:104640000000FFFF3F30FFFFFFFFFFFFC3C333CC7E +:1046500099999999C3C333CC9999FFFFF303FFFF4D +:104660003355FFFF0F33FFFFFFFFFFFFFFFFFFFF8C +:10467000DD11FFFFFFFFFFFF2727FFFFF505FFFF0E +:10468000F303FFFF0F33FFFF0F33000000000000B4 +:10469000000000000000000000000000000000001A +:1046A00000005A5A66665A5A66665A5ACC3366668B +:1046B0006666CC333C3C3C3C3C3C3C3C6666CC3384 +:1046C00066665A5A666666663C3CFFFFFFFFFFFF60 +:1046D000FFFFFFFFFFFFFFFFFFFFFFFFFFFF0000E8 +:1046E0000000FFFFFFFF00000000000000000000CE +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:10479000000000000000000000008000000C00008D +:1047A0000000C020005400000000C0200064000091 +:1047B0000000C02000A200000000C0200092000005 +:1047C0000000C02000CE00000000C02000D6630022 +:1047D0000403C0208CE76B000403C020C84C000019 +:1047E0000000C020005420000001C02080640A00A6 +:1047F0000402C02004A202000402C020249200008F +:104800000000C020008A000000000000000000003E +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000800305 +:1048300000008000002680350000C020004C803140 +:104840000000C020005400130000C02000642A159E +:104850000403C02004A326110403C02024930000F5 +:104860000402000004000800040280000020000090 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:10489000000000000000800100008000000C00000B +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000080000000000116 +:104920000000802000000001000480200000000141 +:104930000010802000000001001080200000000115 +:104940000004802000000001000880200000000119 +:104950000004C720800000012810E1208000000131 +:1049600080088020000000010004C42080000001B5 +:1049700008108120000000012010892000000001A3 +:10498000000480200000000100080000000000007A +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000008000000000010000802200000001D3 +:1049C0000008803400000001000480210000000184 +:1049D0000010E122800000012810C934800000018D +:1049E000400403000000000080008102000000017C +:1049F0002000000000000000000000000000000097 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000080020000000113 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A9000000000000000000000000000000000C056 +:104AA00000000300000000C00300030344000000F6 +:104AB0000043C200E0C000C003030022470000C062 +:104AC00000000307440000C000004600C30000C00F +:104AD0000000C007470000C010E313E2E00100033C +:104AE00044C0E3C9C2C300C000C00003470000E8DF +:104AF00000E000C1470000C30200C70700E2C0C0D9 +:104B000000C0C022E3004400E0C000C30022000057 +:104B1000400202004200000000000000000000000F +:104B2000000000000000000000000000000004027F +:104B30000002020042C00010C0C0000003000807CD +:104B4000C043030302000BA2C207014003C7C0C356 +:104B5000084003074603E201C353C2071323460379 +:104B600081C0D3D303C00003002300C003000003AF +:104B700000E30000C0C000000000000000000000D2 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000030012 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000001000000900020000102000210810 +:104C300002000040002814800004009020080100B9 +:104C4000200000100000090002000004020004809F +:104C50003000000402005000088000040010011021 +:104C6000040101080090200105210404001003083C +:104C700020000000041005112080000A0400080034 +:104C80000104102001048200080000034011400CC0 +:104C90000300000005800200030000000000000087 +:104CA0000000000000000000000000000000000004 +:104CB000000000808080020003400000011002001C +:104CC000084000000328200804802004054020201C +:104CD0000910011802A020000408040188208400A3 +:104CE00001400408C082102041840001000000201F +:104CF000400002010320000010100000000000002E +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D2000000008000000000000000000000000007B +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000048000000070400AC5 +:104DB000047800C00170400880382CC00938400ACF +:104DC000047A340008F04008043810C00570400030 +:104DD000F4001CC009B84000640200C001600080FB +:104DE000F4401C490100404B812C00840100802FBD +:104DF000780300840260000F6052000E0A00001D5C +:104E000080021C0000004000800800800380002415 +:104E1000842A148442E00000000000012000800089 +:104E2000180300C001100000000000000000000096 +:104E30000000000000000000000000200000000052 +:104E40000048000000000E0000F01406E00000C062 +:104E500001000A00000000000080880040000000FF +:104E600000100C00000000000030300000000000C6 +:104E700000802C0000000000000200000000000084 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000F00000000000000012 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000008010078 +:104F3000001C0000000000000008000700382800E6 +:104F400000700000003828C0006800000000020067 +:104F5000004C00002000008001302C072010402071 +:104F600001700007000000C000300000C80041C010 +:104F70000134060482081C2803142C0B100028801E +:104F80000108008B033000100400000060B1000035 +:104F90000038089B007801D804B10E80030000009F +:104FA00000000004E0080C00008000000000000089 +:104FB00000000000000000000000000000001406D7 +:104FC0000000080000008C06000010000038680097 +:104FD00028000000000008070000000000380E064E +:104FE00008000000003011000000000000900000E8 +:104FF0000000000000000000000000000028200069 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B000C002020000000002400008200008002892 +:1050C000801F0000000800024000000001000028CE +:1050D000E01000000000000600000850000400047A +:1050E00040A20000000A009600040820408B0068DF +:1050F000002100300102222000B010101002000038 +:1051000080050002014100000081285000C2000417 +:10511000284408B0800002200800040000011000AC +:10512000040000002000000000000600000800004D +:10513000000000000000000000000000000000006F +:105140000800100408001000000022001000810078 +:1051500000000C0008008100010010081000000091 +:1051600002A0110400000000005010000000000028 +:10517000002C2200000000000000000000000000E1 +:1051800000002000000000000000000000000000FF +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000040000000000000000000000000000EB +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:1052300000000000E000000000040007E08000C063 +:1052400001700007E08001C001040007E08000C099 +:1052500001040007008000C001780007E00C0EC0C8 +:1052600000680004E03000C001782607EA0000D0A2 +:1052700005702F042301149101710E1436381C801F +:1052800001F0081C0028012000600000E63000C08A +:1052900001300007020802C00111001500003C00A7 +:1052A0000000262002000000000000006000000056 +:1052B00002000000000000000000000000000000EC +:1052C000000022800100620310010020000010068F +:1052D000E00002800D6C1C00000002C000001C00F9 +:1052E00000000C2002080C06A002000000BC3C00DC +:1052F00000000000003800000000000000BC0000BA +:105300000000000000000C00000000000000000091 +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000030000000000000000000003D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000006000000000488007BE +:1053C00078012CC00BF80007700000C00B78800734 +:1053D000780100C00B78000770002CC00BC080075C +:1053E000780115800260410C90382CC00BC08027DA +:1053F00078010080437002A70800C080C2B8000096 +:10540000700140C021802004005001C001740000E0 +:1054100004000000D200400000000C00A08000004A +:10542000F0001C07007000000000000000000000F9 +:1054300068011400000000000000000000000000EF +:1054400000000000000000000000000A0000014011 +:105450000334800000020C000038110000000CA092 +:10546000000C7C14A00001C001383C1800000000B2 +:1054700000080E000000000000081E0000000000F0 +:1054800000083C00000000000002000000000000D6 +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B0000000000000000000680000000000000084 +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:1055400000010000101000400000000000001000EA +:1055500000001000100000400000000100000240A8 +:1055600000001000100000000018000010002000D3 +:1055700080000010904000000440100040501000D7 +:1055800000445008180008008040580810103000EF +:10559000201030280820102000002420081030405F +:1055A000000010180000202000080020001000005B +:1055B0002000202000100000220000210000001028 +:1055C0000000000001200010000000200008000082 +:1055D00000000000801110200200400000000000C8 +:1055E0001011100004001010001010002000000026 +:1055F000001C100000402004040002008000200075 +:10560000000000001000000000200010000000005A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C0000000000000000006103000000000000094 +:1056D0000000300000001006100000100000000064 +:1056E00000000000500030063000000000180006E6 +:1056F00010000000000000101000600200401000C8 +:105700007020100000000004340D0430000010046C +:105710002010300900301000000010000000B00020 +:1057200004101000ADA5003000009000000400003F +:105730000030600000007000003000A00000000099 +:105740000000B03000009D000000003000100D008F +:105750006C04000000120C0E4C222A000050A00025 +:105760004C0200A5251020524C1D052E062A2000B3 +:10577000404E004000200006000000000E500000D7 +:10578000000000400000007020000000B000000099 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000020000000000000B9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:10584000000002000000000000080000000000301E +:1058500000000000000001000000000000000080C7 +:1058600000000008000008000000400000000040A8 +:105870000000000000000000100000001000000008 +:1058800000000E0002000000000430800040808014 +:105890001000300000000000200020200000002246 +:1058A0000000202200000000020042000000003042 +:1058B0000002000A0000000010003000000000009C +:1058C0001C00023800000000000A000A0C10000052 +:1058D000008100300100000000800100110800106C +:1058E00008003000030001500058000001D0000003 +:1058F00000000000100000000004000080302004C0 +:105900000000040010002000000000000000000063 +:105910000000000000008000000000000000000007 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:1059400000000000000000000000000000800000D7 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D0000000000000000200000000000230000093 +:1059E00000000000020000000000020000000000B3 +:1059F0000000000002003000000000000200000073 +:105A0000000020040200000000001000020000005E +:105A10000000002000000000000000000030200016 +:105A200000000080000000000000000000000000F6 +:105A3000000000000000400010007030000000086E +:105A400000000000000000000000000080000000D6 +:105A50000000004000008000000000003000000056 +:105A600000000000014002080000000030000000BB +:105A70000000020000000000000000000010000410 +:105A800002040200014400040200000000000000C3 +:105A90000000000000000000300020000000300086 +:105AA00000000000000000000000000000000000F6 +:105AB0003000000000003000000000000000000086 +:105AC00000000000000000000000100000000000C6 +:105AD00010000000000000000000000000000000B6 +:105AE00000001000000000000000000000000000A6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000004000000000041 +:105B600004B0000000000000040000000000040079 +:105B700000000000000000000400B0000000000071 +:105B800034800400000080000400040000000000D5 +:105B90000400000000000000000000000000000001 +:105BA00000B08000000000200000040000000000A1 +:105BB0003000000000000000000004000000008031 +:105BC0000000000100208000000000000000000034 +:105BD0002000001000000010000020100000000055 +:105BE000000000000000000004200032000000005F +:105BF0003000000000000000000000000000000075 +:105C000000900400000000000400040004020000F2 +:105C10000000000000020000000000000000400042 +:105C20000000000000000000000000000000000074 +:105C300000000000000000000000B00000000000B4 +:105C40000000000000000000000000000000400014 +:105C500000000000800000000000000000000000C4 +:105C600000300000000040000000000000000000C4 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE0000000004040200000000000000000820191 +:105CF0000000000000000000000000000000200084 +:105D00000000000020000400000000080000040063 +:105D10000000100000000000000000100000000063 +:105D20008002000000A1000000000000000004004C +:105D3000800200002000000080020000000004003B +:105D4000920210000000002000300000000000005F +:105D500000000000000000200000000000000030F3 +:105D600000000000100010104000000000000020A3 +:105D70001000400130000000000000000000100092 +:105D8000400850011020C409000800200008C40980 +:105D9000000000800200100000000000000000C2AF +:105DA00010000000000010000000000000000000D3 +:105DB00000000000000000001000000000002000B3 +:105DC0000000000000000000000000000000C00112 +:105DD00000000000000000000000000000000000C3 +:105DE0000000000000200000000000000000000093 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED0000000FFFF7722000000000000000000002B +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000004000000CD +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:1060500000000000000000000C0000000000000034 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D0000000008000284D8040B94C8040B94C80C0 +:1061E00040B94D8040B94D8040B94C8040B94C8099 +:1061F00040B94D8040B94C8040B94D8040B100005D +:1062000000000000000000000000802402000000E8 +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:1063200000000000000000000000FFFF47470000E1 +:10633000000000000000000000000000000000005D +:1063400000005533DD110000000000000000FFFFD9 +:10635000774400000000AF0555AA55AA9999C3C318 +:10636000999933CC9999A5A5999955AA9999C3C397 +:10637000999933CC9999A5A5999933CC9999A5A5C3 +:106380009999FFFFFFFF0000000000000000FFFFE1 +:10639000FFFF0000000000000000000000000000FF +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000002000402000008000000000000006B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000003000000000020000000D7 +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000004000000000000000000D5 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E0000000000000000000000000000020100079 +:1067F000000000000020000008000000002003004E +:106800000000000000000300040000000000000081 +:10681000000000000000000000000000C0C00300F5 +:10682000C3000000000000000000000000000000A5 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000001000000000000000016 +:106980000000020000000000000002000000800281 +:106990008002000000000000000000000000000075 +:1069A00080200400C0000000000000000000000083 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000080000CE +:106AC000D0000000000000000000000000000000F6 +:106AD000000000C0030000000000000000000000F3 +:106AE000000020000000000000000C8001000000F9 +:106AF0000000404000001080020000400000000044 +:106B000000000080000001000000004000080100BB +:106B1000000000000008000000000000000000006D +:106B200000000000008030000000000000000000B5 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000040000000000000000000000000000004 +:106C500000000000000000800000000000000000B4 +:106C60000000000000000C000000000000001C00FC +:106C700000002C0100000040000001110000004055 +:106C800000000001000000000000100100000040B2 +:106C900000001001000000000000000100000000E2 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD000000000000000000000000000062000008D +:106DE00000000000000000000000000000000000A3 +:106DF00000001000010000080000200002208000B8 +:106E0000000020000000800000002000032080001F +:106E10000000200002108004000020000018800400 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F4000000000000000002000000200000000001F +:106F500000000000000000000000000000000080B1 +:106F600001300000E00000000000000000000C0004 +:106F7000000000080000008001008000E0001E808A +:106F80000100001000000E8001800007E0001E805C +:106F90000100000000010E800180000600010E00CB +:106FA000008000060000000000000000000000005B +:106FB000003C000000000000000000000000000095 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000800B8 +:1070D0003000000000000000000000000000000080 +:1070E000000000A0013A0000F002000000000000D3 +:1070F0000000200000000006000000200004000F37 +:10710000F0020CA00130008FF10000200038002FA9 +:10711000F00202600008000F000000600000000F95 +:10712000000000000000000F000000000000000050 +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000200000202E +:10722000000000000108000200000000040000004F +:10723000000000000000000000000000000000004E +:107240000000000000000000000008000000000432 +:1072500000000000000100201000000000000000FD +:10726000000000010000100000001000000000807D +:10727000021000001000001010000000104000007C +:10728000021A00000000140002121000080410840A +:10729000028000000080008800800000008000085C +:1072A0000004000000000800004010000000000082 +:1072B0004012400000000000000000100004000028 +:1072C00000000000040400000000000000010000B5 +:1072D00001000000000000000000000000000000AD +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000100000000000000017B +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000008000000000000D5 +:1073B00000000000000000000000000800000000C5 +:1073C00000000000000000000000000000000400B9 +:1073D000000000000000000000000000300000007D +:1073E0000000000E0000000000003000020030002D +:1073F00000000000B010000030000030300000003D +:107400003000000000380000007030000030300014 +:1074100008003000909000500000000890000050DC +:10742000B000000898000C50000004589000005074 +:10743000060C000090000058000000000000003022 +:10744000000000000000000000000000000000003C +:10745000007000000000000000000A0000000000B2 +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000002000040000D8 +:1074900000000020000000000000000000000000CC +:1074A00000000000200000000000000000000000BC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:107520000000000020000020000000000800000C07 +:10753000000000000C00000000000000000000013E +:10754000000000000000000000000000000000003B +:1075500000000000000000040E00000000080020F1 +:107560000000000000000000000000080000000013 +:1075700000000000400000300C0000000A00000085 +:10758000000000000010000000080000000008805B +:107590000C00000000080018041E7A000010001003 +:1075A0000010700000100000010801000000000140 +:1075B00000300A0000010001300C300B0000000018 +:1075C000000000000008000000000000080C0A0095 +:1075D0000000000002040000040A00000200008A0B +:1075E000000A000002000000000000000000060089 +:1075F00000000000000000000000000000000A0081 +:107600000000000600000000000000060000008CE2 +:1076100000010000000000880000000600000000DB +:1076200006000000000000008600000000000000CE +:107630000000000600000000000000000000000044 +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000004020400000000000000000000D0 +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D0000000000000000000000000400004000066 +:1076E000000000008000000000000000000000001A +:1076F000000000000000000000000000800000000A +:107700000000000000300000000000000000200029 +:10771000000000000004000040000004020400001B +:10772000003480040204000460000024000000040F +:107730000000000000000004000000000000000045 +:1077400000000040000000000000010000000000F8 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000400400000030000040040051 +:1077800000000000000000002000000000000400D5 +:107790002000004000000400200000000000000065 +:1077A00000000000000004000000000000000400D1 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000001018 +:1078600000020000000000002000000000000000F6 +:107870000000000000000000000000000000000008 +:107880002000000000000000004000000001002077 +:10789000000180000000000004000400200000003F +:1078A000040000000080200004000001240000000B +:1078B00000010001000000000400000100000000C1 +:1078C00000000000000000200000000000100C304C +:1078D0000000000000000000000000100000000098 +:1078E0000000000000000200000000000000003066 +:1078F00000000000000000000020000000008010D8 +:107900000020000000000000000000000000000057 +:107910000000000000000020000000000000000047 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000800080000C7 +:1079B00000000000000000000000C0000000000007 +:1079C00080800000000000000000000000000000B7 +:1079D00000000000000000008080000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000004000083 +:107A00000000000000000000000000000000000076 +:107A1000000000200000000000000000040804082E +:107A20000000000800080008000800080008000026 +:107A30001400001800000000000000000400000016 +:107A40000000000000000000000000000000000036 +:107A50000020002000000000000000000000006086 +:107A600042000000000000000000000000000000D4 +:107A700000000020000000000000000000000800DE +:107A800000000020020008000000010000000000CB +:107A900010000000000008001000000000000800B6 +:107AA00010000000020000000000000000000800BC +:107AB00000000100000008000000000000000000BD +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000FFFF5F50FFFF4A +:107B90002727FFFF3535FFFF5533FFFF1D1D4744E6 +:107BA00077470000000000000000FFFF1B1B0000E3 +:107BB00000000000000000000000000000000000C5 +:107BC0000000000000000000000000000000C3C32F +:107BD0009999999933CC999933CC33CCC3C355AA8D +:107BE000999955AA33CCC3C333CCA5A59999999932 +:107BF000C3C3FFFFFFFF0000000000000000000003 +:107C00000000000000007158333393C255556938A5 +:107C1000333300000000278CFF000000000000004C +:107C2000000000000000494B0F0F211355550000C4 +:107C3000000000000000695B0F0F3DF35555000088 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000400000000000000E0 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000C0000000C0000000C0000000C00000033 +:107D20000C0048201E2000000000000000000000A1 +:107D30000C00000000000000000000000000000037 +:107D40000000000000000000000000000000000033 +:107D5000000048804CA84D804CB84D804CB84C80F9 +:107D60004CB84D804CB84D804CB84C804CB84D80D0 +:107D70004CB94D804CB000008C02000000000000A7 +:107D80000000000000000000000048201E204820E5 +:107D90001E2048201E200000000048201E20000059 +:107DA0000000000000000000000048201E204820C5 +:107DB0001E20000000000000000048201E20482077 +:107DC0001E20000000000000000000000000000075 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000400000000022 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000008000284D804D +:107EC00040B94D8040B94D8040B84D8040B84D809C +:107ED00040B84D8040B84D8040B94C8040B048809B +:107EE00040A94C8040B84D8040B84C8040B94D808E +:107EF00040B84D8040B84D8040B84D8040B84D806E +:107F000040B84D8040B000000000000000000000BC +:107F100000000000000000000000482012200000C7 +:107F2000000000000000482012200000000048204F +:107F3000122000000000000000000000000000000F +:107F40000000000000004820122000000000000097 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F9000000000000000000000000000000000C021 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000F554D +:107FD0005F500000000000000000000000000000F2 +:107FE00000000000000000000000FFFF335500000B +:107FF0000000CF030F55FFFF0F330000000000000B +:108000000000FFFF1D1D0000000000000000000038 +:10801000000000000000FFFF1B1B00000000FFFF2E +:10802000DD11FFFF5F0AFFFFF505FFFFF303FFFF11 +:108030003F3000000000FFFF3535FFFFF303FFFF77 +:10804000CC336666AA556666AA5566663C3CAA5558 +:1080500066665A5A3C3C666666666666AA553C3C4D +:1080600066665A5A6666666666666666CC333C3CE9 +:10807000AA55666666665A5A666666666666666685 +:108080006666AA55CC335A5A6666000000000000A6 +:1080900000000000000000000000000000008581DA +:1080A000FF000000000000000000B599FF00000084 +:1080B000000061600F0F00000000000000000000E1 +:1080C0000000000000000000000043220F0F00002D +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B000000040200000000000000000000000005F +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:1082100000000000000080240000C02000CE4420A8 +:108220000001402080D100300000402000C00B0041 +:1082300004020000080083310402C020285400001A +:1082400000000000000000150000C02000A2020095 +:1082500004020000240000000000000000000000F4 +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:108330000000002000000000000800000000000015 +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:10839000000000000000000000008022000000013A +:1083A0000008402480000000400000210000000080 +:1083B000001001000000000080008934000000016E +:1083C0000004000000000000000080220000000106 +:1083D0000010090000000000000000000000000084 +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800004000000000000000000000000000000E8 +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000005000000000000C7 +:1084B0000000000000000000000000004400000078 +:1084C00000000000000000000000000000000000AC +:1084D0000400000000000000000000000000000098 +:1084E0000000000000000000000000020000C000CA +:1084F00000000000A00200000000000400020000D4 +:108500000000000400020000000000002002000043 +:10851000000000000000000000000000000000005B +:108520004400000000000000448000000000000043 +:108530004400000000000000C40000000000C4006F +:1085400044000000000000000000000000000000E7 +:108550004400000000000000C40000000000000013 +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000004000000000000000000000804A +:108670002008010000000004088000000000000045 +:1086800008800000000000000880000000000000DA +:108690000880000000000000000000000000000052 +:1086A0000000000000400000000000000002000088 +:1086B00000000000000000000000000000000000BA +:1086C0000000000002400000000000000000000068 +:1086D000000000000040000000000000000000005A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:1087600002000C00C001000000000000000000003A +:1087700000000000000000000000000000000000F9 +:10878000000000000000282000508016400000007B +:1087900000000000000000000000001800000000C1 +:1087A000000000000000000000000000A0004000E9 +:1087B000040010400060410000001081A26000022F +:1087C00000000096C500000004000E00C0602000FC +:1087D000005000010000002000000000000000C068 +:1087E00001100008000000000004500A00004000D2 +:1087F000F00030000AE0000F0008000002E0602FE7 +:1088000020680F0002620000F4082C000210000F24 +:108810000008000002000C00000001000001000040 +:10882000000000000000000220000000020000A381 +:10883000013C0000020000020000000000001000E7 +:108840000080800000000802208000180000000066 +:10885000000000000000000770010000000002009E +:108860000090003800000000000000000000000040 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E000000000A001000200C00100000000000024 +:1088F0000000000000000000000000000000000078 +:1089000000000E0000000000000008800034000697 +:108910000001000000000000000000000000000056 +:10892000000000000000000000000000E000000067 +:10893000000000A703000100000002A023001600B1 +:1089400008000E8205000080010000000000000009 +:1089500000080EA0000000000000088003000000D6 +:108960000000000000400C000000000000004E016C +:1089700000000C0000382850B040000000B800345F +:108980000130080002021410C000000000BC08D032 +:1089900024800000008008D00400030000001000C4 +:1089A000C00000000000000000000000600100C0E6 +:1089B000046000000200000000000010000000C081 +:1089C00004000C000038140600001000603800009D +:1089D000040000000000000000000010200220C081 +:1089E0000460000000B8000100000000000000006A +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A80000000000000001000000000000000C00016 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00010220000800000000000000000200000E4 +:108AC00010000200010203140000000083000000F7 +:108AD00008000000800001000800000000000A40BB +:108AE000000000014000018000004202100001006F +:108AF0000000010800009000020800001002004081 +:108B00000008810400020000000000001002800044 +:108B1000000010001800800000001100100002008A +:108B20000000000000000000000000000000000441 +:108B30004000249000440046010024B00C000000D6 +:108B40000000045000000A0000002A000000002C71 +:108B5000D0004018004400000000000000000008A1 +:108B60008000000000404000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF000A8020000000000000000000000000000CB +:108C000000000000000000000000280000004000FC +:108C10000000002002000000000000000000000032 +:108C2000000008000000000000000000000000003C +:108C3000000000206200000000800002C72D00003C +:108C400008000000E00100080201828C07001040CB +:108C500000000000E2810000000000800100000030 +:108C60000000000000800007A002100007000000C4 +:108C700010000C6000009000380000800030000FF1 +:108C80000000222060281603A8320100082800678F +:108C900000E00020103000071000006200280007EC +:108CA000100000000700000718000000000000008E +:108CB000000080001000144000400000000C10C0B4 +:108CC000A00080000000004000000000000C9000A8 +:108CD00000001007000C14C300600000000000003A +:108CE00000004004000022C000600100008C00076A +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000A0000000000000000000000053 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000001A00000000B9 +:108DA00000000000000020000000001A0000000089 +:108DB000000000000000000000300000F0308000E3 +:108DC00000000000A000000000000000C00000043F +:108DD00000703D000600000000300000F0000000C0 +:108DE00000000000000008C001300028A0000C00B6 +:108DF000000000002000004000000000A0000E6DF8 +:108E000000360020E01800000480085EA104220063 +:108E100000808F00C0042C0B502EBC560000AC40CC +:108E20000080AC1600002000000080000000000060 +:108E30000000000000000005500014000000008247 +:108E400000001000000000050000140000000800F1 +:108E50000000000000000C0360005600000000004D +:108E60000000000000000003600000000404060091 +:108E700000000120000000000000000000000000D1 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000100000000000000031 +:108F3000800000000000000000019002040000001A +:108F40008100000000000000000024001000000468 +:108F500004040001000400000001000000000040C3 +:108F600000000010000000000000000000000000F1 +:108F7000000410000000000000101000105000104D +:108F800010111040008000000000000004000000EC +:108F900000200040000000004000004000000000F1 +:108FA00000000244000080100000000000000000EB +:108FB0000000400000000000001000000000000061 +:108FC0000000000000000000001000000000000091 +:108FD0000010000000000000001000000000100061 +:108FE0000000000000100000000000000000000071 +:108FF000200000020000000001000000000000004E +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:10909000000000000000000000000006000090003A +:1090A000060000000000000000000000300000008A +:1090B0000000000000000000000000000000300080 +:1090C0000000000004000000005000000005000047 +:1090D00030000000000000000000000000000070F0 +:1090E0000000700000000010000000000000000000 +:1090F000007000A000000090000000000010300090 +:109100003010003010303000000000090070309046 +:1091100030903000709030903000000070000000FF +:10912000000000000000000000000030900000007F +:10913000000000009000000000000000002000007F +:10914000000000000000000000000070001000504F +:10915000000090700010000000000000903000003F +:1091600000001000005000007010000000000030EF +:1091700000009000005000000050000000000000BF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:1092100000000000000000000000700000000000DE +:10922000000000000000000000000000000000003E +:109230000000000000000000200000000606000002 +:10924000600420400C000240290000404000024021 +:1092500006003C404000020C0C000A4C404001005B +:1092600000040000010002110000000201000201E0 +:1092700000000002010002010004000101000201DF +:10928000000000020110720100080600001000003A +:109290000000F0008400800070208000800000004A +:1092A000100000000000000000004C3800003000FA +:1092B000000000000000000000003000000000007E +:1092C000800000000000000080000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000500000000000000000002E +:1092F000000000F0000050001000000C0000000012 +:109300000800000000000000000000000000000055 +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:10938000000000000000000000000000800000005D +:10939000000000008000800000000030800000001D +:1093A000000000008000000000000000000000003D +:1093B000000001000030000000000000000000007C +:1093C000000000000000000000000030000000006D +:1093D0000000000000000000000000040000000089 +:1093E0000000000000000000000402000004000073 +:1093F000000000000000000000002000000000004D +:10940000000020000000000000002000000000001C +:1094100000002000000040000000200000000000CC +:1094200000002000000000000000200000000000FC +:1094300000002000000000000000200000000000EC +:1094400000000000000000000000000000003000EC +:10945000000000000000000000000000000000000C +:1094600000000400000000000000000000000000F8 +:1094700000000000003000000000040000000000B8 +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:109500000000000000000000040000000000000057 +:10951000300000000000000030003000000000407B +:1095200030000000000000003000000000000004D7 +:109530000000000000000C0010800000000000048B +:10954000000000000000000000000000000000001B +:109550000000000000000000000000010000000109 +:1095600000000000000000000001000100000000F9 +:10957000000000000000000000010020000080202A +:10958000000000208000802000000020800080007B +:10959000000000208000800000002000800080206B +:1095A000000000208000802000000020800080203B +:1095B000000000208000802000000020800080202B +:1095C000000000000000000000000000000000009B +:1095D0000000B000000000000000000000000000DB +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000B0000000000000BB +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000001012000000004000000B4 +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B0000000000400000000000000002000000086 +:1096C0000000000400000000000000000000000096 +:1096D000040000100000000004000000040000006E +:1096E0000400000004000000040000080000000066 +:1096F000040800000008000004080000000000202A +:1097000000080020000000200000002000000020D1 +:109710000000000000000020002000000000000009 +:109720000000002000000020000000600000002079 +:1097300000000020000000200000002000000020A9 +:1097400000000020000000000000000000000000F9 +:1097500000000000000020000000000000000000E9 +:1097600000000000000010000000080000000000E1 +:1097700000000000000000000000000010200000B9 +:1097800000000800100000000000000000000000C1 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:10980000000000000000CF03CF03FFFFFEFFFEFFBC +:10981000FF7F000000000000000000000000335542 +:10982000774400000000000000000000000000007D +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000F5051E +:10985000AF05000000005F0AAF0500000000535094 +:109860005F53CD017747000000001D0C3F1D000035 +:10987000000000000000CD01DF1300000000000028 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F000000000000000D3D7333300000000000058 +:10990000000000000000000000000000000061FFF7 +:10991000555500000000000000000000000000009D +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:10996000000000000C0000000000000000000000EB +:1099700000000000000000000000000000000000E7 +:109980000000000000000000000000000C000000CB +:109990000C0000000C0000000000000000000000AF +:1099A000000000000C0000000000000000000000AB +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D000000090241E000000000090241E000000E3 +:1099E000000048201E2048201E20000000004820C3 +:1099F0001E20000000000000000048201E20000083 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A70000000000000000000000048201E20000040 +:109A800000000000000000000000000000000000D6 +:109A9000000048201E200000000000000000000020 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000040040000000000000032 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B300000000000000000000000800400000000A1 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000004820122048201220000000000000C1 +:109B7000000090241200000000000000000000001F +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C60000000000000000000000000800000000074 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA000000000000000FFFFFEFF000000000000B9 +:109CB0000000000000000000000000000000FFFFA6 +:109CC000FFFF00000000000000000F550F330000F0 +:109CD0000000000000000000000000000000000084 +:109CE000000000000000CD01DF13CD01DF130000F4 +:109CF0000000000000003535F50500000000000000 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000FF3F3F300000000000000000000076 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E400000000300040200002800000000000000E1 +:109E50000000000000000000000000000000800082 +:109E60000002000000008000000A000004038000DF +:109E700000200000000040200010000004024020EC +:109E80000000000000008000000E00000000000044 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F0000000080010000800000040000000000004C +:109F100000000000000000000000000100008000C0 +:109F2000000200000000000000000000000000002F +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000900000000000000000088 +:109FD0000000000000000000000000000000800001 +:109FE0000000000100048000000000010000C1002A +:109FF0008000000128000020000000000000012077 +:10A000000000000080088000000000010000000047 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A08000000000000000801000000001000400003B +:10A090000000000000000000000000000000801030 +:10A0A00000000001000400000000000000000000AB +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A15000C00000000000000000000000000000003F +:10A1600000000000000300030000000300000000E6 +:10A17000030000C00000000080000022000000007A +:10A18000000000230322C00000C000000000000007 +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A000000000000000000400100000000000009A +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D00000000000800000000000000000000000FE +:10A2E0000000000000000000000801080100000854 +:10A2F0000080000008800020008000008000000036 +:10A3000000000000000000004000800000200080ED +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000010000000000000000000000000C +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900001000000000000000000000000000000BC +:10A3A00000000000000000000100000000000000AC +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A4200000000000000000000060400050020880B2 +:10A4300003000000000000000000200010004004A5 +:10A4400000300000000000000000000000000000DC +:10A450000000000000340000000000800100000047 +:10A460001002000000000000000000002C000000AE +:10A4700000000000F40000000000134020BC002792 +:10A48000C08A000F000000800200600800001C0865 +:10A490000200000010042C00000400000000000076 +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000A000080000F000000000000000F4 +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A5100000000000F00000000060000000000000EB +:10A5200000000000000000000000000068000000C3 +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A000000000000000000000000000006000004B +:10A5B0000002011000140000000000000000000074 +:10A5C00090014C00000400000000000000000000AA +:10A5D00000000000000000000000000000000080FB +:10A5E000010000000000000000000000000000006A +:10A5F000E000000000000000E00000000000024059 +:10A6000026380006C000000B003428C000000200FD +:10A610000000004100000000800200000000000077 +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A6400000000000000000800174000050000000C5 +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A69000000000000000000000000000004000007A +:10A6A00000000000000000000000000000000000AA +:10A6B00080310000001400000000000000000000D5 +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A7200000000000000000000000000080000000A9 +:10A7300000460000000300000202000000000000CC +:10A7400000000000000000000020000000000000E9 +:10A750000000000000000000000000000080000079 +:10A76000000000000D0000000000000000000000DC +:10A7700000000000A00400000004000080000000B1 +:10A7800000002000CA03040030100000002020E078 +:10A7900000000000000088A6000000003020040037 +:10A7A00000A1000000000000000000000000000008 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000800000000009 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A8100000000000000000000000000080000100B7 +:10A82000000E00000000000000000000000000001A +:10A8300000000000004000000000000000000000D8 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B000800114000008000C00001000007000006F +:10A8C000000000000000020000000000000C00007A +:10A8D0000000000000000000000000000000000078 +:10A8E00000000000000000800100000000020000E5 +:10A8F0000000000000000000603000000048000080 +:10A90000E001000000301C80616C1C07D060800FEB +:10A91000003C10200000810C0040300E01000000BF +:10A9200000021C00004000000000000000000000C9 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A950000F000000000000000000000000000000E8 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000381000006000000000000000000000FF +:10A9B000000000000000000000400C0000700000DB +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000020000100000000005848400015 +:10AA400001E8000000000000000020000068000F86 +:10AA500000300000000000000000000000000000C6 +:10AA600000000000000000000000000000000000E6 +:10AA70000000000000000000000000006000000076 +:10AA80000000000070800000006C0C806128951EA2 +:10AA9000A064000400083C000200020400283C46B8 +:10AAA0000900000000080D00004000000000000048 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB200000000000600200000000000000000000C3 +:10AB30000000000000000000000000006838400035 +:10AB40000080000000000000000000000000000085 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA0000000000000000000800000000000000025 +:10ABB0000000000000000000000000000080000015 +:10ABC000000000000800000000000000002000005D +:10ABD00000000000000000000080000000000000F5 +:10ABE000000000000000000000000020080100003C +:10ABF0000000000000000010101000000000100015 +:10AC000041018020304000001090803000109400FE +:10AC100020440000400010001414000020100440E4 +:10AC20001044000100440000140000000000000077 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000001000004000500064 +:10AC5000000009800000000042000A800A0000088D +:10AC6000000008002000000424000800010000008B +:10AC700001000000000000000008000000000000CB +:10AC800000000000000000000000000000000000C4 +:10AC90000010000000000000000000100000000094 +:10ACA0000010000008000000100000100008000064 +:10ACB00000000000000008008000080000080008F4 +:10ACC000000800000000000000000000000000007C +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD4000000000020000000CB8000000000000003D +:10AD50000000000000006000000000000000000093 +:10AD60000000000000000000000008090000000AC8 +:10AD70000009000000000000000000301830000052 +:10AD8000000A1000000D0000300000001010053017 +:10AD9000B030300C3E0D00000D00300A3039080A8A +:10ADA000003008703009000D007008003009700094 +:10ADB00000000D79000970000000087D0C0970008A +:10ADC00008090090300D70000000007000397C0D03 +:10ADD0000D7010000C00309000000000300030902A +:10ADE0000C005D040000000E000A0000000C0000D2 +:10ADF000000000000E000E0000000000000000092E +:10AE0000000000000000000000000000000D08002D +:10AE1000000000000810000000000009000D0810EC +:10AE2000000000000810080D0800000010000030AD +:10AE3000000C00000010001000000C00B0000C001E +:10AE40000018000C000C00000000000000000000D2 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000100000000070010000000001 +:10AED0000000000000300000000000000000000042 +:10AEE0000010000000000000000000000000010051 +:10AEF000000000100004000000000000000000003E +:10AF000001000000000000003404200000300000B8 +:10AF10000A20202000001C01801400003050000096 +:10AF200008080B00100009100018000C0034010084 +:10AF3000080000C100000000000000000000010047 +:10AF40000100400001000000F000000000000000CF +:10AF500000000100100030000100FC1000000000A3 +:10AF6000B0008C100400000000000000100E000C67 +:10AF70003801000008000000080000000100000087 +:10AF800000000000010000000000000000000000C0 +:10AF9000000001008000000001000000000000002F +:10AFA000000001000000000001000100000000009E +:10AFB0000000000000000000008000800000000091 +:10AFC00030000000008000000000000000000000D1 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000010000000000000000000 +:10B040000000000000000000000000000000000000 +:10B050000004003080000000000000008000800438 +:10B060000000000000308000000000000000000030 +:10B0700000000000000000000000000000000000D0 +:10B08000003000304000040000000000000000001C +:10B0900000000000000000000000000000000000B0 +:10B0A000000002000000000000000000000000009E +:10B0B0000000000000000000000000000000000090 +:10B0C00000000000000000000000000000008008F8 +:10B0D0000000000000000000003000000030000010 +:10B0E0000000000080008000000000000000000060 +:10B0F0000000000000003000000000000000000020 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B1300000000000000004002000000000000000EB +:10B1400000300000000000000000000000000000CF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000040000000004F +:10B1C00000000000000000800000000020000000DF +:10B1D000000004000001004030000000000030804A +:10B1E000300030010000000000403000000000008E +:10B1F000000000000000000000020000000000004D +:10B200000100000000B0000030000000000000005D +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B25000000030010000000000000000004000007D +:10B26000004000000010000030003020000000000E +:10B27000000000000000000000004000000000008E +:10B2800000000000000000000000000000000000BE +:10B29000000000000000000000000000000030007E +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C0000000000000B000000000000000000000CE +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500020000200000004000000000000000000C7 +:10B3600000002000000004000000000000000000B9 +:10B3700000000000000000000000000000000000CD +:10B380000000000000040000002000100000080081 +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000200000000000201D +:10B3F000000000000041008000000000000000008C +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B4200000002000000000000000000000000000FC +:10B4300000000000000000001000000000000800F4 +:10B4400010000000000000000020000000000000CC +:10B4500010000000000000000000000000000000DC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D00000000000000000000000553333557744A1 +:10B4E00047470000000000000000000000000000CE +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B510000000000000000000000000000000F10139 +:10B520003F1D000000000000000000000000CD01F1 +:10B53000DF131D0C3F1DFFFFFFFF4744774700004F +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000AB56333300000000000014 +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B630000400000000000000000000000000000006 +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B660000C0000000C0000000000000000000000C2 +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000048201E2000000000000000000000F4 +:10B6B000000048201E2048201E2000008C02482048 +:10B6C0001E2000000000000000000000000000003C +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B740000000000000000000000048201E20000053 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B000000000400C00000000000000000000003D +:10B7C0000000000000000000000000000000000079 +:10B7D00000000000000000000000D8A472A9DFA44F +:10B7E00072B9DFA472B9DFA472B9DFA472B9DFA4A1 +:10B7F00072B0000000000000000000000000000027 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B82000000000A01228DDA452B8DDA452B8DDA4A7 +:10B8300052B8DCA452B9DCA452B8DDA452B9DDA4DC +:10B8400052B9DCA452B1000000000000000000006A +:10B8500000000000000000000000000000000000E8 +:10B860000000000000000000000000000000488010 +:10B8700040A94D8040B94D8040B84D8040B84D80C2 +:10B8800040B94D8040B94D8040B84D8040B94D80A1 +:10B8900040B84D8060B100000000000000000000D2 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B950000000000000000000000000000000FF00E8 +:10B96000555555553333FF000F0F0F0F3333FF007D +:10B970005555FF00AAAAFFFFFFFF000000000000CE +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A000000000000000FFFFFFFFAA5533CCA5A553 +:10B9B000C3C355AAC3C399999999C3C333CC55AA94 +:10B9C000999955AAA5A59999999900000000000098 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000CC33AA556666AA55AA55CC335A5ACC +:10BA0000CC33AA556666AA555A5A5A5ACC3366663A +:10BA100066666666CC33AA5500FF00000000000091 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000001000000010000040300002401000028 +:10BAF00004030000CC010000040200002C00000040 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000100000001000013 +:10BB300004034020448100000403000000000000D2 +:10BB40000403C0202010000004038000400800000F +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD00000000000000000200000402000400000A5 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC6000000040008000000008004100800000004B +:10BC70004000410080000000800001000000000042 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA00000000000000000000000400080000000D4 +:10BCB000400041208000000080084100800000001A +:10BCC0002800C120800000014004C1008000000164 +:10BCD00080000000000000000000000000000000E4 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000002100000000C2 +:10BD600000100000000000000000000000000000C3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE00000000000000000C80000008080C8C0C83B +:10BDF00000C08000C0C8C0C8C4C0C08080C800C027 +:10BE000000C0C000C40000000000000000000000EE +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE3000800000000000008000C04400C80300C86B +:10BE400000C0C0008000000000C0C02280130000BD +:10BE500000C8C400800300000000000000000000D3 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000400000000000000040000000000AA +:10BE900000000400000000000000C00000000000DE +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE000440000000000000000000000000000000E +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000002CF +:10BF7000800080000020800080008000002080027F +:10BF8000800000020020800000000000000000008F +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB00000000000800000000000000200200000DF +:10BFC0000001000000208000800000400020800070 +:10BFD0008000004000000000800100000000000020 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C01000000000000002000000000000000001001D +:10C0200000000000000000000020000000000000F0 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F000000000000000000010030000E0008002CB +:10C1000000000000020000000080020000000000AB +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000004205B8 +:10C1400000800000420016000000000E0000000504 +:10C1500078059C0002400000840C100E0044000092 +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C18000000000000000100000000C0000002C1057 +:10C19000000414000001084002900000C0000000EC +:10C1A00000040C00000000800100000000000000FE +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E0000000000000000002000000000600000047 +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C27000000000000000000000000000E038140092 +:10C2800000940002000000470000100000000000C1 +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C0000000121700380020E900000000001C08E0 +:10C2D00000004015E00008400048100000001D0864 +:10C2E000004000000000000000000000000000000E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000E8001000D0091 +:10C3100000001C8003000D00A000000000B82800F1 +:10C3200000000C0000001606000002400614280061 +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C36000000000000000000000000000000000804D +:10C3700001000000000000000000000000000000BC +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000001000000000020001C +:10C400004100100020002021010080A00000000059 +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C440000000000000003000001000800A00200002 +:10C45000001010000400B0A820200AA0000800006E +:10C46000020010000020000000000000000000009A +:10C4700000000000000000000000000000000000BC +:10C48000000000000000000000000000000090001C +:10C490000600020000000100000402000000040089 +:10C4A00000000000200022000800820000000300BD +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E0000000000000000000000000000000000646 +:10C4F00000000080000000000000000000000000BC +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C58000000000000000000600840004000000A07D +:10C59000010000000000000000000000000000009A +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C00000000000000000000000003A005002C01F +:10C5D000C10000A008008000E000000263021CC04F +:10C5E000008400A080001C0840C40000000000007F +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000810010000073080004A +:10C62000E00008000090000BE0000E000A80000609 +:10C63000E0011200000000071000000000000000F0 +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000002C00000000000000000F8 +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C7000000000000D0000000000000200000000039 +:10C7100000000000D0000000000000070000000042 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000004E5 +:10C75000001288000A02000000000000D000002241 +:10C7600060083DC0DA01000018158100D0420000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000EC00100000000000000CA +:10C7A0000050000000020100000280005002004022 +:10C7B0000000800000020200005000200000000085 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F000000000000000000300000800040000002A +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C870000000000000000000000000800080800038 +:10C8800000000000010008008000000000200000FF +:10C890000000000000000000000000000000004850 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000100000077 +:10C8C000800000008000000081000800014000009E +:10C8D0000100000284040000004008200022001033 +:10C8E00000000002000000440440020C00000004AC +:10C8F00000400000000000000000000000000000F8 +:10C900000000008000018000800400800001010020 +:10C910000101008000828008010100000082018086 +:10C9200000000800000001820000110000800000EB +:10C9300000008080004000000000000000000000B7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000008000000000000000000AF +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA0000000000000000000000000C00000000001A +:10CA1000000008000000000000000000000090007E +:10CA200000000018000000000000000000000000EE +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000C00DA +:10CA500000000000000000000000000000000800CE +:10CA6000000A001A00090000000000000000000099 +:10CA7000000A0070000050000000000000000000EC +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000080009000085 +:10CAA0000602000600003CA0A60A0006060030A010 +:10CAB000065500060000000002020006000000000B +:10CAC0005600000606000000000000000000000004 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF000000000000000000000000C00000000002A +:10CB000000000000000000000000003000000050A5 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000010701030000000000008000000DD +:10CB90001000000000300100000000000000000054 +:10CBA00000000000000000A00000000000000000E5 +:10CBB0000000000000000000000000000000000075 +:10CBC00000000000040000002000007020000000B1 +:10CBD00028000070083000000800000C180C00004D +:10CBE00000200030001800000000000C00000008C9 +:10CBF0000820000C0000000C0020000000000000D5 +:10CC00000000000000000000000000000000000024 +:10CC100000080000000000000800000000080000FC +:10CC20000C000000002808200000800000000428FC +:10CC30000000040000200000000030200010000070 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC80000000000000000000000000000000008024 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD000000000000000000000000000000300000F3 +:10CD1000000000000000000000000000000C000007 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000300000B3 +:10CD500000000000000000000204020000040000C7 +:10CD600000000000000000000000000000000000C3 +:10CD70000000000000000000003000300000003023 +:10CD80008030003000300030803000000000003083 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE100000000000000030000000000000000000E2 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE900000800000000000000000008C0000000086 +:10CEA0002001000020000000000000000000000041 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED00000B00000000000000000000000000000A2 +:10CEE0000000000000000000000000000000000042 +:10CEF00000000000000000000000000000400040B2 +:10CF00000000004030400040004000403040000041 +:10CF100000000040000000000000000000000000D1 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF900000300000000000000000800000000000E1 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D01000000000400000000000000000000082044A +:10D0200000000000200000002000000020000000A0 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000002000000000000000000000B0 +:10D0600000080000000800000000000000000000B0 +:10D0700000000000000000000000000800000000A8 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B00000000000000000000000000000008201ED +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D1100000000000002000000000000000000000EF +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E0000000000000000000000053505F53335562 +:10D1F000330F0000000003553F55BB110F33774438 +:10D200000F330000000000000000000000000000DC +:10D21000000000000000000000000000000000000E +:10D220000000FFFF3F0C0000000000000000FFFFB7 +:10D23000F30300000000FFFF3F300000000000008B +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000040000002A +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D360000000000000000000000000000000482055 +:10D370001E2090241E000000000048201E20902443 +:10D380001E0090241E0000000000000000000000AD +:10D39000000000000000000000000000000000008D +:10D3A00000000000000000000C0000000000000071 +:10D3B000000000000C000000000000000C00000055 +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D480000400000000000000000000000000000098 +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F0000000000000000000000000000000902478 +:10D50000120000000000482012200000000000006F +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D62000000000000000000000005F5053530000A5 +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D6800000005F0A772200000000272277270000B1 +:10D69000000000000000000000000000000000008A +:10D6A0000000000000000000000000000000FFFF7C +:10D6B0005F0AFFFF535300000000FFFF2727000011 +:10D6C0000000FFFF5F0A00000000000000000000F3 +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000C02049 +:10D8000000E6000000018000C00C00000001C02004 +:10D810008054000000000000000000000000000034 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D970000000000000000000000000000000802007 +:10D98000000000010010C000800000010000C02065 +:10D9900080000001400400000000000000000000C2 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000300004400C00000130001801367 +:10DB1000000000034480C0C003400000000000007B +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000800000000DD +:10DB40000000008000200000000000000020010014 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC800000000000000001080080000010400000BB +:10DC900000018040000001080082100420200000E4 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000008040000000004000000000000000044 +:10DCD0000000200000000000000000000000000024 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB00000000000000000000000008001000000E2 +:10DDC000A0000000000000000000000000000000B3 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE000000000000000000000000000220300000C0 +:10DE10000130006028510000007200E8F1B03400C9 +:10DE20004A38000000000000000000000000000070 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000082200000000000000A8 +:10DE500040380000000000002030000000000000FA +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000040A1 +:10DF400000000000A8020000000000000000000027 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF8000000000000000000000000000000000028F +:10DF900000BC0020036000C021000000C0780080A9 +:10DFA000038000004014000000000000000000009A +:10DFB0000000000000000000000000000000000061 +:10DFC00000000000000006000000500000000000FB +:10DFD0000000000008000020030000000000000016 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E110000000001460002000006400000000000007 +:10E1200000890080000000C03C800000000000006A +:10E1300000000000000000000000000000000000DF +:10E14000000000000000000000000220000010801D +:10E1500062000000080020001010000000002000F5 +:10E16000301200000000000000000000000000006D +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E24000000000000000002002000000200000008C +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000800C808000800108002049 +:10E2A000F0811D000802004FC63114000438000040 +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000044A +:10E2D000030000080000000082011400000000009C +:10E2E000800110000000000000000000000000009D +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000300200000000000000000000000000000B +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000400003CC0FC +:10E4200009000000703015000000004000804E0020 +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E450000000002000000000C200000000001200C8 +:10E46000A03000000000000020400000000000007C +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E54000000000000000800000000000000000004B +:10E5500000000000000100000000000000000000BA +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E580008000000001000004000000000001000005 +:10E590000000200000000000040000000000000057 +:10E5A000200000000100000000040000140000082A +:10E5B0000000040000000000000000040000000053 +:10E5C00004000000100000800200000000000000B5 +:10E5D00002400000800000800042000101000000B5 +:10E5E0000200001000000000000200000001080806 +:10E5F0000000040004800000000000000000000093 +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000800D2 +:10E6400000000000000800000000000800080800AA +:10E65000000008000000080800080000000000009A +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D0000000000000000000010000000000000039 +:10E6E00000000C000000000000000000000000001E +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E72000000000000D0000000000080000000000D4 +:10E730001000008C00000000000000B0000000503D +:10E740005000A000000000003009000000000000A0 +:10E7500000900000000000000000800000000000A9 +:10E760000000000000000030000000000000000079 +:10E7700000000C040101017001B00C010101000056 +:10E78000000E900000010E00000000000E000000CE +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000080000000000000400000000000439 +:10E7D0000004080000000000000008000000000025 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000001000B8 +:10E8500000000000000000000000000000080000B0 +:10E860000000000000000100000000000000810026 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000200000000400000C58 +:10E890000000000000045000000010000000000014 +:10E8A0000800000000000000100000000C700100D3 +:10E8B000500800700000000000000C000000000084 +:10E8C0000000000C0A00000008000000000000200A +:10E8D0000C000000000000000C30000006000020CA +:10E8E0000038000C080000000C0000000A000000C6 +:10E8F00006080000000C000000000C0008000100E9 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000002017 +:10E9E00000000000000002008000000000000000A5 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA1000000000000008000000008000000000006E +:10EA20000000301000080000000000000008000096 +:10EA3000000000100008000000000000800000003E +:10EA40000000000080000000000000000000000046 +:10EA500000000000B0000000000000000000000006 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000008000000000008000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000040042 +:10EAD0000000000000000000000000020000000034 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000080000000000000080030000000ED +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000200000000100063 +:10EBA0000000000000000040000200000000000023 +:10EBB0000002000000000040000200000000000011 +:10EBC00020000000000000002000000000100000F5 +:10EBD00000000000000000006000000000000000D5 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000C00000000000000000009 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000004B0 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE00000000000200000000000000000000040C4 +:10ECF00040000000000000000000000000000000D4 +:10ED00000000000000000000000000000000000003 +:10ED10000000000000000000008000000000000073 +:10ED20000080000000000000000010000000000053 +:10ED30000080000000000000000000000000000053 +:10ED400000000000000000000000000000000000C3 +:10ED50000020000000000000000000000000000093 +:10ED600000000000000000000000000000000000A3 +:10ED7000000000000000000000000400000000008F +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD000000000000000080000000000000000002B +:10EDE000000000000000080000000000000000001B +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000FFFF34 +:10EEE0007722FFFFBB11FFFF3F0CFFFF330FFFFF38 +:10EEF0001B1BFFFF3F0CFFFF3F30FFFF550FFFFFC6 +:10EF00007744FFFF330F00000000FFFF774400004D +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC00000000000000000000C0000000000000035 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F06000000000000C0000000C0000000C0000007C +:10F070000C0000000C0000000C0000000C00000060 +:10F080000C0000000C0000000C000000000000005C +:10F090000C00000000000000000000000000000064 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F14000000000000000000000000040040000007B +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000D8A452A9DCA452B9DCA4DD +:10F1B00052B9DCA452B8DDA452B9DDA452B8DCA423 +:10F1C00052B9DCA452B8DDA452B9DCA452B8DDA413 +:10F1D00052B8DCA452B9DDA452B8DDA452B9DCA403 +:10F1E00052B8DAA432B8DAA432B8DAA432B8DAA45F +:10F1F00032B8DAA432B8DAA432B8DAA432B8DAA46F +:10F2000032B8DAA432B8DAA432B8DAA432B8DAA45E +:10F2100032B000000000000000000000000000000C +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F260000000000000000000000000A01228DFA441 +:10F2700072B9DFA472B9DFA472B9DFA472B9DFA4D6 +:10F2800072B9DFA472B9DFA472B000000000000000 +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000C07E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F32000000000000000000000000DF259AA39CCD6 +:10F3300059AA39CC59AA39CC39CC59AA59AA59AAAF +:10F3400039CC39CC59AA39CC39CC59AA59AA39CC9B +:10F3500039CC59AA39CC63C965A965A993C665A9F1 +:10F3600065A963C993C687877788778887877788F1 +:10F370008787778877887788878777887788778877 +:10F380008787778877887788878787877788778858 +:10F39000878777888787FFFFFFFF00000000000056 +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E0000000000000000000000000000000FFFF1F +:10F3F00055553333FF0055550F0FFF005555333327 +:10F400000F0F0F0FFF00555533335555CCCCFFFF71 +:10F41000FFFF0000000000000000000000000000EE +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000040300004000000004030000CC01000031 +:10F4C00004030000AC010000040300008C010000F4 +:10F4D00004030000C800000004030000A8000000AE +:10F4E0000403000088000000040300000401000081 +:10F4F0000403000024010000040300004401000094 +:10F5000004030000000000000403000020000000CD +:10F510000403000040000000040200000C00000092 +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000100004000000004030000CC01000076 +:10F5800004030000AC010000040300008C01000033 +:10F59000040200000800000000000000000000005D +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000004100800000008000410080000000C8 +:10F640008000410080000000400041008000000078 +:10F650002800410080000000800041008000000080 +:10F6600040004100800000002800410080000000B0 +:10F6700028004100800000004000410080000000A0 +:10F680008000410080000000280041008000000050 +:10F6900040004100800000008000010000000000E8 +:10F6A00080000000000000000000000000000000DA +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F0000000400080000000000041008000000089 +:10F7000080004100800000004000410080000000B7 +:10F710002800010000000000800000000000000040 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B000000000000000008000C0CB01D30000C0AA +:10F7C00000C0CB03930000C000C00303C9010000C8 +:10F7D00000C0CB009303008000C0CB00C11300C861 +:10F7E00000C08300D70300C003008303C90000C02A +:10F7F0000000D703830000C00001DB00C503000048 +:10F800000023C0138103C40000801323C1C800C0BB +:10F810000000DB2381C3C8000000C401D3800000C6 +:10F8200000C00300C3000000000000000000000052 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000800000008000C8C1FF +:10F88000D3C381C0CBEB00C000C88000C40000C05F +:10F890000080C000C800000000C00000C0000000E0 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000200200401A0 +:10F940008000000200200401800000200002040169 +:10F95000400100000020040080010002002004009B +:10F96000C00000000002840000010020400084016B +:10F9700040000002000000018400000200010000BD +:10F980004001000000008000C001080000020000EB +:10F99000C000002000000000C003000000000001C3 +:10F9A0008002000200200400C000000000000000EF +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000008000000087 +:10FA0000800000218003C020400000020000800030 +:10FA10000000002000028000000000020020000022 +:10FA20008000000000000000000000000000000056 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB00000000000000000000000000000000C80BA +:10FAC00000000007D0020C80018000044000088084 +:10FAD0000080010440000C80019000044002088076 +:10FAE0000080000440000CD003700C27E0001E10C2 +:10FAF00002801208E0021F0000701C070000460090 +:10FB00000400149E4170004001040C00E27041C0EA +:10FB100003781200A14016C003080040E00000C0B6 +:10FB20000304A9C0A170000000080006003800000E +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB8000000000000000000000000000E000000095 +:10FB900000000000E0000000000000000000000085 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC40000000088000109C04C0001C8001389C0C3F +:10FC5000500118800038880C50011C8001B89C0CA1 +:10FC6000C001188000389C0C50011C0000001106D7 +:10FC7000500000800110940CC000002003008C0490 +:10FC80004002000008000C000808060000001000F8 +:10FC900000080600000010000001000000401000F5 +:10FCA0000000000B00000007000900006041500048 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000001000000000000000000000000007CC +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000007000051810000000300066 +:10FDD0000514100000001100051000004000B000E4 +:10FDE0000524100000003000051010000000110074 +:10FDF000082802002000100000000200200001007E +:10FE000000A8380004004000000059000101420031 +:10FE1000010058819400C12000800280002003006E +:10FE20000081020080100300008000000000C1007B +:10FE300000080200000000000000000000000000B8 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000100062 +:10FE90000000000020000000020000002000100010 +:10FEA00008008000000020000008000000000000A2 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000016000001C062E +:10FF5000100010800FB000071000106002400C036A +:10FF60001000000003300000100001A001808C048C +:10FF700010001080012C8000E001070000101C061A +:10FF8000200300000E0801070001236002000008A2 +:10FF90001800092C6A003000008000A4299000009D +:10FFA0001000102C68900C07E00100A06910800080 +:10FFB000E0000000000080000000000000000000E1 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040001F9 +:1000000000000000000000000000000000000000F0 +:100010000000100000000000C00000000000000010 +:100020000000000000000000C0000000000000060A +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C000000000000000000000000000000002C06E +:1000D00001043D25100002400100002BD00000402B +:1000E0000090012F000000900330BC16D0000240A9 +:1000F0000380011AF000004003303C074002031067 +:100100000206AD0F000016400130102F0000024023 +:10011000000000C601600F00000100C001000000E7 +:1001200000010036F0380240040040E10132164080 +:10013000042C28CFF11A000000000E09003800003E +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:1001900000000000D000000000000000000200008D +:1001A0000000000700000000000000000002000046 +:1001B000000000200000000000000000000000001F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000080800000DE +:1002200000000000010200010000000000000010BA +:1002300000000000000000000000000000000000BE +:10024000001000000000000000000000808000009E +:1002500040000010808000000000040000018000C9 +:1002600004000C10041004000440040004000C08F6 +:10027000044004100410840000020200008900847D +:1002800000040204801000802000040000000080B0 +:1002900004000400209000001400020000400081CF +:1002A0004000020000200080840044000000002084 +:1002B000040042000000000404000C0000000000E4 +:1002C000040000000000000000000000000000002A +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000800E5 +:1003100000000400000800000800000000000000C9 +:1003200000000200000000000000000000000000CB +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000010000000000010000005B +:1003A0000000080000000000000000000000000045 +:1003B000000000300000000000000000000D000000 +:1003C00000000000003000000000000000000000FD +:1003D00000000000000000300000000000000000ED +:1003E00000000000000008100010000500000000E0 +:1003F00000000C0C0D0000100110000008000000AF +:10040000000C0000000D001600100001008000002C +:10041000000000000000000000300000100000009C +:10042000000C0000A000000000000090B0000000E0 +:10043000000000000001B0000000000C0000080DEA +:1004400000000000000000A0000000000000050007 +:100450000500010000000500000000000000000091 +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:100490000000040000000000000400000000000054 +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:10052000000000000000010000000000080C000CAA +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:1005500000000000101000003000000030300000EB +:1005600000000400000C3000040004000000000043 +:10057000042004000000000004200500000020000A +:10058000010C0400002C0024000C04843000002026 +:1005900010000400000000200400040030200A00C5 +:1005A000040004000011002C300004000030003072 +:1005B00004001400000000300400140000000005D6 +:1005C0000400040000000000040E00000000000011 +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000040000000000C6 +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000030000000000000000000000A +:1006C000000080000000000000000000800000002A +:1006D000000080000000000000100010000000007A +:1006E00000100010080000000000001000000000D2 +:1006F000001000000000000000100010400000008A +:1007000000000010000000000000000000000000D9 +:100710000030000000100000000000000000001089 +:100720000000000000100010000000100010000089 +:1007300000000000001000000000000000000000A9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000300000000000000000000049 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:100830000000000000000000008000000000000038 +:100840000000000000003000000000000000000078 +:100850000000000000000000000000000040004018 +:1008600000000000004000400000000000000040C8 +:1008700000000000004000000000000000400040B8 +:1008800030000000000000400000000000000000F8 +:1008900000000000000000000090000000000000C8 +:1008A0000000004000000000004000400000000088 +:1008B00000404000000000000000000000000000B8 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F000000000000000000000B000000000000048 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000001000000000000087 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B00000000000000000000000008002000000B5 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E00000000000000000000000000008000000FF +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000010000000200000A6 +:100A200000000000000000000000000000000000C6 +:100A30000000001000000000000000000010000096 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000020000056 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD00000000000000000000000000000200000F6 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000FFFF47 +:100BB0001D1D00000000FFFF2727000000000000AF +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D3000000000000C000000000000000C0000009B +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F2000000000000000488060A94F8060B94F8039 +:100F300060B94F8060B94F8060B94F8060B94F8011 +:100F400060B94F8060B000000000000000000000A9 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB000000000000000000000000000000053538B +:100FC0003355000000000000000000000000000099 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:101020000000000000000000000000000000FFFFC2 +:10103000AF05FFFF353500000000FFFFF50500009C +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000007A7EAE5C3E +:1010B0003ECFB2D34A66E41F2C281EE5F4C39698AF +:1010C00036C8BAEB023C9E000D18FFFFFFFF000080 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B0000000000000000000000004000000080020 +:1014C0000000A000000004000000A00000000000D8 +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:101540000000000008000000000000000000000093 +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D0000000000000000002000000000000000008 +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000010000001000000000000000000078 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C000000001000000200A0000001004003000AA +:1017D000C030000004001200000000000000000003 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:10183000000000000000000000000010007814000C +:10184000D0001FD003381008D0002ED0017A1C041D +:10185000400017D0032C140AD002060000480027CD +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000010000000007 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:10194000000000000000000000000008000000008F +:10195000C0000000080000000000000000000000BF +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000E000000000120000E00DA +:1019D0000008BE0C500106000000B60BC000270036 +:1019E00000000006000000000000000000000000F1 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A500000000000000000000000200000008800DE +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000100006 +:101AD00030000000000000000002000020000000B4 +:101AE00000200000000000000000000000000000D6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000C00100081000010C8031D +:101B50001C0004800000010000008100008044019E +:101B600000008100002800000000000000000000CC +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD00000000000000000000000000000001C00E9 +:101BE000000080090000000000000000000000006C +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000700000800000000C08100000000000034 +:101C60002000000000000000000000000000000054 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC00000000000000000200000000000000020D4 +:101CD000080C10012000234006808808100008200E +:101CE000089000071000000000000007000000003E +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D600000000E000000000800000000000000005D +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000E00000000010000013 +:101DE000F000000000000000000000000000000003 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E5000A000000000003108200002400000000047 +:101E6000F000080000101C0EF00000000028202FD9 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000400040000000000A9 +:101F30000400040000000000040004000000080881 +:101F40000400040124000008040004000100000053 +:101F500004000440000000000404840000000000AD +:101F600000000400200400040400008001000004BC +:101F70000000000000020004040000000000000453 +:101F8000040000000000080000000400000000043D +:101F9000040000000000000000000000000000003D +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD00000000000000000000000000010010000F0 +:101FE00000000010001000000000000020000000B1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000070700070000000000000000010 +:102080000000000000000000000000000000000050 +:10209000000000000000000000000000050000003B +:1020A0000000000000000000000000000000000030 +:1020B00000000000000000000000000C0000000014 +:1020C000000000000000000000010000000100907E +:1020D00000000000010001000000000000000000FE +:1020E000000000000000700101000000000100007D +:1020F00000000000010000010000000000000000DE +:1021000000000000000000000000080000000000C7 +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:102150000000000000000000000000000600000079 +:1021600030007000000000000000000002000000CD +:1021700000007000060000000000000000000000E9 +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000040004000000000004000400000000018D +:1022400004000400000000000400040C280000004A +:102250000400040008000000040004100000000056 +:10226000040014000000000000000400200000042E +:10227000040000000850000400000000000C0004EE +:102280000400000000000004040000000000000042 +:102290000000040000000004040000000000000032 +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000800000000000000000000E6 +:1022F00000000000200000000000000000000000BE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000200000000000000000000000003D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A0000000000000080000000000100000000015 +:1023B0000000000200000000000000020000000019 +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:102470000000000004000000000000000000000058 +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000800000000000800000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B0000000000000000000000000000000020019 +:1025C000000000000000000000000000000000000B +:1025D00000000008000000000000000800000000EB +:1025E00001000000000000000100002400000020A5 +:1025F00001000020000000000100000400000000B5 +:1026000000000004000000000000000000000000C6 +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A0000000000000000000000000000008000022 +:1026B000000000100000000000000000000000000A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000004000000000000000471 +:102760000000000000040000000000000000002441 +:102770000000002000000020000000000004000411 +:102780000000000000000004000000000000000045 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:1028400000005F503535000000000000000000006F +:102850000000000000000000000000000000FF80F9 +:10286000F5050F8F33B3FF8055D50F8F33B333B3D7 +:1028700055D50F8F33B3FF8055D50F8F33B300007D +:1028800000000F8F33B30F8F33B3FF8055D50F8FF9 +:1028900033B3000000000F8F33B3FF8055D50F8F87 +:1028A00033B3FF8055D500000000FF8033B3000034 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000C0000000000000000000000000000005B +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C00000000000000090241E0000000000000035 +:1029D00000000000000000000000000000000000F7 +:1029E000000090241E0090241E0090241E009024BD +:1029F0001E0090241E0090241E0090241E0090248F +:102A00001E000000000090241E0090241E00902450 +:102A10001E0090241E000000000090241E00902440 +:102A20001E0090241E0090241E0000000000902430 +:102A30001E00000000000000000000000000000078 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000040000000000000000000000E2 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000900401 +:102B4000000000000000488040A94D8040B84D8042 +:102B500040B94D8040B84D8040B94C8040B84C8061 +:102B600040B94D8040B84D8040B84D8040B94D804F +:102B700040B84D8040B94C8040B94D8040B94D803F +:102B800040B84C8040B94D8040B84D8040B84D8031 +:102B900040B84C8040B94C8040B84D8040B84D8022 +:102BA00040B84D8040B84D8040B84C8040B94D8011 +:102BB00040B0000000000000000000000000000025 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000040000000000000000024 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000F303FFFF0000000055AA9999A5A595 +:102CD000999999999999A5A533CC9999999933CCB2 +:102CE000C3C399999999A5A533CCA5A533CC55AA69 +:102CF000A5A555AA9999A5A599999999999955AA7A +:102D0000A5A59999C3C3999955AA55AA999999992D +:102D100033CC55AA9999999955AA33CC99999999EF +:102D200033CC55AA9999999933CC55AA9999C3C38B +:102D3000999955AA33CCFFFFFFFF00000000000067 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E4000000000000000000004020000000000007C +:102E500000008000000E00000000000000000000E4 +:102E60000000000000000000000000000000000062 +:102E70000000C020005400000000C02000640000DA +:102E80000000C02000A200000000C020009200004E +:102E900000008000000A00000000C02000200000A8 +:102EA00000004020001000000000C02000080000CA +:102EB0000000C02000CE00000000800000060000DE +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000001000000000000 +:102FD00080008000000000010000000000000000F0 +:102FE00000000000000000000000000000000000E1 +:102FF000000080200000000100048020000000018B +:10300000001080200000000100108020000000015E +:10301000000480000000000100008020000000018A +:1030200000100020000000000000802000000001CF +:10303000000880200000000100088000000000015E +:10304000000400000000000000000000000000007C +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000C00000000000C0000000000000EF +:10316000000000000000000000000000000000005F +:10317000000000000000000000C0C000440000008B +:1031800000C0C000440000000040E0000003000058 +:1031900000C0C0004400000000C0000000000000AB +:1031A00000C0E0000022000000C00000440000C099 +:1031B00000C000220000004000C0E000000000004D +:1031C00000C0C0000000000000000000000000007F +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D000000000000000000200000000021000409A +:1032E00001000000000000000000000000000002DB +:1032F000002000000000002000000000000410007A +:103300000080000000041000008000000020000089 +:103310000108004000041000000000000010000040 +:103320000100000000100000010000000004000087 +:103330000000000400100000010000200010000048 +:103340000100000200100100010000000000000068 +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:103450000000000000001200000000000000080052 +:10346000600000000010000000000000000000806C +:1034700000043400C000000000504000000001873C +:1034800070390020C0780802703B00000278008389 +:1034900060500000C9F80003280000060978080001 +:1034A000603000000078080FF01000C00310080F13 +:1034B000001000C00300080F80002DC00310080F8B +:1034C000F00000C003100D001000000000F000002C +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E0000000010010351000C082008004002C0093 +:1035F000C00200400000100008000080040010001D +:10360000C0020E48E0001CC60860010820101C40E3 +:10361000C016804870351C000B30808864355C8093 +:10362000031401A0713D2800001401A201111C2007 +:10363000019401A301B00020010041A70139082035 +:103640000194410400091C20C1960E008000000076 +:103650000038000000000000000000000000000032 +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:10376000000080000000010008201000008001001F +:103770000000000000000100002010000000010017 +:1037800000000000000020046090102000082001CC +:10379000601010A00204010020001050400420001E +:1037A0000030144000040000281000040000201025 +:1037B0000050100000808030080C00000000202025 +:1037C0008056000000802000005010000080600043 +:1037D000C08B00000080000000000000000000001E +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000028061000006EF +:1038F0001070038001009400200203800C009400EB +:103900000000032000008C0110008003000814C692 +:1039100007694010068000C605495210008000CBA0 +:10392000C068810B680114C6E549080008800003DF +:10393000C0F00047E0BA40C6A1710807083800C0CF +:10394000C1000027E83800C6117000000E0014C640 +:1039500001710000604800060070000000000000D7 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000001200000000000000000044 +:103A7000E400002FB0000220002C010F0000020023 +:103A80000030010F000002000078400F00004004E9 +:103A9000F0004E2002650000F00801AF0241000076 +:103AA000F0003CA002620203F00811200242204014 +:103AB000F00000160082804F00034066097A204F14 +:103AC000007800CB0B02004F70503CEB0B78400F9E +:103AD0002CD001E10B78000060383C200078000019 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF000000000004040000008000000000000003D +:103C00000000004000000000000000000000000074 +:103C100000000000000000004040800000000000A4 +:103C20000040800000400010005080000180000033 +:103C300000400000008000000010001000000000A4 +:103C40000040000100000000000020000000000013 +:103C500040000000800000000000000000000000A4 +:103C60000000000000400100000000000000000013 +:103C70000000000000000002000000000000000042 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D700000000100000000000000500000000000F2 +:103D800002B000B00000000001000D0A00000000B9 +:103D900001B000B001000001010A00010100900023 +:103DA00051040501000190010101013600110000DC +:103DB00000010000000000000001000000300010C1 +:103DC0000000000A000009000000000A00000000D6 +:103DD0009D000002000009009800000C0000000097 +:103DE0000000000000000000005000000000000083 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF0000000420000000000000000000000000080 +:103F00000000000000000000000000200000000091 +:103F100000000000000000000000000000000000A1 +:103F200010201000000100000020100000300000F0 +:103F3000002010000C2000000030000000200000D5 +:103F400000006000000000000020000C00000000E5 +:103F5000000030C00000000010000000110000014F +:103F600000000000000000000000000000100C0035 +:103F70000000000000000000000000000000000839 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000030000040 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:10407000000000000000010000300000000004000B +:1040800000000000000000000430000000000000FC +:1040900000300000080000000430000004000000B0 +:1040A00000000000000010000000000000100000F0 +:1040B0000000000000000000000000000000000000 +:1040C00000000004000000000000001000000000DC +:1040D00000000004000030000000000000000000AC +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000020000000000000005F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D000008000000000000000000000000000005F +:1041E00000000000000000000000000000000000CF +:1041F000000000000000000000000000004000007F +:10420000000000000000000000000000014000006D +:104210000000000000400000000000000140000419 +:10422000010000000000000000000030000000005D +:1042300000900000000000000000000000000000EE +:104240000000000004000001000000000000000069 +:104250000000000004000001000042000000000017 +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000010000000001E +:1042900000300000000000000000000000000000EE +:1042A0000000000008000000000000000000000006 +:1042B00000000000000000000000000040000000BE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000404280000000000000000000005B +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:104380000000000000000800000000000000000025 +:104390000004000000040000000000000804000009 +:1043A00000000004000000000000000000001020D9 +:1043B00000000000002000000000000000000000DD +:1043C00000000000000000000400000000000000E9 +:1043D00000000010000000000400000000000000C9 +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:10440000000000000000000000000000000000208C +:10441000000000000020000000000000000000007C +:104420000000000000000000040000000000000088 +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:10590000080000000800000000000000000000087F +:10591000080000000000000000000000000000007F +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A5000000000000000000000000C00000000003A +:105A60000000800000000000000D0E00000000009B +:105A700000A905000000000000000C00000000006C +:105A80000000A000080000000C00000C0000000056 +:105A90000000000C08000000000000000E000000E4 +:105AA00000000000050000000000000000000000F1 +:105AB00000000000000500000000000000000000E1 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000100C4 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000100A4 +:105C00000000000000000000000000000000000193 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000010000000000000000073 +:105D200000000000000000008000000000000000F3 +:105D30000000000000000000000000000000000063 +:105D40000100000000000000001000000000000042 +:105D50000000000000000000000040000000000003 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000100000000013 +:105D800000000000000000000000000040000000D3 +:105D90000000000C000000080000000000000000EF +:105DA00000000000010000000000000000000000F2 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E900000000000000000000000004000000000C2 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000040000000000000000020000CC +:105ED00000000000000000000000000000001000B2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F1000100000000000000200000000000000006F +:105F2000000000000000000004000000000000006D +:105F3000000000000000000C000000000000000055 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000010000000000000000000000000000030 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000001000000000000000000000000000 +:1060900000000000000000000000000000000008F8 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000400000000DC +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000040000A7 +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:107600000400000000000000000000000000000076 +:107610000004000000000000000000000000000066 +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000010101000001000065 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E00000000000000C000000000000000000008C +:1078F0000000000000000000000000000000000088 +:1079000000000000000000000C000000000000006B +:107910000000000000000000000C0000000000005B +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000080008000000BE +:1092A00000000000000000080000080000000000AE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:109420000400000000000000000000040000000034 +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000200B8 +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:1097200000000000000000000C000000000000002D +:109730000000000000000000000000000C0000001D +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000080000000000000000000000000020 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000001000000B7 +:1098B00000000000000000000000000000000000A8 +:1098C0000100000000000000000000000000000097 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC000000033B30F8F0000000000000000000011 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900004000000000000000000000000000000C0 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D400000000000000090241E0000000000000041 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000004000000000000000000000000002 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000902412000000DC +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F2000000000000000000000009024120000006B +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A0300000000000000000000000000000000F8F82 +:10A0400033B300000000000000000000000000002A +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A000000000000000000000000000000033B3CA +:10A0B00033B30000000000000000000000000000BA +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000100008001000000000000000000000D +:10A1D00000008000000400000000000000000000FB +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000100008000000000000000000000009D +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A340000000400080000000080000000000000045 +:10A350000000800000000001000400000000000078 +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000040008000000040000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C00000000000000000000000C8000080000044 +:10A4D00000000000000000000000C00000000000BC +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A530000000000000000001001300000000000007 +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000020000000000000000000000401000A8 +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B0000000000000000000000000010000000099 +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000008009 +:10A7D0000100000000000000000000000000000078 +:10A7E0001400000000100000000000000000000045 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A8300000000000000000000000000000000040D8 +:10A840000030000040000000000000000000000098 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A95000000000800100000028020000000000004C +:10A9600000000000E00A000000C00000000000003D +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C000000000800D482C00D001000000000000B5 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD000000000000000000007200200000000004D +:10AAE0000000000000000000804400000040000062 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB400000000000000000000040000000000000C5 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000003000000F1 +:10AC6000100000000000000000000000C0380000DC +:10AC70000070000000000000000000000000000064 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000800180000083 +:10ACD000E001000000000000000000000000000093 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000010000003 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD000000000000000000000000000000000D0A3 +:10ADE00001380000F000000000000000000000003A +:10ADF0007434000000F800000000000000000000B3 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE400000000000000000000000000000000060A2 +:10AE500003000000000200000000000000000000ED +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF60000000000000000000000000801000000051 +:10AF700000000000000000000000000000020000CF +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000400040000000000A9 +:10AFA000000000000000000000044000000000005D +:10AFB0000000000000000000000020000100000070 +:10AFC0000000000000000000000020000000000061 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B0400000000000006000000000000000000000A0 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E00000000000000000000000000000000090D0 +:10B0F00010000000000000000000500000000000F0 +:10B10000300000000000000000000000000000000F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000400000000000003F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B270000000001000000E000000000000000000B0 +:10B280000000000080080000000000000000000036 +:10B2900000000000000000000000000000000000AE +:10B2A00008000C000000000000000000000000008A +:10B2B0000008100000000000000000000000000076 +:10B2C000000030000C000000000000000000000042 +:10B2D00000003050000000000000000000000000EE +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000402D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B4100000400000000000000000000000000000EC +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000001000000000000000000000000000005C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B530000000020000000000000000000000000009 +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000030000000000000000000000000AB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B59000001000000020000000000000000000007B +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000003000000000000000000000000000001B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B650000000000000C0000000000000000000002A +:10B6600000000000000000000000000000000000DA +:10B670000000000000000000000000000000810148 +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000200000000000000000000009 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000002000000000000000000000A9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000003355FFFF117755550000E0 +:10B8B00000001177FF00117755551177FF00000048 +:10B8C0000000055F3333033FFF000000000000006D +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000090040C0048200E +:10BA30001E200000000048201E2048201E20482014 +:10BA40001E200000000048201E2048201E2000006C +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000A01228DDA43A +:10BBB00052B8DCA452B9DDA452B9DDA452B8DCA459 +:10BBC00052B9DDA452B9DCA452B9DDA452B10000CF +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC60000000004000000000000000000000000094 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000FFFF15 +:10BD3000FFFF6666999933CC999955AA55AAA5A58E +:10BD400033CC33CC999955AA999933CC9999A5A517 +:10BD50009999FFFFFFFF00000000000000000000B5 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB000000000000403800024030000040340206D +:10BEC000448100000403C02000200000040340203F +:10BED000201000000402C020000800000000000044 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C03000000000000000C100800000014004412019 +:10C04000800000008008C1208000000128104120ED +:10C0500080000000400081200000000180080000F6 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000080C4C000007B +:10C1C000C800004003C0C800800000C800C0802232 +:10C1D000C00002C800C08000C000000002C0000013 +:10C1E000C00000000000000000000000000000008F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000002FB +:10C3400000100000000000204020000080000000DD +:10C350000020800082000400002080008000020293 +:10C360000420000080000000000000000000000029 +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C00000000000280001000080020700140000A6 +:10C4D000000000842001000000000844010000006A +:10C4E00000000002000000A00100000000000000A9 +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C64000000000000000000000001000003000BCEE +:10C6500000001CC004000610600A9CC60414000000 +:10C6600000001CC00400001000000000006000007A +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000230000037 +:10C7D0000000E00001201010000030800050100028 +:10C7E0000080400401301000000000088028008014 +:10C7F00000400000000000000000000000000000F9 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000020C7 +:10C9500000000100C00402000000020010000000FE +:10C960000F0000C6066108000A00020600012E0042 +:10C97000000000A601B4000000000000000000005C +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000F00200000000080F4D +:10CAE000D0000000000021200100201000000C6F89 +:10CAF0000A0000041000212F0010000000000000B8 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000001000000000000000000000000000044 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000200020000000000000A4 +:10CC50000000800000000020002000000000008094 +:10CC60000000000020000002000000008001000021 +:10CC7000202200202001000000020000000000002F +:10CC800000000000000000010001000000000000A2 +:10CC90000000000000000000100010000000000074 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000500000000000000000000013 +:10CDD0000000000000000000000000000000000053 +:10CDE000000000060000000000000000000000003D +:10CDF0009000000000000000007000000000000033 +:10CE00000000000000000000000010000000000012 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000A00000000000000E7 +:10CF400000000000000000000000000000000000E1 +:10CF50000000000000000000000030000000003071 +:10CF60000030000000000020000000001000000C55 +:10CF700000000050100C00000018002030080000D5 +:10CF8000000C00000000000000000000000080080D +:10CF90000008000000000000000000000000000089 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000001000000000000040 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D25000000000000000000000000000008000004E +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000C000000000001D +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000100000000000000000000000004D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D54000000077225533000000003F0C1B1B000039 +:10D550000000FF7F80804D4D333311770F0F0F8F09 +:10D5600033B3FF80550FFF8055D50F8F33B3FF8046 +:10D5700055D50F8F33B3FF8055D50F8F33B30F8F32 +:10D5800033B3FF8055D50F8F33B3FF8055D5FF8060 +:10D5900055D50F8F33B30F8F33B3FF8055D5FF8031 +:10D5A00055D50000000000000000FF8033B30000EC +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000400000000000000F6 +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C00000000000000090241E00000000009024D4 +:10D6D0001E000000000000000C0048201E20482012 +:10D6E0001E2090241E0090241E0090241E009024D2 +:10D6F0001E0090241E0090241E0090241E009024E2 +:10D700001E0090241E0090241E0090241E009024D1 +:10D710001E0090241E0090241E0090241E009024C1 +:10D720001E0090241E000000000000000000902455 +:10D730001E000000000000000000000000000000CB +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000400000000039 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D84000000000000000488040A94C8040B84C8097 +:10D8500040B94D8040B84D8040B94D8040B84D80B2 +:10D8600040B94D8040B84D8040B84C8040B94D80A3 +:10D8700040B84C8040B94D8040B84C8040B94D8094 +:10D8800040B84C8040B94D8040B84C8040B94D8084 +:10D8900040B84C8040B94D8040B84C8040B94D8074 +:10D8A00040B94D8040B84D8040B84C8040B84D8064 +:10D8B00040B0000000000000000000000000000078 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000040B7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D9800000000000000000000000FF7FFF7F00009B +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000055AA9999C3C3A0 +:10D9D0009999999999999999C3C39999A5A599994B +:10D9E000C3C39999A5A59999C3C39999C3C3C3C33F +:10D9F000999955AAC3C3C3C39999A5A5C3C333CCE9 +:10DA000099999999C3C3C3C3A5A59999C3C333CCA5 +:10DA1000A5A59999C3C3999999999999C3C3C3C362 +:10DA2000A5A59999A5A59999C3C39999C3C3C3C33A +:10DA3000C3C39999C3C3FFFFFFFF000000000000AC +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB50000000800000060000000080000026000099 +:10DB600000000000000000000000C0200054000081 +:10DB70000000C020006400000000C02000A20000DF +:10DB80000000C020009200000000C020008A0000B9 +:10DB90000000C020002000000000C0200010000095 +:10DBA0000000C020000800000000C02000CE0000DF +:10DBB0000000402000D0000000008000002600008F +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD000000080000000000100048000000000013E +:10DCE0000000000000000000000080200000000193 +:10DCF00000048020000000010010802000000001CE +:10DD000000108020000000010004802000000001BD +:10DD100000088020000000010010802000000001A9 +:10DD200000048020000000010008802000000001A5 +:10DD3000000800200000000000008000000000013A +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE100000000000000000100000000000000000F2 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE50000000000000000000000000C0C32000001F +:10DE600003000000030000000000000000000000AC +:10DE7000000000000040004000C0E0000000000082 +:10DE800000C0C0004400000000C0C000440000000A +:10DE900000C0C0004400004000C0E000000000409E +:10DEA00000C0E0000000000000C0C000440000000E +:10DEB00000C00000C022000000C0000044000000BC +:10DEC00000C0C000000000000000000000000000D2 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD00000000000000000000000004000000111EF +:10DFE0001800020008000080080000000000000087 +:10DFF0000000000000000040002000200010000091 +:10E000000100004000041000000000400004100067 +:10E01000000000400004100000000020001000007C +:10E02000010000200010000001000040000410006A +:10E0300000000000000401001000000000040000C7 +:10E0400000000002001001000100000000000000BC +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000001300EC +:10E1200000000028000000000000000000000000C7 +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E16000802814000000000004300F00C0140000DC +:10E1700020020006C0E8000FF0304006C680000F05 +:10E18000700000C80380080AF40030460AF800C88E +:10E19000870080860278000884802CC6C17880C7FA +:10E1A0007B0000C6C310000F100000C60390000FD4 +:10E1B000F0000006C178000F100000D6C390000FD9 +:10E1C00000300006C10000001000000600F0000052 +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A0000000020000004006000000000000000026 +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E0000000100000011500D00000000000100028 +:10E2F000003900002012280800600000004014C807 +:10E300000000000700395C20D130000800001C48E4 +:10E310002042000800001EDE04600008E0381C28CF +:10E320002116000700151C2801944004000B1C282E +:10E3300001B0000880081C2823164004000B1C2094 +:10E3400001B10008008800282302000080020008B4 +:10E350000038000000000000000000000000000085 +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000400000000E8 +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000010020400C2004094 +:10E47000A010000400000800100000008000080048 +:10E480000004018480002010401811000080240244 +:10E49000001010000000202800301040004028022A +:10E4A0000014100080002821803210008080280095 +:10E4B0000050110000802808003910008000006022 +:10E4C0008846100000802800002C000080002800F2 +:10E4D000900A000000800000000000000000000022 +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A00000000000000000000000320000005403E2 +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E0000000000000000000000006000A00000615 +:10E5F00000F0072080002006207200A0E300C00485 +:10E600001070802020100103D0040182E9110CC693 +:10E61000017900022F1000C605710007EF2900C61E +:10E6200005710007E81000C605710007E82800C65C +:10E6300003710007EE380E66E2F10000080000C624 +:10E6400005710003603080C0017080AA093800C6DF +:10E65000050000008040000600700000000000007F +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000200E7 +:10E730000000560A00000000000000000000000079 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E760000000000000000000000000000000011098 +:10E7700000700007F00003806100000100040C40FD +:10E780002B79000A00800C470700001A0000822F36 +:10E7900028363CE00B008002F07B00E003F8000725 +:10E7A000F0B8002002F88C07F079346002E80C0F12 +:10E7B00070B800A009188C0F782F102002424C0767 +:10E7C000F4B800E003F8168F61783CC70B790C02AF +:10E7D000003800E003000C0010303C2000780000FE +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000040000028 +:10E8B0000000010000000000000000000000000057 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F00000000000000000000000000020000000F8 +:10E900000000000020101220000000008000020023 +:10E910000000000000004000100010008000000017 +:10E920000000000000008000200000089100098025 +:10E930000001098881080881010001881901090284 +:10E940000002012A00000800000000001000000082 +:10E950000040002000800000000000008100000056 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000100000100000000003030000000000024 +:10EA90000104000100000000900000503000300927 +:10EAA0000000000090000C000000000000002004A6 +:10EAB0001000000000B00C04000800000D0000046D +:10EAC000140000A00000500400000000000000003E +:10EAD000100000000000000000B000000000000076 +:10EAE0008000000000000000000A0000000000009C +:10EAF0000E0C0D00000000000000000000000000EF +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000300000000000000000000025 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF00000700000000000000070000000004000F5 +:10EC00004000000000000000400040800040002064 +:10EC10000070000010010C00000000000000100057 +:10EC200000000000100000000000010000002000B3 +:10EC30001000800024000820007804302400002CFC +:10EC40000C00042008040C0C0008043C0000000028 +:10EC50000000000000000000002000300030000034 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000010000000000000000000093 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000020B3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000001000000000000000000000000000092 +:10ED800000004000000000200000002000000020E3 +:10ED90000000002000000020000000200000000013 +:10EDA0000000000000100000000000000000000053 +:10EDB0000000200020000000000000002000204093 +:10EDC0000040000000000000000000000000000003 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC00000000080000000000000000000000000C2 +:10EED0000000000000000000000000000000000032 +:10EEE0000000020000000000000000000000000020 +:10EEF0000000000000000000000000000000000012 +:10EF000000000000000020000000008000000080E1 +:10EF100000800081000000800080008000000080F0 +:10EF200000800000000000000090000000000000D1 +:10EF300000000000000000000000000000000000D1 +:10EF40000000002000200000000000000000000081 +:10EF500000000000000000000000000000000000B1 +:10EF60000000000000800000000000000000000021 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000003000000000000051 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000001010000000000000000EE +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F09000200000000000002420000000000000000C +:10F0A0002000000000000000000000000020000020 +:10F0B0000000000000000000000010001000000030 +:10F0C0000000000010001000000000000000000020 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000200000000000000000 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000200000DF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F250000000CF0C3333F3035F50553355330000B8 +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D00000000000000048201E2090241E00902401 +:10F3E0001E000000000000000000000000000000FF +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F54000000000000000000000009024120048208D +:10F5500012200000000090241200902412000000ED +:10F560000000482012200000000000000000000001 +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F00000000000000000000000004000000000CB +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C00000000000000000000000000000007722A1 +:10F6D000BB117171333300000000BB114747BB11F0 +:10F6E0004747000000007171FF00000000000000AB +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F85000000000000403000044010000000100005B +:10F86000000000000402C02020100000040240201C +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D00000000000000041008000000080004000A6 +:10F9E00080000000080081200000000100040120C8 +:10F9F000000000008008000000000000000000007F +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000C400C080C400DD +:10FB6000C000000080C880000000C000C340C0008A +:10FB7000C403C40044C044000000000000000000B2 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0008002000080000000800080000000100002 +:10FCF00084A01000000100000004000000000000CB +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE600000000E0000042C00000000800200400092 +:10FE700020000E00040040980200010400800020D1 +:10FE8000080000000000000000000000000000006A +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000003C0000000000C002000013 +:10FFF0000000000000001000200014200030480322 +:020000040002F8 +:100000000038008101000000000000000000000036 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:100160000000000000000000000001000A0044013F +:10017000000000000200000080008000000000007D +:10018000014000010000000001000000000000002C +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000012807C +:1002F00001000000C00000000600100020012200E4 +:100300009001008801440000000C2DA703000000AC +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:1004700000000CC00100000000020080010001002B +:100480000002020B1000212008181C0000008C80C4 +:10049000010000000000000000000000000000005B +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:1005700000000000000000000000000080000000FB +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000080000004000000008000000408B +:1005E0008000000000100800000000000200000071 +:1005F0000000000800000010000040080000000497 +:100600000004080880090000000020040100000028 +:1006100000000008000000000000000800000000CA +:1006200000000008000000000000000000000000C2 +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000901000000000000DF +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:100740000000000000000800000000000000000D94 +:100750000000000104000088080000000A000008F2 +:10076000000000000100000400300C00090A000035 +:100770000E000000000A0D0800000920000000081B +:100780000C00700450200408A4080004007000004D +:100790000000200400000008040000000000000821 +:1007A0000000000000000008000000000000000041 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000001000000000027 +:1008D0000000000000000100010000000100001005 +:1008E00000000000010100100000000100000000F5 +:1008F000000000000C0040000600000000000000A6 +:100900000600300001000009008C00662154000739 +:100910000000360C080080010000006001000000AB +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000020000000000000000000000E4 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A6000800C0000000000000000000000000000FA +:100A70000010000000000000000000001000000056 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000004000000000000016 +:100AA0000000000000000000000010000000000036 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF0000000000000800000000000000000000075 +:100C00008000000000000000000000000000000064 +:100C100000000000000000000000000000200000B4 +:100C20000000000000000000000000000000800044 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000020000000000000000000000000002 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D6000000000000000000000080000000000007B +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000100000000000000000000000000043 +:100DA0001000000000000000000000000000000033 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F00000000000000000000000000000000FFFFE3 +:100F1000330F000000000000000055330F330000C5 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:10109000000000000C000000000000000000902490 +:1010A0001E00000000000000000000000000000022 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:101210000000000000000000000000000000482066 +:101220001220482012204820122000000000000058 +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C00000000000000000400000000000000000DE +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000FFFF272700000000000001 +:1013A0000000DD445555DD440F0F033FFF000000F2 +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:101520000000000000000000040380000020000014 +:101530000402000020000000000000000000000085 +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A00000000000000000000000C10080000001F8 +:1016B0002800010000000000000000000000000001 +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:101820000000000000000000000000000000C000F8 +:1018300000C08000C0000000C400000000000000E4 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000002000000000000000100000000007 +:1019B0000000104001208000100000000000000026 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B20000000000000000000000000004000000075 +:101B3000000000000100008061520000203C120003 +:101B40000080800000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB00008000000000000100000100008100000E4 +:101CC00000400C000038000100000000000000008F +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E30000000000010000000000000400000000052 +:101E4000400000008004820000000000000000004C +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB00000000020020000000000400400000000BB +:101FC000000001402081160FC0080000000C0C002A +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000A0000000FF +:10214000000000000200024000300D2600020800DE +:10215000000040000000000000000000000000003F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000002020000000001E +:1022800000002020000000000000202000000000CE +:1022900000002020000000000000002000200400BA +:1022A00000000008002000000000200800000000DE +:1022B000000000200020000000002020000000009E +:1022C00080002020000000000000082000210008FD +:1022D000000024200000000000000020002000007A +:1022E00000000020000000000000000000000000CE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:102410000D0A0E00000000000D0A0E00000D000065 +:102420000D0A0E00090000000D0A0E000000000059 +:10243000000A0E0A0000000000000E0A0000000062 +:102440000D000E0000000000000A0E0A000004004B +:102450000D0A0E00007000B00D2A0E007000000082 +:10246000000A0E0A000000000D0A0E000000000025 +:10247000000A0E0A00000000000A0E000000000022 +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000100000000000000007A +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A0004000000000000800008000000000000063 +:1025B0000000000000000000000006000000000015 +:1025C00000000000000000001000000000000000FB +:1025D00000000000000C000000060C00008000005D +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A0000000000000000001000000000008000021 +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000004000000000000000408000000000C9 +:102710000000400000000000000040000000000039 +:102720000000400000000000000040000000000029 +:102730000000400000000000000040000010000009 +:102740000000400000000000000040000000000009 +:1027500000004000000000000000400000400000B9 +:1027600000004000000000000000400000000000E9 +:102770000000000000000C0000000000000000004D +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000800000000A0 +:102830000002000000000000000000000000000096 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000080000000000000000000000000000088 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000010000000000D7 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A00000000000000000000000000000008200A5 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000001000000066 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000FFFF0F33FFFFF303FFFF3F0CFFFF8A +:102BD0003355FFFF3F0CFFFF5533FFFF3355FFFF1A +:102BE0005F0A0000000000000000FFFF55330000F6 +:102BF0000000FFFFFFFF00000000000000000000D9 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000400000040 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D400000000000000000000C0000000C0000006B +:102D50000C0000000C0000000C0000000C00000043 +:102D60000C0000000C00000000000000000000004B +:102D70000C000000000000008C02000000000000B9 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000D8A452A8DCA452B9DCA452B8DCA427 +:102EA00052B9DCA452B8DCA452B8DCA452B9DCA4F8 +:102EB00052B8DCA452B9DCA452B8DCA452B9DCA4E8 +:102EC00052B8DCA452B8DCA452B8DCA452B8DAA4DC +:102ED00032B8DAA432B8DAA432B8DAA432B8DAA452 +:102EE00032B8DAA432B8DAA432B8DAA432B8DAA442 +:102EF00032B8DAA432B8DAA432B8DAA432B00000B8 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F900000000040000000000000000000000000F1 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:103010000000000000000BF4C9C3C9C3A9A5C9C3BF +:10302000C9C3C9C3A9A5C9C3C9C3C9C3C9C3C9C37E +:10303000A9A5C9C3C9C3C9C3A9A5C9C3C9C3C9C3AC +:10304000A9A593C663C963C963C993C693C663C977 +:1030500063C977888787878777888787778887870F +:103060007788778877887788778887877788778859 +:10307000878787877788778887877788878777881C +:103080008787FFFFAF050000000000000000000080 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:103190000000000000000000000000000403000028 +:1031A000A8000000040300008800000004030000E1 +:1031B00004010000040300002401000004030000D7 +:1031C00044010000040300000000000004030000AC +:1031D0002000000004030000400000000403000081 +:1031E000CC01000004030000AC0100000403000057 +:1031F0008C01000004030000C8000000040300006C +:10320000A800000004020000080000000000000008 +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:10331000000000000000000000000000000041006C +:1033200080000000400041008000000028004100B3 +:1033300080000000280041008000000040004100A3 +:103340008000000080004100800000002800410053 +:10335000800000004000410080000000800041002B +:10336000800000008000410080000000400041001B +:103370008000000028004100800000008000410023 +:10338000800000004000010000000000200000005C +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A0000000008000C0C880C4C3000000C080C805 +:1034B000C8C3000000C8C4C180CB00C800C0C4C1DC +:1034C00080CB008000C0C880C0C300C80080C4801A +:1034D000C0C8008000C8C48300C800C00080C4C148 +:1034E000C8C800000013C4C1C0C801000000C4D394 +:1034F000C0C900800113C4C1C0C800C0001383EB61 +:1035000080C000800003C7C1C8C800400003C4C019 +:1035100004000000000000000000000000000000A7 +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:103620000000000000000002000200020021000073 +:103630000020800000210000000000038001000045 +:103640000020000380010002002000028021000011 +:1036500000020002800000020000000300000002DF +:1036600000020003000000000000000380002000B2 +:103670000000000280010802200000038000000218 +:1036800000008400800200020001040300000040EA +:103690000001000200000000000000000000000027 +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000009D0030000003D +:1037B00000001FD00308000000001FD00308000015 +:1037C00000001FD00300000000001FD00300000015 +:1037D000000011200084100640010CC00350800E30 +:1037E000C0020C000038008EE138000000D080C01C +:1037F000017001500510C8C00140071000310000E1 +:103800000100061000380006000006C0030A40044C +:10381000E1001E2000084007E0000000000000005A +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:1039200000000000000000000000000000000E8009 +:1039300003001C0000000E8003805C0008020E8063 +:1039400003801C0000000E800300540008020E805B +:1039500003001C000000008000385D06C00122004A +:1039600000001000C00022000800800400000000D9 +:1039700083112807400A068003000C070000068C0C +:10398000E33028004002008003B82808400200000D +:1039900000904C000200008000000C0000000000BD +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB000000004400034900228000100002010089B +:103AC000000001400024900228002000001002089D +:103AD00000002800001491022800080003041008C8 +:103AE000000004000404020280000C2002180106F9 +:103AF00095100240040001000000090001100C00B4 +:103B000000024800081820800010C100004000009A +:103B100000004110000000008000010000180000BB +:103B20003000000000000000000000000000000065 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C300000000000000018200030000718001040AD +:103C400006002000C800100002300008E00000C09C +:103C500000802808E000000006B0140610002200D2 +:103C600007806006E00009000F00A8081001262068 +:103C700080015011200027230000900810010063EC +:103C800000884007E00922806BA8101F20020CC0AA +:103C900001280008100110000FA8000F20020100E9 +:103CA00000AC2C0F0000000000000000000000002D +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB000000000000000000000002040013000274B +:103DC000A00002400180800FA00202A0000080003D +:103DD000D002166000808000D00208400300003A44 +:103DE000F00008C001000028F002220000001600C8 +:103DF0000000084000002C0822608280000034C0CF +:103E00000168884001800CE801320800000300A02E +:103E1000F17000600180002000000E00000200E050 +:103E2000F200020000002C20C00000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000002061 +:103F400000210000000000200030100000400000B0 +:103F50000000000000400000001010200040004061 +:103F60000000002000000000000000002000000011 +:103F700000200040000000000000002000000000C1 +:103F8000000000200490000000000020000000005D +:103F90000100000080000080000000208004000874 +:103FA0000100002000800000000000200000000050 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000101000D0 +:1040D00000000000000000000000000000101000C0 +:1040E0000000000000000000000000000010700050 +:1040F000000A000010000000000A0000000000009C +:1041000000007D0C000000000030000000000000F6 +:10411000040A000000000000000A0CA0900000004B +:10412000007A500C00000000000000009000000029 +:10413000000A000000000000000A0000000000006B +:10414000000A000000000000000000000000000065 +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:104240000A00000000000000000C00000000000058 +:104250000A00000000200000000000000020000014 +:1042600000000020002000100000002000000000DE +:10427000008000000000000080100000000000002E +:104280000000002000006001000000200830000055 +:1042900000000020010060000C0000001000012060 +:1042A00000000020300800000C000020003000005A +:1042B00000000020000000000000000000000000DE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000020000000000000000003B +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000012000000000000CB +:1043E00000020000000000000000000000000000CB +:1043F000000000300000000000020000000000008B +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000200000000000000000000000000008A +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000080000000000B4 +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:1045500000000000000000000000000000900000CB +:10456000000000000000000000000000000000004B +:1045700000000000000000400000000000000000FB +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000300000CB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:1046700000000000000000000000000000000040FA +:1046800040000000000000000000000000000000EA +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000200000000000000000000000000000AA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000020000000000000000000000000000049 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000550F550F0000000053535353000044 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A00000000000000000000000FFFF3F3000009B +:1048B00000000000000000000000FFFF3F3000008B +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000C00000000000000CB +:1049E0000C000000000000000000000000000000BB +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000C0000000000000000000000000000006A +:104A40000C0000000000000000000000000000005A +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD000000000000000000000000F3327277722AB +:104CE000772247477744774477447722553300004B +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000FFFF1B1B000000000000000000004F +:104D30000000FFFF7722FFFF1B1BFFFF7722FFFF13 +:104D40001B1BFFFF5353FFFF4747000000000000FD +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:105160000000000000000000000000000304000038 +:1051700000000000C1000000000000000308000063 +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B0000000080000000000000000000000A00047 +:1051C00000080400000008000000040000000800BF +:1051D000008004000000000000000000000000004B +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000002BC +:1052F0000220000000040002212000000000000045 +:10530000020000000000000000000000000000009B +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:105350000000000000040000000000000000000049 +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:1054600000000000000000000000000000001E809E +:105470006000000900000080F4008000004003008C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000600000000E6 +:1054C000000000000000010000000000106001006A +:1054D000000000001000000000000007A0480000CD +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F000000000802000000600002E80E808000661 +:1056000000140E0000000000000000000000000078 +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:105630000000000000000000000000000000000862 +:105640000000000000000000000006000000000054 +:105650000000060000000000000000000000000044 +:105660000001000000000000000000000000000039 +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000002080DE8280000000810028 +:10578000240800000002400000800001000000002A +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000100E8 +:1057C00000000000000000000000000000000100D8 +:1057D0000000200110022000000000000000010075 +:1057E0000000240000000000000000000000000095 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F000000000000000000000001E80E38800009F +:10590000E0700CA069120007E0002F00008800077B +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:10594000000008000000000000000000000000004F +:10595000000002A080011000000802A0000000006A +:105960000000080086810C00000800000000000014 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A700000000000000000000000000000000EC058 +:105A80007108000FF0FA0C806152002FF0020E0036 +:105A900000080020000000000000000000000000DE +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000001600000000C0 +:105AD000000000000000000000000008207000002E +:105AE000000000055000000000080C0F10700000BE +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF0000000000000000020000000000000000085 +:105C0000000000400000400000000000880000008C +:105C10000800000000000000000000000800000074 +:105C20000000000008000000000000200800000044 +:105C300008080840080800080000002008080008BC +:105C4000000800200808000000080000080000000C +:105C500000000020000000200000000000200020C4 +:105C600000000000000420002044000000080000A4 +:105C700000000000000C0000000000000000000018 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D8000000000000000000000000000000006A06D +:105D900090000000000000A0000A00000D0000A01C +:105DA000000000001000000008000E000000000CC1 +:105DB0000C0000000C0C0800080800080000080097 +:105DC00008080008000800000808000D0008053059 +:105DD0000C0A003000000000050D00050000000066 +:105DE0000530000005000000050A000000700E00EC +:105DF0000008000500000D00050800050000000077 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE0000000000000000000000000000070000042 +:105EF0000000000000000000000000000000001092 +:105F00000000507000005000000000000000200061 +:105F10000000007010000000000000000000000001 +:105F20000000007000000000800000000000000081 +:105F30000000003100000000000000100000000020 +:105F4000060001300000000000000030000000608A +:105F5000000000E0000000E0000000306000603061 +:105F60000000000000900030600000000000100EF3 +:105F700010340000000000000000000000040000D9 +:105F80000000000000000000000000000000000011 +:105F900000000000000000000000060000000000FB +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:10603000000000000000000000000000000C000054 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:1060600000000000000000200000000000000020F0 +:106070000000000000000020000000000000000000 +:106080000000000000000000000000000000000010 +:1060900000000000000000000000000000000020E0 +:1060A00000000000002000000000000000000020B0 +:1060B0000000800000000020000000200000002000 +:1060C0000000000000000000000080000000002030 +:1060D0000000800000000000000000000000000040 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000010000000000000000000000000000CE +:1061D00000000000000000000000000000000000BF +:1061E000000000000000000000000040000000006F +:1061F000000000400000000000000040000000001F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000020005E +:1062200000000040000000000040000000000000EE +:10623000000000400000100000000040000000408E +:1062400000000040000000000000000000001000FE +:1062500000000040000010000000000000000040AE +:10626000000010000000000000000000000000001E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000002000000000000000000000000000CD +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E000000000000000200000000000000000008D +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:1065700000000000000000000000FFFF27270000CF +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000C0000000000000000000000000000007D +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A000000000000000000000000FFFF353500001E +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000400000002C0083 +:1071A000D20000000000000000000000000000000D +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000100000000000000DB +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000400307000200000000000E +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000001000C9 +:1077B0005000000000000000000000000000000079 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000808A8 +:1078D0000000000000000008000000080000200078 +:1078E0000000000000000000000000000000000098 +:1078F0000000000008000000080000000000000870 +:10790000000000080008080800000000080008083F +:1079100000008000000008000008000000000000D7 +:1079200000080008200808000008080000080000FF +:1079300000080000000040080000000000080100EE +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A500000000C0C00000000000000080A00000CF0 +:107A60000000000000000000000000000000000016 +:107A7000000000000D0000000400000008000000ED +:107A80000000000C00000008000808080000000EBC +:107A90000400080800000000000A0800000C0000B4 +:107AA000000D0000000C000C003808000008080061 +:107AB000000800000208060000000D0C0000000095 +:107AC00000080000000000000000000000000000AE +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000002000000000000000000075 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000500000000024 +:107C10000000000000000000000030000000000034 +:107C200000000000000000000000000010800000C4 +:107C30000000000000000000000000000000100034 +:107C4000000000000000080000000000000000002C +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D0000000C000000000000000000000000000067 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D700000000000000000000000000010000000F3 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000010000000C3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000100000000000000000000F1 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30004000000000000000000000000000000001 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000100000000000000000000000E0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000FFFF330FFFFF0F330000EE +:10821000000000000000FFFF5533FFFF3355FFFF54 +:10822000330FFFFF5533FFFF3F0C0000000000003D +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:108380000000000000000000000000000C000000E1 +:108390000C00000000000000000000000C000000C5 +:1083A0000C0000000C0000000C0000000C0000009D +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000FFFF2727FFFF90 +:1086A0001B1BFFFF272700000000FFFF2727FFFFFE +:1086B0001B1BFFFF772200000000000000000000ED +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E0000000FFFF33550000000000000000000004 +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B200000000000008000000000000000000000C5 +:108B300000000100000000000000000000800000B4 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000004000000000000C0 +:108CB0000000000000002000000000000000000094 +:108CC00000040000000000000000000000000000A0 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000800140000081 +:108E30000000001620004000000100160210000093 +:108E400000000016D0400000000000C0010000003B +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000020000000000000000000D2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB0000008000000000000080000002010001061 +:108FC00000800000E000000000000C000000000035 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF000000000000000000000000200000000006F +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000201F +:1091300000000040010000000800000000002000C6 +:109140000040080002102000180000C110021000AA +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000007C0010000000806800300400015 +:1092C00008000E000000000000000E050200010072 +:1092D000000806030000411000000000000000002C +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:1093000000000C0000000000000000000000000051 +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:109430000000000000000000000000800260010049 +:1094400000000008200000000000009A02604000B8 +:1094500000001086C130810000000C860200000070 +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000001000050000F000000007C +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A0000000000000000008000080000000000033 +:1095B00000000000000000000000004021108000BA +:1095C0000020200102000110080101800820011084 +:1095D0000448802200000000000A000800020A007F +:1095E000000000080000080000000000000000006B +:1095F000000000000000000000000000000000006B +:109600000000000000000008000000000000000052 +:1096100000000000000000000000000000018000C9 +:109620000000000000000000000000000400000036 +:109630000100000000000000000000000000000029 +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000080000000031 +:109730000000000000000000000000000000000029 +:109740000030060000000000B2000030040200807B +:109750009400063600540000B000B6060014000461 +:10976000B0009406001000540D000400000000003A +:109770000000000000000000000000000000900059 +:10978000000A0000000000000000000800000000C7 +:1097900000000006000000000000000000000000C3 +:1097A00000000000000000000000000000000000B9 +:1097B0000000B000000000000000000000000000F9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B000000030000A00005000000000000000001E +:1098C000000000101C0010000A10000C0800080026 +:1098D000000C080000100C000810101C0000000014 +:1098E000008C00020008040000800000000000005E +:1098F000000000700000000000000E0000000000EA +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:1099200000000000000830000000000000000000FF +:10993000000000000C0000000C000000000000000F +:1099400000000000000000000000000E0000000009 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000050000000000002000000000000000D1 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A90000000300000000000000000000000000096 +:109AA00000000000000000000000000000000000B6 +:109AB0000000000000000030000000000000000076 +:109AC0000000000020000000000000000000000076 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE000000000000106000000000000400000002E +:109BF0000000000000000000000000000000000065 +:109C0000000000080000000000000000000000004C +:109C10000000000000000000000000300000000014 +:109C20000000000000000000000000000000000034 +:109C300000000000000000000000008000000000A4 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D8000000000000000000400000000000081014D +:109D90000000000000000000000010000000002093 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000001000000083 +:109DD0000200000000000000000000000000000081 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E80000000000000000000000000000000FFFFD4 +:109E9000FFFF0000000000000000000000000000C4 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC00000001D1D553300000000FFFF7744FFFF19 +:109ED000FFFF550F550FFFFF0F33FFFF3355FFFFF8 +:109EE0003F30FFFFF303FFFF3F30FFFF3F30FFFF37 +:109EF000330FFFFF5353FFFF4747FFFF3535FFFF8A +:109F0000F5050000000000000000FFFFFFFF00005B +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F3000000000000000FFFFFFFF00000000000025 +:109F40000000000000000000000000000000000011 +:109F5000000000000000FFFFFFFF00000000000005 +:109F600000000000000000000000000000000000F1 +:109F70000000000000000000000000000000EBBA3C +:109F80000F0F0000000000000000000000000000B3 +:109F900000000000000000000000000000000000C1 +:109FA0000000FFFFFFFF00000000000000000000B5 +:109FB0000000000000000000000000000C00000095 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A01000000080240E00000000000000000000008E +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A0400000000000000000000C0000000000000004 +:10A050000C0000008C0200000C0000000C0000004E +:10A060000C0000000C0000000C0000000C000000C0 +:10A070000C0000000C0000000C0000000C000000B0 +:10A080000C0000000C0000000000000000000000B8 +:10A090008C02000000000000000000000000000032 +:10A0A00000000000000000000000000000000000B0 +:10A0B0000000000000000000000080240E000000EE +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000080240E000000CE +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000048201E2000000000000000000000A9 +:10A11000000000000000000000000000000000003F +:10A1200000000000000000008C02000000000000A1 +:10A13000000000000000000000000000000000C05F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D00000000000000000000000802402000000D9 +:10A1E00000000000000000000000000000000080EF +:10A1F00000284D8040B94D8040B94D8040B94D8018 +:10A2000040B94D8040B94D8040B94C8040B94C8038 +:10A2100040B94D8040B84C8040B1000000000000C3 +:10A22000000000000000000000000000000000002E +:10A2300000000000000000000000488040A84D80A1 +:10A2400040B84D8040B84D8040B84C8040B84D80FB +:10A2500040B84C8040B84D8040B84C8040B94C80EC +:10A2600040B0482012200000000000000000000064 +:10A2700000000000000000000000000000000000DE +:10A28000000000000000000000000000000000A02E +:10A290001228DFA472B9DFA472B9DFA472B9DFA4F7 +:10A2A00072B9DFA472B9DFA472B9DFA472B9DEA4F7 +:10A2B00072B000000000000000000000000000007C +:10A2C000000000C0000000000000000000000000CE +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000003F305F50FFFF5F50FFFFF505FFFF3C +:10A36000FFFFFFFF7744FFFFBB11FFFF1D1D000034 +:10A370000000BB1155AA55AAA5A5A5A5999955AA4E +:10A38000A5A5A5A555AA55AAA5A5A5A5999933CC76 +:10A39000A5A59999999955AAC3C399999999000026 +:10A3A00000000000000000000000000000000000AD +:10A3B0000000000000000000000000000000CC339E +:10A3C000CC336666CC336666CC335A5A66666666AC +:10A3D000CC336666666666663C3C5A5A6666CC3323 +:10A3E0005A5ACC3366662966FF0000000000FFFF62 +:10A3F000FFFF00000000000000000000000000005F +:10A40000000000000000000000000000000000004C +:10A410000000FFFF55553333FF0055550F0FFF0068 +:10A42000555533330F0FFF00555533330F0FFF00D2 +:10A430003333F0F0AAAA0000000000000000000082 +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A49000000000000000000000000000000080003C +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E0000000020004020000240000000000000040 +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A540000000000100008000000200000000000088 +:10A5500000000000000000000000000000000000FB +:10A5600000008002000080000008090004020000D2 +:10A570000C000000000000000000000000000000CF +:10A5800000000000000000000000800100008000CA +:10A59000000400000001000080000000040300002F +:10A5A0000401000004030000240100000403000073 +:10A5B000440100000403000000000000000000004F +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A6100000000000000000000000000000008010AA +:10A620000000000100040000000000000000000025 +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000009000000000000000000E1 +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C00000000000000080100000000100040000F5 +:10A6D000000000000000000000000000000000007A +:10A6E00000000000000080000000000100000100E8 +:10A6F00000000000800000000000000000000000DA +:10A7000000000000000000000000000000008010B9 +:10A71000000000010004400080000000080041002B +:10A72000800000002800410080000000400041003F +:10A730008000000080004100800000002800000030 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000003000000000000000000A6 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000020020000057 +:10A7E0000000000000040000040000000100000060 +:10A7F00003C0000000000000088000050000000009 +:10A8000000000800C00000000000000008C00000B8 +:10A810000000000000C0000000000000C0000000B8 +:10A8200000000040000200000000000000000000E6 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000030000000302 +:10A8500000000000000000000000000000000000F8 +:10A86000000000000000000000000000C300000025 +:10A870000000002300230000000000000000000092 +:10A88000C000000000000000000000000000000008 +:10A8900000000000000000000000000100000000B7 +:10A8A000800000D303C38123CB0000C000C8800018 +:10A8B000C40000C000C88000C40000C000C0C800C0 +:10A8C0008000000000000000000000000000000008 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A92000000000000000000000000800020000001D +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A950000000000000000000000000000000008077 +:10A960000000400800000004000008000000000093 +:10A97000200804000402000000000400000400009D +:10A9800000000000100000000100000010000000A6 +:10A990000040000010000000104000000000000017 +:10A9A00001000000000000400080000000000000E6 +:10A9B0000000000000000000000000000000000097 +:10A9C000000000000000000000000000000000087F +:10A9D00000000008018000000000000000000000EE +:10A9E0000000000000000000000000000000000067 +:10A9F00018400000000000000000000000000000FF +:10AA00000000000001000000000000000000000045 +:10AA100000000000000000800000000000000001B5 +:10AA200000000000800000200403C000400000027D +:10AA30000000800000000002000080000000000212 +:10AA40000020000080000000000000000000000066 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA0000000000000000000000000000010010095 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000004501000000A000000000000096EA +:10AAF000C1000024000A00000038000000280040C7 +:10AB0000120000800000000001000C00000000871F +:10AB100001604C100408101A011048000000309A1F +:10AB200001021800003000000000020000000000D8 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000001F10005000000000000000780000FE +:10AB60000000000000500000000000000050001035 +:10AB700000020EC001000007000008000080002055 +:10AB800000000000000000000000000000000000C5 +:10AB9000000000000000000000000000F000400085 +:10ABA00000000000000000000000000000000000A5 +:10ABB000E000000000000000E000000000000000D5 +:10ABC000E0000000000C0000000000000000000099 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC300000000C0000000000000000000000000008 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000040350B0014C0AC0206825A +:10AC700003000000000040140070000000004202C9 +:10AC8000008000000008008000000010000010009C +:10AC90000000000020001000824C01102080000005 +:10ACA000800000004000000000502800000000006C +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000E800300100000000E00C5 +:10ACE00000000000A0022C00000000000000000096 +:10ACF00000102800C000100000102800000008000C +:10AD00000038000600000000000000000000000005 +:10AD10000000000000000000000000000000000033 +:10AD200000380800001400000000000000000000CF +:10AD300000000000000010000000000000001000F3 +:10AD4000000000000000100000000000E000000013 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000100000000000000000000083 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE00000000000000000000000000000000280E1 +:10ADF0001000021004000001C300002000800000C9 +:10AE000002100300000000004201000007000000E3 +:10AE100040120000080004800A2000000002000028 +:10AE200008004000100000000101800008600002DE +:10AE30001000240008300002100000000000000094 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000010002041100DA +:10AE60000000190000001000000001000000400078 +:10AE70001000010000280000000000C00040000099 +:10AE800000000600000005000000000000000000B7 +:10AE900000000000000000000000000000000000B2 +:10AEA0000000000080080000000400000000000016 +:10AEB0000000100000000000200000000200000060 +:10AEC0002000000002000000200020000000000020 +:10AED000080000000000000000000000000000006A +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF3000000000000000000000801C000000000075 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700008000000020000000000000000000003C4 +:10AF800026000D8009000000860114000000000C5E +:10AF9000800110102000008CE30108000000400038 +:10AFA0008000800ED0010085C500000000000080F8 +:10AFB00003000008E00008C003008006E000000075 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000100061 +:10AFE00000381400A000000007008000A0001040FE +:10AFF00007000C00A000100006002207A00010802F +:10B0000003801D0008000100001000010000000086 +:10B010000000000000000000000000000000000030 +:10B0200000000000000000000010200000400000B0 +:10B03000000000000000100000000000C000000040 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000170079 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000004800000000000000000000000008 +:10B10000A200000800014C80053000000000409AB9 +:10B110002260004000000180C242000000001121B6 +:10B12000121A000000000006C000400004000021C8 +:10B130001062004003300000C00200C00130000077 +:10B14000C00200000000000000000000000000003D +:10B1500000000000000000000000000000000000EF +:10B1600000000CD0010000000001080000000000F9 +:10B1700010010C400000400020010C0000380C20A1 +:10B1800010010CA000280D1A20000200000200206F +:10B1900000000000000000000000000000000000AF +:10B1A0000000000000000000000000007402000029 +:10B1B0000000000000000000D000000000000000BF +:10B1C000000200000000000700000000000000076F +:10B1D0000000000000000000D0000000000000009F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000010D +:10B2400001000000000000100000000000000000ED +:10B2500000000000000000000010000000000020BE +:10B26000000000010000200000000000000000209D +:10B270000000000180000000200080020020042067 +:10B2800000201002300092400050280011010010F0 +:10B290000030000000003908801400121001101066 +:10B2A00000300100000002911002921028103010AE +:10B2B000321002102020100020101000002000008A +:10B2C0001012100000000000101011000000000813 +:10B2D0000001010000000001001200000000001148 +:10B2E000001000000000000000100002000000003C +:10B2F00000100010000181200030100080009182B9 +:10B3000000100000001010021010000002000010D9 +:10B3100001100000020110110000000000000000F8 +:10B3200000000000100110001000000000000000EC +:10B3300000000000000014001000000000100000D9 +:10B3400000100000000004000000000004000000E5 +:10B3500000000000000002000000000000000000EB +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000030000000004D +:10B3D00000000050000000000000000000300000ED +:10B3E000000000000000000000000E0C0000000043 +:10B3F00000000000000C000500009000000000406C +:10B4000000000000000010003000300C003E040579 +:10B41000300000100010060500003804053090359B +:10B4200030013932023200050000001012021510FE +:10B4300004103015321200180000300800121006F7 +:10B440000000000516301006960018051030100593 +:10B450000000100000000000000000050E100000B9 +:10B46000000000200020000000000000002000403C +:10B47000006000009020003000001000002020003C +:10B48000000020000020003090301000202000003C +:10B49000000010200020000000003020900000007C +:10B4A000900000A0000000003000300020000000EC +:10B4B000000000000000000000000000200000006C +:10B4C000002000000020000000000000000000003C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B54000000000000000000C0000000E00000000E1 +:10B5500000000000000000000000000000000000EB +:10B5600000000A00000000000000000C00000001C4 +:10B570000040008200400E300001000C300000024C +:10B58000100030080030000000100E0430003011B0 +:10B59000021290500C0C00000210805200803C02FD +:10B5A0009000000850000200503004005070082C39 +:10B5B0005A0C280A30701E00380008013030008113 +:10B5C000B00002800EB00E800004508000008F7228 +:10B5D0000000580000008A008A0C88800000008C5F +:10B5E0008A088A800A00000800000A0000000000A3 +:10B5F000000000004000400000000A004008A800D1 +:10B60000000000001E70282C000000F00000000C5C +:10B61000000E000E0C0E80000C0000000C08000C48 +:10B620000000000000000000000000000008000012 +:10B630000000000000000E000000000000000000FC +:10B6400000000000000000000000000000000400F6 +:10B6500000000000040000000000000000000000E6 +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000300000000000006A +:10B6B000000000000000003000000000000000005A +:10B6C0000000000000000000000000020000400038 +:10B6D00000000002000240000000400200004000A4 +:10B6E00000003000000040000000000100004400A5 +:10B6F00000000000000040000000000100004000C9 +:10B7000000000002000040000012400200000000A3 +:10B710000C000002000000000000400200000002D7 +:10B720000000400200404000004000000000000017 +:10B7300000004002000000000000000000000000C7 +:10B74000000080011080140000083000900190007B +:10B7500000808042100094420400906200000000CB +:10B7600000000002000000000430000000000000A3 +:10B770000000000004002000000000000000202461 +:10B7800040000000000020004000000000002000F9 +:10B790000000000000002000000000000000000089 +:10B7A0000000300000000000200020000000000029 +:10B7B0002000000000000000000000000000000069 +:10B7C0000000000000000000001002000000000067 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000080000098 +:10B830000000000000000000000000800000000088 +:10B8400000000000000000000000000000000004F4 +:10B8500000000000000000040000000000000004E0 +:10B86000000000000000B000000000000000000C1C +:10B870000000020000000000000000000000000CBA +:10B88000000000000000000400000000008400042C +:10B89000000000000200000400000000000000049E +:10B8A0000000000400000000013000200030000013 +:10B8B0000000000000000000000000080000000080 +:10B8C00000000004000020084120000000020000E9 +:10B8D00051001030002010200000101400001054FF +:10B8E00000000000000000040000000000800000D4 +:10B8F0000000000000000020800000200000002068 +:10B900008000802210000020800080201000002095 +:10B9100080008020000000208000802000000000C7 +:10B92000000000000000B000000000000000000067 +:10B930000000000000000000000000000000000007 +:10B940000000000000000000000000000090040063 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000004057 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000100000000057 +:10B9E0000000001000000000000020100010000007 +:10B9F000000008000000000000000010000000002F +:10BA000000000010001000000000001000100000F6 +:10BA1000000000000000000000000000000008001E +:10BA200000000010000000000000000000000020E6 +:10BA300000000000000008000000002000000804D2 +:10BA40000000000400000004000082000000180054 +:10BA5000000010000000102000000000100018007E +:10BA600008001000000008000000000000000000B6 +:10BA70000800000000000000000000200800102066 +:10BA800000200030021000300010002000000020D4 +:10BA90000000102000000020000000200000002016 +:10BAA0000000000000000000000020000000000076 +:10BAB0001000100000000000100000000000000056 +:10BAC0000000000000000000000000000000000076 +:10BAD0000020000000000000000000000000000046 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB50000000000000000DF2A599C399959AC399C1 +:10BB6000A599C399A599C399A599C399A599C3996D +:10BB7000A599C399A599C399A599A599C399939C8A +:10BB8000959A939C959AC399959AC399939C959AE3 +:10BB9000939C939C93C693C663C963C993C693C68B +:10BBA00065A993C695A695A67788778877888787AD +:10BBB0007788878777887788878777888787778860 +:10BBC0007788FFFFFFFFFFFFFFFFFFFF5F500000D1 +:10BBD000000000000000FFFFFFFF00000000FFFF6B +:10BBE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65 +:10BBF000FFFF000000000000000000000000FFFF49 +:10BC0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:10BC1000FFFFA5A5C3C3C3C333CC99999999C3C3E7 +:10BC2000999955AA9999C3C355AA999933CC9999C9 +:10BC3000C3C355AA999987D3555500000000AD5646 +:10BC4000555500000000000000000000000000004A +:10BC500000004D2C55550000000000000000FFFFC3 +:10BC6000FFFF0000000000000000000000000000D6 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000C00000000000000A8 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD00000000000000000000000D8A45EA9DCA461 +:10BCE0005EB9DCA45EB9DCA45EB9DCA45EB9DCA4F8 +:10BCF0005EB9DCA45EB9DCA45EB9DCA45EB9DDA4E7 +:10BD00005EB8DCA45EB9DCA45EB9DCA45EB9DCA4D8 +:10BD10005EB8DDA45EB8DCA45EB8DCA45EB8DCA4CA +:10BD20005EB8DCA45EB9DCA45EB9DDA43EB8DAA4DA +:10BD30003EB8DAA43EB8DAA43EB8DAA43EB8DAA433 +:10BD40003EB8DAA43EB000008C0280040C00000073 +:10BD50000C00000000000000000080240E00000025 +:10BD6000000000008C0280240E0080240E0080243D +:10BD70000E0080240E000000000000000000000003 +:10BD8000000080240E0080240E0080240E008024F9 +:10BD90000E0080240E0048804CA84C804CB84D808A +:10BDA0004CB84C804CB84D804CB84C804CB94D8050 +:10BDB0004CB84C804CB84D804CB048201E20000040 +:10BDC000000048201E2000000000000000000000CD +:10BDD00000000000000048201E20000000000000BD +:10BDE000000000008C0200000000000000000000C5 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000C0040000006E +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000A01228DCA452B8DDA4BD +:10BEA00052B9DCA452B00000000000000000802461 +:10BEB0000200802402008024020000000000000034 +:10BEC0000000000000000000000000000000000072 +:10BED00000000000000000000000008000284D80ED +:10BEE00040B94D8040B84D8040B84D8040B84C803E +:10BEF00040B84C8040B84D8040B94D8040B0000003 +:10BF00000000000000008024020080240200802441 +:10BF1000020080240200488040A84C8040B84C8039 +:10BF200040B84D8040B84D8040B94C8040B94D80FC +:10BF300040B94C8040B94D8040B84D8060B1482038 +:10BF4000122048201220000000000000000048805D +:10BF500060A94F8060B94F8060B94F8060B94F8051 +:10BF600060B94F8060B94F8060B94F8060B0000009 +:10BF700000000000000000000000000000000000C1 +:10BF8000000000000000000000000000000000C0F1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C0000000000000000000000000FFFFFFFFFFFF36 +:10C01000553300000000FFFF3F0CFFFF55AAC3C3CC +:10C0200033CCA5A5999933CC33CCFFFFF303FFFFA5 +:10C03000F505FFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:10C04000BB11FFFF2727FFFFDD11FFFF0F33330F6A +:10C05000BB1100000000FFFFDD1100000000FFFF2A +:10C060006666AA555A5AAA553C3CAA55CC335A5A28 +:10C07000CC33CC3366663C3CCC335A5AAA55666600 +:10C0800066660000000000000000FFFFFFFFFFFFEA +:10C09000FFFFFFFFFFFFFFFFFFFFCC33CC336666E0 +:10C0A000CC333C3CCC335A5A6666AA555A5ACC33E8 +:10C0B0005A5A6666AA553C3C5A5A5A5ACC33AA5523 +:10C0C00000FFFBC33333015A33330000000000008C +:10C0D000000000007C7E4A7BFEA58EC76A58E21FE6 +:10C0E0004A4836CB9E9C1AE19299F2F9C2300E0969 +:10C0F0008D100000000000000000000000000000A3 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000C02000100000DF +:10C170000000C020000800000000C02000CE000029 +:10C180000000C02000D600000000C02000E6000033 +:10C190000000C020004C00000000C02000544100FE +:10C1A0000403C020886449000403C02004A30000E5 +:10C1B0000000C020009263000403C020448B0B00E9 +:10C1C0000402C020002000000000C0200010000079 +:10C1D00000004020000000140000402000C00000CB +:10C1E00000000000000000000000402000C000002F +:10C1F00000008000000C00000000C020005400205F +:10C200000000402000400000000000000000C520A9 +:10C210000403C0202493E3330403C020448B003480 +:10C2200000004020000000000000000000000000AE +:10C2300000000000000000000000000000000000FE +:10C240000000000000000000040340208CC1000436 +:10C2500000004020004000000000000000008002BC +:10C26000000080000024000000000000000000002A +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E00000000000000000000000802000000001AD +:10C2F00000048020000000010008802000000001F0 +:10C3000000088020000000010004802000000001DF +:10C3100000108020000000010008802000000001C3 +:10C320000004C720800000012810E12080000001E7 +:10C3300028108020000000010004C72080000001B8 +:10C3400080088120000000012010802000000001F2 +:10C350000004002000000000000800200000000091 +:10C3600000080000000000000000002100000000A4 +:10C370000010800200000001000080340000000175 +:10C380000004002100000000001000000000000078 +:10C390000000C934800000014004C7218000000172 +:10C3A00080080020000000000010000000000000D5 +:10C3B000000000000000000000000000000000007D +:10C3C0000000000000000000000047218000000085 +:10C3D00028100020000000000008000000000000FD +:10C3E00000008000000000010000000000000000CC +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C46000000000000000000000000000000000C00C +:10C4700000C000C0444000C000C000C0444000C034 +:10C4800000C000C0444000C000C000C7444000C01D +:10C49000000044C0C0C000C003C003C7474000C084 +:10C4A000020000E00047D75010C0E0C00041C400C7 +:10C4B00000430087C3CF004000C000C0C06000033D +:10C4C000CAC0C422E0C1E00000C00003E2E20022D2 +:10C4D000E00044C000C000040004440020C000008C +:10C4E00000000000E0000000000000000000000765 +:10C4F000E0220240030000C00300420342C00022C9 +:10C5000047C3C000000000220347000000C0000035 +:10C51000000000000000CA5383C0C3C1C713C21388 +:10C52000C507CB9383C3002220400B0002202000CC +:10C53000008008000020000020000800000000002B +:10C540002000080000200000208008000000C40136 +:10C5500080620000000000004600000000000000B3 +:10C5600000000000000000001000000000000000BB +:10C57000000000001000004000000000000000006B +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F00000000010000401100020001000040110D1 +:10C6000000200010000401100020001000040110A0 +:10C6100000200004004000841010001002040310E9 +:10C620000220001004000110002000200010002053 +:10C6300005210000012801024100002100054110F0 +:10C64000502001010404004000110000001001010D +:10C65000042000000000000401100004000020007D +:10C6600020040000000000000000000000000000A6 +:10C670000000000000000420200000900880034813 +:10C6800003100100080C120001000000022000004D +:10C690000004000000000000000004208882302117 +:10C6A000404014004040400284210000002020014E +:10C6B0000400000010040001000000000000000160 +:10C6C0000000000000000001000010000004000055 +:10C6D00000000001802000000000000004000000B5 +:10C6E00000000000000000000000008001000000C9 +:10C6F0000000000040000000000000408000040036 +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C77000000000000000000F000000C00570400F26 +:10C78000048000C00170400F040000C00170400F21 +:10C7900004000CC0017040008400128007C0400FEC +:10C7A000000112C00570400404801C00290420030D +:10C7B000F4081480021540A8842E10200964010793 +:10C7C0007C0030C7D1384007E4F00CC00A40800438 +:10C7D00060B834C009B8000FE48000070108608425 +:10C7E00000D00181020000200000080000000000CD +:10C7F00000000000000008400000080900000820B8 +:10C800000078000000001E00004848000000000002 +:10C810000000080000000000000000000000000010 +:10C8200000781400000000000050200000000220EA +:10C830000002080000702000044820C001710E4072 +:10C84000043013CA5170400000000000D0722083F1 +:10C85000010000C001709ECF038414000000800816 +:10C860000002140000000110023E1004400022608B +:10C870001800000EE00017D0032C140AD00222602A +:10C880000000000440000000000000000000000064 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000040036030004025 +:10C90000001C0000000001200108000000000000E1 +:10C91000000800000000018005380000E000004031 +:10C9200000300080E700004000480007031000804E +:10C9300003400C30038000C0C308000000081C81C5 +:10C9400003C04024E3001CE000400003600034808A +:10C95000017800043641008001300003623000207D +:10C96000036008A70138143001000000223C0200D7 +:10C97000000000000000000000000000003802007D +:10C980000000028001001000C0020080053816007F +:10C99000C002080000301600000000000000000087 +:10C9A00000000000003400000000000000081C002F +:10C9B00000002C80100802000008080010300C0154 +:10C9C000C11C41021080500001082C07100000001B +:10C9D00041480870320000030108000700001441BC +:10C9E000200000070010102001000E80030810082E +:10C9F000500102000201800FF07506000000B60B26 +:10CA0000C0000200022C800C500100000000000059 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA80005044100000000002402400000040002834 +:10CA9000C006082000080002402400000040002CCE +:10CAA0008006008000200028C8060050000C00010D +:10CAB0004C04084020020038A0040820008000A296 +:10CAC000A0001D00008000010030140002080003D7 +:10CAD00000200C400008000400A0004000000010EE +:10CAE000040400000044018400000040080000002D +:10CAF00000010000100000000000000000000480A1 +:10CB000000080000800043000800700000002000C2 +:10CB1000000C000000001000004090010000000028 +:10CB2000000000000000000000001D0000000000E8 +:10CB3000000C100000004000000000000000010098 +:10CB400000409120000000000100002000000008CB +:10CB50000000112400080020100020280000200000 +:10CB60000000B820080000080000181000000000B5 +:10CB700000003800040024808A00200400800000A7 +:10CB8000008024000000048000300000000000004D +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC000000000012E00000C004F00007E00000C0D7 +:10CC100001700007E00000C001700007E00000C0E4 +:10CC200001700007E00000C001700087E10000C053 +:10CC30000068008CE1381DC001780087E80000D052 +:10CC400005700200EE000C0000F0C200903800C039 +:10CC500009B00000000C2C80010C000006020C0042 +:10CC60000008080FE6783080057002A009B00020A7 +:10CC700000000080200000000000000006000C0002 +:10CC800008000000074000000002008001001406B8 +:10CC90000002092008481D00000000800840000331 +:10CCA00018000000000000000000000000280C0038 +:10CCB0000000000000700C0000002740070000008A +:10CCC000A000818000001410100080000800800681 +:10CCD00000110100083C140602092E0700001C0781 +:10CCE000C0668FC00300120441000000000002C0B3 +:10CCF000000022000E00100820011C8001005C04CE +:10CD00004812232008901C071000234006000C073F +:10CD1000E000000000000000000000000000000033 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD8000000000000000800428792CC00380800788 +:10CD900078392CC00BF8000770782CC00BF880078E +:10CDA00078392CC00BF8000770782CC00BF80007FE +:10CDB00070502C80F260000C907909000AC00027A6 +:10CDC0007068008F0338204071001CFA05000107CD +:10CDD0002003148009800002000810800970000FF1 +:10CDE00060383D005270200004081E0FD000008003 +:10CDF00001802C80F300002000010000C0380000FA +:10CE000000004000A000000000383C3A0000000094 +:10CE1000000000000000064000280D10000000A0E7 +:10CE20000138BC08C0000000000000000000000045 +:10CE300000B410000000000000382100000006408F +:10CE400009010E000001021700501E80F1000007CA +:10CE500000001E160080024400001616C0400000AC +:10CE600000501E2000000264008000200802000321 +:10CE700000000000000000000080000000000C80A6 +:10CE8000610000044000020000101C2FF00002406E +:10CE90000028402FF0020000000000000000000009 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF100000000000000000000010000010000000F1 +:10CF200000000000100000000000101010000020A1 +:10CF3000000000001200300000000020103000103F +:10CF40008040104008502000200C0000505030401D +:10CF500020482028080820104040202014000050BD +:10CF60000020004090000040102030000000002011 +:10CF700000200200000440000030004000000000DB +:10CF800000000011000080001114002020000000AB +:10CF9000000050004040200200001004401001102A +:10CFA0001201000000100100010084400100801007 +:10CFB000041010040000004028008480090008804C +:10CFC0002040808020000030880080802000800089 +:10CFD000208080004440000000202020400000000D +:10CFE0000010000000000000000000100010000011 +:10CFF00000000000140000100010100000120012C9 +:10D0000000001000000200100010000002100000DC +:10D0100000000020020004020000000000000000E8 +:10D0200000000000000200000000000000000000FE +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D0900000000000000D0000000000000030000053 +:10D0A00010000000000000003000700000000030A0 +:10D0B000100000000000000030001000000000061A +:10D0C00010100000000A16000430687000400000D4 +:10D0D0001020000D00007000900400300000000DD2 +:10D0E00010000000050D5000300000700D70300081 +:10D0F00050000000054D00A8A00C60000010000DBD +:10D100004000700E0C00001A00007000303000B0BB +:10D1100000000000090030706000000000000060A6 +:10D12000003000303005900090200000705000006A +:10D130000000900000200850000000A00809000036 +:10D14000080878000079084000B800300809480055 +:10D15000000500000009404A0800005C005D4840EE +:10D160000800000C002040000240A0A008A50030EC +:10D17000A0300000000000001000001000100000AF +:10D180004810000000000000400000000000000007 +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000080008E +:10D22000000000010000000000004E0000000000AF +:10D2300000000000000000200000000004000000CA +:10D2400000000000000000001010001080000140ED +:10D25000100000400000001030200030005000009E +:10D26000300020000900011100020290210001118C +:10D27000000210000100012100100801010D014011 +:10D28000003A401000000E000100000840001000AD +:10D290004C00003E100E0070004030002A102000AC +:10D2A0000040000030000C000878000000000C0076 +:10D2B0000C4030300400100000000100400000303D +:10D2C00020002420080100201020A12000010020BF +:10D2D00020702120000010003120100001300001DA +:10D2E00000303131110000010000000A0000000090 +:10D2F00001000000000000000000000000000A0023 +:10D30000000000000B00000400000000000000000E +:10D3100000000000000000000000002004000404E1 +:10D3200000000000000000000000000000080000F5 +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D37000000000000030000000000000000000007D +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000040202D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000020000000300D +:10D3D0004000200040100040000000000000A000BD +:10D3E000000000200000000000000000000000001D +:10D3F000000000200000000040000000400000008D +:10D400000000000000000C00000000000000000010 +:10D41000000000100001400000002020000040003B +:10D42000000020008041400080002C00002000000F +:10D43000000020000030000000000000000030006C +:10D44000200040008020000040000030004000002C +:10D45000000000300080000000000000000000001C +:10D46000000000000040000000000000000000007C +:10D47000200000000000000000000000000020006C +:10D48000000000000000000002000000000000009A +:10D49000000000000000000000000000000020006C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F00000000000000000000080000000000000AC +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000002000000000000000000000000000DB +:10D53000000000000000000000000000000000806B +:10D5400000000000000000000000000000000000DB +:10D55000000000001000800000900020000000008B +:10D56000000060000000000000000000000000005B +:10D5700000000000000000000000000000000000AB +:10D5800000000000800000200000020000000000F9 +:10D59000000000000100008000000020800080608A +:10D5A00000300020800080201020000090008220A9 +:10D5B000004000008000802000B00000000000005B +:10D5C0000000B000400020802080000000000080AB +:10D5D000002000000000008000200000000000008B +:10D5E00000000000002000000000000000200000FB +:10D5F000000000000000000000000000000000002B +:10D60000000080000000000000000020000000007A +:10D6100000000000000000000010002000000000DA +:10D6200000004000000000000000000000000000BA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D67000000000000000000000000080000000002A +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000001000000000000000006A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000020000000000000003A +:10D6D0000000001000000010000000000024000006 +:10D6E000000000000000000000000010000000002A +:10D6F000001000000000000000000010000000000A +:10D7000000000000000000100010002000000030A9 +:10D710000000000000000000000000404000113048 +:10D720000000002000201130000000200000001048 +:10D730000000002000000000000000200020100079 +:10D74000000000000000E00100008200100000C2A4 +:10D7500000000000000000000000000000000000C9 +:10D760000000000000000000002000000000000099 +:10D770000020000000000000100000000000000079 +:10D780000000000000000000000000000000002079 +:10D790000000000010000000000000000020002039 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000FFFFFFFF000000000000000000009C +:10D8900000000000000000000000FFFFFFFF99995A +:10D8A0009999A5A533CCC3C399999999C3C3C3C307 +:10D8B00033CCA5A5C3C39999C3C3999955AA33CCB1 +:10D8C0009999A5A5A5A5FFFFFFFF00000000000096 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F00000000000000007C03333000000000000FB +:10D9000000006B66555500000000000000000D0689 +:10D91000555500000000FDC3FF000000000000009E +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000040000000000000000000000C3 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA000000000000000080240E0000000000000064 +:10DA10000000000000000000000000000000802462 +:10DA20000E0048804CA94D804CB84C804CB84D80BD +:10DA30004CB84C804CB84D804CB84C804CB84D80A4 +:10DA40004CB94C804CB84D804CB180240E00000085 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA70000000000000000000000048201E20000000 +:10DA800000000000000048201E20000000000000F0 +:10DA9000000048201E200000000048201E2000003A +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD00000000000000000800000000000000000C6 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB50000000000000000000000000000000802421 +:10DB600002000000000000000000000000000000B3 +:10DB70000000000000000000000000000000802401 +:10DB8000020000000000488040A94D8040B84C8051 +:10DB900040B94D8040B84C8040B94D8040B84D8070 +:10DBA00040B94C8040B84D8040B94D8040B148806C +:10DBB00040A94C8040B84D8040B94D8040B84D8060 +:10DBC00040B94C8040B84D8040B94D8040B94C8040 +:10DBD00040B94D8040B0000000000000000000008F +:10DBE0000000000000000000000000000000000035 +:10DBF000000000000000000000004820122000008B +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC50000000000000000000000000C00000000004 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000FFFFFFFF0000000000000000000038 +:10DCF0000000000000000000000000000000000024 +:10DD00000000FFFFFFFF000000005A5A5A5A5A5AFB +:10DD10003C3C3C3CAA555A5ACC333C3CAA555A5A36 +:10DD2000CC335A5A6666CC333C3C5A5A66666666B1 +:10DD3000AA553C3C6666CC336666AA5566665A5A56 +:10DD40006666AA555A5A3C3C666666665A5AAA5591 +:10DD500066666666AA55AA553C3C000000000000B5 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000001DE0A6 +:10DD8000333300000000000000000000000000002D +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000400000100000001000000000000AC +:10DE700000000000000000000000000000000000A2 +:10DE80000000600000010000000000000000000031 +:10DE9000000000300000402000C000000000000032 +:10DEA0000000000000000000000000100000402002 +:10DEB0000040000000000000000000000000000022 +:10DEC0000000000000000000000000000000000052 +:10DED0000000800000008000000A00000000000038 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF000000000A01040280000C260000000000004E +:10DF100000000000000040200050000000008000D1 +:10DF2000002400000000800000220000000000002B +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000004000800000000800000069 +:10DFF0000000000000000000000000000000000021 +:10E00000000000000000440080000000400000000C +:10E0100000000000000000240000000000080000D4 +:10E0200000000000000000000000000000000024CC +:10E0300000000000000800000000000000000000D8 +:10E0400000000000000000000000000000000000D0 +:10E05000000000000000800200000001000000003D +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E0800000000000000081020000000120000000EC +:10E0900000000000000000210000000000008002DD +:10E0A00000000001000080100000000100000000DE +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E16000000000000000000000000000000000802F +:10E1700000C80000000000000000000000000000D7 +:10E180000000000000000000000000000000C88047 +:10E1900000000400000000000000E0000000440057 +:10E1A00000C00000000300000000040000030000A5 +:10E1B000000000000300E0E200400000000000005A +:10E1C0000000032000000000000003200000000009 +:10E1D00000000320000000000000A300148000C025 +:10E1E000A00310000000000000000000000000007C +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000030000000B +:10E2100000000000000000000000000002000000FC +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000020000000000000000000000001C +:10E30000000000000000000000000000000000000D +:10E3100000000002000000000002000000000000F9 +:10E320000400000000400000048000200000000005 +:10E3300000800020000000002000004000200401B8 +:10E3400000000000000002000000000000000200C9 +:10E3500000000000000002000000000000000201B8 +:10E360000004019000080000000000000000000010 +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000002008B +:10E390000400000000000000000000000000000079 +:10E3A0000400000000000200000000000000020065 +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E470000000000000041000000000000000000088 +:10E48000000000000000000000000000000000008C +:10E490000000000000280000000000000000000054 +:10E4A000A000002000B808080000000000000000E4 +:10E4B000000101000000000000011E00A0800C0609 +:10E4C00000160005003800C0011002C50100400020 +:10E4D00008100085100800C0C1104005000C0000A5 +:10E4E000003C022300800000000016000008000825 +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000E00F0F0000000000000000000000D +:10E520000000000700000000000000008080540090 +:10E5300000000000800210000080000000000000C9 +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000040000000000000BA +:10E62000000014000002008003780201200008802E +:10E6300001000001A000020000000001C000000075 +:10E64000C010220000900600604010002042000030 +:10E65000000014000040000000402C0308400007A8 +:10E66000E00C94270110008503082800000000003A +:10E67000004040000000000000000000000000001A +:10E68000000000000000000000000000000000008A +:10E690000000000000001000000000010040000029 +:10E6A0000000000000000002003010200100000007 +:10E6B000203800000014000000382800000000008E +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000280071 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000001000300000400000C00227 +:10E7B000180003400400C0001000010000004008E1 +:10E7C000100008000001200210000A0412200600B8 +:10E7D00000280484002000810028010C41008404EA +:10E7E00000288004020110002400241C0000000105 +:10E7F00000000100000040000000000000000000D8 +:10E800000000000000000000000000000000000008 +:10E810000000000000000000000020000000980040 +:10E82000000A0000000000000000001400081400AE +:10E8300000000000800108000000000080000800C7 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E9000000401000000000000000000000000000B7 +:10E9100000000000000000000000000000000000F7 +:10E920000040000000000000000000001000000097 +:10E9300000A8000000000600000000000000060023 +:10E94000060028000002000006280E0000020090C9 +:10E950000300000F0001278314000000E001000FF6 +:10E9600000000001E00106000008420020150C4FE5 +:10E970000000AC04C800000000000001000000001E +:10E980000000000000000000000000000000000087 +:10E9900000000000000000000000000000000E0069 +:10E9A000008220070040000000000000000000007E +:10E9B00000000020000000000038100000040000EB +:10E9C000004020000078000000000000000000006F +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA8000000000000008000000000000000000007E +:10EA90000000000000000000000000000000000076 +:10EAA000000000000038000000000000000000002E +:10EAB000200001C001803C00200000C001000000D7 +:10EAC000200000000000000020000F000080000077 +:10EAD00020000660E0101066000086007008000844 +:10EAE000000A0004042C1016000201C001801C441E +:10EAF0000200008401002C08C0010000000000009A +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000001000008000200080000000000000B5 +:10EB3000000000080000000000000000600201006A +:10EB400000000000701000000080000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC000000000000000000200000000000000000E4 +:10EC10000000001000100000000000402000002054 +:10EC200020000000000420000000000000000000A0 +:10EC30000000000020002000200000042010202000 +:10EC40000000523030103224101020101410012017 +:10EC500030200040301000204000405230100008AA +:10EC600030300200500000001004000020100000AE +:10EC700080400000000101001200100000100000A0 +:10EC8000001000010000000004000400001000104B +:10EC90000000000000000000000000000010000064 +:10ECA0000000000000000001000000010001101041 +:10ECB00010041010000000011010100000001010CF +:10ECC0001000008010010010000000000000001083 +:10ECD00000100010100000000000000040000000C4 +:10ECE0000000008000000000000000002000000084 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000E00000000000000000000000065 +:10EDA000000000000000000E0000000E0000000047 +:10EDB00000000D0000000000000000000000000046 +:10EDC00000300000000010103030005030100010F3 +:10EDD0003030004C30004040303040400060402037 +:10EDE000103040441010406010404040000060600F +:10EDF00060007040606000606040A0700EB0007005 +:10EE0000003000600E00000000107A0000000A9040 +:10EE10000A1000300000000000000A00000000009E +:10EE20000030000000B00000000000000000000002 +:10EE300000001030100030100000000030103000D2 +:10EE40000000303030B000B03000003000B0500072 +:10EE500050000030703050101000300000B0000042 +:10EE60000000000000B000B05000000000000000F2 +:10EE700000000E0000000000090A0E000000000063 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF000000000000000000000001000100000120DE +:10EF100000010000000101000001010000000000EC +:10EF20000001013020000021200001000004200029 +:10EF30000000000000000000004000402000200011 +:10EF40002040000020002020004018303000382CE5 +:10EF5000400010404C400C31103000303000003088 +:10EF60001050303C1000000030100C001000000069 +:10EF7000000C0000104002002010020003040C00EE +:10EF80000C000000000002000000008C0080000067 +:10EF90000800040000000000000000000000000065 +:10EFA0000000000000000000000000000000000859 +:10EFB0000A00000C00080000000C00000000000C1B +:10EFC0000000000000000000000000300008000009 +:10EFD00000000000000000000000000000008000B1 +:10EFE00000000000300000000000003000000000C1 +:10EFF00000000000300000000000000000000000E1 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000010000000000000000000000000000060 +:10F0A00000000000000000000000A00000000000C0 +:10F0B0000000000000000000000000000000000050 +:10F0C0000400202000000000040020004400200074 +:10F0D000440040000400A0000400000000002000E4 +:10F0E0000000000004002400000020028000004016 +:10F0F000003000028000000000000000004000001E +:10F1000000000000200000000000300000000000AF +:10F1100000000000000000000000080000000000E7 +:10F1200000010000000000000000000000002000BE +:10F130002000380000000000000000000000004037 +:10F14000000000000000000000003000000000008F +:10F15000001030000000000000000000000000006F +:10F1600000008000000000000000200000000040BF +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F21000000000000080000000000000000000006E +:10F22000000000000000000000000000000060007E +:10F2300000000000000000000000000000000000CE +:10F24000000000200100800001000000010080009B +:10F2500021008000210020000100A000010000200A +:10F260000100800400000000010000000000000018 +:10F270002020002000B0000020200000000000003E +:10F280000000000000000000800000008020B000AE +:10F29000000000000000000000000000000001006D +:10F2A0000000000000040000000000080030000022 +:10F2B00000000000000081000000000000000000CD +:10F2C00000000010000000000000000000008000AE +:10F2D000000000000090800000000000000000001E +:10F2E000000000000000300000000000000070007E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F33000000000000000004042000000000000004B +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C00000000000002000200000001000200000CD +:10F3D00000240000002000000004000000200000C5 +:10F3E0000004002000040004430000000000180096 +:10F3F0000004180000200800002008000020000081 +:10F4000000000000000000000000000000000020DC +:10F410000020A1000000000000000000000000002B +:10F4200000000000000000000000000000000004D8 +:10F430000020100000001000100000C200000000BA +:10F4400000001000000000000000000000000000AC +:10F45000000000C200000000002000C20000000008 +:10F46000000000000000000000000000000000009C +:10F47000000020000000000000000000000000006C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F570000000FFFFFFFFFFFFFFFFFFFFFFFF000097 +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F00000000000000080240E0080240E00802402 +:10F700000E000000000000000000000000000000EB +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F87000000000000000802402000000000080243E +:10F8800002008024020000000000000000000000D0 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C000000000000000482012209004000000000A +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000C0000000000000000017 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F00000000000000000000000FFFFFFFF00000B +:10FA00000000FFFFFFFFFFFFFFFF000000000000FE +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000478AFF007777F8 +:10FA5000FFFF0000000000000000000000000000A8 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000283 +:10FB800004028000280408040403C02088640000E4 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC00000000000000000000000000000002A000B +:10FBD000040300008C010000000000000000000091 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000008300000000010004E12280000001E7 +:10FD100028100000000000000000000000000000AB +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD5000000061008000000028000000000000009A +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE8000000000000000C0030000C00300C0C40365 +:10FE900083C844C8C3C30000000000000000000085 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED000000000000000C800C40000000000000096 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040003F7 +:1000000000000000000000000000100100408048D7 +:100010000020000184000080840C0000000000002B +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:1001900000046C0000000000000A150000000000D0 +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D0000000000000000000000000000000080017 +:1001E000007A800000000000000000000000000015 +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:100310000000000000141000000000000090150014 +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:1003600000001C0000380001000000000000000038 +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:1004900000000000000000000080000000000000DC +:1004A0000024000000000000000000000000000028 +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000820000200000000000006A +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000001E00BC +:100620000000000000301400000000000000000086 +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000080000047E +:10067000E00000000000000000000000000000009A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A00000340D0000000000003870000000000060 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000020007 +:1007F00000300020000200000000000000000000A7 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000400000000000000000A7 +:100910000000004000000000402000001000000027 +:10092000000000201000000004000020040000046B +:1009300000040000000000000000000000000000B3 +:1009400000000000000004000000000000000000A3 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000100000000000000000000000000057 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A8000000000000000000000000000000000095D +:100A90000000000000000009000000080000000045 +:100AA0002000000000000000200000000000000600 +:100AB000000900020060000050700000000000000B +:100AC000000A7000000000000000000000000000AC +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000500000001 +:100AF00000000000000000080000000000000000EE +:100B000000700000000030700000000000000000D5 +:100B100000000000000000003000000000000000A5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000001000000000000000100000000000003 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000030B4 +:100C100000000000000000000000003000000001A3 +:100C200030100000000000000A000030000000004A +:100C30000000001008000004000C0000000000008C +:100C4000000000000000000000000000000008009C +:100C50000000000000000000000000000000000094 +:100C60000000000200000000000000000000000082 +:100C70000000000000000000000000010000000073 +:100C80000000000000000000000000000000000064 +:100C900000000000000000000000000080000000D4 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D900000000000004000000000000000400000D3 +:100DA0000000000000000000000000000000000043 +:100DB0000000000200000000000000200000000011 +:100DC0000000002000020000000000000000000001 +:100DD00000000000000030000000000000000000E3 +:100DE0000000000000000000000000000001000002 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E10000000000000000040000000000000000092 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E40000000000000000080000000000000000022 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F40000030000000000000000000000000000071 +:100F500000000000000000000000B00000000000E1 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000100000000041 +:100FA0000010000000000000000000000000000031 +:100FB0000000000000000000000000000000000031 +:100FC00000000000000000000000003000000000F1 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000040000000000000000000000000A0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B00000000040420000000000000000000000AE +:1010C00000000030082000000800001000000000B0 +:1010D00000000000000000000000000000002000F0 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:10112000000000000020000000000000000000009F +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B00000000000000000000000000000004000DB +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E000000000000000008000000000000000006B +:1025F00000000000000008000000001000000000C3 +:1026000000000000000000000000000000000010BA +:10261000000000000000008000000000000000003A +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:10275000000000000D00000000000000000000006C +:1027600000000000000000090C00000008000E003E +:10277000700000000000000D70000800001A0C102E +:10278000000D080000003A00009D0C000C0000B095 +:102790000CB0A030B0000000AC0008000000000D3C +:1027A0005000000E00B000B00000007000000000FB +:1027B0000000000000000000000000000000000019 +:1027C0000000000900000000000000000000000000 +:1027D00000000000500000000000000000000000A9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C00000000000000010000000000000000000F8 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000001000030B7 +:1028F00001000000000000000000000000000000D7 +:1029000000800100000001000100800000000100C3 +:102910000100000001000000000000000100013083 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A500000000000000000000000000000008000F6 +:102A600000000000000000000000800000000000E6 +:102A700080000000000000000000000000000000D6 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000001000000000000000000016 +:102AB0000000000000000000000000001000000006 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000030000000000000000000C6 +:102AE00000000000000000000000000030000000B6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000003000B5 +:102BF00000000000300000000000000000000000A5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000040000000000034 +:102C60000000000000000000000000000000000064 +:102C70004000000000000000000000000000000014 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000100013 +:102DB0000000000000000000000000000000000013 +:102DC00000000000100000000000000000000000F3 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB00000000000000000000000005005000000BC +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:103130000000000000280000A000000000000000C7 +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000004B3 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC9000000000000000000C000000000000000098 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C43000000000000000000000000000000000807C +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A00000000000000000000000482012200000F1 +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C7200000000000000000000000000000004123A5 +:10C730003333000000000000000000000000000093 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B00000000000040200000C0000000000000066 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000011000000000010000000D5 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000300000072 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400004000000000000000000000000000000DF +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC00000000800003480000000000000000000A6 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D040000000000000000100000C000100000000D2 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C00000000000000000000000800000000004DB +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000002800B5 +:10D3500000000001000000000000000000000000CC +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000008000000000000000000C2 +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D000000000000000000000000C00000000003D +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000400000000000000000000000032 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000010000000000000000B3 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A0000000000000000C000000000000009000C0 +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000010000000000D9 +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040004F6 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000030000000000000000000000000094 +:101950000000000000000000000000000000000087 +:101960000000010000000000000000000000000076 +:101970000000000000000000000000000000000067 +:101980000000010000000000000000000000000056 +:101990000000000000000000000000000000000047 +:1019A0000000010000000000000000000000000036 +:1019B0000000000000000000000000000000000027 +:1019C0000000010000000000000000000000000016 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD000000000000000301900000004C0CF000027 +:101DE00000000000000000000000000000000000F3 +:101DF000000000000000F039000000048000000036 +:101E000000000000000000000000000000000000D2 +:101E1000000000000000F039000000048082000093 +:101E200000000000000000000000000000000000B2 +:101E30000000000000003019000000048054000081 +:101E40000000000000000000000000000000000092 +:101E500000000000000090390000000090820000A7 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F500000000000000000000000C0280000800019 +:101F6000008B0000000000000000000000000000E6 +:101F700000000000000000000000C02800008000F9 +:101F800040E300000000000000000000000000002E +:101F900000000000000000000000C02800008000D9 +:101FA00040E300000000000000000000000000000E +:101FB00000000000000000000000C02800008000B9 +:101FC000408700000000000000000000000000004A +:101FD00000000000000000000000C0280000800198 +:101FE00000E300000000000000000000000000000E +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F000030080C0D3C30000000000000000C8053A +:10210000C1C0C00003C0E000C9C0039383C3C000C6 +:10211000CBC0C080C08300000000000000000000B1 +:102120000000C8C0000780000000C4C3C1D3000085 +:10213000C5C0C0C300930000000000000000000004 +:1021400000030300CB000003000313C3E1010005FB +:10215000002303C393070000000000000000E0001C +:10216000C00000000013C000C5C5030000D3A000DC +:1021700080C0C003E0EB0000000000000000000091 +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:1022700000000000200080408028000000000000D6 +:102280000000000041041000402000002002200453 +:1022900084900100202010041003000000000000C2 +:1022A0000000000000000002000080000000000CA0 +:1022B000210200004004010A0004000000000000A8 +:1022C00000000000000820004000000100800028FD +:1022D000200100000000021180000000000000004A +:1022E000000000008000000000008000401002009C +:1022F0000004000080408008000000000000000092 +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00004002C200000000004002C20000000004D +:1023F0000000000000000000003400200000000584 +:102400000428002000000000040830000000000044 +:10241000047820200000000004782020000000053F +:10242000043012000000001000011C000000001029 +:102430000448002000000000040000000000000527 +:102440000408080000000000003100000000000047 +:10245000040000000000000004000000000000056F +:102460000000002000000000000000000800001034 +:10247000042C000000000000042800000000000000 +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000600000000000000065F +:102570000000000020008004000000002000000394 +:102580000000800D674000C60000002002808044EB +:1025900000000000020028E601000000000028E61C +:1025A0000000800D0700800100000000E00002E054 +:1025B00001000000030001E4000000002700800487 +:1025C0000000800D078096C10000008085009040CB +:1025D00000000080070001E6010000000000810407 +:1025E0000000800D270000C60500002023000081A8 +:1025F00001000000030000E100000000C74080036C +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E0000000000000000000000064000000000086 +:1026F0000000010400000000000160000000000074 +:10270000000300000000002208024020000000003A +:1027100000002020000000000C002000000000004D +:102720000002000000000000001010200000000067 +:102730000800000800000000000000000000000089 +:102740000080600000000000080010200000000071 +:1027500008101020000000002000C1000000000050 +:102760000004001400000002080100040000000042 +:102770000001C000000000000002C80000000000CE +:1027800020004000000000000000000000000000E9 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:1028600000000000000000000000800C800100005B +:102870000000000080010100000000008015000140 +:10288000000000008000000700000083810000C0FD +:1028900001000080843914C30100000082159400F7 +:1028A000050000A0200080000000408727000027CE +:1028B00000000080E0010006050000A080019C03EC +:1028C000050000A0803900060000800C83011C2652 +:1028D00000000080E30100270000000086000803DC +:1028E000050000A0E03800C601004003E30000003E +:1028F00001000000830109C104000000E6001CC1C2 +:102900000100000026001C0700000000000000007D +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000004E3 +:1029F00000000000000000000000000000000000D7 +:102A0000000000000000000000000020000000079F +:102A10000000340008000000000200200800000050 +:102A200000003C000000000000803C000000000AA4 +:102A300004000020000000000000800000000000F2 +:102A40000000010000000000000000000000000481 +:102A50000080810000000000000000200000000055 +:102A6000000000000000000000010000000000075E +:102A700000010E000400002000001500000000000E +:102A8000000001000800000000000100000000003C +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B800010000000008000000000401000800000E5 +:102B900008101030080840004020000244800088DF +:102BA0000000001018100890080000002800000025 +:102BB000205800200000001000100002000010004B +:102BC0000044000020000800205010400800800051 +:102BD00002202030200211203014010010001000CB +:102BE000004008201010101000001010000010000D +:102BF0001000002010001000000808021001100052 +:102C0000000100000408041010190018100C101026 +:102C10000818000000010010001800000010041443 +:102C20000014000000040000000000040000000088 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000100000000000000000000C3077 +:102D100000000D0050301C3000040000000060B0C6 +:102D20000DB0000C590EB036101000020000060065 +:102D30005000000D0030500050B00030093050708D +:102D400000B0000000000EBA00000800001010B92A +:102D50000009A000000000300000100030307000BA +:102D60003000300000000870003A100000003D30D4 +:102D700000001000380000000A0A00B0050808052D +:102D8000001900000060B5B670080010351400345A +:102D90000008001200100000000000000000700099 +:102DA0000A00000000000000000000000000000019 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E800000000A00400000000000000000300000C8 +:102E900000002100003000000000012000001000B0 +:102EA0003000000C34300030000000000000003022 +:102EB0000000000020000000002000204041000031 +:102EC0000000000C0000000000200A00300000415B +:102ED00000310030004020000C002010300C083A77 +:102EE000300C08000000000000300010000000005E +:102EF00000000000000000000100002000000000B1 +:102F000000800A0C00880000000400000500040A8C +:102F1000000C00000004000000000000000C000095 +:102F20000000000000000400000400000004000095 +:102F30000000000800000000000000000000000089 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00002000200000000000000000000000000CD +:1030000002040000000400000000020000040004AC +:10301000020000000000000002400200000000006A +:103020000004020000040000000480000000000012 +:10303000020002000044000012400A0000040000E8 +:1030400000000200800000000200000000040000F8 +:10305000020002000040000000400200402000008A +:103060000000002000000000000000080008000030 +:1030700030400000804000000040000002000000DE +:103080000000004002400004024002000100000075 +:1030900000400000000400000004020000000000E6 +:1030A000024002000000000000000040000000009C +:1030B000000002400040000000000000000000008E +:1030C00030000000000000000000000000000000D0 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000001000000000000000000000000000C0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:103170000000000004000400000000000000000047 +:103180000000000004000000000000000000040037 +:103190000000000004000000000000000400040023 +:1031A00000000000000004000000000000002000FB +:1031B000000000000400040000002000440004009F +:1031C00000000000000004002000000004000000D7 +:1031D000000000000400048000002000080004003B +:1031E000208000000000000000000000000000013E +:1031F00000010000801000002000040000000030EA +:10320000040004000000001004100000040004008A +:1032100004000000000000000000000000000400A6 +:103220000000000004000400000000000000000096 +:10323000000000000000040000000000000000008A +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000400000000000000000000E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000008000000080000AD +:10331000000000000008000800000000000200009B +:103320000000000000020000000800080008000083 +:10333000000800000000000000000000000820005D +:10334000000008000008000000000000000200006B +:103350000000000000080000000000000000200045 +:103360000400000000000000000000100000000049 +:103370000000000000000000000000000000040049 +:103380000000002000000400000000000008000809 +:103390000000000000000000000000000008000025 +:1033A00010080008000000000000000800020000F3 +:1033B000000000000000000000000000000000000D +:1033C00000000000000200001000000000000000EB +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:103640000000000000000000000000000000030077 +:10365000000000000000000000000000000000006A +:103660000000000000000000000000000000010059 +:10367000000000000000000000000000000000004A +:103680000000000000000000000000000000010039 +:10369000000000000000000000000000000000002A +:1036A0000000000000000000000000000000010019 +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000100F9 +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:1037600000000000000000000000000000000080D9 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D0000000000000000000000000000000482080 +:1038E00012200000000000000000000000000000A6 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A6000000049593333000000000000000000004E +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE0000000F03900000004C0CF0000000000001A +:103AF00000000000000000000000000000000000C6 +:103B00000000B03900000004800000000000000048 +:103B100000000000000000000000000000000000A5 +:103B20000000B039000000048054000000000000D4 +:103B30000000000000000000000000000000000085 +:103B40000000301900000004100000000000000018 +:103B50000000000000000000000000000000000065 +:103B60000000E03900002001108200000000000089 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE0000000600000010000000000000000000074 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C6000000000000000C02800008000008B000061 +:103C70000000000000000000000000000000000044 +:103C8000000000000000C0280000800040E30000A9 +:103C90000000000000000000000000000000000024 +:103CA000000000000000C028000040004087000025 +:103CB0000000000000000000000000000000000004 +:103CC000000000000000C0280000800000E30000A9 +:103CD00000000000000000000000000000000000E4 +:103CE000000000000000C4280000000000E3000005 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000004400800000000800000087 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF0000000000000000000000000000000C1D32F +:103E000003C30000000000000000C0000080C4C127 +:103E1000C0D3A007CB03C7CBE383C000C58383CB4C +:103E2000CBC30000000000000000000000001300F1 +:103E3000C3000000001303C3C78100070003018310 +:103E4000D703000000000000000000000000E0C0F8 +:103E500000CB010003C0C8C300C1130003C0A0E32E +:103E600000C10000000000000000001300C31300A8 +:103E70000000000781C3C013002300C5C301030075 +:103E8000CB00000000000000000000000000000067 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000100000000D1 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000504004050000000000000000010097 +:103F9000000400058010000002010208400A010030 +:103FA0002003A001080C0000000000000000000039 +:103FB00000000000A000000000002090400500006C +:103FC0000001200A00800000000000000000000046 +:103FD0000000001000012000040200840011000015 +:103FE0000840000200050000000000000000000082 +:103FF0000005000000000000A01101000000000406 +:104000001201040040000000000000000000000059 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:10406000000000000000000000000000000000014F +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000004001000BC +:1040F0000000000000790000000000000000000047 +:104100000000000004000E0000000005002900006F +:10411000000000000001000000000000003000006E +:104120000000000004001620000000050028000028 +:104130000800000004306C00000000100000DC00EB +:104140000000001000010000000000050428080025 +:1041500008000000003000200000000004380020AB +:1041600000000000042800000000000500280000F6 +:1041700000000000000000200000000000390000E6 +:1041800000000000002C0000000000000000000003 +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F0000000004002080000000200000000000073 +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:104270000000100100000000E0000003000000004A +:10428000E000100100000000000000010000800DAF +:104290002740002001000080250000200100008050 +:1042A000870000E001000000000028E60000800D0B +:1042B00027403086010000800540004600000000D5 +:1042C000600102E301000000830000030000800D94 +:1042D0000740028601000080654000060000008063 +:1042E0000740142601000000C04080040000800D3B +:1042F0002740002101000080250000C305000020A8 +:1043000083408027010000806500800300000000DA +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:1043700000000000000000000048280020000000AD +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000098000000000000008000A5 +:104400000000000000019000000000000000C0005B +:10441000000000200804800400000000080480144C +:1044200000000000181080000000000000040000E0 +:104430000000000028018000000000000800C020EB +:104440000000000000000100000000000002C000A9 +:104450000000002808820008000000000801402039 +:104460000000000000001C000000000020042010DC +:10447000000000080805800000000000080300009C +:10448000000000000080010000000000100020007B +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F0000000000000000000000000000840000074 +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000800C8001A000000000008E +:1045800080011C07000000008001A0000000000066 +:10459000C001000300008005C32914C10100008090 +:1045A000C339004100000080C1010007050000A0E0 +:1045B0006030820000004014830080C001000000D1 +:1045C000820120C601000000E6018800050000A06D +:1045D0006000000300000004832800C00100000008 +:1045E000E20002C601000080013080C0010000002E +:1045F00026281CC70100000C63280CC40100000021 +:104600006200008301000000832908C601000080C9 +:1046100087011C07000000000000000000000000EF +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:104680000A00000010000000000000000000000010 +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000400000000B6 +:104700000000000000000120000000000000000088 +:104710000000000000000000000000040000400055 +:104720000800000000000000000000000000002061 +:1047300000000000040010000000000400003C0025 +:104740000800000000002800080000000000000031 +:1047500000000000000000000000000400003C20F9 +:10476000080000000001140008000010048014007C +:1047700008000000000000200000000304004020AA +:10478000080000000000002004000020000080005D +:1047900008000000000000200000000000000000F1 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:104800000000008001000000000000000000000027 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000001000000028 +:104880000000000000000001000000101000000007 +:1048900000000000000000000000000000002020D8 +:1048A000200000200008090A000000001810400045 +:1048B0003000008400104800001004000008203080 +:1048C000002000080048410A4000000800004000A5 +:1048D0000080081000202000004000004020200838 +:1048E0002030000000200100000008140810091208 +:1048F00000042410000000081418140100102022E5 +:104900000030001020100412000000108001241458 +:1049100020101C0404000118001000900000840006 +:1049200000900010000080000010008000000020B7 +:1049300000000880000000002000000000080000C7 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000040000000000000043 +:104970000000000001000080002040000000000056 +:10498000000000000000040204000000000000001D +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000C00000000000000AB +:104A00001000000000000000000000000000001086 +:104A100010000000000000000000000070000050C6 +:104A200050000000000000000C000000090090028F +:104A30000030060000009002000A045609009000B1 +:104A4000000000200DB00004000000000D00000474 +:104A5000000000B00E0000000000090000000E0C75 +:104A60000000000C0D300000000000000D000000F0 +:104A700004000010000D5000000D000C0038000074 +:104A800000300070000900000000080008B00010AD +:104A900000090030003000400060003000000000DD +:104AA00000007D000000000000000500000E000076 +:104AB000000A05000D00080E0E00050E00000E0C89 +:104AC00000080000000000000E0A080D05000D009F +:104AD000000000A000000000000008A0000000008E +:104AE0000000000000000000000000B00000000016 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000010000000100000033 +:104B8000000000000000000000000000000000081D +:104B90000000000000000000000000000000800095 +:104BA0000100000000002020000000A00100084CCF +:104BB0000000000000002000200000340000200061 +:104BC00000000C4000002020002000000030380CC5 +:104BD00010000040000010000010024000300000F3 +:104BE00000300001100020001020000000300800FC +:104BF0000000000000410C080000100E00000A0038 +:104C00000000000C0000202800200A001A00010CFF +:104C100001000000100430001000080400000C0027 +:104C20000000002000002400002000000000200000 +:104C30000000002000000020000000200000000014 +:104C40001000000100000000000000000000010052 +:104C50000000000000000000000000000000010053 +:104C60000000000000000000000000000000000044 +:104C70000C000000000000000000000004000030F4 +:104C800000203000000000000000000000000C0CBC +:104C90000400000000000000000000000000000010 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000100000000000000000C4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D00000000000000000000001000000000000093 +:104D10000000000000000000000000000000000093 +:104D20000000000000400000000000000000000043 +:104D30000000000000000000000000100000000063 +:104D40000040000040400000000000000020402023 +:104D500000002000000000000000000000000040F3 +:104D60000040004000000020400000320000000031 +:104D7000020000020000404000000002000040006D +:104D800000000000004040600000400000000260A1 +:104D900000040000000000000000000000100000FF +:104DA00000000000000000004040002000004030F3 +:104DB0000000003000000000400000000000000083 +:104DC00000000000000000000000000000000010D3 +:104DD00000000000000002000000000000000000D1 +:104DE00000000000000000000000000002000000C1 +:104DF0000030000000000000000000000000000083 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000400000000012 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE000000000200000002000000000200004005E +:104EF000000000000400000000000030020020005C +:104F00000000200000002000000020000000200021 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000001000000071 +:104F30000000308000000080000000001000000031 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F700000000000008000000000000000000000B1 +:104F800000000000000030000000000000000000F1 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD0000000000000000000008000000000000051 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000010000000000000000000000000000080 +:105020000000000000000000000000000000000080 +:105030000000000010000000000000000000000060 +:105040000000001000000000000000000000000050 +:105050000000000000100010000010001000000010 +:105060000000000000000000000000000000001030 +:10507000000004100000000000000000000000100C +:105080000041E001001000000010E00100000010ED +:1050900000100000000010100018000000000000C8 +:1050A00000000000001000000000001000000000E0 +:1050B00000000010000000000000000000000000E0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000100000000000000000C0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000002000000000007F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000B8900C00018019 +:1052C000000000500C000180000000C0040000003D +:1052D00004000000040000000400000004000000BE +:1052E00004000000040000000400000004000000AE +:1052F000040000000400000004000000040000009E +:10530000040000000400000004000000040000008D +:10531000040000000400000004000000040000007D +:10532000040000000400000004000000040000006D +:10533000040000000400000004000000040000005D +:10534000040000000400000004000000040000004D +:10535000040000000400000004000000040000003D +:10536000040000000400000004000000040000002D +:10537000040000000400000004000000040000001D +:10538000040000000400000004000000040000000D +:1053900004000000040000000400000004000000FD +:1053A00004000000040000000400000004000000ED +:1053B00004000000040000000400000004000000DD +:1053C00004000000040000000400000004000000CD +:1053D00004000000040000000400000004000000BD +:1053E00004000000040000000400000004000000AD +:1053F000040000000400000004000000040000009D +:10540000040000000400000004000000040000008C +:10541000040000000400000004000000040000007C +:10542000040000000400000004000000040000006C +:10543000040000000400000004000000040000005C +:10544000040000000400000004000000040000004C +:105450000C000180000000A00C000580000000008E +:105460000C0000800000FAEA0C000180000000B08F +:10547000040000000400000004000000040000001C +:00000001FF diff --git a/zz-solutions/04-Lissajous/Board/ise/lissajous.dwf3work b/zz-solutions/04-Lissajous/Board/ise/lissajous.dwf3work new file mode 100644 index 0000000000000000000000000000000000000000..3e80dcc51322a5b3b6fd01133ad67ca83fb069d6 GIT binary patch literal 268206 zcmb5Vc~p{H_%_@*o%EDbWo2qgW#vgrG&3iJMUQ)Z^+fQl2!P*GYrkeO+T zQdycqQdy1&DJG6!PB^2YqGBSVGQ-RHz3(62de`^I_bnEzhrOQXX7797`?{|CX73Ff zHkfPxZh&nF+yLDWxgltS`3AEMx0J6KW$UdC(aM%6Wy|di5z24S4I4J>P`+&vaDmtV zRsk&PKKf&W1>rYyW!sVe%gT)nvHxQyNNEH3KUV*k!!FIP18K)EdFquq>PErwdg-i>Iwx6AcPGQq_~2W) zxz8g1OgDeuyF)`8*6n$~DjJ8T#dA60MGkC?!($-F$lulz*ZH6%LabtmE0dv83}e;< zlW^80x?%-SR*C*jv{?mnsGU; z3@zJ$elhR}xq{D!`*y$$NOT;YbBN9T!C680q5qQmAs|x83~^d&kDBPR1mY`;{1l7A z1}O9PvPOPxY(Wl=Z45rKt^r%JV<+G0(Y0O_P3;>4QJEIAruOG>vH^LjWF-AzAVcMxI8uQsQ!5RHT<`g(q3kRv}m^3IE9$lH=Xw?7yzFc zLYH&WZJ|qF##{^`0cR^Y8>t48%J^88*OGXMKrpm=4+BM2*8;hfjqQiK21^PhsBevZ zv)EJuF^K^8u#1w%3Kl`(bA1IuwyENmxYxx!e7$Bh38P8;s$Yp}f2a_y&sMLska$$} ztFjm+DyH95iqFbMGrbK9Pf`jX?jc6z1k!(UdoSo&8}1NfP=m@ep*% z5>a4`&L6;8+{l@&#}r#vFD4B=3)IXXCmvt?;TsQN=%;Adidz)<;0Dp;M7(d@Sfb^t z%DCFV)EUzvYfcq$dd>LPi9&6`_~OGT04UM9GVJ>=D!~U-2m><|wGmWm@W)p_5pxfr z22r#_mi8PLUdERJ#)5AdqgeM+i~YXF-Qu&1A+?GZRC*XKuU=Lg?_SW~#;-$qEq;>p z*w?X*(XlgM-iIEdg{}KXbCS{a;a4ihvCh6c+P2D9_Qig}spgqVJ4OdD)g8RpAPKiA zuAS(4k53%iR%uU#%hA3yV;C*>+%cG3pXQ+WuFvj@7#E7d$9a;UFgq&O0LD+NUK~od zMRga`>Z9fFVXvSDRkP>W;{(3#@*>$T1#-j@X3w*CBJom!0_%C~dQj|YJj%R!=w=EA z02Yr&bc%!W!lNX0jr>>D#E7*;E;f&Wkj`M2BAe183KD*(@d<|O9ZS4vEr@+cuZC(T zxA`L3cWvcsVSUuplgZ+Os3>bdjKCYKyV@+hwI0~v28zXO%ExgY(`9#3E=x3gyNRMq zql$d^1?z$s`Y>K0f3~SB$&e^)y;oRo!O0o573LWgt*xBbAzxo#OX~PoT_9P# ze=F+;8YG+d+I74hIEZR(?b2DFHew^DYV(*4pKReR4|}Ue2>N9EnG~vD!cA-cND6GE z!r^uRKQ)xeW4hp&`l^2L#@75@W?{)bq?kh2rTO$?6s&$TUc_lCf;NeOzwBxV@M%u< zDiTT{xzU78Lv-Bg%06V*Y;ah8N0k3u%bQ}uDlrKreswWW!4eDn#u>P{sV)~^%Lc+r z;d_Pd@d@f!>)JtT1iyQ;XFlw>; z4HY(OI}Y`gA#xJRL*XPA6Zr>0_L4F{8~0XK~@i8_>yHMQAz0q z#CN*nxNd_S{D4D&&HT%4{t{|+9h*(0;&TPkhUyr91rSSkRZm@s7)8y@8v8UPo~h&( zQsSjKIXTDi=xH34s!pt}EWlBXK{kR{s?7d$NCW%hMgpL}3of6QpwZ2KfF(op6b%bn zP6o#N=dd=SqSsFa8pmM0JK^?6@+uXCin`h%^gN4z2=TLqpd0TMhZDaD5(c!f-ozoT z;_;cVpHBn2X029`Fg$g@V+ z#+i7G4+O7|@`HgG=Q|~>obCL&1sI*H8c2dlgOzVjW@2vg+`-^_OF7eYKzhyWChy4yW9h&g)|d6tnL3vRfmY@)J=%Ho_Qt zYToHz<65FQ3sE|tI`4S$Q{*24ozWEOjY3}1czlTUEoSuG6{Jxd@^d|5lEj|S3X5F< z2=YcM-Om!C&2QKSbw%~qc9MyO1h~Z5Ua55ItYvlnm*}`)CRKV@0g^rz{2J9~;8#a; z$ji}OaF)n@>EHUbOz(I>aa$$+d?)IJWMmOjSaZ7Z?CZ`ADXJgBOzH8rfhtSrDyE5eL0NOaC5!J9Jz&M$+>lz?Q z{&Bt}>=x@b&Mur)Nb1F6e+JB8N@E>mB7HyxFpaU=+z*ku66x0;RzlG<`z2n`ypVL0 z2`O+HrL6d1g)007aMo$!{R9;*tQHVGMq?rSmnhBFHKdM8fxq-uZyi0QxtuS`3=y8X zG4MEeD|rHzk!j!w3~t{uoCHfcd(U;d6?)%?#KvtIP8)o9DLsX-POja8V zgmfgCDSa@YLH{6rF`u%XaUGCtqbm96Q!S6UA^yIoQ>ndxX9Z^_|Ib^`g~HwOJ-bX-O2bbg;FJ?jfkhP_*IZJ^q9N2d2>H(S`aQ|zqP!f#|$%FmxecGlwi7>!5E zNwc_%`+4e9dd(IoGTW{8&iO^#lY%(27v}0ff`3N#O zj4L`Mc!O%m5pH!F5pDSlpUFP80&-6yJ0dXjqZHtMt~P_s|I%cch7I6vUY8nYI1-Iw zH$`dCyxtj}X?Vsehdl~@M>~Ujp37>sN{i1-JW9o&uNqLrSesKB#zmxa1$9@fLJa zy5#$!Vz;EDcS8PLa%Cx4+tkNM5;Ubd4c*Gz8_{TcD$oBo$Ow6VRe$$T@t>$nu=Dqd)yzKs~~1ap(!VsI9D=sC*kUZdS8Z0(n}NV<8A-jq&6WQgyIbtD1l_b~H4KO0SZpHJ8KWcVqvKW`%BL?9EV4RIKa5^FD`U{{z9kLAE@#fdEhhakRPb zVOj~m)+I}9nF&g3?kk%PKCqp7Jpz%|$8J1)2@BI~n|#y#1ViP(Q(M zd0V5B*AA!W1h79tU$Lfde}dkzvrP_+%$ND+#j|mU_gXjktFm9{JhL4V*=6!rq&h{n z6>pAuFz;{~w5=CkT0vpj6V-b^mu8H_YUq4Xs4C>LA697u4`hMR_RvxbzqMoUQUFm6 z1r>KtPL~gqSYMvp)Aw>eJq`Y&*W3pq2%627doHn>%i5@Wp_E9q3U=)&P$9WS886eP zR%$zIH?n-UQ_Vp}ws3s2<<*qkkix0ZPiANHPmP!Ldr$Yz+HkB3a>P&hN6Gg|DioI!6l7%g+uUH=0PM9FoHCfbP=_KZAQ7P%SwW2W6Guf6YT^!>8 z1Vhx|lzjqZvBIM?dh>56S|c@j-@J7@z0%1qB4>kst`>zw48|1OKs({nCux?J#wbUW zvpA)NZZ6Z!9ND%CZs3rbGOyQ#} zPqF*Xh@M@==HRp54o4SG@dV~zH3hyey`6oG3&iXARZscvM!A3rn?xqWZlPZDQImpQ zTtG5ryL*>i)Zkg@6M;ho8`U9s$Fnp+U~E*Qex3CyO{8t5niHEM)mALk>8B$Sk|%X= z9-rVUG=~F48G8Z8m2eoPF<^z`Ozd%4II?iz+E{j7hKSGMrb$wTc57jUiHXiuD)8!HR&Nks34uJ$H=IS^P)mkh^*QpHq8qz0*F%j1v1F zsI$tLMQQNVQ`haL3|e~k0x04DTdWMY-eCg><2ScZJ|yU7Yi4(y@e-aAzi46Zb!(Cv zvni%XG{xc(=Bj_LY`mTNy~1PdeLA+0H2@^Uq?>s8UDj)jksKD^dWsK_d^?%vWu#mUQuUQ% zVSsj9dm3{aGo;M^lnW2xVRWzCwNOv{@oa^tM@i;Y4Q^`^PsC0+@)2-a zFs0~9(37IKSGOisbl^Ma`sj%Fgckbed@46!K*N7p@GRlIC_*{tG2^tH##YIzdAFBK z1@qz{2Z47zkS)(=u)zg5K|IB>m0@mRGNp$=y+o@-X|Qe&!un^`gt&;*;H$E3e-LKv z?7|)z{DS+FM2~6T3)Ehr?sV^VMk}w~rLgDC+5EHPDs1Spym1qFM+@|pL&TaboYD8@ z7|MBF6^AK})L3vE0w*hl+)Qx*ST7mo3DQ^*Rbtz=&Qm|~N0 z=N}Mr!&7T^y+Nfyy`4&+Jnt!=%!bzRE|@U2SdCk?ECA2X`acn-Ox_AdT9widM`=jF zH}gSS;=bMPl$Z~XJoKQH<({gatHsg8mEI3AMPK|x!MWo*;Cj@o!uCbpc42n_>W?9a>ax;uKqRY`TV(`S|HB!ZFA#-Ocu_mE!KlRgP7V`uJy6t z9P;e=CeBcKWyOrB*{ZeUdj5H)`T*-%umjx=PYmxZJ6Lg%XVp3~Ay0<+R-sF!Th9BJ zP6h3*$Yfj$Zjaee1DHV+@Q#0Qx}NCK)>YlJtj0qXJn49_LLO6p^|x4a~mV-{>on3!PDY*d?US{zwpxV z+>D|Nbx4BCHtP>vQ>_L=e=zn&T;!b?Ua_@NF#R1&dM}p>|CGXa((eoZnXwNbO~;Kr zaryaz93b{AQuGg~f)rFAY~uO0bm&D<=Li!o4w;pN%PkP-odC-I*a;IrCLA zqsgN1wfE2XBx)?{8&5tw;ExeLoE$B(45~}crmMj9TU-wmIQkT>&_C5;wlF`e7Av7N zPbH=%H-L!CIqXzvo!AMXzL{_2h^)Dn# z)knO%>O6+1m4NGY9yoM)%m-yIMf~V*BRM=Bdce3M06e)Uc?WsUx8&*}_OoAB*z(jD zE@nalQ2J?$c|)U-4}-tX+}@fqf%`hMx|z*+>_0eKH80YKP!e>TA)VI5p29DvsQ1R6 zJQEYtDQ`vi`cDn(50P7@G(o^bx7pP2gvUJ7VR4kFP0FOM$kvA~ndC@1V7F79E~E51 zO%Yv@HYgg5*b`vca012bWVA%8QGjXGQ$7?aqEkU#3T>fJM6t*-;P5)nW=j*EIp*QKXF@EA|1iV=sC8U}C*!?y?u+H1M4g0L&1s zmmGQ^*x*$xZKhib2hy0*>l|4*-U%sO*~IqIj#78-ZBX)+GU-y6C zllPXSBGM_cjvy+nLI~31J@irp#a6X5wm0joze?F@OsYot;^fN*2%XLWqZ^0O`R zlafNhCZ0NfCtHzMcWJiF(dT^=%Y+=sr@say%wQdfl$aTH!3U|82~rtWx@pZ03CuEt zRhqHOo?+?nBj}I9WRZg|7)mEs)j01*S{~6Oe<6eQro#t8n`8~0z%-ZvZlguR;Q`-r zx=nGDxS|Jt44^UaW@m+?p(@f!o$(s2!kIhC|7^s4h0<37YJu~NT7-O}g%QHhb~7+p z8LOoqQ#dU#!!o*7i`BUwmem&i@C2nV=9r=*S1iS4_LoI>D}jFlBJ=R)+}#PNzy4Dz zXE*r)n%bU1ToHt%7J70En{3sMUET2N5*!cIi=V2S)SLBp!gZ5!WNVv^-Ohq@CJl*N zL$XwxlzF!`h8C{Ic}cRm(Hf+Lb`w(aq~1<<4BeI$dZ3t~f~O)fU~X@wZf_-jQ0B!0 zrhPeY#}BO)Jqpduc+N9>R!jJ8u|2)fLO(6{~acPhg%^fHzA!3VD4cu0bFT<&zLfOtCuC933eRzWNTBFjLrw{4#%G zn^Ih3>bh}G$H$%5Wy!D$?0Z7z9}M#Xl|m8rP%!=>D7~s~@&xrPg0iek3tw0K$y29T zeDJ>k*6VwDgGMrBm>THx!X~B1dFe#jYG7D~J$1pY|7$Ft~! zyy>~OUw%;({B=#=8uvgxkxjRW(&Fn|`#o$Rv@3g8C{ESZ&1h1fX+~z53QL&y_H?Wi z#Dlf-m8um&n7W;-<)YNb2{*mT%09)#`5$XI{9gg#gK~iBPjUjp_ml)x(HKJCxpKk; zr4pqM2AD!LR;*(~AQ@@v}H!AzrC17PNu^ zAvVtI>C>T+q>7cFducy+F_aPxdAwDb;3#K0S2;gE7^KRA`$g*!#6Oi0{QR`VGV?D0 zr~JdcldYT{;0^L)vF9Q%vpN&Ci{OISSSKIp0a7A0*O_5%FO_7hPBm996rdZ}MU3xk z>)lHWkPV#mN&%(ci5QD1HF0=t@&!BgqPh|9G5LG=+B=g`rL%s~!#nED(dx6=KtX8# zw^Hdq7n*{&U?MA7NSLADu<`yu{vf>>Ju37JM}{Zh5E@fEnt>)D%5rME7e)GA_p!D% zIV2VOv^c=db2iUWzDbt%0TP7LLo8V+iwOKpm%p;B9RzK?=Dw~pkKNekvFcIkeEMD> zrp7tL(mnr$o!MHz0L)+jQ@*ha0J7z69w=$HZl$+!Y9nGR=WC-YS{K&ajd8?qnq!o| zBT7Z2udM2ffFJ!#&|77kE|)gG^uRumIIURO=mewm(nh)4xqC^OA*6{SryyyXTpXN> zO`i9GjX&e(;+O}tjAZGnr+QpIleUO-ZRyME>+opeRPZP4NhUO}{{8q4#*k{IeD_-M zIo0<^NsXj}E_*L|LpG#latDr5fk-Kdr^rj^FX}=u2=(>Ubo@mT%Bx1nPHAVMrBkL~ z*T@x-zpv<|L4U5ZuYff9><^JTE5}U=9{VzAEM~(|Eh-q$`HO!B{3pTHr|=^>4G9^Z z(v!+Bi#>`gy?Ea{{V|`Lf;5;`doUmyrPju?WOkYK-^9sY2nb(`&VNGGWC41-+NH-k zy(oy|&$P)O5R_}c1J80AYd5D}p+-8?cENKscXG_dH~%2N*36!Fd+&GLM_F&WdJgHT zh#VkK2OV%nhJ!SxcH><37dSRto6>7LA7A?KPALh{QMuAR`rh9ugZz*c zi|k(k6Mis0h1k(&p)c1K1rm-nt@iY~?m3tJHO@16nKs8Uxai0wzit`#f=PA z4jQt&`m%@pmh3!`l~wHMtKD%v-lTR?w}|qOvaBF??#CW)(B*gSML8Cvtlj+&#a}}XyHeuDuKdRXMPY` z?Bo`$u+5d^q(xZ$DHK^7nDpiyBY8Z8DPuC>zhPC3AWM{NjHE5)?WFPkT`OuGd94(? z$I|ctq=^>D&9E}#AjFv@RNm4K&IW$(-inL!=Q9ZxMGs&!j8H{c`z-1GLQ}@+%l;H) z>{X%;xMSq{B{~@BJMej0tpUp-kG6xCu3QeQMXhAFF*@9MN;e#?*Ozx=TApI(ImEs| z&*9&kEexo6$UFYXTd9)^MYgX9D$145xE>+)m{p5VN@nU_QX|}D#Zu|G@;pe$eu;jw z=?AY&{`uf1$lNXT>rARpw@CFLUszt574mQeY$>Pa;XJ9*J%@iD{X>kL#VZ%!3IA}h zN3(4?^L9cq&qB<}`MI7jLkOrz?qcbi{oF7gjn+z(W?#oYB26w@ZX>iNiv4lbRz4U$X*&38 zzhjBC>1dBT0}dC*FT{~3^r}Dr4ut-w|)jcopJfa3+~&PZf=IJC-s{xghV5MCC=Lz zD^r^^gdc2dR$s~cN_J7}#qal5LaLIF$DJ1{CX`v~7gS*WEve7I;}Ro``&RaAe`h~x zt^Pt;j}{ILTit9-3y_BNz9|*z{>Ky$W$NBGUv^P+dp87AqawK6lBd*{oz|l<#b3x$ zh_4N(M7ina|K7Uu|Gw!aT)E*UVZ#R1lnop9D!1Hxe3^9aMeGi$Yv1FlBdW<~%Udqs ztPcdk+W>D~sEqA+Y4-4ZwBCtRuEmdStUP-Aa<6xsnd!Lv)E)@jQG3kmPdiw9j0bV% zrXBSZEH_77Oy@`VuaA^@qw^iKh+KXW%@)shU=!C0h9|nTeT)1SMQhySzV$?AZCrKo zK@D2WORIXFj9*LbaTW2M^-OW&Y8V?F7eHzAWXQrS_dh5kA0zMm4@3sm&;gJ!JolE*USZ=#>&wAp8lH0V8Np&V=eR~`L zVAG1qzM743+=ey3mqXw7*@C{f)Smfh+c1rN5MBAXWH6lF!Joqs@a#Z`E(hl|(uE+E>=~7heiJ9Eod#w-RWIAGPnEyW=XI2I zeg0)>#66x6esb!UquQ;6J^HwpHsqvC>Z)Dbab|yE%R4M>E@~l727V!_q6fff)5{-= zu`yTjgzM~hP5D5mEp)iWy6)R(WS-wq`XLpA=)6q4n+?1Q%Q!KM?0rToY3ETZ9=_?| z+%V~CP1Eom2VYW(opj(MaTIiGe69{t6j!Lwv2;!C{58uQHT8LTYg$MrEVhBJ zzm=){;@}d#x8*EWMGy*HySUH%jV}E@?#so8zHQkl^fzm>h#EU&kdZ-;T6so1h!tqb zOD9g3S<|yOjv!~8$XXqI8!a|vK?DaL;imrpw*Oe{)FA-RAC3!?^yrdR-PXkRX5uSD z!5V&MJ{Ag}v%n*;nvn6T=(xWAXyc0J`eG*TJJ9R$D{mGGi59k<%|eKmC`nqT^^uu| zNlPiW=OeOwyusmoPoUPc0qAK?En3Ur_^o_vs1-9@5Dt2GG;#krt5uH;^Wo!$DUgrt zfAV8&vC+S25wRoIhdig6GleEPeP@oW zWf6IOi?x;(eI2R;EvoQRP>4KqoPvoCU_IcnYp>EU5!bWT#-#10Q_FGdIRZOXFbwqCXl@f|Fs89+W0j&;(*7F* z8;9m0xeW$Unp|XD6qg1CddB+%MgwVvRT=!VM=XZhesrj<9(0N;YxMr_^ ztC2q76}Afgy(K03H7JC6GY=Bx^Bu_cGK-;I0Efw*M>{$+ZP&@Sd}I`Lkhbio_#1V> z1@?Q+$G;MO{JOfb#cjfgzr9RUX7|SK^{8~ly2{G#=eSo%#9zj9Dn5}BH5b4M(AD1^ zPFZ(*_iM~x#3y~a1&@~UO;ep*_jE{46Ju%Lp8=<_(UYOHz5Z5&%f?^nUw8q%8s*>| z{&!$vW774Xr^a*Y{sugJkNUnz{y=SETjCq!4*otKMzwt1{ptz^)!u?xUmJEmtmTu% z9M%~Uo5;}p+kP=Ut!=8K8zm(X6FM`$lN(i0Kj^C-C(OR$@28p}`O3@}e(#|FhstRk zQISsw4{CR@%8!Q zIb)?Ats{uR7$?Cc?1=S{JYvLk9+Yu;tXuH6RxI}}WLXR41pG8->2p1nc2{dbFX|-l zL(24T@Heo-@OS*`l{c{?Im-VzCKRu%zv6pj&(|-LW}#9QfL#hj)n{S z5&1^8gKrH}fjj#SAP)G4ixyLr@ipdBqB)PF)J|N9-Du_s>^@)fY0YD`xX(a*cx=Q( zr&+5(IfCebk&)7f>&W?Jn(lI;?CD2*)3ET8X1LD>zd6*Fe9y59;IH_9axPmxQssS? z6}+i?1FKp0sKYmvM$E~t#8O%w6C9q0`@iSc$SWG*tGHqJ62A+84?4@g>C6F9?=?$M zTebStrb-aqz^&*Nj9n=#Iht1^DRIfSMs)40Vn+hZo-1$2Cr|!9c3rbqwn_eOX#y(T zt0_)W;T6&vA1;Mo2FAF(r!AnKh|AZ!Id$i?aw|pk=5n^m7$2XX|3IsZS1`@em+8&s43H*shGAL0(p)GhINq1Lg3IJ3tV7~&`J zhHvgNPd)i_$FYAO!sgOeo|J69-Kq}!>w(X-N&Khd8u?FN+G#sa1eHg2?mGe18Di+91;qgdTnH-@Wy;8)>Ce{>qa@8l7nrMjQdg!qv2rSroM$1D#X z>hd{qSQPV%7DF|2kg;Z(UvIoM{Go5T&iGhYs}{Z;yQSlJL97N#(;+`-DGu#m7jo@I z?bln^tN&nzRayvpCJH{=wxCz-v>DGr^^(-{xT1AOkI(Cw`oH<|&--0_;O}vj^cd&W zeX+LuyZW-$yyXo0pI)Q4(Y&?EQ@5_PzNX#+{B^>3WHxxM%;dx=RCYB9aOK7g!NHGr z2=POoDopFnjb6O-D#z{L?L5Ddms@u}{dDKf%QKoawN>-DjyO>Lnry;$CO@ptnu(Ug`g zKS_8RTvRQni%$x!2#gm$P;9paQTLdR$!9-U4Y?s63y7+68FiC)W8 zgR!RZ@pS|Ry6{__jd?JhCoud{ybz=V5QEwuuQZBCp$kdU+7~fYE&Zuo$XG5anWBmc z#U<8!SyR=~>8Nt|`M2*WOPFV~Rj20aZmxraH0&M^J$Nbqb5Zx>P*`UXm<;wGeTUUREmg1El5m2U}3j(uUX7+dY z(d&kN7OvJ@z1BZ-vd7iT>=P@#nH~Zke3>e_ZS)14dNg_=Bib>4Ke#zH(K(+oT+_M) zkO+Tz+4C)CFq8epSBm4NC@mXBr{#B$Uf@<}`7hYa-Ozrug3wt+uQp)AsV7?~iL+*o8%O+3zcRz}@LxB*Gruo{{%D%{%q&mRmo+efh&p`cs3D zvHUOj*>)G>iTjCVb(8&rboMenNK|w2 z__7k@MNat#3EfJ(T(G*rsav@J5qrsRl=oT*<7IVkko(yW)ui_drhw8%{y&3izQ?&H zahgP5LB?*)>EJE06t$`JgTPj8eZZ>Gv@X0@?1;L9WFV^6T!(|BK1vVk47>ky8Ct!s zta~nR^tk{Lzjv06OG1iRF8vxulzh;ws_i1)5Q9lPZTM8D@1n91@xkqStmbzUz$<}| zrT<^n?W)W&pF94lRFF0MHFgSDs$XTh5mFk_?bWy`@eSevyIW%_j8ptvZNU`u6k0_Z zA5i|DBO&~X#G>E0B0TXoMkLMl8~)4MMWK7F6I)&EJ@pQjypSCIM)o{iD<2q!n3H~l z-9K;reB-whV;9`I)oNY?`fPn9CenN8ZZ&BUtY$&Q=K|q_f1lOVX~=h<%{tTf($yA@ zz)#5@D!sPiA$q-(MLp5*smq{Bbe}n?XBY$d0fTAwYtXPtHbzW9Vsf&f?=%DhhvKUQ%BLcn%ozH@af}V#C>QsD&H~;S2IFiz`cPzS$OzgZ$9B%C5PjEYBf1%8w&az7I$@Y`4rp5%=rypbGUP?O)xpwNZ+;+uIC<(&K~ zZq?3LUR)Yes)&Et;d?$r%pre~!z71iPR6QN#L`|H&W*nize#k2yq6rF1x>w9bVM2z z)Zu#sy3?wnf8>7whTU)bJtNrZgp8$ZTrvezAqn-iPO+2|{&T3au^SC8Q(>6Yr-1rLh<`pC3{4hn_jq<@ve)loL0%OVDAzPr~cS_oo4CnkR$wE&QI zzOMWKbjroz|9Q&gK;Qp9DjKkV`ksUn_*k? z9MfC3&Y#Tun{fB6>ZZ&?x8Lqn`Qx`X&wcmQFTdP-_V3I;i(Y2m)%$znUk`SLg!KIR zR_2ag8xY_573=pCogd3hBKu#y#2rhbk&?K*{E3ok)GfxTuF@3p3Qa4#_Jb~*Yr&B% z*PQCAt^S2*Tt}w93WztblMVC^^D?V7nUmk`cUe)OD2{UIPQ?+w>))%aC8aVDM0c8)&KI|AME;crqC;&apd-Z z&+)21#z7_nrnd38O7|Kd1TIxO)UyDfh<+qyXkeT!nM;#^_xW?0=;$b^&9>(hL# zrT4;LXyyW97ZRCsW7lu<+jR;=ZK?IuQ8Q=A_ED)A)r>OH4uVjMaatEkdv5h#gvrB2 z>8^PVU?iut5_pG7T9TZwxy8%!Iv>gsQb_S^{elpx97mvorc&&|aq;?EHVIRMzx;G< zxZE>81roN9vKW_jl&YEx`6_kOQYPoOmyhQUOt+0 z1kb#_W=)5W=51ZiwW*s7D1%-sPFm z$55{8A0TWD|k|IwJrBG1Zo)@0=49co#Fsd!}o8c zyaen)4`}UL#cI{^%0;m15Z35Smb-yuReGy;q?k*jtenX3hWC1en!RK$%Dc4-U4A)` zU#_&8jx->%L|t`MYl#el?b6>0O_Uqq?WdaHT@M&7QZY?H4_zMqTCTW#0VYT2qBfbY%lB1+s-xV(beE=_v^xlIko>;^Tk zBecoKW3S_qz6Udf)5Z}YZjhBG7#6)GzSZkQs|g<-_?25DVegX7yy+l7i*V9ZzX;RBz8@Tuq#jJ+OU07&3sN2zl@ao1*b{ZakH6cQn*#)kHf>vY(?%J z5SwD%=&m1uf`1+AAr-mG(@9Bm{Mb1kF;zQ${2=w4HTi@Ti*BfRDM-z)00&&rclVE7 zy+XE?*3HWM{r`;>)mMZ%gHYqo-5ZXVLEPYCiA(r;>b0&Y4l0iRt~c0|f=B?V$$d97aIYhAEf8+Q&D-`rtzh5R{v z)a52>&LWQ#`GaEls-q?U%lcb`;Nu-6+a$?Ikvr5m%^{kR1hFc#jc9sbOq?H&>x`YM zvo!7m&-KsC1S0W+RJ2tr-f6go*$*9zYeSz8HEam`#REb|GK$YLSE_@{a|g;H!3>&VXvA;<4k`wN-NIS)oITY)7G=XVtgd2N^s4trtMuInX>Bat!Rp&f!315w8q6v_ zkuo+SiTCmy%|J4{`^$_QVhJb2sHtIlbYycOB!XH@fKO@*prB>;R#`(oyOz%MLb{7V z3b4ZOB#FwT=F<5Jjs!WzTHwx*p^2Ia1vPX&YH2qgk+_&!fNmkGYT;HVdz14=NM45g z@onyaZ%FP>*oY*T?J!fv3?`_S>)0>Z#^Q@}z6KJ2<&dry_5RCmxNFC;nq#vWo2#q% z!Ozc0P7-oE6URsLsL+zELBoGqMmzR zno>=`p&8#>!3(XV4pax~+)d^o!}_?CP@r$$5@%6y_?huj>!^CY_8iJcXJerdo(}MX&haDdoYm__^gD;c}PpImGgd9K5vO zBMG=?Yd-qeAue&HO&4;thL|2W;PaVIKn=})o31TTMelV#ggx}kB`ZG5#r|1w78L`r zAy}I^`cBl{vzZ+xMdi@LI9e?y7qlucu4!c9;$ca|_y8o$!TsHmg`1FRJ)Ef z-=>2#Zoaw8TNX~!J>tc6obwg+wD*RyNxSRaW>GCAZfv`ne@Ydmd-hEl%Hf+C8w7h3 zNn)f_w%H|e+D@TGiXgrTJy-Jw{a#^|DntiGOVD&UF@7Dle^MI-NaO3KOgO9#3NbwA z@p!Vr&-$u+ntg(dM`V~rUS?^X9B^T2Wt0;fnvmDX*BICE4FyRu0oYs$CHM_jwl&FR z4_Zl-*mZ-q0@Gzx*47EF#rSd6A!BXB-)P@SYKDy@^`*!afi*$|1Re>AAkXHz=E#U+ zOx;R_y_PvRK>FM0Jp@Mj0M0&|#^gazK`*Ch@c{S9{?PEagrjnYX?xspag138i`Fl`xU4hjZU(>p5t?ew^8pS!K3PSp9E z0C%<~P3;kwz6^J1hqQXM2Bx_PM}OG-0q<@1HS>?6m!?6sw$U#g!2ajAU{I4y^K=dh zHrwKIWRLkF#R2pTt}}3D=z+cYA>caPa2x6mQ58RmwQc%Wi_swPH`ck=N#C6cV{(P{YX#BiIKE<+VX*S8>gnyHC z155QW{0khP=M-8-xr7Kk{LtxL%L78gpftt@8O0)C2hTj73JvzDF$vyR#rqflpmV z#N^5gUmDsi@d#i+E{J;UfXw^jrhPz!8S$wpD`H=uq#YFEy_S!K9QKlsbH7F?%bGi zy?{M-!tDBW;ht^{4c+7a3poRJ2Vggpi z#&%NH@@Ce>+4H*@XQh9-u(ldXYON!3-tzv$YP%~PbC_EjrAoKI?_%?X^vO&YcrdX6 zb}r#)O@(N?pMSd3)@uZ9;M-xgDXc?|Q-AJT5;oMsL(bc4I6#YV(58{@AT+ zI|7+G%YQCdz2($D@Ysz$op7=z>YZIxlko>kI^+Oo@8s{J+(c53i|6N_-~*_W$`9n3 zCZp}B%!FNMsL$kvo2ySJTzpSHLw_8rJ8$bsFT-=+(I;f5`Liwu3LdQKqVRBfSKe81 z#RI2Yoypkt#L5RQpJ;I8S3}HNpIB|cpAV?P!U=-W;PE|@E&N5(&8orbOB1qo8+%DU z?@w;d#MTzT_k)A+UEW{Klsi?Z@91|SZG*y%!av}u4Bb5DZJ#DYcXP_$c*g_eDbQc1 z_}6;jS)y%c@Bzvtb93s{U8h{*fIUq=i2*IN%8!iGb?MDmbF)eQ8HQSgHDaj^WV11F zL6?8vQUitkv17TWC+I@;`$QV(v8UFdhGdQ#OS`k!jHE&X;N2K> z5<2=gZ3#&SJ$l!Bn)v5>AYTVrFacA=yFAqr`cOm<>5kj+S;Q8*^erjo?oBp-u$sNr zMB5S`h`!AJ)WhyQl%EXWKK<<^Kk1`Wl^I2>j_-X5m?VzaX{;ph_jjgLEd0UJ0_#|f z{~hBSIQ}43Z!6JAhFX4Zd8zxNHG+F zs8pqd9!U^LLI~;a_`K_!v(BgYoKGy5B-}G|%~j@}>;IcC9Ou1VA}uE#4?E3P+eXow zKUhW_$)QayAsdqtVFvc;h@~YfsiO-zKheSiozj*7o}9b08@hk|!E?6!c+7N4s@kVv z^loldg@T3dljY>9#!Y&xz)#85$i%qNi4JOhPujBdBV3N~F`7DeWb1cQ1?{LfB@qz| zJ&E45)R#GTyuz9O>eyCx6M^}J-fZZX;I(*mM5Y+7Yb-cYuQW$|!dtMv=|EoYX+f|kE; z5<9uew55>*wubIqIW0<{?`4dYUvqtLJYj8XPFP|@k|ku{S5K0^kKW|gg__J|72vA< z5+gRP#t~1b19Lvj*8gZ&*nWlxyf4Rq;l3Twf=+o!3xA7T&+q{IN2dds;h>H$S(euu z>QObqxmqXkzU812xtJlj)f!8j?Yu?0sTq-}!6YOihDqPBDytc0vYqUmK72!IW5Cpy zR`OA?glRWUgb^+@-DL$4MOwK>rCa9oKh~IK`s*fP_9g4Y_EPm>4d$G`w)LK3Th%i` zcS=j_(OKGQi9M#N#jsv@Y-qViUZBq8A7rFrP zFEU1N;zHA%hv{97o2FF;gU%FZ#ez{(XTJY-%>%BIxmxK0e>}0ZZAR<#yk)xk1Ml-{ zC#Al#^D|tkKH4=uwm%2nDpUa8sCPK}LBP}@;5CYxSY|^3oseoyjio6uj_FPh^U)I= zGw^k4(O;0yXWp7tJw|)5^4E6AGZSpqvYbrXDcg^sUl1s7clB@lNASRFD4W!AAx?Er z)zHte#W=lBqus*vd5iux(L!FVdnfE}bm_O?m%JXK<+LI-A{%eWdLvMFWLb76R6`T_ zaw4C2`WwG5wiz?1e6;>Y{RPo=?G_PAk5w3d}K4mUQ5fr6o95j2M zIRJm$iMX35rdIYX3qWqx-j=q*2$RI##5uxJ?^suv zaUx$M?HvLaA;X}_@l(0_byQ~JdBs5nxbx{*5v9=yeO^uroK>A<^wGkmej6;iaYo^^ zz+t$3KaX}ynltBXC~gLBpEP?^R=$aXsVfE7TVf%ow^vji!lGrJ2 z^qXT3(K{dF16x!d!ds)yF;7gea`1tl(yCGaej-+5UvOSU=@cz14t>ti)njYdGxc_( zQu=W(OcqZ~LcrcfCCU&O)IE!rdFYcS_Q+44cN$@l--4rfSrd}|y8hbFzXj#V2a*$q zQrnW3;{yne_fEv>xWpe2t-sY2SX33OLe)rj&U0pv)#pxB(_#_(_xTf`qJoIH=ll~Q zr)rXBZpn%URewP(tJefPC9^zhO(k>j#UZ~-vaY{=11b0R&D;#Wx z%w0=XD7|^hj`C*1GATh7PuN=!38?P%jo z=D#dUH-yr(5}bbk{&fJ-!rRB&&)uH+K&1xui-eK2Z9&eU;>5?Z~Ng ziC0?Qh%z=Rw3)XYHtkMZ9=5X+smB!xuhfx>BQ}XlKZGR-wr0YSN67=L$!3ce&@F3n z(~oM^W5O*LFUV46&gToS4jZ=waNzA_#Gp@~t)P0mfgR^+LF8xLni=SAul#(pE+{Bo=u{towK`o!K;`3^|y*CS8d!r#IIn>vr$mYzttoo48-9+_A2BFOVjmhu zi9W@`c_YVwYbH>27PKa#d+I8H-4Q3_NR(1UQnY5~C-zh&)GJNPAFDmh{=1%ISkFBCZOL13*2A1_{1E=)TS!z!s%iF$ zMouQs(&(b?pND}sWl@v5%PA3%toR%vUaT^hGl5;?7j zKiO?wPEB-v3D+#87!SFlknP8dK{Omi=nK5-NyR+PL0B<*1gkG$ zS6wZzFx-O_x%%~3<938=X*f$w}&z1^*bc~c0JL8F>9VcZ8FTcza?iY@Z zFlKjoNer_6^d}Wcq0Un_28d&HaFB18w8i*X?BOA@?q(TquQ@U&PO%Fvc?-yUp*(*ov=%sk4Sy9*U(yNHn8M)~=YAM}y78Q)y$jTZrC4~(J8Tg)OlGc$gV4n<4 zw#5XDi*B=nr$zQm zi741W+$>aN1f+K|76S6cEgfnmz>8g=8g{d+l$(boc0Flkv^+t-b1Ngc6WS90E7942 z9NnUy?qGo1#2Ui*vB*Wc++qZy!+dk3q+L>~>>{!A4Re!mZCJZ~XdJ;z!xde}7DG45 z68SOJaGP!xxt6J5JG}IZ8J54CcE3Gq`TmxmpZC3iBiRZjm3Oal&Bhm_CoVLmZ=!fN z#!z+K-ojgLmD*?2PET(2t4*PL|AJ(#Xb$@3C11wrvSur4E$Gcdm7gy(1rd)*?Cjs; z49({)LEu>|_aAMJS6UjP>ZdHS=EXZ_RcEw%ovlsZ;2fDt!P+fxZk);E68kal*1ANA zeu^(!9)u#vZ1(RkHz}^|Djo}JjjI>*v~`gl#y7_+4{6OE%cF!e#eEBmg-`y~@14M7 zpO9GkK)ui@GxBWNCoQ+8g?Ks~zeoxO9!0wh{$zV-7Mwx9Rt?XUN=yh&1F#n+kmpPy zNTDBwIZipR2{@61?})|hSy;wakJnVZ0G2e$(>XbI^K?LaY_G zGIlQStQgusdxLw$mS-F*#W`_Ez@O2NPjBrN0WUJ>eUayLrvA-jAnn60S1OJw^wm&G}fnh$vMfgBcMB{EAcrigh-+IrDf^3z>u5ntQlNbjdow6`f@Z~^uxAE?Uq)(j z^%tPO(-5rV)FE|zI5Cz#5RRZFI_Jq}q5B__&b1hCQ$9pqM30M;E#DJ;27d1uXJ)g}J=6z5=O;JTs|z7A_cCC!XvI&T4{44acVh+UZRA#P4|%Rl+bA4&|q*K;dp#(dlX?Ik4bsiel+^Zfb6T}?`{!k zWj)oN#&GFoDT~>WY4G0gSsaNg?u55k&v&US;TZh!s$nU5w+Yyd@9Uve5%!dzM%-rnQS@eKlKh-=tj;_W z)oJ@lcnO=xJ~P<51!o57VVSYs3AW8OyjdKf=SkYIDvqnrRn?_~t8Xl;2x7hNw4)e! zkAo%jIg=F(Pn4LbBJ{uxjaQn6_)7Ff!@3#P;O92e7V##QRYhMl{*}Ze8<{Ag*wo`N zQ_c@e3-H&AqL?p0cFvH@oiK)jleIcZF=jd4;S7Z!gc#|dIcy7D3-A1_T5}`qU7yWO z=JdQUht=QegXC4SH7aoGyk>H>YeM6v zctys+#YjK)&I($%-($31Aw6HMAWC!983`&)nwj*1pJNxk$K-%)*m`{ytCvwO)!dvM zj+w-2!l71hP_0=f(EKZ7kP^$1&u&EI#c#95I=FAL;s{RiPo~2uUYeP17V|Q$szr4- zv^$?KlNgQcp&VG9U14neO!|30%mCvw6=cxl)S~o|==dpn8RIEK5(;J@Sz-h2j9SC- zM7jdY{NcVX;jZaq;6%mA{obu)l|}x1o-oMlJzkl$W9?7YtD%6wvx6~Q-803%_yHJ# z2qP4Y%JL;iH1o^QNJ>T(#_}O5@Y}Uv(tePILR-ixoro5ptH|j=%&>O@C(R71H-Df5 z-<=$;dl?izTj4P`!08bciXP7q#%bpM5e96Z3r;4M8fg5&n3!3I2SZx9U~bSY(f2SM zkkoPY+n4@+{{Ukl!_i%bz zBF+PJtL#a~*U_E5rA{q-%dU+2SA)~s;5BlhvxoHt+N+3~M5KY=15i#i3+vg3xJKIu zSKyAb=kvvS>1pR`!Ldk%`-!^5b}Hs42krV(6CB+m6+Ous9f`4rZg^ z_wd1ST5oxe{sGf`&Idk5fkZ#TFY=1~I9Jk@+iLM$@HZfb;@JAU# zRi=VM))%IDJU+t%(m=S0`{JQifO`<7Ue%ZF+5&cW=^tS65$f$FD_S`OeYV+dd*^yT zL5i1MN0-E%fT>rJYZ;y_vHx74k60-IBjTN3MqBcZC18%|J*uX9 zfheMD#RU*VwM5 z(P3I;GjQO**1Uv@;NVVikn|r1cs~2e&n0M+vG%ceAC{F=t)Q|ZwBpVy>T_<_>n#WOb*NkE zzwrL`^|MWJu9@cvSVqO)7vBdLqz_a+*LrsS>{Z!Xqp{!srEv^JDO~j#=f}Zme32bZ zOX5D>Q+lGSd$zUcTCaCb{ukJ8cg=^NPxjBQ=*k=A7PO9u4EB}ng)y4mHFmL2alcAcDr1T zWxfB`^&a=2T4WW>8SNXInH29gk16g>Y!Y%8(oMU%f4nLe6?bJO5W`QA*D+W=KPE%41*a zW3ai}?!4aa0odGJo=t0GpZCTag-dBr%G?Foj9uSfZv3z0nz+}`_=F|>T4-cdO=L74 z8aB4qk|3}mzSmu~6f|qA_7{XRZPh%|gGPuW74g zCJT$|LXQ3*P7u}11jwm|qIunPSlsP|%^4=`FvwM+>1;Fg4k5g?lOMrp@M$meT*6s2 zgnei=8T!m?Cwz$CQT%0T6eUi5Z&lMPa{2N0F}2U?)#dQDhxU+@r5c0w(MMKWwz=E0 z<4-4&Iu_t7H?EOfj~GP`{U@H;6f=_w2oaFkjT~w*X$V|5C_WkbjU@gz#l+n*D0?grhSc}zQX!J?Q&FSn_sJb z9y&to!EEi{6+0XM0lV^S#_vbzov>QQo!E~F%+~4p6O#QL{6V{l4>$Q8^Cs^$KMnwGy|GIg$g_{R$jTs_rBb%b}Gk zTV~``lIur@x3BO!On*3+z^{ylU=o;rq9a;#D9Nr*Q9lq9T5CH-TN8vwPDs2@wC~w{ zGwM&>6cf7=_^D#1R++++AnQ|p*Rjo=OC|7d-|%(qKeY>&o>-#!x2q0eb|~*H;77%W z94U2&El*$?D|UTXy~5Dy-)St^f&6)QuvU0mORezd26_Vg>50OhIjbt+>mZ}X@MF+> zeq~`F<=8J5tv#V%B4Vor_c;Dsh4FTV&E%iB=lEvRFQ!8I*g5Q%RME8)RimRCD+*{2 zjDzU-fIKtH?&Ai*w>XEm@B-6VsP+{~*HUR%3F>EIv!r>0T?y)=oc2U(o0@J4WmSc# z75??B^iXu7YOe>hANCeM0soo(_0U?fd=czt>pV2Yn0%;l@-X<`&A*Jtgz^Pp|ESpp zfyI^^s1n9pImJ59qTBMqO^XHayS8@}rks46H$=;;t!*$C{yRQ#2VI7%6WkMS1l>=gbBeQv zC3oRl5T9UCP%vejfBmAQ2D18~@Db#^C>k_C@gM{8=VgDWx$4+uBnUT1ZiE0{$FAViMLc3ib-5NIk`P^^5cP^r`fJ3o`-Xe{M>=MFCVhSGP-@D7NiUUrU8mc z59yuoz&usHF8o*Wv+xoI<9X`wNIL6__7n=ll%bZp>5qzcGtxdCcv4{C?;m?Hs>dsq?36Irc5`|ek zun9HY>!NE#7A0y^fqn8kCGarrai?U<*Eufs+piHVM(ZZ{6IrY;(slGxx>3C!VL!{? zlG?yRfZt;%al%Htnd3jG_eRKW{Gs>uiHpUT{i9N6qg)xT`_)qTM*xPbr$a(3H_xNg_(t8F$sO`X4dC$-s#dDs52TWqh zvHK-f;C8=l%n#x5B8O;VNP$IESSjtp#J~YuOKl~7hpwbL`7al$^Va7{l9yS690Fseg%4sa-!AS`_|n& zbR*BT=dXNsEyeR(w`0=0oTncQ-t~XmZ+a=?`&T3P-Q4$_s>u6y?)xORo=l9~k-h(G z$G*SE&m1^XtZbC`c;8>={6LfNt@oy)Um+|KT{)Rc{(XU#vc$y@YJe5!5 zEt-Or^1uE4@)o8y((}4-#^==K3r#;v4V!CTbyw8i_~km@8hH8ox34cTW2;X{UfVx~ z{;X?v(YltouVeRt7-(66ZQP|SjrZJwC#?=!GK{GRYooG{Z6S@>+p?HeYTID)^85~1 zRy4|K(6!r&b$47-M;4Qr3cpdaZ@2x(^$$5;-yhsJ(vPjb?3Fut*62I;@|hvI2A57^ z`E%yTP3vnHX9GM3f=7z1o{L76rBua8`%k$KU`<|=TuVi%|< zRQMY>4&xIa`{LqxDfxFAe{jO4@8q!lYuU*UQ`au|8$KTN_`Lw-vi4>)t~aGD(c){Q zoZX(VN?R3;bIgTWnUrl|yFDlG=hVPtr1YN~Q*PmA#`;aD+j3LK(38&sk9IT+*sR+( zaT^`1+ezY_!U$KXAtv8ODups$f%5@NwZ*rbkC83~?v2v9+WPm&!|_iTzy5Mxj9J+NoTW9va`NlUoXR>(oozU^ z=a=+0{G&t24dQ}1OW|b4SnsnOlP%i&CY}ww3e-iOA+$R547S~%XIwXi)EL8_glNeL zx88cboHOyo*Ht&9{%JW@Hu=(B;p+4VOO8KD{wZhY5{r|5uQ{|1b6Y+Ng1JCn{uiC1 zCj2PStNLkX7w$TFM|@@M%fMrtyJP)Kwa{ecj&-E+*Wf5Ect?To zwyf*Upwfy{^g87almht2do`3@&GrpVoYQ6 zKxVQUuiBqdUb)3n@yX2*?NaNvU}_8hfSiL3Q%)L}G_39a-AMG5{7_s9nm{VfAr3~Lu}9QmL&aM~FZ{xF`<+c}?Y}VUVKZpFl zU2Ur7Y1IE%xg+{VZTS!RWl+6aMtzLbXZ(te`g7lMu5I#;(6-aOb^ESM*Xf?|gg#QJ zjayHdj%Vt>m4TLHZQDEWj|b~h;9qdf8zQECR8W;#OyjY+t>+-uF-GOW<5lH$CAg1E z)mO)E-x`oFLPlUG4rA8WyNp#wJma-^8DAIF@``-ugE2qmw%QW!D$L&IPR*I5BCxI^ z1uxD~zmUj(29)5}=wJ?`w_yGg94|ta;=f4y27^w)Qb@h}U&2PT?>1hg&mX{tvtScQ zhTC#kip20 z44&N+^9AmJN$f$^^NPTd`3$4i(-hk4p(_t0)5fU~Msoc+wR5m9@Y7fs1t!abDhSv#>i|fd= zQMfpF2W~(QEq^3W{E7L(*RZ7w@-@2>dQHAY{IVDozr+p- z-mPkd2NfVEW4_3*u4C8|FUpobj&8*4$ZYeZUn?Q?!&?s&-{2|<&$5!h$2P~F!j)o@ zdUrmOk2)OpdtB=Ur(_eW@M)Rw1hBP3;N1f>_V-^RKJVRzi2Y)dC_LV~SKtPnSRHS9 ztrh->NtL6ek|)zbxYxk{8b(h;9rUsR8Rw6G{*OGJ|A)zg`Qwju6M)Hc@sB?@{F8KN zk5W5c1Ap+nboip$)8+OnG4*)~JOKjr_doY-%%0vU)He?6-gNe&tZTk|_2sbP>Q9d1 zo)Vu@2U+NQ^XK>3>&*54YW;}Y5#G7Swb%5--ZIM;vz&jnE(8T$x^m(ArJp}9UJso* zO4#Y3{l_0he;e-oKek2vJ_`7M!++NO@3yGQqFZ&vksqkF{{#Q&)hN&lNe1vAzw3H7 zhHf5*XHTVkKASFZxM8f!Jh)!R5b=lM*VI z5^#tDnn?VyfYfk7IED53w15;Z)P;nOU0KOVV5uVOZj|TVq}=F0Q1RS4$!&P zY=>#6{J#1~R(^KFi`Uwg3~v(`jcq}`*dgjrdj2&|QCrTtp*FX*jW-m6pC|0Hxyg!H=zjMpwm!Gca+v@_N z?K`c8*>&EMrT)^fV+Et{sS>1I=NvlN?(QvIQ3$gw#w$3D z#t*mLxz+$_TC2n$&(792uhCv@#6{Ye+M)5UEO2tKQ`aCU2P#*-hQ2>ZPlf6bu~o$wgMctE91!*!t>6!+#^UFG8UfXjTg zH@v=ia;SG=g)>XuqaE)Z(Rc`?5vW+(FFELJW5ywDTm4j{ad$)8M&L8Y{LStxop@ktnl-a=Mv; zF6P%+_n{H&74hN>R2Myn#fU!zF0CzLXzH5Ieo=D-t+8;2+Sv_UZ(Dv9cy-sqqvGaB zu&7%^(P$A);)`%?T<*r>mNRqaq9Un8Hs|#VMic}md2K@5g9wf={>U%ps)A!n6rRcU*!b2-}E8jKO;5czO0yIX5dxcqBZP)1pb>oJ`%ms zpAf29-BC6W~<{uV}xTZ@^H84``9xDWWDAQXH_;4{lD zzzD=Q_UTu45OOj;Xi~eWUMDqaVsnVc8IPW!SlwE^D{YRT= z##AJ~BIm>n(%igj@`NLgtRSNKZU<3^t)Cw2b|G@?3p1s{V*h`-owi1-r=>CfE#_k? zNS8-7&*D$I*ym>P{LTjzJqZ|maW>4gsbHu^IrJ-Y&Wlvb|7?rXL(v9*MFTB@*gKOd ztD+zAe(lThqJwPNHzBS>N<rU6g7UeyuF}tobXAKdBp;X4 zM`QN?f z`QSr&GiXQNnmju#JsWR8>4@j3I!&o}C)^D%GYUKImlHP^*h$~-D$Nz|8!{v*C}%}a zZF`I~+E46}&b;VEW|NE{u6_k9jcjKva@N}GU-DA&Vu^;saz?jBm~mB>7|vKXrDFpt zr2NpV-KR6=Jf)S|Y_%}@P*4~N1Y{Ydj;*j8<5xdfm2v%h0p;U{U$>1VqpvW?#)#n0 zpgXo&ZA-Z3vF6mvPA`?4X{6=x`jyTA(8!&-jjX>b0HjE{#Wr=|tedyLJ(YRDi{B~j zPffXIwz2(6<%vKj>)hEOojuY2_4lc}BTiuUakasG#Lv0cp3pH1n9@2MRAkPoIPsry zzM$VK6?LhwepkRMKq^F^FF=mvMo(-3EQSP@*V2287coduAljn|S1H`VMf+CO^oGSc zn1nuHDGu!*pK5}(>R%s?Z<6S)%4X;`L=!)6i7ZkF!A~j<#PI}|3<&;p@(~J7SX0$s zw>~e~L~M1Mf;JL8tex+jzf{C+OVv<98Mz)B)d#P-AZw!&k@sTbsHxk@heqMF4{hBH zL0$(Vgiwp5eIqDp1=l;p=jGp_US@Ix_egMVFnP9_e?Pa)D|WW31`d%(Z9z(Pp8Z zdR{%VIetR`Q)hwuwnKVqN^{|0;Fys)uc)FJ{wR92^BnGamHuK@1oKQqOkg*rGTL&G ze)oKMp-`v24dw>vMl z3EaPN-AGVf0voy(-?nZyygfW_`zrUBWH_2CzZ3gFA{PA+&B$8ApLrE_z)c4j9kJSN zR%`Lwl@I$Jfz!o)KWr&sVz?JtQAFmFn?(u?)ztHsh~QOoBaDB#0m6mrpaDFKVPRz2 zx~7#6^8pl>h?&ri&pM!NKrxTm)?hCea z&E~>BWX4?xPn+2GVPD)+px(JJc0C(X#fW}LGLjjh65=AL-Nby9H#%cxwt4Sxt)sZ5 zcY}?ydYJz7B#=#psYk+r8a#m#8#--c3d}wZU^@bq?T(R?iX0+CXKfBlPyF3xnsQA& zG)pj{mUztI{Th!xaY|DnbwYIZ-S6)(=gfKheyz6QYe<%@t`K zXr?c<{eo{g?_EDV1sU$*=fuQSES;rTFgp7>;2cvxk0UgbLkoh6-ZG7A>njE#lo-|V z<|2M)do>(ASQ~<1|8YyQg@4p{e0g1(Cx#xboR*~TG3^E4jHBJBoSt+Xpu=RzroNWH zT8xp}UEXhGq{;JbRvdvL*aGVrAqa};jPC=}PjOYM$p%<|YaSc6A_?6#77Q<^)%ovm zAT94;Mo8jmoulZ{B-&b4&C+9oP`6xw%|U1MA4<;!a=yIB{efqS-^43LK*}LmxmmWS zSKo{~k~gy4G-`0L=zYCf2z@RUT&vu-=4e80CI=0wq~3Z7;>K%UWF&%jb=b5weiy4` zCZrnK)B>v;|DlFD!ZF{YS> z>H7|mIa_&3?X|Jz6v0Hy2-EfkP;b|O&D0Ri74ppI%6^B+BvG1yiCrj-lO2`Y>Ufn652&D^E< z4)1oq%I>1liu01Y^?!ZOwcR8-tm+=N*)>a|;U0EBU`l=Anqd`)(NGoIj`8M>dN1bL zw!J0SF2)-JtVeNmy^cVm+1~oK)T5mI*HCI$wKP9&5Lp`L&cl0K%kyr!@n>yWEfo&u zWsU5EKu333v5$ClHo_y`v(ozB{IT!#Z0PRsBRuXof%6(6%srV`nH(6qL1D4a=IbDy@5mk%z_l z0-ZRm#}Lg-mBNI$e?;MT&p&8CB-S?76R zxO)Mo!tR?hO1%h4^QW?MPtTt^9djQOo!(}X5(HFeg&SY9uG?j-=qsxY9km>72~T&N zQrGYXurK~g@`gB#bg$xP;~OJVD~hp?;fJ`eY~2fK(m}HDDj_SHH5gwnumw$p z_mTc~n_9INFh5x9WhLXqY%>m*W1EVWxGtv=+VHkA77aA8Z0mU=7xW z!Z!@c`~+I+WdCSp7vjYLqGfI8Ky;hxZcIrRsdZHg5H?67v50BqU9iR019Pzx(s|kL_~eFaWDS zoNvCCG_U#)GThbowD&K8`gX$UNi}NUMEyK63*X$>j0Q-K1apCsK+9A2Qk`SC(p*6I zP)fihLcDvoTsG7w%mv$d6rnU%-e$2MS+whOT4%rkIuNQiLnsO7R45&B7tTf~vTFW| zRAMVJxkmpX(qof&1zAC1jp>ts&4q1N*G>o^#B_cxSypPbQcn#!h3{G^cEN*9+X_3= zZidL{E>PaiRuTqX>b5;O`{Iyf*OXpr1?((wPlWPdgPXAh@l4+}XcaMO-tDX}E$R%2 z-fsp>O^WgxGY33NV*;#GCN+G5d}}o!xw&6|;OS-Vm*7g3^`1FIr&)Y+9LHw2P6<;2 zGA6qOL~`r~f!@k|2fZmL2FREX%i5RAkK-x^>M zZ|w^b$~euTvj}yhJ`dA+q~voyGv@UCMbE}`@mM+K{Jns!rd>;)WMSA$3GNs7VVp=d z!@W+--a;`OeZhHVUbLGlo8#w#RJO;t6@4q6iTc`N zyBJ{4mbXmpSixD0?P7Z5hs9E7e9w%B*s&b@3SPNZ&LcH?K`N4itP=#O<63(IK{^~a z{(|Jxn~}5lXnx}|`ncVT`#FmqvtgZ)2leALK+^IAQa7o#(0YBKpe$UGD~D`Kigzzv zxH2&+jx5WmZLYsrj*9-YRI>JGQjo`5!n}iLqtZy2of6aeozQP9 zWU`KP2)YmXqUGQ@fo&z3qpf9{x^_>HT05n&YF`vy@%X*CGN>Fh!zV6{O~yo-B&YWrYqt2mde@tSqjdSd`5VoGymLlB5NE3abr z?L;L=&b7gI%YcKV(yGapQ-8M|+>ab9btD|jAT?v{I0s5ha^488=Jh@Ts4?kZyw}^5 zXge~$-UkiBlQRLQ@CSL@20c>(47|u1ZRQ}in4=xnelZnv3iopAS{}v86Qxhl8+x;p zCsy=x9@{mjP%7`B-72iETny$?`>L@wT6gUxuH#CoqDo!VO1_m}<>>aJ%b{^yb^)ztw)# z!|Vj`LB`D~Ee&oXfFh+=5>8EO0nQ30q!p&PR82nJM|{4xvAtQxjkc4V zg&8!yCQh&DLmnVGfO~*TfjS{|@W~2BV3FkWOknlCEA?)#p#egVK}rZyP9eL*XUk};@S&5auBg}Dxi2{1&16OQiw|iJEP5ZPiV~!tz6_O21?5TqVlM(C zrm1lbfS4d|HUY>21fcD-{wDBXfN0JRf`8*F=1EUG_tl6zqSL)d4_l9wBMQ3yn7veP zj~x#gX&;e3)6ng$M7sbljN|rQfCFem`icS!szlKGsn8oYr3Er&u5z5#cGKUfG{GJK zPGniNv@4BDnW?BV237uRzrBsB-@I#t%h;~zKoFKC50e5;#oS$UVj8p^w03 zj42IbD&UteZ`!Qf!t|<617?T&MWOqO?0`%WdD)kLb z4qv<%`F8cQw^*_7#noBJO#Bq2Qs}l_*KKlrJ|6E_ZWtV>RNz}C(oOEDji+dulBRubX#!&7?Q=phqj|*N8k{PFxu%Uoo1__w~mbMf>Sa`X9W=&RsK>s)%oP5 z@k;{Lh_rI+N+>MN=+tOcf}leUBMBz7aFxpM@N z4w2wVy(h@j#5R-N2K|R~yW$~nT8;Zy08bip5f{QdPnGYqW%&RwNVH|E zg*6hJB)EINshXMVD#?m==^KLCm$i9~Vbl7@-jG+iNKd!tmY_eLmr%-u@t=&Z@W!g5 ziaTPe zX147*FKJa;vPAbs6`zw>EMU(HZiBpO@3*p{7@$%Wm?zJ|0|kFampqie+}&u(?S@pECP^m%xo7$Uyg>X&5cZ1JMc%Qh zph5>IuXbRWk#{CFHMGJ2ipGOM=u!iSE9#0(1=^~kuNd5vzgvULjCC3;wfGn@D@VwS zw0tpt5^&sZjt60GN6SsArN7SZA^$JR-aH=a{{R2|Ua9D6nNXKxnJ$!;E0nU1nM)K( zCLzi)q>_|TW3tUsh@=P!StcR-QYuT#*h+-4#3;*HXPB|h7-LqySKrV1p4+*dbGx1M zJAc%z{xII}*ZcW?Zu5LT?zXJ+c~U?a68&2kVLo!G%mEnzi~EzRhXbV70NWAy*tg&P zrF-Ty50D#$YD`xN_OjtMv)&c268bN3<7M4EN>pbO#a^(_89r7j-3a(ik0J(nrLc(W zyzv5-APTcHNDmQzNi~nNTsDd>R^Po|hBM>(RAHMT9PW1Xd+KFIWxP~3s<;R8b#;iJ zy}R|b)RA!{BeAY{eB!T9cIs&YfN{(tJqL*rw2reKc|u&Ue%ad-5!+7dU53=-*D(6gg0g!uNV4&2EZz8c`A%jPT_6d0aXKNBFw zF-)vFu$V{Py!>>ku_Ij{DFuN~8JaV39aN>5(|KX%>W5pNkP=*$hYAh9U{Yt#%ToZQ zuOF#tBumYKr7&=Q!BK*n7kr+8++PXs>;S2T2CTa;=PE@@bm%;)X-yeGjsVXku2Je3 zJLPvl=`c3CXu+~hgO)%^uvX1!(zW$Dj5R3kdxuEFBDg=Vk5u%2Hk2Hi^u18^mb0}g zw0PD=8P&|9{C>TY^hPb6T0JhA9WJH}kuSL)oOIYpyb0h^xTYiPJ0|3vN^o_vt@aXi zr;^~40DK5XCX=F;O>Buz>JEgtPsA}a{Xu$UdfH?2qBdx+mhHmk2UCuN6I883T#_oU zm>x$2jM8RFmcR%U5%~*_N07~+MgiG6A$J^fX+8j?C<$;q8Am$&W*~N|Ih?J4Fp7%+ zxYnl(6UM_ibT)Fcpg~!&%39h^NWVk^FzLr2V}ByCWGH3Tk%G@$%`-iXYhD{?6gP6u zeLoU38J7+?=vF9#-^1I=?fF*S zWLOZ<2*1ek?e=MAnZ_`35?pw+S38`(Iw8uJ!2-H1OcQH7q0+M7g_1M+Vzzc?{ zwhDh==^ntY!aDY~9TSIhOX1%?0j97m<=fhxQX|V^A}>HvegqNFyyYqK;_~5YJJKBh zodL~^#6M*V#i!?hs!GGU{yM3}VJ&nuhy#X0PehjTz%vhFcP?UM13>QZ;;f9W$`P?udFk|g^ne(_g$|2>B{FJ1)S3b zxRxU$1%C$JtS6sTpy~}jfc@EQHpeTbx8h7YbTjI(~)wDaGVAH}bZF2vt`h&5U9@zqkFKPFzU+bh3Da|B|fHbScRgvoiB!hGAwq_2N%MjdK9*_>!G>JH8DsWliiBCfTQ`nX}HbCA!R;mDti1BSK;PnNg7c1qY2 z+gsN<>Jm=McFsLjLjQAK$G~e+(GO5*u3ky$TC3+fmS6ti{e8mkd){McZ>I+qXEz6$^j=W(f5uL^V5*fRg-H``RGB;YLCSYX4BI2$z#)Yb^5C` zestDhL!N+cu>Vexp7g7ZW-Y2jPjZfX%2{_Q?ZY4!VSA37=6{}gAX0rb?X%1P<5JY6 zSGlbBzUldwUa>S0rDx-pOppDe=|x~_P})RcOXEH44Wzy^Z-b2SpYXQsE0%MuIYk{P zbMIFztX^tr@$PAO1wVeF?SKMqyruTF>GjeNJV~tTh=MSZRl?Y1e z?9qFiRr_#V(KXUl{pq#Uf~?jjYC7M7ygfSmcBgshcet7^Ti+^l$S;#27l++*d`)PV zJvQ4RX@#h#nAR@AK653CD@BMms5i@sc zs-*hUzw|dAD<@fU&=thA#vy#We!r`X@HIt2#hV~qTJFW=LykaacEKOFKYFHU)Lnqc zr_jI3QnylZ1DHcfDWQ~=gH!emx-*(n&j@{ZLCkB{?SIf8$C4d{mosUz4nJfm7wE6a zKSmfzEU+Q4Hpb^Vfi#@vY(3p3 z*r5B3*SlcXx$;BQ=IAaYjv>HiH6e@)1s8OdwhOm~JlZN$1X-aSxMgcRHtQn2J4D7T zTc5Xe<(p4nk+8q!8w2{uxczI)5G)Uq+!eE?74jOjQcS^43_NIneeqelK-stcLq_L^ z;aIqdL(rNh`3!VTR%q@MkS(v?t?gE@AQ@iSV=b6-Qm6vZelv>1X3^1!H!GZ z8-%?QYa>`h!9J1|z7cLV$u55b4Log$o=GSGn>N*_dGadArPqXP!e**vS5DaG1l)6N z+~mi#->9S^NqAi`TFDa3K^DJDqDLx5-9+7p>%m)ubd$@4G)dFfO++i^ z!AyRz9dCQr7HBOIw0}|-=mF;B@rY2ckAt-LVU=NaV>Z=3gkp_x<^Ed{EU`}LYsL)@dyOBQtE})xK5G{Ok2a>2+cMzNM9sI+h<~`cKZlack8OrM{ottj@X7aWSwo#A#0ce1SoMPPR07^Y5+TjyJG9GUA3?<7@EE|u8EGC7 zgJl{2jDwK1sAu|BX2S-~vsu}g-60RRjx;Z$)++9rQ!c^_G%@e*Jw!D;T2MC7353w_ zE{plM3ElSV7vMh(hZ%=>Tf6ePyD$er!nXoR|FEb#>tC7uhJkUtl62`7r4^@oroGPN zP~@YyJA8+LieUQ02alnV#p2=WihAmpVBD2?s4G4`5sEMWLrDm?U>;;eP>RtrS>}M2 zGIL5IBk2xG{{6vjfh*)sIrJk+p}N<@sQCmi>&xG^)_@)lhp}N_>PzPdf9kAcJre38 z00IDo%{oQ&Up#r6e?g5WKcrsHP39D4EDbMZV|FFLom53;9dN8cc8}gn!iosoi0&!X1K3^h-JgbV~f$I>P^t z=>4Cq8t@ap(R;rMJ}K9Cwf;W{J|%ULH&U;AbpPK7KEYdRzB%b2{PTQg96Nrb>21*U z&hy@3lNMXy2uP}Rw^6HeA1PhxYwn}W46ZR9)1}Kk#mZce2}|w-kKbeBWeD-Z7b^j>!1U z&g!VN+hwI0u#)?>Mc%}M#gMs2UmcV5if5lae{rI6?FThQ!AD&WqrP!fJ(<`rJTJbn z(y?Fp0GmssgEyp z+w{%z4RQ1R+VsGfgQ#G9z{5hy2mVVsZ-e45{!&kPp}K?nK((GwKVG^1DB0p4h^%a3SC=#o-Z_0>@Q2;!-V4|4+?Q*TByR7N6?ea=xO(;7a-gf} zz4qR#lMb}+i{11uZ+U`FXjhi~)lrJsOljZA{fvR9jN#A5cbMniWuG^#J9|EWl4;r; z{qj}QXHA+D9(Bu3&D1coCH$iPjnT=E90sW1k9q;XQ8#V)4#Isl+f&$cScP2GhpS_Wk51(4zwQqU}b5{SsV!zn> zv3(O&wl$y;{~~_SEX?`CkH22wW23ea(cWP?ODIyqb9F^RXOQ@;S`)qHVKvxPJ)_D# zpne!FJk%Uab5n5=-i~VCB>0zb5NffyxVpXc?0wD?T&ya>?-M@;KVLF%my-&k-s{I~ox`7jvB^#HFS;z;g1~Z#*H#5x*m-vX2*WvPzUl{Yc0>74|TB~8?32Ntz)A+GX ze@s^qR2^rER06U?0ii78HGqWps|GOeMTjCL9F!X2(ICqo^C(hT`{SJC0VMce!wTas z@_+EWYT`UL?m&4@?5!M{1-P*Vd7lZu4ORJJ&w1$uJ0C>o4gh_Di^yA3iXXZ-qs$?ioTbP*-SX)vz)VP zpX6FQ^0~hLykYw##C^>IZxzVQX3XYLuXOzx&2NgFw+D{u4}C7V)Ac~s6_F>QfX&Xi z0V}h^N;pcFQW54;2!QTXca#6r0Wh8O?r%Kgq5oi?!5^$O$u2vOT&RCddIRafSs)yB zKah(F2X*~Jz1aDV<+s688jcOS2>AfkRsv-ZEb%kStj{>WA$hbv0yLy(NMLxKy-tM* z`X(aBt-x-Ov3!+Z(@hb7OD4T)dsHA~YHDILrU9U(Ds|);DErQ z3`-H%^(VKs5qdp2Jkys=Yt%In6-l_OO`#o2K{4I3lbGz#uohl8OI{sG0K+=ORWkn5%JL{LDyOh^D4M6jwz@a6Y?98<6 z-@dYeJ%F4gU#h9-Y&MDZlNQ^};QZ4KUqCX^AL7HeE_hGMNOJvWGR!l3B&A)-Ceds{ z`=_crFw-^62w-emtC*D)hzcmK1s(qzj5;?(i^fo za-szAcEY9Ub?-Xqp9p^z19SgF2|PB@!7B1u{&le6D}5=IuaDd+<`e)T)GoIRt%0aw zE*SaAlkDPM;yVDKPIW-K<_F}xp~+v>7bF(}-fx01^Jvw6O?IRqex_p8zBc7O4WY4>A%5Q?7L|v2%$YDi9i+@;0^(6s9^nFVNyV*tKL2s-y=5rHhhX); zwW9L7;=Tq@xq;x%e`yW=XGJA1)9-_a6E+HvdoG@e*w?<_!t#0S#TdCK@8vb_9eq6g z=FF6W?;Gn=R{!Ri|C{?(AD}RPcm^&^Li&us3X$1Y{hVeU7JS$l=rghEL=Y+#2zQl3Pyl67uHja@w%+~~ClNjwS(nU>4A?HlMyu5^o@PTcM%`B4sd!`^%YJ5x z59Yv;M>9va!Ef|2%W=V1=-36Q>DB109On7hWzMnulKS9$-_Bb7uT+zn{z7~YEnbEA z^ANhG>X{pAwe+&-umSc~B`?x-0LSf+F86$=sjv5ba!6lJ0b~$(wC$bM&y4d!I+F53 zc$#uKBCM(y9?<{dM*EMW#311l1L@V-yRIF-%JG>-_r|5IaQrQm8g$bgx^(n2DPwpp zkn2m?D_FhX!S-7ekITnPbJaxnx`kyg{;GJSKgHIcfmn-OH(H%qHCc}7MW0uxiZ|%+=u01 z1TWibS$ioxn^hz$v&wkx9&WlOcOO@C8IV*iW50YJ5+p5WBk1BDUx*vsEwGt{9KKGw zjGr{8c^nTd@2`BdyOPMUqzdl1g>l&y>tY%^Ju`HHwA%0O!y92537()k%>0^vcD~oA zuTHdU-{6m|msQe7!p7hK#FO~nBAbIyi!DDp-+80NOK&Y0DRTk;tO(FAdlEE(;lqAQFJDsu~<4KoTMi-aEM167l1 zL6?b1Ow_BPl3*%o2c28bePzD5_EUl|4)Xx)nmf?iDK^Q!9PwUijHveP7Bk$}F+T>< zaRh?ClxI(=;mXXf4SqEB2)eu|z7ZcSHM-X_x>Y!V=a1-fYZJD8HdhXcVhew@peTWka9Z;Ry{*?QlRbEQ2 zdSN&a1KjLKrjh|uZG~fyB+FmtJ*!U183YMQ=!@?_(IK#x%6GwvTuYNHr4t-_6XvQzK7(o3{qMNLImxb1g-rV?l zX+3Z`nS}cBbZBC1gn$cGF@(bAKG4eDe{6%kUmT%)4zRCe+*wqC1=^@6-KoAqB^*&Y zX|*Gi&1IIep`;LAjaSfZN_E=W1e!Xy_ShgUSUP$%NYp!VgXV*#EH4r7Oddh%n)-L} z|1xwoJ2oF3o1*K#N|-Y(M&XLo;;&$);S{NpilK|7w35F3c9d+i@K6!CheAZ_i$}c& zL_K%wJDv@>ZJOhgblR#E!KF=Wb(wv~x+?j8{9R2itlj%P!#DN|4g83jZSMv^x zGmven9&Cl?cAQsv_G;-ATL13y*2=}bwYezV^#K`0L~w5+qrDJtz7{^8dzGxe5WMa~ z9z9bQU+dqKdgN`@B)|sM{5+5FFGmOT_s0@2^u!xquPfD6bh6LA8dq$c3u)>)Cn_ti z75CT_MMJ}ffMrJtq?TT3tDuKQLp!l{Hizk23_Kcnl``!khRD0Z-W;!)Dj zQhQ#fzRzu9XLePUH;QC*Bgu*)8t%OzpwvxHq9pUZH(CnWnSGUw+wrs@wR-;58hncuqLzv!K@Flmy&9!`0Kpd&~K zI*Cncr3qBm>!kGpX3z>f;u}5w7}1KdKry7t665!9&A9xyMNMxjVtk1D9#UA>AK#^c z9$=qu`S})2Ks{TDhebcFAu$t}N#7)}R@fq8!3?!CD3kxKF9PDnRAyw#ZzaK|(#%p$ zRQ)4iM_~+amFus<&RmnaVweP3@%YeuT^p8hzD%*EOWcm@z}2(H%kS_Lj)sXC++?Iq zu%MScG!v4=YhcT;J8IC%oY^D-z3g1ckrIUEf{&T^$OPWaXM}4~eT%JIX2wmL$Ci^y zDoe^O%bTflq9yt$&Jl^3(k5M&R`Nfw4cW$QGxoZJnKq0+r~T_2ym9h8npFEe?)#AB z%Wq&-b3{Cko)VA=_8Y1Axvf@igG5v_*@ym27ZJMd#C_G4-Z>&hR}SIj6C}HOL^`Y9 zb70^rzHVuFl9btJYa&QNWUWja<3*-rl~h+l;k$BTC>{Lw)FR}kVH|>3-WN^GSF2pq zipwzY&R_Q!BtpLvUf^{_S0==$1zhJA{c^NHeYs%xlaD+7sRSV%J0`lh`g5-QXS8DH z--FaJBK>wv>n5mxuwJ(hNO7u=$@kYk`@?3pN;E^Z;+jIXg~S`n2;>DXLLysZ4D}8` zr{ElOIun=h`zst#2A@;Qy*|1qrqwkGsuA&QGChPavB5ngLU4*#<4uFD7m5rQ5Uacv zhr{X<>=>e!dIEv!7IXrwM;cr7CD`BH@`gfE7l~5WHd42HBalx!)eftB>6lLmq6||_ zgUJtqOkP<9XC05Xb_*9!hhK&y&45cuQ7u zvYe2OqT8#qQJ-e$AW8oQ6hibwP*ZUa#1E`J8U|q-7M@pIPVDUR>wrEUrl!JTaItkA z-Wnl$1!{u532cUZ90Ss&+h&0$aeus^E40PdkdE<9p@u&DbP;1?v1ichXFInXCN~Vy zI^`97^t6{KNx^3(6k}2x7m=`5w^kx)C5VFs`n_9@;VBByo*Cp;H$H!qdU`?;hJrai zjRD`P%EM@komsLlgw~Em*yK0ylpyvStQB`d#}D2JYpZLQFzN#2j5gFY(mFF^2$|T( z5R%9xXbiHnxvcpRPHjh{@N4kmJT>*?T;{y6RroD*meM5d(urSh~Bm<@aK{@0*i^AmWx*7kU7*( z{(H(Ji{K7I$nEaa!MD}Tuc1($u?kNGZp>L5rf2=bnn#G%kg#6+fRSUfhl9-|VY{QPLt|Nz z7^;@^`N|H)*%-qBv*m~t39Bf6ENtFoHnM};%l*Rjg^x2+nY)10@-F^4oX&QX}Vs}jbXP?4(cVbz+HP!Ix;<|`OxE%b1^xJ^<9^>6O1;p53!FX zU{f(N!I4z?v7IG+#?FD$4Vt*FMsjmqb}>90A6F9@5-Er<27lLVOyC|^T*T>I*YQ$s zgeTnnMS8#fK|LIQROGoPG5(67;B8xTFperJ=;+5B*bD@)C#n44=S*b!Ly5=4pS!0hGLlt6hK=pQMb zBep#zmR~(cJ*RhGrp1gxQmum5|BF}Q6C%YfR~VTf}@SvY&(bSkC! z@5dfiNjl-!L2=_TAX}6S@vBoz5=c>`!va8gVYnR@BN0qz9dU>72=Y_IYr++x1s-~&(GjmP2|2Fq96AO z2qgGqMzZx4fXT~|Vzu2Z+r#?6ii5N+SKnF?;=JPlIh^mh-oPgNOU%D0cbN7v?@w#Q zIJn3r1}YR1nuXCVX{wT1<3~9)zfIHFxx#I< z>ua!X5tbXB8@*Jc)x&%>fXKyZ&1xv*@1u{CbAtj1rwLzE#YgY{$qa!98N^rUXb9v2 zNP=*l9Ao$Hcs-Ogu8H5cW%Q=|87Gqc>;W95<}{6{D-rKDw2>+#6EtS8BzAqlHM(gC zVzeaBc&0Y3%~;Hzq7p5&(?vn=NiF|MG++o9=pXUc-19}$CEN{lFQ8ej;YJ!4ZWUSU z5%p0R3U7OdXyD6b-ITSH?58y7z#*D%MOH}WIjOt{Vq9SrT-2Kh`I5;1IY3a`it}#- z-XOYWqewBnG53<3u~kc43*Cg6%P0sRqEmepK3M^H$LHG#k&dMfK%TUnkSqkVS`qAYr+@ce9WO=DH zuU3Od0_vhviz2EXYRPE1X-a8a(5Tu33m3F< z+D$#c!#@VB4zXq(wU~9{@hDQ^XV5E)YIt*x8@RQ_{CcXvZ{^es{R3)1Gg>d&8av*m z8(#M{Cjxd!*#=4uBoXW6x#M^Gu|HS(%*nsUVlx0~t`v2&`G$Bp@3<;>p_( z$6$!No28j8YQ3Vv?q|N7yjcHe9nsIs7af*Z2*X|(I-1C_Z-bA5faz}VnJOL13g~~M zuSp_!3Iw~-2y3iLTkG+=a#UoDg%(g${{ll@L2pKnVY$~BJiv>Pjsa4^jxBP>}SiK-mH6%8zjJj0wsJyb=lRhqytJ|QSu(ETMpK7tD+RB6tSXIXv z%xWp5%mC%vn>)OSl|W+t?iSG*7sz<%_TMJw@O}3Cyu0R_>BMc~A zucqwNjX+id8P@-L8!Bl>gj4tHo(u{YwfUfH*&cy6%k2A1j!4C+e&#%EN4%d<25+zoc3@cyuJ>VKSus$8D(1zZFj|hombH{p@Zh2I7Jrs3l<4EiiB@v$Z2t;aM zx+C6yi*z*a$_C2E01tWEMRwd|J4Tk@4=(6Y0P5+B=(XVwoMr8D4wiF-PWx!rEN}zZ z0<5IJ=6+s^HFK7sF!g02!YgZxz1ld%oBclolgsG5v#1NR2LSJ+h(2>ArRQT;PAHK@ z9jDsxl%=0@LsO^t_IDo_5zm3QkpF8~Ly&LOq}0oG=|?+aU7XBPa+sM@K9eTfzZU8= z5C5SvUb~HPo-y8XG?nI_@8zzl+JxO(qPdXN7(5MV9x%+U-NxO*{3%tXS-3DS z@G`B1kDpjM^7a+_zwsl*PmwMQ9JYNRo|$g7=LuH$5AJeo&XctYiC_N}-5jjyg(vsp z%SiX?okADP5QFOmcVmkDo27;W=8jTw7HVFpLp)DV9%iz4r+AL?C2jfNLbr%4s_mLh zwqWE2Y`Bk$>@@qYgU?~(oyr?)HjBWb2k?nXUOUF&UaRv_=fG=EPA&xKt^P8}iHoRb zMLIHgOOCS-;?}wx$c6WJXoCCZk27`yQV?7rK#t^B8-Hd(p$w?)TMGj;h8v~HQ>SOe zG-gX7`b^PxXaQV9;An!xqMkaYm=op*d)=7ylg zvC5^M=k4Ady+qFexeyjME1F6TlrQveuG3lGOf##YL9v`LT2hI7itHAk1U+~P4`ow}2`GM2b#C-1}YK2ad@NrQ*YFajdMOAY^oO)9u!%x#4)D9Ov zXU}Gs%xY$USL+_C*Em(t1u;$=LFD)m+oy^z%>G>~Lpe6Ed&4n|I7wX-xL zz${%5$(~9jrul?q3Shh*Jz|uV9{qEfZvS=gY6gO+7GQRj#hyLJzRf9K5j@~MwSgwE zj?XDJ4r1Sw(Z;Y{_QOgYyuF3=5T|^5pug|FQ3R*4uQ;=B!V^|=;M&DvjUoa7FoAb_ z*ec>~zpkdh(g+M6-zZY4(rMz&bOmMTE|Y=aKE{&^Ohmz_Kp1vuINJn?WG-~YDt}hb z={+*o+8FxeMZW1Pp}Nw z6!M&_U524hu^=lpx`)b3vc{jBb4cU#7SYdO)k#991DWB1GeuPetiP-2c%z<^F0%Fl zo7An2gf#RIZGB#&b=`iRz?PuEPyodwK0XoNL^~p}>!s=se>HzC2Kx2Yd;96yLO^MRN`{ z%T>pKI4^psO+RbJjrr}r6Lmj(0}Cj>!YS9PC1r{x@}$b6eC?4B#-Pq(>w$;DtQ?3| z#G_dGUU*OlP*akmBLa`2R%^2S>K5yaH%Ef3LjlXvw=99Pk{e+Sd+q0bdV2A>QB;i; zYv?j>q(n+`CsNy5dx=y>l9%Ku+W?2kBLZBZLUh2$#V^p|sy@kyj=vM(8vQ;lN(q6^ zF-cdb*GE!7G$oCPewuP^6K|zo?+~Sxj|4Rf<%}X}n*f9cpxt(}_ss=O5wco-Q1u0u ze#N(`f=&zopwRl@@?~^e{E0ag`@3?a@&GelpEc94km56~=rvMI%>(zut7Gg0j6pM9 z(ItM2Ca1Or)a@W$#0LVFm2mtrbo}nbPN(&!E!uNTBzZD)yJ$9#OaI-xScp#*?yn_o z7Gz6~IAo=X|0xze0C_dsetN{l`GUawEql%L0KK({c>2qc&3lu`jR@p~>2H#irMu*x z(s(^|LA8a@$Q|)-X=Nzvc2Cycc8G(;HQ1xB4gkCa|vGeIXdS0?Y zw1urvLbU~qx1D7)YxtF9IOrC=;rjtV7Hct!b+V57!H&jLofQlvUj01Y*$fLFYBB=#s)bCK<4xC;--sE1Tr1v;R(9udcED^0!;L zm-OG2kW_&Mi#sc+z{(dc{3IvW`MX;dRW7uv07zsa@p_Rd5)W%5W}p&?c?7`6)ad`I z4Sn2BIX=tj)Wi|8IAbl4Iq0~UhE;B(y>DkHtxx@nRd8n;7lrOzCn_)tz832GPVAap zbe!E+1SgTRsx6E9^hgE4kyr%=1cm^~Gtb%yg`|1snrvt9D*+8rp*UCiFQ~uXGpe$X zX+CKrU?SS9u!Rsh;cJOXT|BQvYEfbZXhuq2pw@`r%+A3+Dk)wq{{T#QuLpHrC4ps7 zPpm)#eU3_y8Zb`)Fl@9QF#xrl&$X4DD2cC-Ho`!iH%feEk!qL<(wS%(F9(=U!@99h%?eTZi$)tGm-JgYL z-_}O9$P5DnUqo&#g!}M^bB z#Icf;fR)f0kP0F|QVKoC));oyyESp+|eYvyop^5`(&zbKT1<@Huap|!Y?b$S?%FPeIC#DnA!TmRyQ7hrFvo~ zFfEWKu8w=bSMip7i}&y*xco4ar*(G$cm%S%2mK;xJ2#Zyw0 z!l5?gWVb4Gq@;3BJ_yc@zjR?d!*jSM=7m-H%D4e+Lktq+Qy^c#_v6ZZ*5yQJw4mqW zf6`n5uWO;kV^;Gb3DM^JB4Z_#y6lUH0DmS{&xF<03s7sYQHTq?<30p(!%0 zRo}4kFW(I>BghX}IFizX&+w!zmlN%pD9mZN)GS2h^f}UcbT{BZ1m;&gsc$Xwu^Y((q9PGafGD@%T zG4NG@(0C#-js|N2^_Y_|ltoGqA8`jz8)cBs16QC9_=~~yCO+r!`W>2chF&9)5{-+% zUgHad_)7rKrBb^ZZ!0-I=a<$cng>b!hTZwEf$yuXv6$H6gnH%}bTzIU)ZY`bv!R@F zTVnYSz;cWLv{ae?(*RbQNX)}KCn9f8$hQRz(9)D(H{ccIc4@T@h$6QFr*|%J(}W7g zAo};s)VLqn%cBlGFHpS51a-hDEQ2r<_I{Oo2%|f(C)E1%Iml0^}wOm=vjf?$;e1{ zZ84|>K=*6v7z5%&G-qoZ-Z73DFQVsjPtkkXOzg$|zvvA5h!z%V#PtLX2d$T?Y z&=B1tML2NGMv<+nZOgFK>QeT})aV)y!KF7omB3j?V(*tgOAH5Ws>>Z%GRZ(ap!T;> zME^j2?zKuq0J8?!1IV8fa^nL6f%EsRCTffS?)MFbGPpTKqno20*E z_J8+Yls}^~*>C61kys}Z%j?;-;QNnqz27w$C+}K^WHs5^O{3pCI76uYi~jyeVR;U< zwNp`{%9;QvTs&RGwwCA=6I8oI#W+O&o!r_d4~3^wgB-Dc@AfHR9j{$AvjL>#BOle9 z7K;N({SGp@w)aReYBWaG=znW=`S&c;|7;#P{m<+&{rRzMK4Sy;~P3S@1aT2W_-R}Bs@WlHokAI%o@6B`S zd3NE`KOc$WE}JsLAUi%SsjrHe!K_)NW8C?Y3`Xmf_29|-*~Pw90#W2gkz0=_<*G2N zE$E|tKlHX)8ngDcgmJdA-7RIgd1WTK@}B#&tKwho>4}riAZV=tTkH1wht$f=On5;r zi}z;!#J6Pqvv`Hbd?gCe(Oi7i>7hcZhtbn%qw$ragqeqWb+9#I!pRf4?R+WR_`@MoRh2{hUrRa=*NAzv>nV zR>^v8>h9Qozvqd?=$gkBzEIX@M-8#H1pcuW`DmzjwrW_r?}(ezWv`~7q$6%;Ls#^3 zAdc09s?BeS_j@Qerq-+DnM=4)(Y~F2e(Oxg8EMwO4KG)5Yo^PdH zzfYax}Z}1B_D4eCH~fph14Z+r&sDHuPF%(* zQmoj@+f^Ms&8;vrk$$;f)m{%fs5IoQG7yQu>71a1mcpJBrMl$%;vdd?CCo0@SHZ{m zqvmK>I_9I!Lb0{_6ZNjr^Uok*>;lON!LwL1oQ{j`a%!S};j!x#REzRWY^py}=YIPA z`tI&em*4qGU7%y(lGOWU%$6>9u6yvM=p1%dXKc6Zw7GFbS&!^O`f%mV;qU-0$jnAu z(Sr7?jRq>ZnC3iCU^b8J z->+1LUJCJqW<$8r!y=4O>5)f91WqP%A8E?js$W*32DkucrvGsNmolb=_f%u4ltAm} zdVN>M6EZE{qIWt6yHqcYlxt&``1^(3;*Xh^C9OZn*#xg=MViCquq6*3;18Ac8+M>5Pn0zmrC&P`B702`5L2AErjpFD6f_cW+Ly0BK@6(t0D_CRI zY{=6&*Xn&lmdvFQ&wiyM2#h5BLp@aqAjq|ZJ6mLyFHl~WwM3WJCgNU0X2PAo?;6-T zP(XE{T)=x0p026!WK0V1o3R_o53`EkubW?@pF>x5Lmb_^afTnWDp+TOyWukU3Y?63 zLGk!Qlkc4Et7>--KrXr4s3*&ugNBPwVNcb(UcZ(V@EWjve;Jj~-gX^5i=*H=WR@Sw zemCsiGJ%A5qdAtNd)l-G{p2Ju=8oWzla&kkq1|i96{`x!SksVpe8|739@&W^w+dY_ z!;LtqUV@REHfNs=+_Gb=kF$Lxj@L+8%`Ac}dGeHJ-g90{qVg19svndMMYt`guWdDn z1{W&sErNDCukMEJL>Cj}ijJJ5U&8xl0(i_4p}L~$@3BAd-6r9Hgy4eT%yhU!%7$&s zwA$FOXi@}s!EWS6#tx3&$*L8cOKYv^CxSiZ=;~R)uDdrZq2Hph9?H3p^V8-v7c#p| zHqbq#C03$s1Mzkj(7x-1gop3~yXR0&9voQDv^(!1vq2e~mt~~~r0Y#i)jW{JB}u&c z<<~YZ?;oAn2rt7&ft$y_m5y+>S2u%2@GMj@{B;npPgKZ0kF~N@<(cC-tcZSM5%i$a zU)oCzH{=J7fdQe=1;MTeg^g5o} zVCV=17V;JTfpwO%5dv zo1Xn5zCqL>MacdwjB?m(2w01oTzbu<%|r~O%$=Jz{YaIYXyQPM7eBD%gxkQrSmllt z2>vyp!O)1N4gZlm6On~{2WgXm$Hf(+P9#UX%|fw*p1oeodMb36p>$*9fFVWT-!fmA zWAg^&*Q>HVkkOavHQh(qsvEjKmRV{$LO&21;*(`L4R9y*@Gi|2Rd8)3+_UrgFJ$LU z$N#1N?Fd~F3lVQ@X}=}@5C6YP{QnNY{|UqYSs_CGCk$tT6{45d_k6AVCuSzXxiI_ zDiznDlC}U13Tl~OTky8)pS}-w+%SH#I!pmKzbaMD6_dfI5ns*l+&i%YRVKK>)qz(V zFa~#B0|Mw8mH$j|YMy0ja7BLlZ+EX>yuJ70W`^Cc+pR?J6k&MTvNP<{+Op@)oyCY`H2qKp)3~jyeQInF zyY+>(p=rwX>~x`p=DG9VO4YMZ`kl?-azoM&1ZgR~DqPfoV-FWJSQ-$Nf9f5jcU-wZ z7PQ%f+z|0Py27j7n1rzhVUe;6BY%y{sILW8Kaiac=QlUMeQkK4Wj)>J*TT}!y7+Ls zc1ib7$Bpsljr!igK@;X=H@Ts z`gG&!K|dh@Y9PLuOU)gzLnYeJ>5p5LlXu=ljuDy&cid{%0>OsGgD{#1jr%nH@cGt< z>r6g~j8xHB0;PilRDpGroZqHb_zMC-+@k~EI{s@Ni zNIX8QaOqjd?Jo~cG2O;D;2+3bZEjUz@Kh(eHt}yyhLZ%QSu(P9(FMW_>2&7(i>JxW zkDke{qDTW`CUkkatHy1w0rQvLx<6A4NN1CE$y=u%iY&CN(NzVtUXySB0XxRaPs@Z2 zwT{q1Sz!aAO}6Wg5ld~r2pMzhk>zwVR9;bsDQ9?)H=GTSfWN}(R`SNWAD~|=&in-+ zUvn}#-R8mn>Qb&a|Mhu#^a1?2RlxEWp_nScI=KUCPc^jq0)5P*Ca51A1wBG0s~O{@ z{bK7t4E0?+Hzx|N?{Du+Z!qkU?JAu5*WIp&~-bX$C19nr8{o$*eZhxX*3^G<)f+@an;6v&J-g_f7rTgDzb*d*Y~;}R8kBudl26<$>1+%mM|H<32{pKV z=YC*Qc&BX>)HXoCj-72tG?W&@!oXc>k`mHOXAEw ze3M?0S~dRZCCW?OFFtw565xI8n}a%bl=tg7c9d^?gB8H)V70vk!HT!zK7aDI3~ime zg998t^{Zp#xgXwmLtng^qX`mM|8SzJ4-3E0`}scAKnd`(MJL72zE>^O^j4;=Y1O@^ z!PqUAR14>A=9#=GttI;ll)l};K0ok_cBg%}>WSl}_crvyUNY-~so#Gaf<%{b)qE-} z6n$@rn|%r~d0ExaUpViuF41547f+0fG{;4ln!2|kq*Cd>l<(L@HIpB_#tyw`F=&I5 z90WMz|7YgT4`=-UFLP%?9{2x&xsyA*@Am(;py?RU*#9eY$L4<)G{uhOV?VZAn*VeC zY8N!X{ms%@Q{ACtSIgO_f1TSpl(#Lt${=}Z@TdNfy#-A>*)V=XbIa4auGjCHFXdm~ zR@LvZ*XsQejY=o_QaDl{9;txF_(&2F5qyB_C!7#TOX<=wtDC9r;Bj_Oapt)S;jNJ+ zrMez!h;X_4yD)WhnVU;z!7v-TDWR?g>rmqx=Nn$M4mr>&o(b)yD zXn4=BZR8uJ&dF++S-iDR%A70MDfv1%O2O6ho2-JGAclnvAnIKH!+c0c2m@hHN*K2> z#JkejVox*Qs!5mFkGxc4VcSAf4!a$15|YBHk4lks?bj{v86YShB|z*6=V-L6l;S>< z>Y+Eel2|$EB#}8Kst4$_2X|zb!F((n27OV?aV%~)>6hIo261Y#nIGtAU&^IdCIq}))9q-)C;N#weMkK;?RoJNF%r`7v+ zK+SckeZ*;@U_QNiLb_(&d)VIBmj9?xrWolTHz6#kTr<99zi&0>9r8K;_5yWTg0YP$ zHk2)boT;)e2fc18HZ_MLuB=`TQq>U#+6ydhC_prEshZm*R{oSUl_HLJsr z+5w>))$36W@<1;bLSem%*mnv9hxuQ`PF2dN7>;LwNN$rG&Ildu%VsP}Q8I^BWp9C1 zfE#I}n)O=^ZxuV41*bLHlgaaIQ&JO(o$$#8y)$02Qerw)k~E%ggPFpw@C{R5^e;-9 z)>9O$zEVYBHB;PtC*Yz?csLg>wnx0^FRARz1%U~eAc#*;&Ukui_Ne^I$JO!48c|QK ziZP6^T9OsegW<}q|A;$`vuI8Sl*Kp(#}KD4k4JF0$qJ z&Lr&OdMSPVUQLc{%-$q(vZsNl@kP}>jLDLt;&xpM9(SvXzJ`R6g|X~4 zN&8fE-_zt07bbQnha{{FQrBtd>;>A?*eA&=uDFZB#9#&(Hlk)JZ zgXmOJU^yejj>Ifjwhzf0RlQI(NQrt{$Ac&zzW!_5 zUb!p*AOwJe;g<3MK9O{jHW}Vx*PdflxlXH=iqY`!_RCe%S^#2)`CQAIc4@(wM~a=p zJE(@dqEKsJVuUfbUeBREt>lGp*88ehtn}ns)+^nyb@31Ij!gpxTdET4TB2%2&+)xW zND5W)GVP)YmkPH1SKEr3HCq(ncg7 z$uTjmTHyKJpvx8M?p2x<9pPWe;DH(8+e^aIG++f{?XW7Pw1K;<&YH@jx zFvDpOCl&9ET8dI)qg3lriq%UDUH)A347DY$@&aA*;NT5i%6?_iWXwj}_;S^)Abn;V zGFvvKPPY#ezYKxN<>l5F-U|z@Np7$lTvZa&%)$UG|C{qMoJhF1tab%L3TFhqCPS;x zRh0V_V#gFuD``^j_7?3|iY#MfF{&2SMKa%@R>o55MBf%1vWPoG7epzaByBaJ!y}SoIN8LE*C}d2D(~a7eJBK7;hD-Fr#S*UD*`5|@QGpx zhDj^(m?b-5;B%CV@-S}=?o(;G1VPG7w3wQ%`t3m}7kXEM6Ko&}2|h_$<)R zn%ARdiRmUlhFP2#b^+Ez_zjjS31QRm4P8~j^<>l|Qx6GI@Sr5kaA$diFbOrnTj2=! z*I>6{d#Q@arFt$W%)98m^y*jq+T!$!^-T*K486A#J1 z9D>HLCME{Vl8oJSC_I=+icXMG99=6yE7zIS#PQ7y!jst1t&qjKY))Btl6QnAOE1Z^ z1M zCirQny^zaBaq>O9PM5tX9f~Ar_UH?K2d*>WNck1Kp5S3NUG6FmOY~;PJyB2m*zha< zl)%FWNlo;Lv&J70q|Elez;wq5u(QUU%vL%MO2Io`Di_@EabXjrJ?Vp>$5NpE5UF8*g_pRzl?OV#BH^kcn&)etq~5{cVNvkpTys{mjwAYYVZPT z`)rT%cF_NlyW~2O9!EprtT2Y*J_}Ba7s(%HIM-Vy zEP`6ysggM$ZTs?6vtV~8kOg2%0+8~8l)4WyUD6?i}H^KN* zAD|noJ>a!7ie*Oww;{z+2_T?2B6=#{!;Fp47{KgoFIzX5(1^jGB9e^MQ%9LxNrnhfk-=R{8 zF~3GUY{9JR4)4=WqDMbky)x6&l0K_@0YvTyZ!4b#U(+>nuhA)U?6%FZOKuS+@mS;V z+txA-#<|B)XVZ03bh?SD3&(+l;vlQ?j?f+mIZFc?Q=c@lXp>Mhyo+;x-|#N_eLB%b zv@lBZib?inK=(toAtZOZjv<;F?#>|sCd zIGTiQIhJ}d_i=-s@M=%N~dPv~e@9xt96Lf;yvLa_M1dq`@edE}A~(Xw zZjGl(mW0VcM<{1kHCcu|*b2-h;oi!35G!gERUc4~COc&jw$Tex;_5ZnS%s`EQGc13 z4_{Co^f7HuLs&MRVq}m_YyN_#;vKkFNf?jy{y}sFDeF1@3=i$(*Mwu~`_ur^$}PUa z&PvZIATgquy9!JSbpcXDMea!70-lz=ho7s=AIL+J{D~#?$|-qc$I&yxM?G=_$ebdG zrERQnDCn>%0q@|W8^9Nt<`J5L+0&_x ze!Vi7%|V14}U8KcG8 zIjiq0a|@)jD%~#>q~uC9xyMJ)iPkbFo~7r7jr1$Nx#3BRX#u6G?V|CuPOC4LKx@f> z^2Kv>hn-OOd_t$xm23IJ=4GJbx_maEaQrV)o4~G9O`o}?d>1qCBVoG?nGqT%fv{Uk zLSNV=XFIzAJ$m0K-<9gMKGcJF@0Ue+Wf_gFa zh(J*kmhiU|xmNS;>7$+nP2w++2KmDsF}47dZ2+^^@5-b)>z%hiQ?RuSzdA}z4Bv$_ zI1g!#ei}35-Byzn`wo4LXt#iBkKqRVuteLMcDub< zzeBy_U=is;KB_QM*BTt>bFX3FbAA;U@4zY(T(&p(~hrsx>wlvlHWYuJKf^A>QxBGCgwVtR9q}8?`!0`@yF~jjH-{ zn-}d*=A1et*;IEj(6zuZ<#BW?*S5pbTm6dEiyNp*yNB7k96&z6Jzm%yIH`d%#80+- z$}ZPItp1KOp4Fc<_zjT;c!?vqO)>k%K^}^N`o8|Wee@&5*bSF!RpswV?)mfzxCbNZ z09@u4j=1?;c45Q(GA%HxKqqJOy1_&`8k|dXI4p@@unZ=}uh;BnR**axlsz0z`5uWb zFTIJNby$XMeXspoVj7Wjy1+5lA72J=rXWDJ^l)R4;;77hbV6%v){vFv?(O#kH^xBG zzM*#xS5TqPIUiZimb$DC5aZXaih!Xp0*Z0WVspxdFVTv)>ylV^uO?pfL|=_)9G82l z^=J|~Z29C+6tG=#RHKI9}w`2G+tO*y9++q&xv(w7b zeO{Y@0|UF&oLsZ*#&67XlqTDL>DhhCHyG)|mX8lmrMU?)f!re3OBK0B2_pJ&&%?;h@g~N!a-vmS+ldNWd-*dmThG@4NkGPWc@7?+*I?Qud{bw0k8{ zb31#?Dpa_Vg`+Q+h0=dUe3HepI~m9WoDAh11$0FH01ud#>^sD_hsvKdc=>!Wz$sv4C`IwIu>o-rbIZN+3W`Fx-_&8UP&~^9# z(b3D~nXlMqeNJ=sQn&;|i6qo%a`XKaPxF+kjXC}>SdJU+wOYRhZVG|S)P$<;|)~@jL zg0Sv%4uB;aym7X?ss7&0lvBfX1`JSD(eRN*ARuA=-Z${q#7Ps!GDo*2!0u9?t_E^% z3WU+bnIRuNN_biCCiFy4ZKjcF!xu&;z%C0>+)PG=sr-eXFHl;k?h~pCi==h`5;My( zV1$zbDoj%9NgI?`7R_rre~b*pF;}NsQM+JSTW&_1uJ2VzYzx*; zU;zN;$nO#Z!nC7@qPo`fOFn&+lrLHa5?y94G1H3p+hwQEB{cDDk#Q4uBqs)L>;wR4 zA*>xeap#F)=bxe@=X5<)=9kJNcQ7!C*d2#J7`wPc7Z0KNnSv(Pf(_8dRoC>RgOUlS zC9}Z#7dMqrR?NK|EWCbIlT;>F7It@VMy3B`U?`{oNQN<&ko=N+JlG_98GOwZpo}B| zcn^y>(WNX)^^863 zyXezKaIRGe;J3`J!7v855%@U8JWJiJ%#i|%tJm5vOQDngvxo@9-2x0zHtoHUvxhSc zAKze2lpE5gvt2jBj|BNNOI^>EJEk~x+nr^**Y|vJK9l z$K@z35D#JLKXc9Pi!{t{t{|=T=v`#$4fuaW8&}WShfpil(~E2q7HsPUTK1t>s{_ma zIH^;P%emgTd1=g|%AO6`0)=Lq=+1Hn1VD z)SYq&2z!KzW)tnd46BuC2%% zZmSDTa_WS5Qvz`CS;G?3W6U-EY=AMgPoR}mtdskgxCxrDaIA>r&WK9nwLyW1hvF}z z-88Y4xp>xVEeCX3&Zh-E!gx>S^$r!XZ@ln(%`*a)wquGv_QWXp0A81SyyIx$a2{$^ zi{}z5*~3EwD)PM(x+Tm(|3^U{!lpX6ocLbWF4|vy^ytl{L+890>`;%GqyrR#AgtPf zOLO;1wWp%*%(a%%pXOi`i3f6SuWCj7m-Ta-@%zy1cmzf9?hK_#bZ!B8rP(#Uh*lVV z76*gH(bPGiE2>U92)_m4<%zP)qV+%H=(iU}I|mlm+2s$+cUhLCnxp~&Ropm@drjuD!??%2)6;B+#R)^3!r_}`F6W#>R%5)VItZgU;H2S+ z10F*x2)3|2To=D!)nQpUFr6KCk>}*OVC7A2SN`LRk6$=m1U0B+U|w=S|vPr%*0YmMA?zi`bgRftiXq-hpZ z4E=U6wm12R$yq?t!M>Hn zi0X>e8N&HOUCYL$4U;w5H6)sasoj&)$S=Gi$=n9{9YCPWA(|w;_Vnq6x6k6U0hFNS znPI>|kf`m}$aZ#u7bDWZ+BpsuC)EU+i=Q4ud0?$<5w9~fLK*D1wB~W6FrL#%Aj=8u z&2)yByC&QK3XnH3P+id{1CzbHDenO5VpXLvIcH-W>X@R#oFQ?KmrzQ3)yvs8u1-ekGZGSI2Z8fK&cqkn&4)z~pTU8ZaWu1dDI$$aH@t|2B`9rS zIc(}95NFjH0#*`4Jj{e`v1ll<-`$p*URu*B(Y`_7xF!ZKhNOmS@7q(aCY zpuqU3T>bo2&RGbI&lkWArxvkq0GC5KPZy5X1G&Z#THK!ZZjJiTwzD$iC`{`j_gIH{ z2|dpNB8FAs4K^ma>?pDM14lypfq)ij&}LcKo%d0?rMMu^?e&a764} z#+o%wQoF$X(*eSA8EbjH_71`J(TV`IwGaANxZTI{&U*lrHpkDX_r)CGS_2-$sF{5S zjk+p3P;6MGFp#OI1$ij)-;k``Z>k?E((q)zlY3{NRO0 z?%p}TE$v}ur~LYlGXbPk34P}FnkNs-D)(7`{a$XpZulHi&QA7{++yjs*_R-9K)1^N zIM;qQd@~Q>x!`o&HPv6}6x^8UGKDiCv{u#k`Bu`+p~iSWxM((}?I($%LzK=L7gc|| z@i?jiw1W=;Y>$2IYbME5WrfMrLO(&nXlI= z?@1mds17WX3ofeD-$Bot8eG$V5ZAGB zQN08FIp;OCs-^~|375bJ zfY9z}k;LGcML?mjDa6fI7z%iLJR*zon3Y}AE%z5BGw4%mJ9`42#6H3vL1hwxhnN)3 z1!XlC(JH;g+SFzk?Ke#Fc;=Q@9Qrz@F%dm0&Grh{$wT+Mayfqtq)6t?YXq z<=wmvOELMokJdnCm~xXURNhz&wP>4+@3J~GT=_~-kc5rQc@3CU@D%7UpFC?atLIx9 zj-DD`A|aP`F7>L_;0^NaXR(jyjfp`e*Jhz;d;L7u28yX z>$*|Gji8%Zw3{jMy_*XB;;>d{XgVYvCcCdS6CM{{+dGhFk>&H6>kizy5jTqtem8ms ze?6l=tCv4eLCoykie~x?H2ZvyQ=61OtyPsD0V$_%itE>GX4}8o+Hw6x%4p zo8cKXX8=tl>}fC6o)El$7TU}Pd#$fLN0tHUx5DgXClBG_BI@7yKQ zPZJaBkMNvYWiNWQX6))PLs5x<+1f`m%;>jS*@7o*Pl-3J>t}=d;1BW3=i0B^CEwJp zU9dfn(nRt&ujfz9|24{+aK$JN&O;PY-DeHgbcb8%yh@XM^_l}W9wk&LwD4kE^g{@gQ2$v%p_w$g=?g<+}1j;{(k5bEK~ukK1fUY<4}b*91;> zHc8kpa4)eQI7_r&rkQuEpDl1~+zdS>05QDwkgUvrt>{EX)OUR6a7#G=2QaWkKO?>! z!6d?(*-hsa&Piww#bhTyW^I*v%)x+b2gqJdp%htZl0_XNdf}!A!z-u_ylgG!1h%fCck7&0;uxM%SKx@U$u+ZhnXnzgYjr8uYlo5Ndk5ynqKYyLnxyl<^EwWmq5I?A z1_C{VNeLG*p^Cy_zuQ1hb1m`rjLX*yJ;COzU7jY5yzJEjbSADW+6vq(J?oi$H@hj) z=zy#Ovu&meqibAnG-y#jLm4+a2 zQ43_&;5;4J9fMOgvr5F)UUA{OhxI`Mxp$wc5BFUgxRxBg?2BY{LP}B(;`CQbEgtZ) zDQMX*+{Slm90Ee1Id;>cc6|v5e|UYsuIS6TAxAt4x<&loChGprRGa@Rm2i1qv+4sDYU)o~+>JvOc{CQZb-aL2VA0WZT(fvbY=6!cdtHa4#tFyh0$`ZqB zjZ?PQ9rM~^hwX}VZ>-QUB4RF|BZ1TTOMlIet7J<% z@~7Ml>jU2p_)M(04I~%WwM`m4h8-UtovT+mM9mMkwqGQMhc_=oa++ajUjw@Oy`8E0 z&wi6H$LIZQSr|s#(CDO&7>R@lsp&g18>&sp{r-X+`xJk8@bt3TBEBvQq#`Ljv$f>@+=Ogve69}(kpyye>hVFY_s~tQe-0;K(lzF{~ zN0rU`Wd3vG6gp%=x>0$$Dkxl>SYLaf%7Sx{E|(v`LPO4kHs8O=ioV&u)`%_cokyDG zz?s7#$5;^g5z~Q?m>O8t@s<1I6Iz$jQI-{LKDh%C-IcG}&LmIV+VDmvLn{Mrtu@9Q zxW}#)3|-JM(ap^HP=S8XzFqDh@2Fj;zJOXETl$O+EnYoY*1mAOxH!~v zxP|s}TTR=3++6c-AwKiOF!D+__A_*%d6VKl@D2@p%;D9#k@p^8kjvPWq5;^Be6Nux zE#xV$7mhY1644+$%~yvoPqI?Cnn(op6i!hm}7$ZQ$JC(?ja@FKO1HMhjzJ zsQEdyoc@9blXHJ6{vFw1Q|!^f-AmSBxrxv3;IJlzF5W`=$7#j~^vxPc?Y!@CMCpxu zI;3NKVo?uc;c{?&BWokDiUi77?*0_vnDY%p@s&DXxtC#CGV4fFQb{(os~er;{#4R^ z?XT*DuU;Y4+e)A^<5y1_*RUmNAF_pPH0i0T=7qK4*0sdD@(0iNj2vq`2!??HkPA|9 z7xmTaDF{H{HE$w5jOk}i-@*TfnWq$QlKug)witxecW)ZT`Te69FXM?;V^2g+lcv*U z=fQW$(w_l@AaXVt_GJ^*k@`-}=d77j-)Gx9OYC;iZHxPB?(&}# zORl86Vm?CektAqvofOk|>ihDMf+L^6Wden1k4#J@uA|g%(Jww zLi5_M_(X?o7Wcb0DgA{S>sphv2lY|oY_lbil6`PowP4hWp8FYP$~6iE{uOv?2bg$@ z7}qdBS@TJ(@8?YaNiUdBoBvbtmFUSi5Lrl^VCz|%tPxHV|5P~9!0>06CM|*A=$KA* zi9g6o<;etaO-`aP2ilOxU=VEaRXwI(Xb8L(u)Ty+<0hd(fDklPP;ZYk2hTQHBP$bv znCC23!hw$Pt>zP|h31p)IObOeA6Yd`acR8_yZxLZzJo7`WfsK71F(o4KGOv&j)<2u zAfpiMG^4*_FRiKR#aO)M4}2}40i+!fK@R3ag8|W=zJfu>^iO5$b-}Um z%gh+~Nm^wiCr(3}PUXKYt0(hvZ@Wb@^Z4Alr`&JV3F8|#i1ZLvJKKqCSd9ld77}ag zGt!6YELd`=?X^*E!VQl){_>w{u_5KrxP*+y_WfjG{NAdYEi-dz<)JpCoNxTd#l}fV zb`X^l6~eH_VVJeedlkP%NzZ)Rjy-ONzB;pZRToIPysN6*K2SQKD`ds;BNm@3+lSTF z3o=QcqJBB$nV*@tFF@S`7iad5$(#QF;vw!*Afk!8=I`b*!0?Tbeh z2-1Gcxyby5C-w96l!RlwMfZgc<7QX0@P_g9!zG>8kLND5es9K}`D%tk#owLF9J@u# zm(V`ZeRfviqJSH2U3)899l?*f@SDNtjluFwY|=$q3FO!Rx_XG>5;WTNGO|{S?G?%^ zk2AK7vK~AD(0+7xk7;xm6>ds??q=o8uYS2nUiiN=PZMP@#A7lLEv(tWfiJZDCp zRv313-9BwF-n2D*s*@SVn(r?1FGk(aFq{e)uYRF?%WipW>7?F!$f`LX;kQ&X^^s8a zoJk{pqF*vA-mtgMt9JQ%o95Ev6`q#bE($Bhm%Y+HxH&ZobtV4rf5#i5BOg2nZOj{5 zacyNY9e3o=rFvO+b2=Yp6%qFb-lE;+m#$_6BoDl=_t*~ojr_e`-%zc+ExXGm=nl$$ zq&R@uc{oG&AH5uoSVbv%|1T62;X40$Zhs}b{Q+ttIri@z&tj9wp1qI0p{ugRDf*8L z+}AzwM`3TjCwF|%Y`0FSm$r9g9v_grVwSMe2eM&%$`7ax_;6~%Ytk^Y-`C?cPJSP? zH+Gr2sl*cD+{MMBd*j&zuWpgI!gx3aWvfqvys=&!z!;Bz*bp=eia%vz!`;LyGp}x~ z-4?`C-uHZ;(ZYbd>xV1D`u8v8RH45`kQZx`dnb1ABW~}bRm|=tgq2E)e4-cPNM%N!bGY$vkWZ4dkbm#B%Rk255)Ye= zTdvW9ue{J4pw2=aRAd;CWjFDuv2`fLw`(B5LG_uRxv;(Gz7o zLmGlOyZ?ec^<%N}ZXb(6+N`mp(C2sc+4a}lyQI(ojYdfJXLTc?JUanf6gmy6%}bfK zi8NhQ!S71rRNsGY+~qglDtS9O9;|)qu=FRrSvASadPn#>zw7XC^xr`@J;7_(Azg(7 z?02&X6fTa__65pm`3KC-6-)m4cGU~AqKDf|`=Y+SrST8?_dnNxT^cC2OqA#47KL61 zZ_=AJ#rX)&uYYd4?dn`1hh$$@<=6kz-gPfM^z)|m=6pl(_``>X9goslH+n-~s#gAe zOa3Z-NBD|t+Jtu|?uR@B2^jf?nJNN?*Bse54X=haw*gEXSe~9(J?9>Xt3jBK%CWo; zl4=8Wy$aLiu$T1!tf#|rE)I4V)QcL@>IKAME7m=W^ulfrN$y}jt8)UVpF!f200&0R zmjP9Sf5mZk63+7PTjKwt=cm~2^VRtVxSaSjq_3iPhqWTF{GZ&li|aqbKd7n};y2FO zp}!*iLx|h!9e>Pso~q|@mpai(@)LN_GY0_XJxVPyw8KQNyH+b7s^(&sD3Mdsk`I*k z3!Ca6AS&iJlQfCm4(x=cbEVTABSiF_?&TkqW87Dmf^MFJu8PGVfJEW1VIf-2G`-`KQV-p#A zw(+xcS=;Y|gy<6b0XZO{aQaE7alohz8^up8tSxuM?Oeu zw}xECl}(RXCls43WdD=^Aa?cn;CvF4M*V{D9}p7mr!uf88JEnli@hNy|e(umukBeh>eJBt8@(+%(& z*vLk$w2_-Ecxm^u9;~KMzrNrLs9IvEI)?^MI?Ev;*jjEp6(0H~#>u1$WROBU5HBd6dw`|yOuO@W`A>Z1)WaR5ri|+c# zE|rT2cI4)J+)c{cpm7a@SF7ckx|EqeI9|bu73((W>KkHd;eDDjz$M4>i z_Vnwn`#)d1t$FPCXZQ1dH{87^!0}Mrw#U1_op}6**B@^j_5V*kPtUH5zjxhE)4B7@ z_6L`{N`4vqkHLxE9(iZ~IkiObLzm-naOG&q+He(cN)zSGX7gj2qPi(r9px>O#B-vI zT@9^TQ_T#vBdN0a9Jcjqu)VVOXe>P>9!_ZCBH%YetK`bY4N0?zZC$0um$v^j+!vzV z7`sv}o3g2aa&nC=Mq)N5uC3(oKk+G)o8?R^RXJ~>VY%VjME3AC*b&=y{~9>?v&*Nx zps7sdNNph zj+bBHaf8q*_TyVUig)S0D`RYaU(hL31Vij#lgZRHwz)aXs-`ewb2w;;xIu6Bg=R?Ui3^5Yml}njTD_WErlZiVU@fNA@f`mt%+I?6(6o^~;}QIW`ZKa|()r zjTx^r6}2Z{R4srTj?wgQ3AoIq3qg)mtd3`K;Bf*#i772_6b}sFTCl%mbTqhg_O%=8 z>mlfOQ9GuAY*(5QBS$B#hWx_ zLQ@W9PgQb8Yy5no2MfV{3&hDM91LFg&5(2a6GEs6ET-V>T1Dn;s{a6OAtvSvSGbXpFT0VnsxZYHkYWzs|Awa0`_Okdki z7nD<}nnp0pEz1~|BC4MCVZ)B!ygpfDN0dGSttDfK!8431(%8VE(bdFO(BTl%VH!>5 zKpE%TJM>ogVlKfW2!{MIR@2t;l=&NeW5P~aDc&22T_#~d;r^GA*m(}>;#yV6*y;nX zGGUv2`P7&pzftL=@tbmn!M>Nv^21B8A=!1;Cpt}0wQonKr~bAN{xtvm+TfFM3W{`t zS=+5kHi8F>tWD~!j2l{H*%#L-p3w<&k2KI$$kNrxgOHtHQ`#HsXKX~|;PX|Sm~=2z z^euxpb5{#9HIK2pW^ER_+OiK#3cpshq#abE;u_kB9zX~YoQG5ZQDrHDUhCyh!?0CN zKNU<`hF9H^&+82H>qhCAn{58?B8iGLLrtzBB6{OF7UE<3+9m}`t{I3Y zkxvcy#LS?6BVIXW`8^TCBrxnOGry-5go>CoZkXTb;!dms2g$dbD&zEbGGP%h*#0{R zFs(U-$hwVS&M$PPPLA|Q=Qf6oZI;@mR^PdRm}@oa%n?oe#>6TrhIr9ZdG8=-d?h_( zZT%U9KDN@t%eQhal}nrgkU5M)1da`B!+5N%mk~Ypua$KUBk4j^DUK|i8DuZq&SKiI zm*4gN3j%+VP9TvX`$MTr_(9~&_U0NeQB+8zZ4x!pLwsQ4UWMhHA@xpH62uaVo?*~oHF2${r+dpdgq%m~t_j+`^0 z@ky}Af&|*}x(j&eiv?Mu!#f*+kq83DeM@{;lQnS&hLJKvXEF!T4WlEUo|8FAe}~K0 z<_@M110GTX+8fI*g*=p~tSa@fo#keW;h*1Jn|b3(iW-}F(7SR;1Q)cXnOO(*j4W_d zeJ7*NOf2`gldR;#B?YPnag((W`!Rgcfhqg`oQ|9)hPEn(jRb4Rv7@58K5-T{B3)HL z#!#8-qD79FDCOsr$%IXu_M^mQBT2)rsRr25zxnv+e>gk>@;-qk_LIc6iSrcc6u6kR zBzn<-BlGL{_$C14wN^`gP;)Z;?bXpY58W)608^oCgO(xycjg zoC{Dvh9#{Q{b{+vLV_zWiTMs~rxM)x(p%GL1dZ=}s%G^SS^W9QC6jr>3y=#)^#&C> zRXMwOix}9rFUH|oqjFkhR6Qzf|G*QA3#P`nYP=dAT=r8LLeSwf5V~{94-F3g9D<%W zhGkJ@S~Jz|@T1bCpwOi%q<({_svZ8x8Hu?{bGi!tz_PHU)5Q6=Xe}>@gG1mt@f$B? zRkCR{6usma)Dy}uk*_O2%(~<$TFCLR4s*UAGi4MUk#khm)I^Szy?@86k)3G&G!?x( zOy^H6n?0CHe-93%xim=YI){5M950|#ZCBNuZSMwrM4>4d`1gj-usiZE{YGE#4)myUbu*< zctBr$=2sx2lZZC?%jgb%U%0yPtnDv4eV<{RqZ60Es;Rrx%bwm+UWErvUCj-gnu7ug z|6Ba%4Sm?82R$mb4uF43i~5WA4BGOoms&rQM6coHK!4%A8a~$sXtBmdm`H%(9$pV^mtH{{mWsebihcO(g3Bb$~lS2TZ;bTIEf6 z&4B28b-$Ej;U}j~-nHL0KotLcbdKC-n1kAH0pLJZ??2VE2k+-wbHb<~$mJehk7+jD z%;YlZk2TuCMv98)Bcct^z2v*Y)7D~LM7?o4W&9JfP~p~8iM<5tG%E~Leg>*}>BW+Z zGokDlKn7Godb43_v4!|mMn7Caj{7>88pznm{iKc*DGumx4aW>;IC)-(K^kQBZAUK}d zYn-iTM=t5BafjbDj-!w3+cP(C!Yv$0U-?0kM{C=B%=QB+>#;P<+w>LsyV?`qqV}4k zO%0dZM6EFQh5xrq2n8TBrbZINTYBKkZ#yW{u~$qu9U^)|DvzzraC`yDyc9D9XbiTo zU*#5*sP;(hgka%Yj(civTn4RIrR;XQzE;%()n4)4ZpZDVg+xm6y=US~MH zKvwj{7+-7LsJnyzPwu=COLbJ@=;&o-u&_olu=( z6HffD&nFT)v6eBWKj{T|3nM_>vD?k?2@Cmh*E)n*7f`6!^Do%rD`Ob+8vMm5bD}YK@ z`enJiaNnAJ;DP*3*pfK-ht`=_6##<0CXq*;7SYw#4v3u zu_FyB*&*{?6EJ5I3(TXR2SsgypVv3u_Mxmfl#z^H_woGiv_Y&Q320Hq-e z$+h8M&dx25-0q9}b%8joneuG8DSK}tc}C}f%U<6TQU@=b)s6xHqv1(B_03sKU-lC=aO||$f71)z<;LO1>VN3&MoriA zj`Zw~*4F+>RP9Eu-x94RRmc7xtlei+Q&}4a`f+eTM-T-aszgEMRc0t6h7yu-R6tOo z0)kQ^GKz{4FhmHXs3=96hzJNIHmXQaREm%QK`8=}8juotB%y~8((m?tKi;)&Tla%& zxfUdZbN1QKvkzzge-V9$UsM4CC+;}a$u|ass9zX1&L^yg{VLnjDbb)%w$!qBJ%xg( z!Bsc7`hkp{?^2>&%19VOjLkjXL9%YtV#jL;8~ToU0?fmmk_!<>yBy0>J_4yF;(JOI z*vs$0QR(yW*77$P<9E7)v;dJrmi!qa-?{ z)@S@{hzg5WuhX{A3#wgC%giYE!wp}&ZUFEQzRJ%K<8$G@8THlI2}Zn#^BheI;5THy zNs=0k@W~DEF90+<1=9X&O(cJ=28_h+F&M+_P0@@E@dXD+_&nY9*UkPr)^}pR&c3wY z`!2;*3d|Pwf_gcn2Jl>8`W!NPWWqXN(J1f_7 zncwWDV~p6q3iVoexEzoo98kPS^{(xOE-avZkFg?CR;ryHQ&%>|8*ND=8p@;~@i>&? zUg2-=JnmA;dYckQHHJI_QK+ud%skndF3zw(7sxs&5SlG;o!;8#vYP_Tsy0YT!p=xP zjQvxA2HDt6raW{<)N5-+M$)#nfyt=EDDj+DO{tgGI*|VUoOdwpI3&{=3>pyU9y_L4 zGMG5Fd0HbLQR$~Ne}S-GvN`N&sfnQK0ajx+7GNd{_uK_=S|aWdcJ-??>;kHh{n789 z8Ux@VgAWKGTdl^248LOvYnU;1Wk?~M{FZ6-CaR3A>j!)=k&~^N6~#Owgm?trm?>?= zxqDE@@Et4yeAp@lWYUX@ZK4I6TM!LH^`dg@Z!N5Ptk!X3f7#*U3MYY9uk})rv*+m< z6Y%(pjpO*?c$ZhU*^^qSE9xM5TEBtfnUZGOz*gaJ#L%|A2FbMnpn$aRfl+r8jusnR z#ukEjd&ewjR(MuHR`?stZDVj%VK9Nbi4sbA@LfR+_8#_2b#1BbhCVxL%JkL}>hzWp zI^6g|?d2VT1YtE(ew%QZ1tbk{#{J=A8103G8q_-!m{6v-j5O_;ud8}or6h6S83BF@ zgoA%|Vx^(iTg*`jrcNuk7ogj?$EyNETjU9*5#l)JERP<)3M?J{zHAWCK8ef%DWhZ> z81eRW=0JBd;_l#J-xI#{(JWiL>|G~+EZ({>Xda_y@7(C#op8N7SpIUTE; zoNj8k^e4$Qjd^W+OW(S@YE|9?5X)@lA|4S-+VKy;#nq7@dS&u63mL2NGl)K*2!FRK z80BophcPXIS5g&?izYm%MEkDSzbj~EwHuYh7UGTK{Lt-2ZM)haAkB7MBQXt?$2&HM zx+~|GQ3L(bIxCi3q3YKIIXIC$<@&&pLV zh`c>1d{}v(!XZw%WJ!0PvHx6r^G&tOy>cw%F)%%4jmH>SMq1^oU zlNlH7Q?bY7a042iQj3Cw3Q zB|d`pKo;laIBNt*rt@0Tsiw#+U19huyS4m1C{!d>a zSLR6~{5il8`Ro@R`#BfA>^jy@f8-S!_sZllshwyi=mx>uOyfC|KzSXZGMc}Im0`TY zT9`)hE9N)}^pZQ6`1zcOV^do7Sj>HQ=otRc(gSzosj1Em{@8~2KzG|%fTt|2M^w!25`+-Kc1?U+7EfT#yuQ(;>Ei=1k#AZ(h&A@Hsv}~6HW|=|x zk%OWyJLk&ow`10=3RJrf*g@;lTH^`$`E=%kL z$@V~J*b92~Mv|#abW(Nzj6ENK4y^{hH85P-kfND#0;}EUJpeHj4P-i+Q>qM%L|*y0 zbdk>H`b<#{&Zal$S)h5rbhSXUM5|Qu1g&*?Yhxq(oQj)bAN8fLU@LHDfFO3)OVAne zuOhX>#Rq*&K1w+C9qIu>|9O|#&R;^nO#3LfL41AzQHwkYf0B;6X9S$LT_p)2ibT2C z^DJBgE?mL=2e$#22yBUsVA#*wrQAdzmk;oIa@kpKAg}(oBPC2Y3APPhMa32)3kZ;* zKt`wSFDRtX^X0S>y#D^uQ=)SkX{4jYybxcPVoBi|aOsM_hOBV%=ra2>^ii&3cEtJd zkkxY*Yyhnlv4go86Ol>oO_w^(Ep3nt?KU_q_(?^L`M1HYmtJQ(R~`ir17bZ-g{R7M ztzO^^vAb)AL0>5OYrxDlG;UJz7;jjTeZmKf1z-V$7I!;gi_=N#Iq;EKAUv+Tffu|3 zg~td)=r17B;J?n-^-H`Xz%twq{6>!@RMQ=>WbY`cFPhJ07{>3F@C3h)I^3d=g(j zs~{KC3rNf&s>A2pvmb~?qBM69*-l!t26^Yz8+bdV_9XzGsaBO7H9wTHGm_?vy*&Lo z{s>TFt|%@{54^(g0Z*jsrw(WpP8tg2NLMc$OvV2BCVYCig?gYjDDz0a*4WHWlW2e3*Fzgxx+ON-3{OKQxO<#g-{$OZA z@SxL9vo%{16=O%>;aGa2d&-yuZgxvPjk$uVAmuSXgKET~wk+%tZF|^MP<^*iZ$G6a zjE%1&sulU&<^3?5MU7E7l0;2~7l^(VL;-}VWPgE|2jpwxt}bgrsT*5ca`vn&Xia{u zY4XPcX8CQ2G(=2q!*FY}P}-xgtur-NGdtU~K+iYwgge!u zjx(K^08JC|xcoRgYh}}9RSjGqoW${!B_7o@26o5sz*D`B?pXbJJ9nb`apTT(sJlF4 z+Ds<+aAIiJ%-*;e{%Mg%zWAAQMcNwZK-VOXR~%LooT@iCf>jNuI54J{;-MHayW!dLwJyo60ZiGS@rsUUWzE1@Fyr=khVR*)7^286-4E&CKP^IOBf`RERMP#EH!!yHCFmY4D9rbOS^b?8Ogf9XaN&&XOA zV#g}=Ah0oDz8Re;%7!oLDVM&JyqL%T>vSAlb(y7}K`{Yg1HpBDI^dWTmqGuX+gwSV&Quo?BP$}VK4**EA*x_s+)q?4kfm{cGzj+gAteZVg0I=+S( z`w>a$LH6bB5}mVsS|N%`6^B;`0~P2MO}C|~FQ_DXOo{$Jv?&Dkqp^0{EJC!eUZns*NZu0k?{w~lwO3MvE$V~(Mq=ukM6 zA{1XS@b@+3l{3|0dn0S1l#p6w$p*L16N@3ufEtcmX~9&!5HmcGU&C5 zxe@eD77;nz-vKx&`%^RH$9Knapi%;t(!9V4K@GBZ>}6ZZ=+dZ@ za19SsS~0Z%t0{+t%}r#j-Q(Hl`=$UwplgQE+g&nxg%g;?*Pw(eHF7ZiOS+yJwmOofygg_t^=q+Jj+q_~Jh)1ogE*KCb z&RT>5Qf*P#!3Gr146Y7l80zB4GXzHx>$@6%Vb=B#GyCeuCBJDK$)>^ZOwq7(0ITaG zwEdN{DxRQqH}*J23p#kuStq}&z6pb<@pgGpe8aQCz&fO~8;G*AF8!nk_C?c94|RY0 zeH{B_xYj#>=t3T$F0p930B!go9tVUp3tAAVwmKY-cqQh!Q$Ujknl6E`JUJWIBpgP5 z-rcY_^gh0*`uT^bk*v?NH)nkgNfvwat?8IWoMXvV+ z_~~Q3r8&(}0B)nJnflgv0 zhDO1=wCic8dwWovQAojwc!dWIsA1M;rO&yXs|JTp$SgA}G&0Ii>xFZJ6GX?wP2>O~ zG@}gOFmwl$s}Ry&iB~nX0JpwV|1MVDbivjUBX2b}?7iwP(CtG;Doz0)Qn7(jUS|Yo z8euOmDZtSZ90VjKZaKaXZ<1EZlJv^^u14fY0mGfaEpFe}zfb^=I?DO!cD>|THV5XY z+V~w`yG68xY!~}bxNi*CDo-VKTta(8vSc(S`fSJYWOkbbA(WjKv?a`_KEi$i1J@!fmSaUek=|z-w z9r2>4M;!ex^d;ERmusOo5Cd6+N$=oB0RD(TPAeL>*NjvGT*jy*8!*O#bY~e%lLYS4 z{HS&T*Wq4yS+RJ7p%toM&9`PdO za1Yj?kg|jODH|l`#QHt322mWZ6*PiOl$&o1Z+uK+@&-^jaqC3}3mN#|2=)SGnXBQ9 zK{H0Pplp7Zpgx2QSTM*dJZ$M#OibgBYVN-M@XlWJJGu<^i1vb8-uZ#zFs|+aCf8W= zj6$wumu!-AjhG?6+;9BC1WbtUQS144MA4F{@1Csc4g6kr>S+N#Os2=QFq7Ew%Qqt z>2p`ovcSeY7W|l2>ll25IGUFd0up_Xa$k-~t$G7r!K`!Hc1R(=W1(Bns`7%1OdTnR zq;qAO>N8x#fPm1o&_b$s6?D8hzSXS3f?)a@uG>;^K=kRUa1SNUS$%B5iskk{!o`_q zsBMV?qYC56+X?mR1lw(EeJSW0`c3MrIs z9adP1cC8WGHA`NWF{81+w*(r_{9eud>ycSLn@ZO=3Qa2xHWFcXqmoPU4U`mjg_{6( z9QLN`$ZlZiBrNt1)lc*|762PtI{rg!!FS`w_At{x3>TPThLHU5gp1_lW-Q_%jzW}0> zBe==Mx!5=J^rtII1-CSLV6~7JJ6df6z@h#0)>o`U8DQ`(DN~qx*^0(Ju#cM!Lx1N@ zr2*u3VyD?j!H!a9h@2-)I-2>Q<=CVT|s;|8Bj@UH;|?$7ZPY>xgkgf?OZTIr9#!kQ(6+utHF!J3$u zEMfyjr~L3r^<%I_Ev1YE{?G<~5q-eJeeqlHEx2kA{=PH4wN(8>aJNqb(QA4$Xx1b} zJ)TB$%prA<`)0mgAgq`8>1i9bl8>6xF{wE#Bq$$*#RuxMBOddk&s<(Y3t7 zZP;e%+gcDZIg!I~JRg_zsOsBHoAU)1hFQ*I*VOY2IXD(`7ny!y-hh9s5XO{A=XszP z&xL5DlLu@2ClSeWq1Ay|Rrt!F_Nw9onmC&`tUE->YdP>*4ZMLLOg6x_sU4(~Ypajp(Nz z7SmUM=ZK^uV`SNQM$eP%1RGX1gZ3xXF`9vzjVfq6n#=qo62CjZ4_lKhrCuTe=R)XK zL0ZkqM~<@#A@i1RjlZ+nZ8%oZDqnIk4>bp!|M+hh4(CyHJYoLy+v&^k1NN6D$%lts z+Q>)D-mlJ_`S)5=?4Dr4q=kuMMV7jTdu}5>-8YDx`7QrbxcK8$&EFF_wO<}uXf2;y z&fAJnR%?M4A$BXH&pi#APFig9nPXabpxgbT0uCn+c;L#GGwxpRzGYJEf6J%I%D*nD zDNxbMU926m^A?(NpG=he^``zMgV^18tp|7zMT^Pr)&-#PFq)Vy!iZ6!FL)T)GEvJ2TFy>{v5kuz20^cb0c z>_r}@G04k_A{&q6(DXCqI|GBG8eVC1pHX~X4t05uTe;^w znyhh;r9;7*O`8^TxC{S2j-wJT(5|_!+)vX zsAAfn)r%jB><_3uD-I0|eA?|kI=M&ui&m?Wu+^R?;{`&&wurT8m6de{ z{ZQKi+xjXGV`=+23HMOM4&ObL$139;_GQKJhpeXyAEP{79~(t9tKJx%XU=#*`?Vy% z4tQFBs8k8NThm)zUt8>2cjtzOX@mh?iL|&u{fCz1 zUqz0J{p65`T2gmY&Yv@_FZzpOdJS^x@I}4)e>ykG0ZnPe)`mxpL%JAQiZ_t%>!$;JY z(kFj|g`I^oADNGqoHls8X$4|BS~qQWou;99M$>>i_X#oRXu>U>UJDA-G#$NDV@R9a zfpe0Mtt0%+s&ae-IRtr5Vksu7LY~t!YX52KH}k=mP5~6axLI%9VHnG^d>>p^EmG-K znPR+QmWej3z9uNkH4F;VTGwIIBcI!@)8ZPvXO51%N1ih1LG)X9(lqC3<=6h-QbW~762ze5Dzw@6MAPHldV z9+eM{Yb@lFmU)|fekh9O>nPl4@rGU%{G;V(@Lv_rWX6C9xzn~!pk#2J5F=Kas{ax@2)i5k8>Ppp@|j{1)^luS9ifNjHsJun!l%AO zzgci6d;^)psr7jKBT9q>kPQC)p)!}tsMUF)v!{oq;RZLj+_WxMuI zyV+)R;qnH-=jpelPS*U`9oi{(V+Zwzf&Ji9pfl;+B-m<8J(>Z|Dq`xFjvM@-@jEFy zgIDw#-8ig96z9y3dm{xm4E!hlrWXkQVWaE!NxDT=g1eaSV%72Jly5>Eu1SkyE^=U+ z6-?{O*K3V#_qy`9!Yh9YZRx1jkcC;y1eJ(|C>_%zl}s-$MDWyB68BRaM#^0ZTo!xRLH*c zZM$x7NZ(TTcw0dK<5tI0R}ULD8$Ns1eCD*tAFAv38+t$9@lTo8A(yK<)xXT0FgbJV zcSFXpQJ^XNNYkPLh+s_|{EiQyb z58*4IAI7N&&1Ii6(iP-pkLgMQ9a;J9CBA&H#sq^Er>)Dd{xs-j8Gh-LP3N5F+3kT< zhc2-{+L%YY)U$!3Tgqhze47ZYuNS+amELoaAFg9}F+M{&bac0h(i8C0-@j*5so_cq zl>h8I={<2-)y7uzcfW{Gt&if$o2YEvsymKRxtq|%HZg);m7(Nh7Z(WZ>wBP zz?8e4dGT&I>yC+`;nVvi*D3LydhCd_ zSz`U8-jqSkd7Am|T^++l@^W*j6>7&?g)MU!_v(6M8M(@jn9QCywp=JJs~j05Xvn{= za5Z6xdS_?O{`Ix+j!MWg>4jrF;ZVv3(@~W^C4)M2Sy_#u{nhmp<9>)_uR6P(nS?qY zo&fgTaHRt8${sI0|dZzCgq)n{nVst_-*NBzQukYAZY1=^&B&czLBwyEiWl7bT!)qV*VIPXmSwWK!8gmrU&v#2 ztFfe0#Ii6YqV`JXt2ATYr7Y>Q>UE~uRJeNX#y!X@?!&h;>Xagt4cwu1c9NrJkBvv& zRu1LwUOL*e@iznTYj+&R{R;DfzmO!V#~4J?D`SGaY+rzb+=F_zdGR*wEpe@WIlTw$ z%!s&+23v$;GV0ew28%Y>dwy1;)ZdpI_?&~^#g>_dyryZu!hD5{5&K+BjcpyWDEJg| zvU?oBN43w0f5Co;yjf%0lZy3Vo}$CpXu@sGYvyg^W=%rO@;&i}Im>Ne`xPyR8IP)bzTX>OtiIB%o?yI25pQq-Nn>1PYe%!k5Po6Vldj!~tatnmqK+}?&2i%zSwpAdzprW)Lu@H$6t|i8D@Hf=A?fw zb-a2xddlRl;lIu5ppsv;*7kB6o*sGVxZJA$?J4-_g;aN+XPQL#KN8oFK+y*9`FBW^ z)EAYo>r8tb*91BbHhsP`1t}4Iu!=1UV=RLw`FNKhqu!h?e<12!_h?#|O>cPu#)tp0 z1mnk$ZR$t+nj+I7HB~TKPpy-0UG`eA3$)n`S268IB*aj_&$?~0=&)YhmaeS+Bd4Rl z9opl0R|QPk#BV>Vd!gSIx_`ULUw)^Erw|)A|3%#SBC1&HNy=@+lJDrck^7J^_%Q-k z`jr3X2FYJQAy)f~HspG~(E9OWSxIyXb=>}3darmN(eTuB zpMg6f%(W#l44%i|u*zWtJ}gkI>lWP911szQYsTm2zTf|!8J~~;Ul<=cXHVw;?Y1Y& z{}bbL@PFH$KIBHNUB*;>sW_hckC9u4PPXBVSlz6`KMtuiIK3*o*ZMrMGN(80e~eE| zr)ogQWuoQY{N308F*UCTc}(`F$H*5q&h!aP%6Mv zBvlJhjUxq!kHa<-*;RIPp^E5)sEG~wfpCdix8ml^lZouPlymR6n>JBrZ2W4`hHPV- z06+TN{iqxE;fz)O2wm_^6mSc0IG9SZuqBek@+7H54NKKX(9m`lDFFu)sf^&e^SYn- z4%#T%`g4QX7uT@SyhIy$elK5UDNT|Xsp1D<;N4(XYw@=2z)ahlINuO3H8Br6rv`FFo23p8#uGR_&`#jj72Pd@- z7qp)f##~5 z*1j()xECL|mZXawn0izdI~N|n?`qbn7+Gx_k;XFE*cPkTC+1s_gWP1nAEwv%+j*!J z@x;fhp)^X>mjW`W`+i#XZ%2X=kxO-x(-f0KBz)_mmiAb`wduowC0IB9gfZgnpxCj( zf>T=^Q7^qT5q#~|x%UGf`3qMj_9JW;-+}h^(jwue+@>39&zs-q7TFB_GPLoBrHl>s zUfT$Ur&R!jMB%9I$yutNt~bRM^haU7Kh@(hs~IxYd_G znBpg#zP$221p!?cz5ydHi=e^pcJ+0+QpP;MTf^YvZ z#Yq|&pFT9bwwlp_ns^Zfazl+3|s!-eVG*A zG|*mMaJ{BCl|mV=2@zX1)z+Xt!N(hA86)8iXyRz5qH?u1fp8(+rhRZT+3w$lLC(tLf@19*RU%(~ zD~aUSnDPQ^I7L>#Li!ui`-G>M4a!zONckSzvCh)(siig!wgk;4_s&Y*j#OTJIbfok zd~G6zr|_S52vB*N8~Y}_p=v_;DN{uW7+Cf}LnLPzkj8!3^rQGpAB zPyIS5Xk@=xUKP+kaEGSTJy*}KNR=^B%O}s`1&@w=T<}#avM?lZYp~;k zh+YG$5M?xFoL~q0XH#UOE3XaktQxWulajNvcKQg%N^@QzJ8VbnpU?~^PTqmyi#jx8 zrIRb0zU25)joH`h-wzY9$Fdg=DV99p2Lt>tlXbR;oG{N^OR`;1N?M=KkiBcpt?wU= z6_@-ltjQv#^wtM&<$%7q%G{>PuTC_nyZh^*W~$dquZ$*v6qL3%TMS zS+NzI8=>v&FvQ-59n1bIC*ujp9K~Na8mV-X+^)PMXCY$(?6q66?Env= z4hk}v0q=PuM8xW+pZL&@$hkYUO?*2fnZG9KAnfGu3*Z2_9Nv6hFITjm=$y{|UJs_;^JjN^-fyy*Yt;<$x9$f7ha$GmxGa`m5r z@A2&Z$yuc0!yDdZU*XV~uPH&iiXKvt@MezSBGYFBkA%c~4wrBU!bQ zgc8NYq_=iM6_f?HN_q<|kfMG)L<$MNVI({rKZFWZq-V;`tzrk5doEKlGG(Ll3S6D$ z!r;wo<=iz6ZAFw3C5#_H9ALr^N|5uORSPn)l9p@r1zdI@sZEBtxXKy|$FOrMyKT*K zMD<4o2~o?loT>$moHV%_>!+YYS68=|ER-?i;UkhX`bWa=Jk%?8D%{afvO966pp5wl zmP*LVVhxo~sTqBTyDqQb`WHmYz6gmRUBy*@)_6}o>M>0t#W)|84wIFX6hNNTaAWh( zUx>PrYqRt`M4-%$r?hw4S`s&NWV&VrqB+piW-ECf-?#8D=^)q$h@|w2-_JJXGrwjM z(c+F-NO?+5GyH>~9G633$g2g+@?c6g*uqBP`G%@8_RaF+(2D{oo@mP4G~!Rm#*S}a z4e!874SYk@5~K>K&r2RJ9@US1%06;a9=v9^%Qcm`s{@q$c+d3(qoL$LVNwlQxNBU$ z25s?OW+pt&u#8Y#Y9rVQ1E#A|I7B4Os43oieZd7X=M>rP9MZLhLB`+>&N4fXj_ZO* z7n^pD-BMD|x<<=02=n~;oyn=j)rZvGU0O=4SD=-B{;kLDpYJC@{`)mR3d8m^OIFitMZMKKvvK)QZ@&9p6!Ja??O!(K5jCS52;mZ#9xQ zpF^Vd^BVt(3wlLQpO{Uv$VWKT+~u{zdz$B~XYwEMVGN5nlr}9@e)%R1#Uftu9J*^E z^I64pt4xR5vHL{C?WLW9nw76=>J~oH*3oY~EjTKW3kY(=y3h>_EsgTvwM|QE5^@Z> zUnKPz-_)qSCNvH{oU>O!$D?S7r3XILDtQ`T$`ya$JxQ(Hh@d}cw9=0E_L`L4wun$! z@NU5vgq|A*5zO<4YhE^3#i1u@9TL0HYvhb(j^i>cMHR)Vwr9tAPgb>X{=?E#(D=#> z{!myaWG!8+PDTmjwVFs}258p|9O4c<}sdBD;5X7EE^qh(xB2!fd@ z|69DSEk3Y}c_AWpOm7yWP43*@R0cmGhQ`k>lrhufTRGm*$lXZDK3*TmS+O5?QS3V# zG$oz1F_T%Xe(guOuuVI~*jdFCepTfSwK<35A#-*Z*PA81Q(Pl>jYDSH=TT6CH~0^< zeBpX!+?aM^jJG>sv9#vy?~pOhIS4x>W%Rc%73TW)A|8zG*zTHY&3o_9+YzyBWzWv0 zB3*r&<+-cj3l9SN1*eXElF#B9bIo*f{fG35NgD)i1ZqRdz|{_`ybE6YO{DWN*t%qx zAs-aakwTLuQqWb#BUcf3NDOC{W~0z z+@sUtR$#8d+DY5$7t@RM@J$z+tQ}oU?m^=S$;L=ohM*_DNF^TqYUBht(ozuQM-f}5 zt{n?e8`mpJuu*9g?d%&aV%o$uR+DQ~!682cNyiRm?0%HtFCiaYw$dn1dDo1yoM$&P z&3%k;i<=nG>SfDH${zAzKZn)MwsOkl-O2T$hFNwCbF{`#DBDi1hu>SWZ2r0vUN3hP z8^_OndS#Y2kz;m=6eHU)uK(q$IZ^qg;N@LUFGvExv_p9Q1mZ7ihl}Ey@vsijGWHlKg7}HhO!gMF1GN%Q+Ic|0G>O-o3P14bEokpv7h<0Lns#JA8#RlR8 zV}z)2|FfF|GcpqC{QRzZ`L8%#a8_)PU*pkRSmu5)W4be(-T9EC(!2OgtFej9RN`6j zsm48BoN-a3Me|p4`)#W{?HhhJZ@KDcWnWhI4N(6Lmbvl@ma$(3&1E?cDo!s!OHe29 zFWE$_r=No-e*DAoq5UJjEv*|jh>6vfUr-n@j>HYFr;kboghnIv%rRjzyS%H2+d5$` zEv$Aufo@&=efdCy>;RSM8Kd=m;p6L$$5S;ai}HV@(ZYS)(^(zv^9d~&h?SHPaq|mv zR0gxb=BYAwqM{>WmaO7}w1bhg``~6bT#(3XaR1YX z?8*8)I5h{W&8z7_m#DZQbm8>6E`^-V1rG8V$M!R4f-TKU;!T7cs)3_8J zzbC>yt_{4dJ`+5H2=Rg9UpAPnNjAG4)^D6wnT$W@s?S=3$@8DQ7kE?z+Sg6|jv?@O2e5wj^1{&)uJQKLro zoH?UIYFOJTg-buJB(VGGgAw{MN8Acr;(LN-1TW*jjjwQNI1SKb$0S+ogUQ^slIgZF-it)q0u1fBv! zz&2bLa`BT{}MFH+h6m1JZg)gP-?lK>~KG7B|*<)%_%SZQnf#rf0{-) z1ELxO6B(e}JctKx)r?~c7 zP3U!*wXQu_p&YQ^-=P)u+3H020p1tki4rhLfhz0E;x_;Cdiw$CRi0ZeilE( znDtnmJV9{Y(Q|YH+!AfeiF7+hUECzT_HV8L7OJ{jsZ*ZvqZoQjKRQ+XX&O8^cyEBD zL$1fp3+40>)r5Q~XeI@!Ct_P8bkZrxgoFLYJ87qv4xWHy+isimH-AdLzhqh20YA|Z zKx$gaYaVn`fN{!zYIJj6$hR$R=DsN>ed(vV{*KcI-8WC~W^i>^e3AIcc0d2h-$K>L zwPHNo#jx~+cOoq>MfK~=r}Mnsmn^Hrm#8I>h^g4qV|%tEUPeOry=8PwLH;b;AEr4G z=q_h}VH8U1Ok@WPDtM1!Nj2(J62q>L=`eJ6?ZSP#v#_L6X=16Z`*hl2zo#>NosLwA z8TNGqDL;58C>e<{Y1#9S!5|e~mh1d6SLJM=LirjKlu3!1LGF19shs(DuLj-8zR|W> zdhjMqbmf&_fc+CJf9zT5W6{MeRHqJ`oSq6$))*V;nLM1m=xaVSomnJ37#Em1@7~w0 zo+F8CI~fZ3r*@yiJm!aqQ|`Xyk#Jj0^WZ|P7R`V?~* zU-z0H=UIlh0@sZ}W^Sg9ZO8k1687<$bdfK)lJBrj?Q|heFBrCN0v#q$qDHLWZuWfq zSlDOie*EVM{YHzkXGz7c&Eg11zRcV}P4G;E58sYx3Tt1pNk@U%10*3unNt>>neEcx zHOU@C0!;N28Wb>CdgcA4rZ&XSNlkc?ub7s74^viS=8rrc+p*GXPEms|w5be5=rmd; z7Nl~*`r6Hg@bxq5uKJ8Mo%D`v1gjGn-D}!y=TLd3E*+6c_`%U_=a99Ier8LXUqjO{ z#29H(8!r=GDT*#29@^p6H&;YAp~W0yn6(+#{A}$*h$g8R6sY?uXt7&Q);RvD=zh1S zQD@jMKloQ9oufLFH{5Gf6IP0LqZliil@zM9q1)J;tvbE3j=EA10ml~YN2z67PU&B> zqPEJB;-lv{uoBU|0#Z7w9OAG;W3|2w)wfazO1L;YCSZ%A%;t)C<*Dh3sq$1>p(t<* zy-*te*E^Dn^hFotz=*?V_Gl=N;TaLTWK_Z&G4ngMq~080uK(Nwenu2M$l1y)469M~ zEL#XvsP~34?Ds!_?fWRo{^ zC{sA`03EpIFxAwPPC0#y-(DDz96xzn7ZGiP)159jR>P21z4H@Yc}O}xgFIK;82KPV znd7SA#?Et{ge5FlYMetWSBy$DSR4FOtE~h#lCx8kUxLEYbm4t9IkLRxxgq(mfwgE< zCccLue_e@a>3%l9O?W8>YDsT&4u4=BE4rIj(3zfbdhCxctTNdlS=~v;?_f%UF{JhJ zLEjKBmsOCcMm!^l>QB?1X*z{wh+#27?lfH)7l{?5?jaub)$Ar2BNkWiE&1>tUPXm!kT6{C-L{B zF{MrI%uj5Rqvwv2R?0n^P9t4aXhC!>MetR|1YTp;Ysjy8HOtS(cu5(Zy^f5q{Qa<( z4Ny>xXgY~C=%=7{GXL+V7Wq7b@1mIC=ll8bOt2?5j;}|n7v1@twbKlAl?><^t&q(x zOiB+EiW+-tCU1O$Mq?m7lmn;`@wIPeNox*yc3`ILjLa1kBJBToIfS=pGk(eK{_ayPNHKvsda@IVvVx?P z(_{K3ys!SW;V;qV`gh;HR=+={x5Q`$tA@X&&zGxyWT4NCS%GS^;5*}yg{oXSSj-1~ z3h=h2epz~;OFWk6;<;oQLE438EUJxA6Dv-OVl-LL%+2XDXR=5RAt_**s%dbJ zeSrC868e;+%-QrqCD{6y74vU|S~sP5O(!Ps8w4~7h0xED337H%+6%Mu9>R0AnVDkC zO2?25%keYn8RDKrwZ6|ilvZ1jZcLCla=1gZXZb*zW3;V1Xz^t(c~Fm~gFn2TP$Av9 z<8V_837lbBc;vH9W$7Ad`{Rd%kEn--FY|wc4zC~IF~rtnUm(?m6|^;_MfOhyJ^V>4 z1ZEP$lgk$#!IR!t>H=zJDjg9trS7_8|MX1wR`=t#boA``Eyroz`hWA*4% z$@Ofr6MmxTZey5iU3SWQ8)xq6bEs(979a;BqpQ#KeBN1ln5G<%?52K?07}-$NnStm z1v;XGD!nv-;BmEr;Z@s9>qXNg##C`~ehP(Xj19V8JAPHW{9+W_Bc*uU`;@S#L8)?Nfwy1E2vOp1w?HLvF(&N9^j&A$t{-7-!ve_rn}}W9g2Yb;8OjGVEf(UrEXQu918`8QVmIy?$k!0RLvlDO2I0- zYD_$N^;GzNUb?z9fx5r82#jLupO>q(vJs)-H7=#+bdKI}`!5qE3y)O(56aFw9_sb~ z`=?WpgiwcUV~bPHL8m)QzWSvMcKxTEwYY%lx2)% z27|#EV`j{_uYTXh_wl&zzwZ0~qlZV9`COmtb6xN4bG=_r)+@xx@pus6)=h6qN2Jwc zY}n49M9N){_A~+j7 zIw&9~6~MnUf=~mwM=hH2c~Qzv(VKhbozjb}a1Q;sH>iC9XG+*jpncR z8+wRw2jjf5@NsiT6`i6nN^$Uuq6zQ~k{H9vRQI$Ba2gBLH>{@W%PnhZ@2H*|Vp@TF z_;=5_wA0ZJEbZ1GPF|4i0FZ4xO~+ZOi3RTNPkTN7%yv={l+U0oE&XL8e6BZ0zKk#N ztX)Y2Dm3gH$#C=`-Wco0u6wsx)ul_&8wYH;^Jx4dyeG?<7sY+7cWJ{mHX@DeNu<$J zJqemqK6|%}P*Eghkw>DlBD^C^dD;hrHSBHgHyheI)X61{Mhh4Ri-J|MI*uHW^r)zT zAul}k{me0oXoA$dE*@I4uIv%HEJP)z<^Po;C(UNd6XK6 zbD=4LDi^?_6^}BW;yn4zYq<;U6hqkZX~g@b^DizDfkdWQ8uJ#52zD1<#%n*hLp^md$F`nSR0hr1ye5V4I#cnI}pd|wO#R9Xi7V^ zsxS95QqZm&jgn+Ly>figwc=tD&zTZ%z8G3GcpwO3Q@&aL7h?<7nAr2Y2U+k?4)?=` zE)V<0@j35y7DOCIDfQ()0E#J7%0C1yX8!vj?V!SE#Gmn1OtB&~1s8?Ewemb`&~eJy z(y!*kt~sh`k!3(N+j|*#lWf#vl$DJW)8aj)OFFt0Jeu~sl51rV9i%Xj_8BQP4wRIKtPj8 z=TZiNwR9esn}K$0O10sPRS&On9a0YqnoIf4z)gZ~x?{64j$?;F!FIq!-QP-hXi{a` z^BlIFuG3{ay7swW{0LNRMG};AN#`IrXyxv59Xe_~HNp8;rv0x;Y6nI>#6Bt0Gu(L~ z^9Hti6VWzO231EMXf}8c-T(+*E@WQD&@vElyjW$?c^*7?u;4v?0fY&>7#Fh(8Q7Cw zJb1U2^Ul$fc;g z=uHy5A2%ti51#GBwJz_ORHEaoJV09Y!YK}{2y)q}@slo)08o(nmE~G!nIaYG*0%r$ z$th4evNyOm!FMm&ufK5JI88-1hD zHD?1WKG$yXe{HwU?QGJGEV=M3*J7{K7I|1x~yPJe7Umt(B$jcm(2cP85Z(b>$# zx296r`Gx&9IWLQS?w&21d9(Ukl%|S*{C3;Y&izr{IY-C#AxvL%1@@}Y3q^Bm^ASp& zIRo!)x7;d-$YZdDP;!KYUoTsHrY4E86&5Ho?=mi33cV3ssegmLgW{D&r5@p0jfnTJ zb~U#2zbF;w=~Rz27f2l1se`!}_+i#BNmh2lw- z56EK@=WTCOCJri7jw!t89AHarzwy}NwDZ;W1>bLPy?b+#=sxjhJ;!v5%&eu&R|<}$ zGB1)Y81b6dhoP-!9GW+H97M_AM!wxPHYByB6Ridz$(A_a8Seoo+V@Meyfi> z9ud5CKEpmM`0hy6qq|dn5^6t~7rq?Y<@z;$V}I&_D;|o(@W|HDL^s!S@*ZCLBLZ${SjBP8uR^;*sVRLN5gX|1FAxwOO6$kHH$#P_x*0Z zn)mzZAqFeZKg#W9cHls((ACFzr}!qNLp-#|U5iX1Sx>v=WDb{eepD=Lsio%z)8dPN zs4;|Lh}9mgI}e64KfKSeK(Y?Hlle7$ohokkNlw($x_AIK%G^x5=INHW<=<>ddpGrQ6yRjK{ygqrk4%4m;dGATr;lFWI~K(q{_UwpyUR

xXXN%qSFLK@EM7-u$7r9dlfI8uPjxcZlu-y3S(*rC>C^5xruVtkv~ zluhh25+x@A6^it|H2vM^gVN?oC_<5D;7&H0Etn+E-W~H7>e5H!h7aU0KVLub-Cp@p zND7~Y#?A9y#5E}({|%6ueJ_;7)dLph$9VjA^z{06XWJ8eAmecd8)LZGRYP@#nO>v+ z6vPiP-k!S9v18i|Qne?N7Cf?ALuKm(jgsQf8Hu1tOAbnp7FY$ubDO1tAmF-0&&<0O zgiq!yL)X!jR7*P-Oi;`}BpxcPJJ$SZRP?*_gy0#!iywyv?|Tt10!RVkUEv&bm71jK zcsQ<1OGq%$^HvyM`&)KyU2!yGDu5PrLHkNT-1S7{8N!Uy)=d{8t}4)HN8=F}D;(8~ zrjtyFa=vOh`WOh;e6vRFeX%#E2`s@0q+1>dIcAx^l!@#dd#g@_T#n%Bt=av2o3Fa$ zlb9yJC~ffB9&nvfIG!M>SI(q*e#mwigj~qMh6FTe>CwkudN;}Ku9yNvJNfg-CiFD( zu&YlCem^|QDZ5(!cS5d0;wguem%F(5fg1R`lGBEEfdfR@j;4!h>2|=%#cxLnl(jzA zPL?(V!hhT3y60C8TQFq=-@ICky=Ks#@RE3Eu11!5FpMJOE4@)ha`YQo0*kihZMp?WSjPQ z6>m{XXxYvrX8$H`>h!V4x0akR#N|&5)ts_9T;qwkmkaH(*54LK0W$RsA1TR$k6m_# z9FqP##U=EoUYfF_cS{-&0#JomDC~A2g-oiF9lpIjvxbS$DGN5iL^0cR3q>%&eD9BN z5OK0OS|L)+awvWtE2)n^6adN)QX*QBWlh1zw3zy8f?WC!aXEC|q*AI*C8_hBNwKqE zMa2}zG%f=&hpJx7(M)NB7S{>l{b*t5bu^KyUqNR;&%u;D1svyrJ_f}~WF`-bK6fms zpg%7B(;qiMf7}|nS=Q0`{5alfegIP2!@Ze2G3=gK$H4O3_cxZo_3fkiw!B{-|_5-VXJ;r4c(x3bAPPtK{e6gNuFgZ!laOg@j3aE&mXw<5Xw zthqc?D_e@f7w9rd{E?UhFG1y6@{%pgh&EMbb1t{H~5uz#`cpG@Jvi~oeu?yk8jB1rQ+fBOMru_ zYRVJYxe((Pq%A0e+a|RI<>Bm@NH$z;M+n56uXJqgK?i-d;p$C)bFuGYHwEwl#ucxr zh-^ICw~Rb(AdbLwn4?iHC#KYcS3O)@&h`3uc-c-^w~R*VIb3O|ImkcA*`6tK3@YT1PJ#?OjX2{2aw*0YZtJ`&EuJow!pD!vKu2~)Ue@*Dk{_~Nz3xxrNg;c?HBOjjMO zp2-OCA*FqX0FoxwrEfoOMQa3};z&GO)0&;D;l)joevi^bs`4tQr4~0FlWp);zY2p5 zRlp~^$9e%gA~+di>qAKfH*>Fou$Q6Vwn*~lU~P2T4~427qhr-0Q|ndEk7;j9GayB3!OEM83&Wy zEomsdQ53dc7Rw7Mw=tN-La*LtLxC^St>;+;X&vBcV4H<&EN+~<+TVhv$ohyBBL2iN z_xah4-j>D#iba_fd~L)yjiaDA*PRcu1r1Ip#e4G=C9gtl<*#`Ove)@o8J!^=Ki!}$ ze(6-O$(b|FQZ4PV!sAM{qSNw9RIAlxkBO=vW~c$fA7MhG&`u5(0T|3b8H`S7HBlfP zz#442${-UBoBpgvcT#AgS5twU=L0a<*bFuB41UQ;ip^!RPhLD-NPMhUIH*Ff5!yG5 zYfDw&mU-&~>^I+mGj9eVsR>+nhr5Wb4DAU4TcR6r+*<-dWXETAv*NHp1$0)(E0o(} zn3GsaQ{e59@r*AEJxG#UoxE)@9}t=Q+04Ic54G%?&fc3LpyRp}zNue-6RB4X%DWH6 zyYm5JAiVSLdgWJH=|1XiVYoOi9+9D{K#;qrI)^KL`%;*ST1d&WiJd2rw6dRWLi%}k z)`Z#AJ|zC3FE#kY7Qs2M#bp7VkK)XQu1R-Rz^eTCFE%ADka53c?q443V3@L|ME1$h z%Tq*_t-IMoNVrYzkp){AkO$8>(bJ-LSJDZ704R~r!sDl3hSS5~es~0ZFG|b-;op+9 zkB)6htuX0vkct2%tEbNE0ni6{8F8EdG2qSEa&^6VSTAzo>Vz>-Mo6B|8Gg}#5)0`;Sw9MYRrjGkG~jzm_C(62!XP>UOK-;n{T-&K2n<)u;iZsStH{so0bGZ zdU3QL_u^JG%X)QIE08Av&?m&1=z)^IxE+5!{|!=d9z%R?Er|CL~ucS;6Z@ie93q%&dHP|ZDAgwC(l;)MHT9env1l8PemViA7@-{i+$ z19|ceFwW6k>HPFQRSpyzbhrIpnqbCdlhg2SQ?BtEF)o zKuxokrQKt9Ztl6?Xq=}pB8)}3Vw>5K#Ep!N6$3hB3fOpGX(b>3i8NQr;*W{%dtEqp zq>SX_YcKkwpnh=A(Qdg-P9;zda^^Lb;2qql4|1>@oknzukr4iaAyNJ}hD40iU^gcT ze0vPDO1=xgw?gM5bGf9Xv`wh=w+nCKJ5zPI?Y=DerceO!Bv`bwQUFSK-q6| zfd?>?_sJ8jIJ`@61VDfjxbQPaKre<$sLbAYSd<#%sN-0_FLS^BZk>7R$v=9%Whj(j z_Ds!NZVCgGw)1hd&a&kK?nJMnU&r{mEEU$^WYlMFuSxTfKqugKL`z zZuFm-k=)=q2n$lSlDgHQDl)4e85%~wK;MPMPV6;fQ1E7U^Y!SGyvBnkttOR{(%5>i zW#M*8cw8=%*gf3~*W-1MIIW;W>FX<9KQIIPI%!fl@``J@IjHs9Yk3ymR3L4I?$jMS zhYxAupz@ex@!O-3)E;Ap3bW-sv-;M>*Vg%Uq1lBQI0e_TfBn!wP4VHbEMhr=TzYq5 zq)pShv~6bEmI6f8+sWQbN{1Ruq1*^`3|#>%bdGQ@@}|*B2?ztlHvtqZXFGDsDGGk} zcFt+@!TCGWbvK@}ObA)AGFeOonlv5_CcV%Ek!ZB=s98rPn)P!NDPRrT8 zw;D(xhTW^QE>L7SO%Ws$8Skv;p1kLW%SJYWfcr*HvMu38tWh8GATH*52^)(4{vgrf z<@EkvH-LAYXa8sW!VG}~FN$doI30hJ*cTf{qwKeGe zD$c_9VpG_M)bZ(0ttX+1uA~yV{F`-Tr}PLf&9h30l8A=XV~`1Us8Jl)?s>WHr2FvM z`r_%c7kw}3bENz-1RkrwD?rlt92RwCMOH{DIwJDNxgJ^DswBKhnWezT|L~@k-Cgy( zU0;*YUV073p)VZYvho3d=(L=5=?$`hBx7hr5CniR(ckW$P1V%YP@49D1gtO&VzzZz zqPROQgujB0n6U1O_}sFdbYOr4E0@p&KuBMu{R&GS-=!u_%4ir;-V0!*(3d6ox7@g~ z{w=p(3sA~AaNnya76pTIr5Q%5-%)cvu1oTbyCh_@nBCAT4O*w^*p;AXAD;Dc z6}rlG;k`Qn>9xYkW)7oqqidENhGzDQf;-zblQKghogB@)wr5$p)G2O*AAdme!22oO z=c8uF>oHK{)%#kCZWy3w!#IK!%fP_8Kl`pYd^3sc(%gG1v+ltHH@*_sq8VEef8Hte zRrS4=L+(r^)7)2-*AJ8wABKcn;HK}BC5GgGf_Asnop+Z9KVTL5w5*ZdIY>Hd<{kBf z4WW-q%O+fQ7OI}eOIFi?`it!DmcErLj&9_RwAVlCmNt-gfJi-U>l+1|ZHh{i3yDtXXF9YOToa9e! zh=|#BxL5e!-o=yy7Sg5o_jKjsd6~*(`@g%WP7}DSVktax=h-g-J5~sdzgUBkIi#%5!oIrESlg%mDcB%H(52G-IDppvXU_P zeX*n_$h3|L%l58ooxJ~}1&FftTL7*01%SsOd$l&L;B@8=&?poG3jqH8yAKK}SBFh( z;qvo&YdwX{PGwM4QmH1Bja9x+YJzOqBTBK3N(W$1-T9LuY;|+LCiCp{^Gg!7BsRt( zi~IdnM84H_`^meY1D!f?l=DiX(0M~I_>Cd6*Y5H79e3w1a0FN0n+d)SmJ!DQLVaUG zh?Y3z9Vo4wz~5J>CnwCmgHZX-?DCz9jT%+oLBuxf|rR$Lzs91pfY9-Ea*Iom+Vu5n1n3XP-~IRq2P2?c(6Fj`HH zZrrkK+wL7}SeD*L>02*3)T%IXSa@Tx>GK7>T-MECOBvacQuvL1t`Iz6gRc|~IR~`x~mj4onRW zd`1Dgp(*)Kqm4Q5RAm-y%Y7iRp$bIrIuY~Sw16-)k^3+_nf9)Psooi0YT z)x?8V;Jtc{GWwP&HxE-T?=Ru=G)&jp3pmW z!aFwssD&+V1Kt_!4J91&;&4v#5iqoGfPbCoO6H9r?2sk%@l0iSP+BSs_jFqOq6R4S zhfyNCl|b1g`hPMMJS z%mJBQ&DGmnQ1wKh{xzEyfq{lVJ(l$=5T`s2JzQAG?Kc!aPXd-Kc6A`dk8rhJxegE! zY9P{$ATm?HiT*uaiOp$EQh~z3{tTy9KZ}RozdiC3dhZPVN#phx9d?73WRMN{yR`}9 zEGppNbbD|9WacSo zo0;Bw&%k_3xS_?V6>oT;uI$_xK9)`QTkO=R?rv*)qdF-1=!@QmQoo=YB%r(+eZ*75 zdUrbrG+$!d(w2K5?#vp%26eAT$@MzCn@@qt#YX|7oCud>>BYA10xRps**UO17GS7& zB=JXbEXcYUFwK~&r~VcS-1Y-ve^>~NHOS1AP%3$jdQ0?ew5XcU$>wN<17tHO(4=@I zB#YB4044PCKe+(Q4X|7QG|xZF$|e~Kz8pY!yOVK&6yl#FkI^gW&GcHC2^gg>>v1;9 z9NSl!QaL#a*BU@3^9 zr4F!1smn!|IF0v{=7=UHAi%cN@8RC{hnBV)xG64w%pUa*NZ7>#Lz4Cs4gN9UmImnwAZo0B}MX_-55t@8;dqljPh9{y@bQ&`#hWbWApG zzrQ>t(XcxdytU*SFf(-*1NnfyX zCZ8JlK+X?*yt%Buq~-6DulH!0tqzTENQ8T+A=xPBAh&y@lQ9TZG$uKS2LuL0qv2|}_MrT{9H0&nli5 zJO=k0pHXB=PW zZAara`*oD@8hB24P7T_Fjc$xZ9wZXq>=q5rOj;^3|R=r^lL*LorVqkrd$zo`m z+Lb1O<58XH@#!2$9itrl3`R~|09K5;M8I|nbQ?;+Vf+tM^}+ ze2!Fj!NhWVsKPC8q8VG1Nj)T^n*I!TBHMvPJxpYz(T3@W~Z;0?|DcJx)e2xz-<;K|O|)r>Cr8OUC~LTineP z5b|b2`%C6_O&TScydw614TvvV-t}fOiXpJ|1*2Uc;(r59r1)FRxFZZ`_Z{0%G=C~< z4TI*MpuwGz>H197ltIvf09T$Ic%jN9LooQjrJ5wtY|c08okm3vhQ;m z)PnD!NBKjCc0z)?tktS&_ORGAyxR32!93_i5NR@)i~i{5oD2=1%r}A z^kD-yEEGA1ED!ZMgB6Dpm@G~H=pEu#;Rz^LAo~cC2o`=v|75TlctmOHVKwa z5@}YDAGzZWq6?&)pOpvx=++baoR=1oW@tM(Q==q=h8DZ*CjnWF_tt!PBYuvc zK1jk-3~swU+?z=pU}F;j5~G=aO6NlxtaQRtEH)W4XFDj*J14V)7^pvMltfU!^}rQ* zxb!(Omic7)G675P=_dj$))cY8d1k-lc&I=pB zL}qB5cK|to!d3Wf5?jQ4GjqeaN)4kndfkIN&Xga~=Aur=|1FoG|0x&1h_S<>Ma0&~O&Coq4~&W6VfFH^5S0yL0^@AM$3k(a6mBjUV~r+R+pIyYV6y$6T1j~N|J4e` zI#qFugV_N-g~Q>cCcj z(h~Jp)FHwMb<-1ZfC8k#F80K`KtFUFQULTveYG81c!;06*v^hhM0tLL$ztatvf1tY z)o0J%qx$f(XDcKyic2|1C

`%7!2FE`fay3L}6XS2gw2S)~r36^S71fRvt?F)1iV zd0G)X_TTU}nM+^LL9BX~-6w}AJtf=GsVY?KCqq7*U+rp(O7Dseee0ET%{qnFl@4@A(f8TbLabVB(VFEffe#s4pD-jMJD>y7-R7_O` zPDqiGr=DUararv9|6LN@)Bn4nl=aC}Y_lnP1W@3Yf2<-IwXoF(Iyn3=1_rT@ z1(QkW7;El<@)(8Ad>dzSGJ!p_Ur}zWkYa50Q%@|rV$7@VT=~KreAiH~uil+wkZjf+ zaiOmV(_oiEpVp*jf5TMv4h9Ev6RCk(GkB>W`SyQ(|7QiKnbYh!!wD8PgkAz zLT$x#vesq9Q__#({B9ZQfw8EWB*%O{3$k4M*)@S`Wq_Hsq8g1MBR_ifmG8cgZjQxu z285;0d&hhkgd@cXxo*F~8KEuA6Y~=V0WYs!VU*UtmXjCd5Sy*(fBT2jqyM*ml&$0b5R@Hy9=hLc{!?T+2mGAQ3~xZZ4A|llrJ~oS zk2+dG#zwYdC0H7`-_il}3j~+YOinxzwEyWF?FDaMTI9Vr7=ZcJ zU-Ty-(KvcYLw4WBYCpj6dKwoq8hc@6Omq6V_2)H0+OZIk8E@m(#LSBFS{(#3}k98 z$BSV%C81rz?_UBFFhI*5X_cw>lLzwWS=cIU5qJZNA1v3;e8BXja-I%u+hJA=3~rwT zn3<50B_rc?h4IkHs1J zF*{Tl^wFBPlqU1Z$8aDY2%OPK;kTb(k8lx+C!!Yf&4yj1DG~DeD;@c3Ft0I}x834l z@8(CtkVdD2$&Cs6Y-Xw|+w2nj)GwEqyqI@T#upMRuqYFx*aD6D8r|>CXM{Wdi>|K< zi+X*d6+{I@Ndcw1Te=&iy9A^gl%Z2l>5%RaDe3M|grPx1hVIUB=;nOm-us;Y&AITw zi$Q1R`MtH?wbq-=to>5H_Kgw%4`goC=6D#);8fp1He%?gNb8_S6k@a5 z>E%W(c$jFOb4;=pPi;Tn2mWK90W+j&zi$7O_d~uWse~4J!u92;Ch(vkTfUKb1gFOd z7~nGu>@q%_N#RjS#}1nW_hxdD6VEAx9>kZg1-CUN)~r&SaO)Kwd# z2X4^n;M!F*l*08Mz~i;YhtF(&@Z%nxcV9RltxA_6e}qaXtK_&wKsnHxvL@{RFXlc3 z-ib2SS+poGbE|*S5)b@dvau>INmK8=X5Co`7DlS4@96c1ZKF3h zEw5LGU&uSh^S#_ZckD~l|FZDvw5U|ts?7f~)Paj2xm&!!S*HUM!s`!W(Y1%n1LvUM z;@SRB29*p2&_?qFOaC2UW;u5Q{sz{1U*e8Ars62i9>efYd%F7J6jJY{BeS4Rv__s6 zrUDN%++Y?AK*V*L7V&WO)?Pm?O^aRW&3aM2^9gw&6}YJwHzcnWB3CXRk6-K@#`u&R zPn&~zyzUbT)yq3Nk6zZFe}BFGMecFx8=tU9+(dx5C8l6`>t@cl-g0L0`IDJ}`{3%G z#g5!)A^ue|8ZQCXWdpbc<+-_=9|+3Mfq7)D-=+HnSkGlf*pvN|()xxbXaUycvSSE1 z$gZC{(cdge*YYKw(imKRp9We#C8d;Cm-ifHZ|L7DyIK$|2ei-&)Xu!tK}qr?{@X|NJ*?+SLN-aLFb`%fI520535 zmti~{n-nX_J-u@~{9hoY$mK|fJbn(4u$nHNBDL>S^sUz?GpQ**pD&87f&m8u(~mUU zBm~IBeuo{0nvrl@pi|XT2i#m~Y=88NVa~UB#Q?^e;aMQe*Z2;NF(nSJxCfc})9^Jb z0(`TA?m}joNS_N3qMr$EO>y4Z72B`e09^7_BdLny=}YUNPGXqb08##TWoEVRxPuRY zVJBPhaO__za9?;+RGD2u-h=WI#

J)m63AEt0L?Fw!lqdZBq2eZsk^q6}vQC}ls> zv*G!vw}S;Mg({ax$)%`Z?$!5DF>zL>y0dzvlEN8?LzG=aChDEA50)8eB3{+@M21}w z7bTAyUVAtpm%oy|iQi{e{j%76Env7Z*%=w6-bg(RIFDPiYptSN~75=R|zcf!s$~u5!`hSF!9)aMutqYXA!QT{e0qEy0&dN6JsY2lZYX z9>{xKH}d5K{1LLm)Y!`14{Si1^&a~~kHsm6*q$`MKN`EBp z%07mrnq9jrgXXNKrxl5#j#_E=CwC~K5~zmWi$x+gRBl9m62->VCBx3u0id!8P=3mQ z!z?o10YLs~o$Xk#8ZLMH*EqY)*UgX(mF>|l56bkaI^7yyeySb5l8ln+B zvJRj{U=+3kR{YkKb@tjFekzSwARRJ7leoZNn7!%vElX*@vLcaSv-iM0o)j=AO4 zUh%J&PBm+VwRE}T>rc!YOkiF?saM1&(LBE6F-$(z9Twwg4cZ2ncMDqZZm+Ac6OSH zR{EeU55lHj{R-med;=!R2C)?~=Pg|9?KlN22hsz_S`p#4>#WrZyCy z52TlNAj_)EhuKLx;Z!n$t7qG46E}U4KHTk)ACIqCMM^QoEV^!@rN5Q3o~7_ zmB|WAD^-g;Ae_2GN6(ZXD7SR{f;XtslU8z4_lqkEpo{gKZvpQUNAc{ufLq_V;SUd5 z5>u;SiFcXRGplb(y!F&Mm!%2;qY0S&7)r6doeHFcfw?uL8L!<2`^n9R_;f6_asAH| zJ)Yc8f0_z9%0OvR^WVLdlUp7@SASi#N9n1)b_{GcgKutr3Co#o`bupSb=AB z>Y8-CTxARcf2mRLa0N(E9?L9&=ed^#;Nb^)vUS#g(lwkVI`bmW-5zipAih-K`>VQ- z0De0eiW&{DT-k?dT;}&JEiGRRQ6wpE6=Z}0C*+eNSV%4^V8wQXPu5t+NHf0M5Lf>( z8HUfG0c>x3_u$0@dbqo_|3i2r-ODA`GslxMMEm#?cN|=Xa}Lmvyw@RfLw%d~GS}US z)X~UcI<5qA|KHW|Bv>7{{lC?5;GJ;Bz}=^(FM$vc+_1pJIGxRn0!$4hmpRHr$}Ue7 z)BE9am$g&lDhaF=cEU4&9wqf1nqfNaYb^68l*=0sEHQ3)Q$hQv6i@XbF#W5?178J3 z?j}!!nXZjG4jLDEeOi&{D!3m+ifUd#9}eeiTWE5tT1rO(<)Z%pH)D+^tB%Rk(-d)P8OiqE=+q9X{MZyo^Xq;hYv8K=K&R1V4jA zO>WCifalAKeB^i)^TK}aB>9Zs9U+MnK5C!b z0Ir_3Tt!Z>td#G`IOZv*P7b2!t!`*a&YxXBCLPZA7r!|a9qoW6q%L0=$=5;) zmnp%5yW8m4IG&MDGdM@>$nk+07YdYn=_odOH2YwbEqM3o4%ie383zI-71EW;gJ^Kq@V z?|(ROOAiot@q}6pCW%%-;>`z>Qd6;Bp$b_@Zv`k2LOm=8=HSYkJwwhA%g>K2Bl7+v z`jSY}Fb$dj4qX&qdU7#RV1qn_iH@NNE}OuzVjfIOORU;gIB|Y>-vj4~C1{hFZ#WFrJgU+sg;1XZC$>{ROia4B4|f9UWX@8i&|QGPW8`Wdat*OItc1|1Q- z_bkKZJ8wgX69EsRX**}fFjoK5zqP6sS*!ZzLx+pEeGIJvnp6)c0n5FwMz@p+;2fd2 zz@?c37(uuLCP;WJ0KtiC7>{tKQg%7i7w9zkVlD>1$NZ^g3F+lx^o47DOhsi}u ziGLT~8W^X!K3c5{l^x*T-}m>Kuc3d-6KU*R0v4aYLqzAFy4OyI7ASm=F99tR!{VCp zCgFdKi-{UOqYl)50ART|`QaCt< zHnro2Sr~82IB!-mk0vVokD3P7gT;ThQr8ug%XzymPBNu6TVb=^tTPDVKgyDYygN(i zLi;F&qSU#R+j37oNUEX*>Bfn)fc*;g6S0y=itq5U(!Wf>S~>iteRaJMn@suuqO~9Y zsg&Y1@3SMX$E)CW$Os;BElXx(1NJiBuygMHpiY3^T%15?h4=eTh9CX7X+VO5gRN44 zz*K26Flbz)09M8?Y9%y0j};AVPnSshaA(=jfiVy#dcxkYdaH!-#aZ-AtwINs7X181 zSK96`%xq$ItclU$Xg72dI4Iz0+QnXC{n>+R3~rj)h%}Tqfiul-&K*s-dkVp zKPJz*w>u?>slj6$%`Z4d&c>M&EPpNVZ2<)qQITQ zJaGT{0ZzVrH%lXl_YF9E_0rR%bi1W8@ye0LQ;-!*RpwvK82@eU_(5yeE(~zARf5|$ z_Qk88s7sdvPOJBJ#!KXp{un?e?inunX7Qco2i!0V;2#k7X9Sv=_Gsb5<`pW^Ub{$Y zZ_4C(;XV&dT*>wr1&>u^{Nf5Mh}ww@Q{*8}Ffhk__CD?&U>`}K;YbA95{$UB3=*Oo z>wQE+uSDV$&DcG$H1eBZGARHuwdU|f@TFmd?cc+k9CE~)ssj*D-oJe@+pBF*uQPI= zpZR;(Gu8?KSrN0oMpUi5Kvm3RdPfIsULb{`ZHc1zq3TMn?dMT_X$C+I;RY3PoS>je zijhJ?`^icwB-Vn1-;I<)T~UGP1~lw6zHG7uv~`(>rqgm^Tdx(%ZKCL1<{%@uFr-@ayroEhbhiM| zBn+E;c$=buD|&<%II6k@Ku*^^)C1XBQ{+XgbFzEKNO|bD?y~RmX?%{)0Hw1l`Jyk{ zr@9T&wi_^hMlcoCum*YEk+l2R^OOg-1)RpYHn2L8kpsM^{+y!)vGf2T$qAKteEwRK>3o)ZQ|U%JV{uP32N1*v$0yWi6)|3k5)ZVM-l|9R*8tI=2iddk{-|Pmf@7lC z;>qUUL|fe*U^$D#iZdeKMOiRIr&y6h2SU}Sw#7itZy-e{>NF}(30SqVL>PQ~eZb&V z14u^jn@>Fd{*9h0vJT1|1pS=4EHy<0NsKh zCa&9qY4Q1-8yTnttxdoMXz*6pKOYq5>6`9;iCSE%bP!XIgaQ>wrm*i6XwF>wV0c3m z_nqiRNPU@*7ohA>qzR&Ac4fdAWx15R?{RhZmf_rdce1M7+~T2bI6AxKngUB!$(O$Y zRNS6z0i#RPJWa*z(>98j(C-$~UUy39sog<->R zU&B$Z)u){BJmLV3|bp>hAPBDD`I7 zy6{=s=S=OujGIly{UZndmu?2y06B61nA-y2obXBS;EvED=>B6BZf_=l8Ex_1-j(!HI0aIRU4rzNlDx`eFUfrW5fU2}@ zDFp+Gzy{Q871MhS>q&~#&+ZE98o51b15{G9`MY!f>br9M;w&pE(}#CM#m{QiXB zFtEGeg(&Krt91N-unL3cOquL)(A>`heJt>xqvJ@t3Gjtn-V*n|#sS;4*KbaE9^w;R zNUA!rhGHPZEU&{`a>?U!(5E&H zgLS8-)S?v72j=rX$4cjpbtzyUl6kVrDSo9@`4ODv)UYkhg>k8WdP#8i+VOP{+Q*I@ zW=yT0b$dv_?xE=ei~R7v8t38p!xR&o*OwWpsNijaj!Qxhu;jiq_3rqaNNWgnp)96D ze&$Fb6<%Y=YX+v5b+UOjmDvmamySW$>h)fGfDtgSfTYuc9$P~vLra__FyC~_7xaIH zK>sn7NU7SB{cmVEDgj38L9(ebpgiRQ7yG|*j^rY|4JtR!+nK(S-uunqL`N(RHr-gO zFB)jb@|z$V8UV(%lwmps!;FCI=BLBqE}tJ|qQ}2AKXj(irF_%m zmH(ah0)Jv3Q1&CJPtDP~kd8#eU-V)QA8vdh*G(!|AAbpq1#Ve#Tfs63%>72S{-?1Y zI`?h#qJ?f?>AyKn7x%;|3U7FBWhuoX%~~4X-fRBq&eR{j;jrg_cHa2DJI(RImm>F7 zSF<3g7Q-NTIT9`c93zQLVUGe}*wuoMnHRCV#6>Dd(}<13s~*UbDXcJ>;qTvosJJ52!!ep8Wnq;O@jhjHwW;XA9GyUy*$o3(6O0+W&myjdPU_NXZ6_5esalif2DNV?&*xrLA zusin-6`2NhYMoq}s$TMi`j5b4q%h9|Z5HoA>N~d9F+6u_Yfl!{tkm=K$`0ML`(#{Z zXnufL(%JXM>oIjQxHW1#nJg@WjS?F}#Y~h*-N{V*NepO96Jik^i!2>Bz{=zFH(+oD zk!`|0Rfba zew3w$UKt7B~WtwW8gDTr}oPWpgyKGrq#Zm1sw zTp0^TGQAmcgjp2DzAHuM8pI$=v5@<&0(jIRWT6XctvLYw`4zO}ya_H=*$3c|QU7cM zg1lb`7DCesDUW}wyBr`K+l9+ zwSpfY#off!pd3r9r98gD!&MJb$q31&VXGd|#-tA4S9hFD^@owKd-0GVN#SBA+*lM( z*6Ws>4g<>maFPqmgt5Y;B>AJDIwi@h4=0FDUVk?DS<1M~||2 z8F`#Z)3bYW#M>L9Zf@pK+}2P@1KsM%y~MOx)!%U+;9Or{N+YfE5+FjkrQs-MG{R3n zvqPOf!Ex$AbdVn#ul`koPSo_iT-frGcGzrNc%GyY3DMN z*isV7K>gP$%MdVr{5oeZQ=^T(vw}EjU|{}|nxl5F?3G_?o*KTuj~@UT=gdI~Wl0mq zE+bV7D`#|Ox8b-`7jK1mr49{wgqH*CxuX=~g5xQRD8GZadDZO7RA)Ed|3pr^C)|eP zCcx(uh4+OvJ=WPUmf(XB(kHkwxM;LI6p`rRVViN1IO=L#WvszZZ%ky=)pft2z0~`D zXPLVEq2gKBwJaxPPEbx9Z3!i6+=jTb`3gVJoQbZsuA8ohxZAMDFuSJP&iux_)8)K3 z-0=G5wEC`AL~Y^Ndjum7{Z9~+&Z^KIuogI!%MJ5rKg>)`AKQ^l2Ukft5GNOhBjO#F zWD&G(SHm9d@$SH8v)++ixKdy+S9QiwZtFC6B~jNnHI2lB(8zUk(3}b$hI@p!FtY&c z!wrqSp--*zHdP;>pnhSZlr(L-Xw&UT-x=TjUL1u+HGZ7j^)E*>jnzn1J;6G3)9CbvDZTa%4k> z1-F14$NBQ4MF9TD*;Cp))9w>_hJ2g(^`cvak5M!Dd>b@nb~Ntgf#dJv=@@+pn~EQ# zYbP37*!-rVv?qp@Z&wl;=G_|6NPgy$cy|W{_4*0U1I~s3ev*B8s>iSA7cvmb{V~3) zG*KJh9&)t4QGI~C8a(4!KxQAY7fpS*k$ttw5ak)I!*LLC*(LXZ%wx8ywjO#h79w`^ z`RJ>vU$JGXY>$Qbx-Z~L>92H?l)<>o@O!{*yBR*60y3LIuV}Q7hXZcjVH;dMq+^o_ z_1{n*CU8fCgGB3cN>VM4D82AC-NO-Im+z&ZsEejLt^zc~~-d;2|INodvV8T@6=&3D1J6bF8{l*n$Ly~3$ zlw_;{7_%Ld2Kj$O1@O{oO7GV2L`7! z-PoBr@>3{#*Q8q7Xhnp!swu%T5U2 zLS@KMtAkDVirMX}WTD@ROw4b%wrM0TF>ok<2B5sXbG!RKw{J1`gL>#)o6~ei%@Cg= z92nwiid^p2s<%esBBiYb9vzo+QP%By(ZK$w9q~#S{_vrYqfBp$zE$-J)G8cw0jkY{ zg_|k6#~Br4JQt#;vo%$GHM`XV>(5X#*9B$c%j!yGD&}&@Ei01UytiJqqS5jB?Nb={$7jg>JFhlQd z;#KAj&@q;xpHOs{w-0u1)wY==6e#uiXJfzm6LJ(GE|zhPYW=wM9oolnccYdCBSdGD zN9zh%R5A%$-EWO?9qN4O%^tS@pZ8mb*|)wkKHc;43XkhSF<37iv3OgcuBJUgun!Wa zTzdP(c!iaBv|5Pg~q^*n?PNmTFSaYq*?ZFtT*Tq7s zj=_ON-(Mow`tfA-JBN}U6bbNI75mrk@mBCxdYg3+&OcS`&t=Vd?)w>)G}^!`5^^dP zAuljn&~^`8Fpxi%*5dF_aD?-JGZlHxPs>nxx8kw|bqsi4p0u8ZQal0=rm;DGKnsoY z9n(Z{V(QAbV7vVy`IXCyfo)KV;SOkG?5%$~+xBKL_jP37*~Qw)1wak?sbi&EXyOR` zmmZoZ03tW!D|QbJ`dONNxh>kbF(7J0&1`rTJ>Af)BYs{Xt8}n3WQVv@W5nHa_pv}u zm4u#!BsbpAE;Fl^YIEE_z~gSX?S+Mzu#htK@+`VjG~(w-_vbLbXMrzbaSn!@{UM*< zow%Dfk5RvfJl1>THGi0;yK27n3>7U{LSpd;j%zNx7X9L(`cua*iy~ndD~})uLm|F9 zLc#K{Kj9I$dw-hBFz+&)ZBFg!xx4TlTTH+R=q}lWg@VRuh|OE}>IXz|ym<=;HL9xl zU-gIz-=lcGb6nrh#^$$-pRIs+^L4)mnCfJj-OSnTG2(G{-9>yPG$`61+HCg6BM?*6 z8yB+FUL)K(YeutpbTO@M1T%|>3{>xcUYY-ve|LgUDUm~tx_l>VFQj$kEyKw?V;73+ z#n97{*%eDaWb0#KUsm@Ethp7*7V+Q1X6W$j=PMPeOB?IQpzvH0D|#szVQQ*rP?+QW zFUGP}?6h2!PF#kOH(>G+t(Pn&=Pw89y9@@wLz!-TT&%lBj-3g8HLsVW@?sVL7RQ zdi#nDL~UeR!jGNzKS$>|aZRM`GB!6fXdWCjTBlYmmt`qidqt}ydrlUwGioOa!&AO` zSNKFu0p&qGVq!aVBX%PLqGI5)S66cV=OpJyk0#VQx2mvx4tL~erTb27;g?-_h@o>^ zT@?eX@!}`zXz{A@QIk=M5DI_KnT_VE4r=3<$#pmSu zTjrW|syNQ8VRhkiZQ{Bw)bxT{Xg|_X60(9C7W786-J$AUVcx)FBOb^0i(Jw6Lz{*2 zv4V3Qq1YnMdBWq1i4L){#^rD$QP0RQ%T_l^{{$JF!_$u2>tA2bdH|hx z6n!|l&!FVXU_;`mPoB<`9D_U~eW;^SF3sHl+9W++M0dpOdXv3b#?3l^hbZ@^?h3r`pGrMZJ?HWi=Yfr_yd1z0Z#7N`%U~37A7-okx1glz%E#Mvi1cddtKRzZi*UgQvpQ`@3HKN(~tn7Qs- zg~HSyZT)*rgd-Zw6Zh^L08}&_3xNxkBs*LY>}lflC1WWA)fh!9?^54LF*M{}bLo>6 z>v8NHasMb988AMnmiyH(sg~`5^;E zdGglPR;PqR}%tWZ|;FHq8=`E zy`ScJss~wsjEIiy7@syDfi|=DCr_)D7s7|vSc+gIgW5~4@JqUdiMo3!91iYmFvWE@ z2rmoT47%wU*ygK@+g`#C>9y>$Qq}~ejFwGH(!O8~7@uyA^{pQbdCBhLGF1nB`LkHE z*{O0Ez3xauNWH9IsG2>mt-Sp7br)Wj$B*Rpqx>cqef2PcL1!^U{K}NZ%dCaysGF2+ zKfYl;Gpq(L)W;s{)#73{Y9NYruG zZJTPDWb3e)?GZYc5(*|D$ZCjAM1J(DB^$RCmAqL>@A~Qf%_7p3UMAlSykW4 z2ge@nBXmsT4;>lXlr`=8j|-qKPd1JYHFBS_nrrzq+ z&k(WS=xV*yFR0=qp)&mR7xDLE4GVL!k5t`7(a7Cs`OVBOb0@d&KuXrDUw zMK_HPnA{vFeTQ)cM9Ls2t~ccwG7H^FBGt9uNyg$DbO-NPiB{7yMZ!}&@4iktw~FO+ z_ZhNCPcqM3t{qbu;Dy8M#jab8!6b5AVo+FGJWFj}z>cD?eky6)Qaoee5L>kf+MvJh zxWiZDeCiWA1JqrPpK{cD?VCn+7SRK9<0-S|AqGl147GFhzH%oW~gatdEOV%EKlGCr(x`neQM3#CQ zu6TzozB{Ilcq}hIR8Z4%q;S8Ot+Kmu4w6$5 z#|zW2l&2AKIh-_F*(&iFm8yRZXZZ%wf9|M{T{*uizjz>>MA5=!8y30G6&Ato52#na zM(+KF#$2SN$WnBz(xR$R`2P70MI8dySxtyev@F4FBWIP$s=~@2ROK;cnJgzYgzgk| zD;`vxjva0`x>XTyZU2SB43~OmJ+xI^poVq&`3~6%eNe@hVXi7;zvJ-@L!3y2--zhx z^ZCe|GH1UMbF)rH;dx&i=g0cKh+XW5)5q$C4Nsm|M11_FClHz!Q59&B>*t^p);*}# z6}cFyA~~^ymmWr~*7Vr9w0F9&Dh1yeB?e72wv=;zmK)JR33WxY>^Gxwog6_irY6O$)l5#IYef{G=6u$b2jVpu&4!iaoJBn-bss${!3o z_E*8q^qmoct9P+QJ~_1_phxd1u5u79t(t0bgAvp}pZ7C+Ydv|bmM5m|*yseKQ&e-$ zAW1h`=|*!)p%~r~nA)HTvNJPI=MC*ppcvnJhJl&A7gY>nDq(KuB`#K!(5cyf-|Vj4 zP&Y|!{{5df^-e+*^xXuiY681&?_~NzPJGZj@IA#qzQH>At*Pqh@H}NV)GZxMXN|a; zI3sH_o;K_RA^zCOd{f181eCW)j3mp%`y)TLI!u_$&P4d zbgo!t|1o?Dvrar-?b#Iy1_mCS}G6QC{j0W zU4;O{13OxwR53qq1G6x?tQKVm#kUdvlNth&iWUA#iz>KR9MO@zU->qeg);xnLiY{y zNt%Sm1D35B@GfNr^-48m%p!Fl{LHll8h6aI z-|BL&iz<0XU&j`N7@eSpAcu)#*iqPoxHx19vYzMKE7+mzA#&!qZl57=h6;M3nGi4k z1}(#QSbj2Mwo>0E%Nq0T!urjsXMN=$_kakIF!@OA^11vKEL!lzmDo-~BisMYD}Buz zLKh|bK6Bar7h6!pc(WVMDcq4iW&wU(G$2V>9Sn#0v;>?FnDCu=^eEzpgVW(2iJ>+9 zBQ{xH*IhUEVj+%o4cDOCdkL<1DzE3|d##^kioxgTv9WekPD3Hh*N;h_pN5%pMyMWM z`TK4_+d`YCI9%u^9QZbw8pKMyLZA#XeT&N(F|4f9qJshD{;NqxHO zsyvQToVmZSy+A`H6B&Yj6P4$5EpTwNI9Ow&DeKd(q%xVY>vx#Gpd?TFR%YZP$ElCu zV!W_w5fA$-&ABZZ{#z|B|HK!60rGHkbS&oFlM#Db`rTn?g7STWytzFqXfc-gqg4>E zuP2yrRA8ttPkY2PnOhb~_W;B4(8eJ-ZY$|` z4ibk-N!*LTR-lr#ZYe8v>o4wS2mVBvrd8Mhxn z+-i>(ST$9ZAAjWV{fsc{b~3L0X**~+g_clQ_FuGmx9x_rl-tnG?ACr}jv2GqNP21# zcS@HhJA(sKVZpAV$%V!Mb$@72cpiz*Zk2qG1@I}ynQ*M1vWhz1Ib)9;QZ0+l%}!Ox z?&gLa(d=_>Q>52lxDgz0>H38r+VKb;n^)N31Gq9+@tgMx#0@F*IL>|KM4HK%{&o)T z&)>HEq=GS8r!x^;BysazAz4TFhH7mx6o2A*Tt0CPIk66#28CL?FuDv(Mz#(er4|uj030U(9c;6#&z> zPSmkV3aS>7FH#h7(1s-V??pJBsHToke;HJ{G^mb@@EYmkRtZlqgz|D##8`MC@q&>o z3Zl#vdAw22^B-WRFjv3TLkdx%>9c6?S);Ks2miJzuo3f`@o8In?HS|#I z(y75}A=52Om`%j-WiwbP{Nl5>G^y;@Y==Z(bYZXbI*HlCN?k$6PRNoMRI*%Vk659a zPZB|}_31l}M^IpSRR>#tg*-*6(%+BY$S_7LTK}qgI7?iEfK};zXa=}-1i|PyuUEjE z6UZn%o*;AvpTyL;5*(?u4lu|0CN>{5J`YaAGBQWf5Z0 z)lbsTP_7Tjz4-4Z7&Z7OFo3y!Tf0nCN82g3N+bDQX_y;DS6xYSIhgG6%c=f`3feXm z<>MSZaV!X_#2}5Crh~()j=lPsaFj$}?LqiTsykYK^0kuiz=hQ4xGtyxCLf6W--$idkOMYQ z-g?k~1fa^YgR_*$J9q*5_=XlwRjUxR#+}3}+N}cod}$ePIx)6@f2%T}-k1z9C*sCv zyH$6G#zb^E0V$a>5`k>q%#ARp&)>8z7_+V_<-I<`%5v#vii(oaKJqB!(*0ei*>oe? z0=~_uvW&l}=^DS%SYyzC5~i3dDo^fZAp`~p!yuWU15H&?UN+EZb$@%=Y*$VEp;5MV zb7>YbqD_#|6hk`wvE&_{VK%kGWzeIQkV5ycD-yVp4 zVsAfN-gf#`uv!Y5Rm0MXS|6)P<<4(9+7Vq*;C|F%UKQsYy$A`~sr%jB@`Wb+9pPRs zG5i@*qms*t{Ax2@p|XenmX*`EHWtaC&hSz?rc!kGEHe-!QIM<_8E|4k$R?`x$3t3% z!4=p5gaVijKuJ=>5n-x%$nmhP^%!y*F0p}59M$2esWr-9b-MlF2Xftuo^*TC&@or1 z3qr+6*DMRw8{luX^RacJgmcu5bwq(>RaDgUU0iQts?v@(dvb@s+A>+}_^WC@Sa~wA z0SsTiB<-@5nc=r7X}ZvIjrvgwrN?`2<2T@36mQV%3L6`;*m4_dU)2ur&6JwrI;VY2 zmqq9_#oY;Xx<}m@F#iR>XeVOj44k2$^sn#mhG86f3AP-julG+6>Lt24gkQrN+Q%my z47;taz_4FewN+or|5_I`l3fi%B<1PH7w01ZS zKu?8L{!ZZCl^;LoF}bpdDJYyptl=AqtSkA6v0Zk6VOH*7d|P62%}fR^C#xt8i{(DNXSbxtz-%iagFfZG-#SBG(_w@uRMt_|ohU*|Aqe7l1IN|uwga%$W!Tb~ZbvUa5tzmSE? z@$9hO+KvA1acM7*=#^t|W;|OR%R3BAm$*-3A?OI+>_J}39gFQO*Frqlx z#(8%r#u|z|u~yQoOjB?RHkpdZ@)d5a6i?RXxt@^!S$jx5_-%#H6o(Sa`1x1-s!m6 z$+HaB7ttJSiT=e}JG`ICxdTj~oHHNrLapcB^(MBTKQp}bnW|!W-(Ie*RP`4^{|q4X zC(8v1^?H7zM<4mi6Zj0gVx{;m#%NRvuiM?Mn>W|xF^%B4=l5tCNK4g6cVr{2G&(2q zko)~4yxr*m3M{U-!Z&9tBz=p`g0zgR1w`2M6~Grn&TNPowd#uwE;_06W$1%dMYcMv z{cv{!tc$A+@oZ}005qJ0Dx5dpP#!!dT!Vr2(5xGqizGyfjO0nb!agpx6-|zC5EJma zFwT1^+OiH#Fexrv?|YCq7kyp+?50#eeMUnlkxuf;8z#U{Es=AAidQ+_9-&nkgDOTb z_2-b5eikf7egUs+4F@$hoX|V(_}jLWw*ai+7^c2%1!b-Suse((J#J;m>M06oRXZ-p zZ*WuMR0F@;BTdsE^sa-6G94si#>ml-Yao4h8O6=vO%|8A9KZ6;#k6M;83e(Zb{aaA zj9XdV&s^f_mo3RG0oqNUl7B-OiVWfM{rA=G;{z5bvKLdld-n##BSFW6jzdfUw&~~I zb}1`&((n+aqCsXHjf+9h0a8Fl{pG80ZzOtSU!KfO%beXrmb=!gL>m@->G_z#J`Wr- zJbCqf+tM*5OAoFBX?ONrA+ZJ5rJY-Ua=~Pqjb@6sn_LUMRHo8}g_<6@0O2r2ti+n| z!nq2K+!j#Qa-3mgTvi)*CwH9=fvI}xZ1?u4P@L`6X()prFf=7W(Bhk$dDrpb?ll6e z8%foe(-uz4Zjyd1Xi+YZS1iVp*;B01F$+6@7ZqUs;})zcmOC+&y#?pJ$}D(2{DfrEZ7mt}<9JTaTztO6r7`y_|hvK(hhwe1SSMPPN>3-x!3_fc&4GX0rn1mfwk;aUB~~_VYQ!>9r1Uj>GSO6jqMu`>89|=Uwf=E`t zvf$LAbt+P6l?tPiBMXzC|+2v%fNI7{sIcu)^)}0MTIMrg)G(L#HM>KfuOvq$THwb8S1n z6y=lFiPaO?$*3L_bJEqR0Ql-{m(YL@n226n(v$lFi|RhxcxAq=d(s0wvw+-f3zCI0 z*jgQ4UxE$9k{s%L+p&VShr5)FQewA5o)#2c-y|c?)zH8nLh8|H*;WP z?)}OKaB4V70hnEVyiy58skQfWa0xs6lrnR38gT2;3@p{FB`pO?&RIPHQNfn>NxG1m zU2sXiaQ-GT6Lj>D`{W^4m$o2@*+1o1I|<%Wf%Jih7W9MrEciH)(Ha*MrL&nivN&hR zpe@L|R4AP3bn{%O9A;GWdmNdU&+Z&oub-WL$M*jONJ$mEW=aR-qi_V?R72|;n`4jL zJa_rE!Haf!|Z9-=cgcWUfCYZ2{3WJErV! zVJ`u(ph0Os_n2i@Ey#0xQdv@$JO~KNN12?x@q}?F*~g6+xy!N{QD3_ezs3 zb|xfEIKqx&QXV$U9O8CNs0wZ6O<&DN($XUF1M}<`B{VR0v*>}D>J=65J!+C**0@uvEX*ne!O-wNVA`DzlodN`zp0|Vk$t1Xzohk>ss_n z)7XqZ;-@Awd#(G+Uw*BAcCvQB3;>#|F}VL}i!0#M@$&uY$%TS@K56AZc5+T{&lMZf z9j2|LPv_XU6dcuY=FC!7t6@UKRJ@zV8NR!ZTSv7I?t2hR*#45jj_qF460a5*U}&U&v-gxbp__< z?&xsO& zf`BYL3DSKwLX5>#E+=ZQA>7;cRVSn^t2lKw&!hT98{G4*lJ3BSVHgO zQ%~U?Bb^q9sud6ImK;ess}OR8{7B}@mJ6XJA-$9aU#{m*xjcVcLigaqkyBH*dxGgy zwsta{>Vx6GQAlm>tC(78+H5h6%CS#H`;>=a9LFWs)5`Z1?m8Ctaep*nQ7L|hwKFj+ z@Uq3?peN7|>6I0ut(s^mSa8V4E8Y2E_;r4Jn*CwezSSuheDf{A=ToWcohrZ;&4Ve0 z)C9T`whDjjY7wYuF{`e;SW3zPHcc;anNYN^$?))k>r^{=!A3J+M+i*|tSXvDh+nA(P zsrP53ifGuR(0B><9gT`PeDyxU0LI=hJGKH!_m9m~)C+9rmft;D&eYLEB2 zNvAp;X zal;PQ?CjpAS9}cr1R6}ZR(;^;Ex2uZYUue3<-1p{7{cXx85p#uUJ6J^)l9xSO&$L9 zHpdzGD(-kk?aYB_Nyn5Sn4(-feWpqNK~~yy=TOeuwqfH{^^VO3oLA)H%+JPU#7sh%#ICY9;4LF3lWF2#*X_%{zGmkynv{*4@esmJ` zr_@fizWWK_V?T-=KGGHEzAZg;4cdVG1oayq8OC5`iFxddRW{Hn?|?JvhdnQc0ldtSQ zCdSYnW-j$Nd^L#1X5wFQl??`pp_{RxDwc~{wu~FfPz|GI%U#6CO0T2=x$C}s5A|&u_Pp(-aff|tDU{6?q zjU2`JjRMC!w%YZ$6bv+qdRW#Ryr6IEF)I-`AK%}@a#^31L)5ynp>C+j@GNU{Ka>73d#A$8~nAAY@FtPu`PiAK5KKz<&+;>2|sa!R{Q#1X9oTsS4kF ziVBOYKleI5m=afn@9@vJ3lp0Netipjf}FxD-GtAgn{?QLDVw{=Xi>0KvmuG+PgCWw zPLAS5WJ*4kWZ;%lir-GdIIk9R{HZ*#{Do5cjud=A-XARXG2J&=>RUqOuc0oiy~iIM z|3vmXDEm(uX{@`!%6{nl^!}S56xpPZ8AIDc?{^fO)$qt4>X@l?ilI>!+>0cgvdis{ z{sq~kT@c+dU;|lFX?@_*-`|Y>k({paqqO$;H!3mf7Cx6? z>v`>t8h$SB+WsiQMi8f&D6LXxFjX^T$Hl%#P)(#bo?#REjqO0i29E{z#At#1D`l5B z0$m&1JzO}G#^XDqWx~_rj{0cX7WtBee1dJ~ZopBh&VTpuB_yeC7sjpM&?BdaL{_oz zS*d8G3j*b=&IjyMwMIkJ?y(W*;~%j02*r8wgX1{IV%7!Y_lMfoWUvsil^8QNB;QZ+ zY~O0JUW3jADRmSOL_#ZJyMl>Y67|?~-2Li-_#^uEZw!w@D`M9U!1M}E>%FuBg6W`j z65HY$dDKv0pe?r?-7DACJ>4eZk!IiW)M7HtOHH`zu0-sXxhXfdB&jefaZ7pm&KBzl z?CeBfoO(vRCxDd!x~zWBN|pS3ym^yUnoZIe+E~&K^!ul{_H2AWssyW`GDuWJzn&0= zk!^LRAk;Hf>Eo3mu`eox4~mUlZRzCKv$XVACX!NOW+xyO5Mf<5Xn9-p!~r?g=Jx$p z@S783Pba;+)O&pNYX2Sk!X|4)yWVs8#do9*F-vw)J0-_Fczi8yl%=74x5DD@osp!k zN>E$p3E!eg{-#$ZBk48e_pljWj2`C7I$yIwYYmw^Vp1mJ-h5yY>JpeV;2Qbr%QmFS z;DDH{5z<4g^~nkvw*h;pz0|KEzn`?wrg}_^Wn=etI+*6aji#5LS{d{jb&>??Fbb{E zr(TD&8+I~?&kv}?A`SfU4S04<4TiVdkT-^tR#f3g$1+#hXttG82t zB|Kjw$vuKo5H&4i)I7m z4JTHHw_?w|^og=@4@+u1b8NogO;dv8SQPdl<)|FTd^cLBKHAX#(UVleZ=(u`%{-I7 zE2=~7G;o>fVPPtV(Viz5)jDAcs-Zrqvqi!W`5pG5j2^F778yymhtGvq%6uuJ&F2Y& zFDjY6*(5-Jp3xHMQTT2n)zF;DIbl_)Uzjg~oTFP2^?P;iq1!}=Sz<yjvgGN%T%`h8UJEy})J>0Xy$HWtXGd9NdT0aIFc z3%}q6h%x!!4m|H_5#CVC2xs`2UOK5DXx+bdG88?)xdWuN5JfI~jYqXT`VX<4beUCN z#W%$mQC46?(NrWbLs}MTf~*^e4HxfhA!D#th24~2EgV(EJY|hVd`)2lB~{y;Ni|OD zd+0DLNVUB$s3SI=-mB?@F@buzvE z>vA&P+x!zhBC6HaXyafHY+KFWVu@mau8sPqw7n@epqMt>^CCB&*)r93GLQ>DJ1SFq zst=#v{6UW|9r3f4P3FzF$-&Q_Wk~+s9Y+TDC)Ws7aj_my9GH2}9psK*aJ4pnXQJ2r z(XHUBsW7h9>#m+~YLl$8V5)xXCurG~D}1ID{%3mtqNCi*UyR?0oEgvAMu$assqav5 z53#@5g-@Uw1iAM>ahL#E<&=_?*~*EW>@tIMSkGTES}jV{1T`IYMn-IV!*zmi{+yrn zQYdfg!)XNtykeMN_3i*VeuT?sogm$n50H-@brc3(mPc_l(?Yl!Cj6 zeW8We0Eq5DnVS_x?oaKW0G9sIr8e-yLz^#B%UW5ahhs|=3wKtmfI#AFh$Nm%a>S9W zC0WN|RCD3a97RvS1y0Z`#!(osL?{@1ME>{?PaInE>NXlHI7Eb;e;WW}e7?;2E|FJZ z_P#cAm65A&aeX3`-f;4Wi(@`ne>%d?AMmM4a+S>`-5_VA`uP!AS(dCm+y07_$sU2E z&_)Ayp9)$7hc>AJsm=nv@fl@$25`!VxY}o}huC3sp+R`jK~!&n`?#l=E)1BS#KRwb z8LtcnQ<-jQI)LXlnZc%oX~k(qa_7wWq>ndQrD%pFB;4=5saeJuxCU)Zn|hIVSnRpm zl9@!clWySR*>8QeNcP%MyWWbNw8Sk$Nc><+fp;vN)Kxs*NMxkLbXafv+1srQ)FDbl z$f4Knq)Qj-X=|E0lcR703&>=r@YyzLHzJ0TRwfxJunKYzP_ZV1D`Umcfx=+Y> zQPt2-w*rTb>BsL~B7WhujrC$YvS*9d?vhPdYDW3N_$~7b#ST)zdqn8Y3iwMG`}YH8 zj#>KrXT%x!Od2X^MR`q?g})3HC{2lCPay3>I$#t7uSw3L`;T) zc&VEVmt0ptf#X~3!=!-sdo||cYsmI2;A}Ydi(0<)uL~8>k8xIXLKJWr7Pw&BSkEW< zwD=~Qee#b{7SU6%P+S;9!Wl!`cI;dI0x4w2nmMX>vGp;Q36~NcpnnN{i8ktSK7L3d zwrb%^WksLJWh^2_dE!lH7Zqdi1=?_{;+e(`JLv;*UARnrakp;`2kTMu;hI@rO1$cZ zDqlrvIdHx>8vDvP8k_kckn4r)`K<)tRj;E+r>b1iXRX;j%5Npzpd4XEPQk`bpS3rt zh@cNgDTBgIV{G@WMunNDm;|z|2Nu{FO-xJ&ohThmUcExfME_rFq6Uh|e&YKEb`m z)djk{Iw9NM5Ja#p6j<=BkO{2}w;Z=6v=n$B_bm!cO1GKq5eYXuI1|bJO2BQj)FWOq ztUM0P)%pFLH&(<6$GT3!Rq6U%D~~mA!iGC%7ot0=f{ogy#6A}Lb$H_b_LAc4>FFMfW}LwS4M{?7FK+t~I$ z(YxI8cBd>p4L@u4)J*-T9q$K4zHc1zs)FC7))3x;4givG?k}X9Wc7?4OOqG=(xcGy z%xToQKR$i1)H?a3etAN%siXE0hKvc@^E+bc393ZOxs7^Sb?fu9UdJPv!nfQZ_d45r zk(9v9<0N?)kL?Lj_kJQEt5HEKLK^Vsk%>9hGAuiX2aZ26N?*X$px1q-%|s>E4Jmw0 zcJm^IuYPdhf;ny}Jp}P%hv?4cT4^Z{$AOP-H`wa3amiZuI)nzw$!bONom996)uh=# z^rcSiBK*dgg~w%bc*d%JuwWvFfAlyU^U0$?Jb}S{@?zk%MCe(_eROPbnEFxT2ibq` zz9UXwes|-XnpcjzYI8nqjB>DVrG)*B^ycg8yiN^>_zO=3PML~#-ihP_ZN4~F&`8kc zi->|>^L}8gq$UWPL4iD{Fzj5<&S8r!V)Kgfhv%?kwOm_7Tbi67JJ^+xzxUPn?##Z6 z239v>u#ouC{WX~!ds^95zZPqZTH?1;AzTV7!e+7Xeh z*UfZ|te&|1OYvAHYn_Y~F|2>Mc+h4M{AhmT)90Z~1$7hy{kz@=YCf@DEhs3%^$Cki zNogPKCGc+bLyMAJuK{Wo;&|Vj$WlXmZG}$w^vLdX@p?OGhG=$2UCNuRHJeOqst`RZ z&g*VEo6!J?1#l<=AE!c;Q2cKhj7ctQxm&g)l$8;Dr5F zs_j{UAC#O0M8p_3+G*qewl21F`nuxh&loR^ESdZK%K>P$IvO^{N&;P2PcIYMh9wLx zE@F@opv|K{mE0H0MvG}3$2bqoIK>{uV_#!BUk>k)S3dJS6Tf0lA~utphp00&h=;%Z zQo;PB1G6d_k-AZmB8lif& zsMR5!f*>YwNf4l0=1RZ)0WUNo#eHw@*y+$K_a8inp0-=17RVwwNTSE~by%Yzcb+w_ zfn97sU`jNkV0PplO*6SlUm0YyaEtv0}_BSZ)!bIkTsN+{^qpRo&;7;8OuDq z*$VE1lTioV-Nrtf^_snmc42bQ;h0JsWS6OepbXSQb4Q9Efj*?p%+;18nT#4WTpO`U z5&cMZAm1T)55a`cok2ev_eNW$-X+}oL8=Jq%kWi8d)q@@20lt9ItOiN}@qkpm8l-srLoTYgfzX;VQ`BQ8qI06x_4c?H9iS`^utP%=7CAhkd){U+YUL zk$X|C@oUAC$Kn%_kc!iHHFUO3wWEoN%rxM3yAZhJ{j*cVHr8!B6zILDt@K^c&k?U@ z(do~*oNUE)0|k{jDxtGZISTQ!yE$1-yLTDvbP}kptMXx9U?z9yC`+!2`0cCtvv3X zFqYyC#mTK(T!xV34*}+#|?uyYg^=e?U-x!7;Zk?6W?u#{s&RbY|a2 zs>Di&&D!S-J&moc8l7`-9t>VPTD()!qpkOSjCx0Etqq^N#cH)hk)gHFl3~?wT(hQ` zo|m7$aX;TEx)k%py}%hXTLE|k4`n>^#Ivf6>f z+?1ou4^&+U&yfmKEIZ6JW)A2=Lziva4)fuA9~9q&!6D<+nRa#M0dXkyf}u((Qwl~oj)O6zv&UaGmLn+uc8R-R;G zH*zN=UsqFyh{OOOstUSdwE))LvA0Wt)A-&aU8?rc(gcL#@_>mWxw5~{ej#vN06QNX@9g}H z)Kjd-k(UGssV|}kZ(^>7bouem&-U6hFnFk>h>q~fQCJ8wPP(in0Qcx%I5se`K1 z&WU&};~m?HGnW8@v_avVYq!^$HAL@4xWycOOkBL<7oj55n<1>n2 z3K@HRZ1?{!_&`JlbR(jjL@9?wq)`5FS1Ug85{VyJ<%>6ofM3#|vubPQC|pEP1_cY- z21Iblm>8vz5x4dSgvHU$%Vt`usx@&35zrt^beqA_b@j_6{m8By8aQO3^23}CA{DYn zy#LGV$sDSBAdR0KlL>BTL>If*9spW zQ14Cbfuhfbh~5N3RJ=%-TQZm?^14>&^%p?^BfulUG`yfr?Dl`k4W3g-p{*2Z zi4()L8M`-uJ(Yhe%4N5rGU_iuvPBYgs-;Hs^dWtE%5 z!V~{To6#SJdR5%jY~md@bPGv|8>BbqircK-OYC3jMSIVE@_Nx9C;erZi4Wf`;$-v~ ziO8ZmxU-X>9OtEOt>7x;3Vm7B$YDL6Cx`n5%rXN=OW(Oc#Wt4Q<*jAp1s>JA&3xdPOoeRk zF=9UbJusxRNFE_vq;O<4nF}8w#n^6!=T|e3DP4{2@X^Q>iJN7I-*onS^_BR-re2|p z{DqLvz10%(cPpcv5m`uCP~Ec{UY<*c)zbAguR?@HP&O|*nXs-iqQ+y-y=Iktw<~w# z`O<8j_|hauc!59p_efYvd;NA|FN$!8b=>X-k)dB=+M`+sdO!c7o(r95T3}VJ*6XW8 zWPz)BJEBLZ)fojLp0c-NJ9flHh6KZM+i!3&-Hkp7ND-T5O6(h{*O7tr*e7<|xlg1SE(C^G-T z$&^5T2SidT^LQg1{Gz_JJoI~FgCa{cC*-o?keHTlkV^CWao+E8?Xv@nTT6124dG2c zr39R>xx8j#SmSRs#GM^|N<}SeX7FANGLnre^UueM2Fk?AUPy$Yx0I}3X3!FP{RI$I z<8i1>tBFpG`x0CzZ76$``yP5p59fU*GX)sS(MHrY3unhv~(;jh~R$jbxQO$&g z;kMg7XuM(jx!q&2-;)qI@W=uz5}Wt{aF``Ae~)^FE$o zISK)Tt3LK`b(<6s7I9##zBefnl#4*!pN0U?fDDz2gJx4dFc6uE-F0y?SE~uxKR9D= z=n!5R7YYzL4EgL$u1bXgRoyoO3(kK?$3pwV=C5BG{zo&;0&!s1JIB0R1Z_h=Mq_~a z%`_JT_ExLGHg6pvx4XOz7283V$?6Faq5X8$t{=qgr>GJ=<3|0DgVV-zOP{DO{;};C zMh|CYC5xaWox}Q&Y5RYJ|S%Ler}HlV*P8$`M&G@jm;`{$Q_>Yj%j)# zo+U>Ct&P*Vjf(HN_$_a{$kqM&z5mVH$TO-*!0U!t&alFBpqsmyh<=w2`^@UB{?Nh* z_yFCLRBNe{2dk9m|3G^C&Y+{`%Uzo)gLz|Zu5uq*3U>UDN8AP|PS)!YHmOF_h!a~7 zPj;XrTeJijjLMuuo@yCVZHfsPmnypykCV?D-QO~)vn*bCfDU$ zM=OsXOR|XW*%_*pgC_Z>=u^ibUfbO5UI4eG@hPFEt^Ee@_vj{nf;=11A$XS>=pu-8N*ayIdaVHtJ#}(NlO28*`Eo;Qp)S8 zBZ-5Y8eJK=2evT-daJlqMOc392KrZ4N40=X1#l(2QX`!WL&&L?bLxAa)&C{GztT7= zX!h`2SFatZ)!@zsx@uD|9WqJSWBw2F@iU?keol>;2(pZw{SLlGe1Y0L^WByf(f$E? z5slpcST}!$8~P7WhC{jTkZBebrDfGX>qt%S4M-;Nv$%o<= zszHu|jpJD5?qJimvJMdQpFucx+aITouUdP_+smI6qL_!#ugDh zN}&D!wbIJZ=~NM2OaCmL(JE0eo`y7Ps(3hK2h=w5YoGVQc9Ny|U|vqAtCg!0C$0W9 z0z)4AdXeNv_SWR7kuBoE1}-HG1G6qnWAer+f!zL;&369C<|K!+^zFa4BoPtmkV3iF zQ(BqSO)6r3wSmd*%x=@4aJB2a#rzbE@&+iQ{R|4!LT0YNwbSoAM{oxJ9xPNZKtbPp z%w?(0Bx7=65BoY!Rwt0`vVXq&VZlqwuh-SpTg}?pYV-GSg-yDasXuWD0a)kuw zYiJcYPers6>b%SE`M +# Parameter : start_diamond.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : zas 08.2019 -- Initial release +# V0.2 : ama 02.2023 -- Adapted for Diamond +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; +$| = 1; # forces flush on prints + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{DIAMOND_HOME}\\bin\\nt64\\pnmain.exe"; +$diamondFileSpec = $ARGV[0]; + +#------------------------------------------------------------------------------- +# Launch Diamond +# + +if ($verbose == 1) { + print "$separator\n\n"; + print "Launching Diamond from $designerExe\n"; + print $indent, "* Project file spec: $diamondFileSpec\n\n"; + print "$separator\n\n"; +} + +system("$designerExe $diamondFileSpec"); diff --git a/zz-solutions/04-Lissajous/Scripts/start_libero.pl b/zz-solutions/04-Lissajous/Scripts/start_libero.pl new file mode 100644 index 0000000..3e11301 --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/start_libero.pl @@ -0,0 +1,37 @@ +#!/usr/bin/perl +# filename: start_libero.pl +# created by: Corthay Francois & Zahno Silvan +# +#------------------------------------------------------------------------------- +# +# Description: +# Starts Libero in the correct timezone for the Synplify License +# Help Parameter : +# Parameter : start_libero.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : zas 08.2019 -- Initial release +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{LIBERO_HOME}\\Designer\\bin\\libero.exe"; +$liberoFileSpec = $ARGV[0]; + +#------------------------------------------------------------------------------- +# Launch Libero +# + +if ($verbose == 1) { + print "\n"; + print "launching $designerExe\n"; + print $indent, "project file spec: $liberoFileSpec\n"; + print "$separator\n"; +} + +system("$designerExe $liberoFileSpec"); \ No newline at end of file diff --git a/zz-solutions/04-Lissajous/Scripts/trimLibs.pl b/zz-solutions/04-Lissajous/Scripts/trimLibs.pl new file mode 100644 index 0000000..efbff23 --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/trimLibs.pl @@ -0,0 +1,163 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# trimLibs +# Comment regular libraries in an concatenated file +# Help Parameter : +# Parameter : trimlibs.pl +# ------------------------------------------------------------------------------ +# Authors: +# cof: [François Corthay](francois.corthay@hevs.ch) +# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +# zas: [Silvan Zahno](silvan.zahno@hevs.ch) +# gal: [Laurent Gauch] +# ------------------------------------------------------------------------------ +# Changelog: +# 2019.08.23 : cof +# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines +# * Seen problems in ELN_Kart +# 2019.06.11 : zas +# * Comment "For All .... work."" instead all "For All" lines +# * Allow Outputfilename as Env var or as Script parameter +# 2015-08-25 : guo +# * added unisim to the list of excluded libraries +# 2015-05-08 : guo +# * added verbosity debug +# * changed this header +# * minor comment modifications +# 2013-08-13 : zas guo +# Handle error if environment variable not found, character'pos('$') -> ') +# was found as env var, added exception +# 2013-06-13 : cof zas guo +# Remove comments from testline +# 2013-01-09 : cof -- +# * Bugfix: no carriage return on commented "use" statements +# * Bugfix: more precise targeting of "library" statement +# * Bugfix: "Library" test after "use" test +# 2012-04-27 : zas +# * Bugfix: on feature added in version 2011-06-10 +# 2012-02-02 : zas +# * Write the output into a new file with the name defined in the +# * $DESIGN_NAME variable +# 2012-01-23 : zas +# * Replaces $env_var_name by the value of the found environmemnt variable. +# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file +# * placed in the Simulation Directory +# 2011-06-10 : zas +# Replaces +# library xxx;use xxx.yyy.all; +# with +# --library xxx; +# use work.yyy.all; +# 2005...2011 : cof +# Improvements +# 2005-01-29 : gal +# initlial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; +$hdlInFileSpec = $ARGV[0]; +if (defined $ARGV[1]) { + $hdlOutFileSpec = $ARGV[1]; +} +else { + $hdlOutFileSpec = 'trimmed.vhd'; +} + +$verbose = 1; +$debug = 0; + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $hdlOutFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + + # remove all comment for the test + my $testline = $line; + $testline =~ s/--.*//; + + # Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim or ecp5u + if ($testline =~ m/use\s.*\.all\s*;/i) { + if ( not($testline =~ m/\bieee\./i) and + not($testline =~ m/\bstd\./i) and + not($testline =~ m/\bunisim\./i) and + not($testline =~ m/\becp5u\./i)) { + # if there is any char before "use" except \s, insert new line \n + if ( ($testline =~ m/[^\s]\s*use/i) ) { + $line =~ s/use\s+.*?\./\nuse work./i; + if ($debug == 1) { + print "TEST0099: ", $testline, "\n" + } + } + else { + $line =~ s/use\s+.*?\./use work./i; + if ($debug == 1) { + print "TEST0105: ", $testline, "\n" + } + } + } + } + + # Comment libraries which aren't ieee or std or unisim or ecp5u + if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) { + if ( not($testline =~ m/ieee/i) and + not($testline =~ m/std/i) and + not($testline =~ m/unisim/i) and + not($testline =~ m/ecp5u/i)) { + $line = '-- ' . $line; + } + } + + # Comment "FOR xxxx : yyy USE ENTITY zzz; + if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) { + $line = '-- ' . $line; + } + + # Search for $Env_Var_Names and replace them by the value of the env_var + if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) { + $envvar = $1; + $envvar =~ s/^.//; + eval { + $line =~ s/\$$envvar/$ENV{$envvar}/; + }; + if ($@) { + print ("WARNING: Environment Variable not found: $envvar \n") + } + + } + + print tempFile ("$line\n"); +} + +close(tempFile); +close(HDLFile); + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($hdlOutFileSpec); +rename($tempFileSpec, $hdlOutFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#if ($verbose == 1) { +# print $indent, "Hit any to continue"; +# $dummy = ; +#} diff --git a/zz-solutions/04-Lissajous/Scripts/update_diamond.pl b/zz-solutions/04-Lissajous/Scripts/update_diamond.pl new file mode 100644 index 0000000..92e9076 --- /dev/null +++ b/zz-solutions/04-Lissajous/Scripts/update_diamond.pl @@ -0,0 +1,129 @@ +#!/usr/bin/perl +# filename: update_diamond.pl +# created by: Corthay Francois & Zahno Silvan & Amand Axel +# +#------------------------------------------------------------------------------- +# +# Description: +# Updates the file references in the .ldf Lattice project file +# and launches the Diamond project manager +# Help Parameter : +# Parameter : update_diamond.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : cof 12.2013 -- Initial release +# V0.2 : zas 08.2019 -- Changed actel to libero +# V0.3 : ama 02.2023 -- Adapted for diamond +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; +$| = 1; # forces flush on prints + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{DIAMOND_HOME}\\bin\\nt64\\pnmain.exe"; +$diamondFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$lpfFileSpec = $ARGV[2]; + +use File::Basename; +$diamond_dir = dirname(dirname($vhdlFileSpec)) . '/diamond/'; # get up concat and into diamond +$projectTitle = basename($diamondFileSpec, ".ldf"); + + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * diamondFileSpec: $diamondFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * lpfFileSpec: $lpfFileSpec\n"; + print " * Project title: $projectTitle\n" +} + + +#------------------------------------------------------------------------------- +# Project variables +# + +$diamondWorkFileSpec = $diamondFileSpec . '.tmp'; + # source directory +my $sourceDir = "$ENV{CONCAT_DIR}"; +my $projectDir = "$ENV{DIAMOND_WORK_DIR}"; + +#------------------------------------------------------------------------------- +# Update paths in the project file +# + +if ($verbose == 1) { + print "\n$separator\n\n"; + print "Updating file specifications in $diamondFileSpec\n"; + print $indent, "temporary file spec: $diamondWorkFileSpec\n"; +} +my $line; +if ( !open(DiamondFile, $diamondFileSpec) ){ + print("\nCould not open $diamondFileSpec !\nExiting (failure)\n\n"); + die "\nCould not open $diamondFileSpec !\nExiting (failure)\n\n"; +} + +open(workFile, ">$diamondWorkFileSpec"); +while (chop($line = )) { + + # replace title + if ($line =~ m/ +# Parameter : update_ise.pl +# ------------------------------------------------------------------------------ +# Changelog: +# 2019-06-12 : zas +# * All parameters given with agruments instead of env variables +# 2015-05-26 : guo +# * update to environment from HELS v.15.0526 +# 2012-05-27 : cof +# * Initial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; + +$iseFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$ucfFileSpec = $ARGV[2]; + +$verbose = 1; + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * iseFileSpec: $iseFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * ucfFileSpec: $ucfFileSpec\n"; +} + + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $iseFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $iseFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + # replace VHDL files + if ($line =~ m/FILE_VHDL/i) { + $line =~ s/ +# Parameter : update_libero.pl <^PDC File Spec> +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : cof 12.2013 -- Initial release +# V0.2 : zas 08.2019 -- Changed actel to libero +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{LIBERO_HOME}\\Designer\\bin\\libero.exe"; +$liberoFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$pdcFileSpec = $ARGV[2]; + + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * liberoFileSpec: $liberoFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * pdcFileSpec: $pdcFileSpec\n"; +} + + + +#------------------------------------------------------------------------------- +# Project variables +# +$liberoWorkFileSpec = $liberoFileSpec . '.tmp'; + # source directory +my $sourceDir = "$ENV{CONCAT_DIR}"; +my $projectDir = "$ENV{LIBERO_WORK_DIR}"; + +#------------------------------------------------------------------------------- +# Update paths in the project file +# + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $liberoFileSpec\n"; + print $indent, "temporary file spec: $liberoWorkFileSpec\n"; +} +my $line; + +open(LiberoFile, $liberoFileSpec) || die "couldn't open $HDLFileSpec!"; +open(workFile, ">$liberoWorkFileSpec"); +while (chop($line = )) { + # replace source path + if ($line =~ m/DEFAULT_IMPORT_LOC/i) { + $line =~ s/".*"/"$sourceDir"/; + } + # replace project path + if ($line =~ m/ProjectLocation/i) { + $line =~ s/".*"/"$projectDir"/; + } + # replace VHDL file spec + if ($line =~ m/VALUE\s".*,hdl"/i) { + $line =~ s/".*"/"$vhdlFileSpec,hdl"/; + } + # replace PDC file spec + if ($line =~ m/VALUE\s".*\.pdc,pdc"/i) { + $line =~ s/".*"/"$pdcFileSpec,pdc"/; + } + + print workFile ("$line\n"); +} + +close(workFile); +close(LiberoFile); + + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($liberoFileSpec); +rename($liberoWorkFileSpec, $liberoFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#------------------------------------------------------------------------------- +# Launch Libero +# + +#if ($verbose == 1) { +# print "\n"; +# print "launching $designerExe\n"; +# print $indent, "project file spec: $liberoFileSpec\n"; +# print "$separator\n"; +#} + +#system("$designerExe $liberoFileSpec"); diff --git a/zz-solutions/04-Lissajous/Simulation/DAC.do b/zz-solutions/04-Lissajous/Simulation/DAC.do new file mode 100644 index 0000000..114f8fd --- /dev/null +++ b/zz-solutions/04-Lissajous/Simulation/DAC.do @@ -0,0 +1,31 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /dac_tb/reset +add wave -noupdate /dac_tb/clock +add wave -noupdate -divider {parallel to serial} +add wave -noupdate -format Analog-Step -height 100 -max 66000.0 -radix unsigned -subitemconfig {/dac_tb/parallelin(15) {-radix unsigned} /dac_tb/parallelin(14) {-radix unsigned} /dac_tb/parallelin(13) {-radix unsigned} /dac_tb/parallelin(12) {-radix unsigned} /dac_tb/parallelin(11) {-radix unsigned} /dac_tb/parallelin(10) {-radix unsigned} /dac_tb/parallelin(9) {-radix unsigned} /dac_tb/parallelin(8) {-radix unsigned} /dac_tb/parallelin(7) {-radix unsigned} /dac_tb/parallelin(6) {-radix unsigned} /dac_tb/parallelin(5) {-radix unsigned} /dac_tb/parallelin(4) {-radix unsigned} /dac_tb/parallelin(3) {-radix unsigned} /dac_tb/parallelin(2) {-radix unsigned} /dac_tb/parallelin(1) {-radix unsigned} /dac_tb/parallelin(0) {-radix unsigned}} /dac_tb/parallelin +add wave -noupdate -format Analog-Step -height 100 -max 32000.0 -min -32000.0 -radix decimal /dac_tb/i_dut/parallelin1 +add wave -noupdate -format Analog-Step -height 50 -max 1000000.0 -min -1000000.0 -radix decimal -subitemconfig {/dac_tb/i_dut/acc1(23) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(22) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(21) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(20) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(19) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(18) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(17) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(16) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(15) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(14) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(13) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(12) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(11) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(10) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(9) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(8) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(7) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(6) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(5) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(4) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(3) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(2) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(1) {-height 15 -radix decimal} /dac_tb/i_dut/acc1(0) {-height 15 -radix decimal}} /dac_tb/i_dut/acc1 +add wave -noupdate -format Analog-Step -height 50 -max 1000000.0 -min -1000000.0 -radix decimal -subitemconfig {/dac_tb/i_dut/acc2(23) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(22) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(21) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(20) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(19) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(18) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(17) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(16) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(15) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(14) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(13) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(12) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(11) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(10) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(9) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(8) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(7) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(6) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(5) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(4) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(3) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(2) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(1) {-height 15 -radix decimal} /dac_tb/i_dut/acc2(0) {-height 15 -radix decimal}} /dac_tb/i_dut/acc2 +add wave -noupdate /dac_tb/serialout +add wave -noupdate -divider {serial to parallel} +add wave -noupdate -format Analog-Step -height 100 -max 65500.000000000007 -radix unsigned /dac_tb/lowpassout +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +configure wave -namecolwidth 175 +configure wave -valuecolwidth 63 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {105 us} +run 100 us + diff --git a/zz-solutions/04-Lissajous/Simulation/lissajousGenerator.do b/zz-solutions/04-Lissajous/Simulation/lissajousGenerator.do new file mode 100644 index 0000000..1f4b42f --- /dev/null +++ b/zz-solutions/04-Lissajous/Simulation/lissajousGenerator.do @@ -0,0 +1,32 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /lissajousgenerator_test/reset +add wave -noupdate /lissajousgenerator_test/clock +add wave -noupdate -divider Sinewaves +add wave -noupdate -format Analog-Step -height 100 -max 65000.0 -radix unsigned -radixshowbase 0 /lissajousgenerator_test/I_DUT/sineX +add wave -noupdate -format Analog-Step -height 100 -max 65000.0 -radix unsigned -radixshowbase 0 /lissajousgenerator_test/I_DUT/sineY +add wave -noupdate -divider Sigma-delta +add wave -noupdate /lissajousgenerator_test/xSerial +add wave -noupdate /lissajousgenerator_test/ySerial +add wave -noupdate -divider {Lowpass outputs} +add wave -noupdate -format Analog-Step -height 100 -max 65000.0 -radix unsigned -childformat {{/lissajousgenerator_test/xLowapss(15) -radix unsigned} {/lissajousgenerator_test/xLowapss(14) -radix unsigned} {/lissajousgenerator_test/xLowapss(13) -radix unsigned} {/lissajousgenerator_test/xLowapss(12) -radix unsigned} {/lissajousgenerator_test/xLowapss(11) -radix unsigned} {/lissajousgenerator_test/xLowapss(10) -radix unsigned} {/lissajousgenerator_test/xLowapss(9) -radix unsigned} {/lissajousgenerator_test/xLowapss(8) -radix unsigned} {/lissajousgenerator_test/xLowapss(7) -radix unsigned} {/lissajousgenerator_test/xLowapss(6) -radix unsigned} {/lissajousgenerator_test/xLowapss(5) -radix unsigned} {/lissajousgenerator_test/xLowapss(4) -radix unsigned} {/lissajousgenerator_test/xLowapss(3) -radix unsigned} {/lissajousgenerator_test/xLowapss(2) -radix unsigned} {/lissajousgenerator_test/xLowapss(1) -radix unsigned} {/lissajousgenerator_test/xLowapss(0) -radix unsigned}} -radixshowbase 0 -subitemconfig {/lissajousgenerator_test/xLowapss(15) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(14) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(13) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(12) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(11) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(10) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(9) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(8) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(7) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(6) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(5) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(4) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(3) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(2) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(1) {-height 17 -radix unsigned -radixshowbase 0} /lissajousgenerator_test/xLowapss(0) {-height 17 -radix unsigned -radixshowbase 0}} /lissajousgenerator_test/xLowapss +add wave -noupdate -format Analog-Step -height 100 -max 65000.0 -radix unsigned -radixshowbase 0 /lissajousgenerator_test/yLowpass +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {101600 ns} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 274 +configure wave -valuecolwidth 40 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ms +update +WaveRestoreZoom {0 ns} {2100 us} +run 2 ms diff --git a/zz-solutions/04-Lissajous/Simulation/sineGen.do b/zz-solutions/04-Lissajous/Simulation/sineGen.do new file mode 100644 index 0000000..df337bb --- /dev/null +++ b/zz-solutions/04-Lissajous/Simulation/sineGen.do @@ -0,0 +1,36 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /sinegen_tb/reset +add wave -noupdate /sinegen_tb/clock +add wave -noupdate -format Analog-Step -height 30 -max 1300.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/phase +add wave -noupdate -divider {generator signals} +add wave -noupdate -format Analog-Step -height 40 -max 66000.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/sawtooth +add wave -noupdate -format Analog-Step -height 40 -max 66000.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/square +add wave -noupdate -format Analog-Step -height 40 -max 66000.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/triangle +add wave -noupdate -divider sinewave +add wave -noupdate -format Analog-Step -height 80 -max 43200.0 -min -32800.0 -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/sineSamples +add wave -noupdate /sinegen_tb/I_DUT/newPolynom +add wave -noupdate -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/a +add wave -noupdate -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/b +add wave -noupdate -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/c +add wave -noupdate -radix decimal -radixshowbase 0 /sinegen_tb/I_DUT/d +add wave -noupdate -format Analog-Step -height 80 -max 76000.0 -radix unsigned -radixshowbase 0 /sinegen_tb/I_DUT/sine +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ns} 0} +quietly wave cursor active 0 +configure wave -namecolwidth 250 +configure wave -valuecolwidth 52 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ns} {52589 ns} +run 50 us diff --git a/zz-solutions/04-Lissajous/Simulation/waveformGen.do b/zz-solutions/04-Lissajous/Simulation/waveformGen.do new file mode 100644 index 0000000..ce4b33d --- /dev/null +++ b/zz-solutions/04-Lissajous/Simulation/waveformGen.do @@ -0,0 +1,30 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /waveformgen_tb/reset +add wave -noupdate /waveformgen_tb/clock +add wave -noupdate /waveformgen_tb/en +add wave -noupdate -divider {generator signals} +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/sawtooth +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/square +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/triangle +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/polygon +add wave -noupdate -format Analog-Step -height 70 -max 66000.0 -radix unsigned -radixshowbase 0 /waveformgen_tb/I_DUT/sine +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ns} 0} +quietly wave cursor active 0 +configure wave -namecolwidth 272 +configure wave -valuecolwidth 89 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ns} {525 us} +run 500 us diff --git a/zz-solutions/04-Lissajous/lissajous.bash b/zz-solutions/04-Lissajous/lissajous.bash new file mode 100644 index 0000000..933ab09 --- /dev/null +++ b/zz-solutions/04-Lissajous/lissajous.bash @@ -0,0 +1,32 @@ +#!/bin/bash + +#=============================================================================== +# waveformGenerator.bash +# Starts HDL designer based on the generic hdlDesigner.bash +# + +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +hdl_script_name="$design_directory/Scripts/hdlDesigner.bash" + +verbose=1 +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + + +#------------------------------------------------------------------------------- +# Main script +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "Launching HDL Designer" + echo "${INDENT}Design name is $design_name" + echo "${INDENT}Start directory is $design_directory" + echo "${INDENT}HDL designer script is $hdl_script_name" +fi + +#------------------------------------------------------------------------------- +# Launch application +# +$hdl_script_name -v -d $design_directory -n $design_name -m hds.hdp diff --git a/zz-solutions/04-Lissajous/lissajous.bat b/zz-solutions/04-Lissajous/lissajous.bat new file mode 100644 index 0000000..983c9d9 --- /dev/null +++ b/zz-solutions/04-Lissajous/lissajous.bat @@ -0,0 +1,81 @@ +::============================================================================== +:: eln_labs.bat +:: Starts HDL designer based on the generic hdlDesigner.bat +:: +@echo off + +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: TO BE MODIFIED +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: Define environment variables to be modified +:: You can change one or more values as needed +:: +set VERBOSE=1 + +:: Define required Tools to be present +set REQUIRE_LIBS=0 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 +set REQUIRE_ISE=0 +set REQUIRE_DIAMOND=1 + +:: Set project name +set design_name=%~n0 + +:: Manually override Library & Tools Folder location +::set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + +::set HDS_HOME=C:\eda\MentorGraphics\HDS +::set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +::set ISE_VERSION=14.7 +::set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +::set LIBERO_HOME=C:\eda\Microsemi\Libero + +::set SCRATCH_DIR=C:\temp\eda\%username% +:: +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% + +::------------------------------------------------------------------------------ +:: Static environment variables +:: +set SEPARATOR="--------------------------------------------------------------------------------" +set INDENT=" " +set design_directory=%~dp0 +::remove trailing backslash +if %design_directory:~-1%==\ set design_directory=%design_directory:~0,-1% +pushd %design_directory% +set hdl_script_name="%design_directory:"=%\Scripts\hdlDesigner.bat" + +::------------------------------------------------------------------------------ +:: Main script +:: +if %VERBOSE% == 1 ( + echo "%SEPARATOR:"=%" + echo "-- HDL Designer Poject" + echo "%INDENT:"=%Design name is %design_name:"=%" + echo "%INDENT:"=%Start directory is %design_directory:"=%" + echo "%INDENT:"=%HDL designer script is %hdl_script_name:"=%" + echo. +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +if %VERBOSE% == 1 ( + set command=%hdl_script_name% -v -n %design_name% -d %design_directory% +) else ( + set command=%hdl_script_name% -n %design_name% -d %design_directory% +) +echo "Launch HDL Designer Script" +echo "%INDENT:"=%%command:"=%" +echo. +call %command% + +:end +popd +echo. +echo -- %~nx0 Finished! +echo %SEPARATOR% +echo. +if not %errorlevel% lss 1 pause +goto:eof