From eb5a3d3a2afaea17a97090f1e758aac0d0b634f3 Mon Sep 17 00:00:00 2001 From: Klagarge Date: Tue, 27 Feb 2024 11:23:54 +0100 Subject: [PATCH] add square signal --- .../Prefs/hds_team/v2019.2/hds_team_prefs.bak | 55 + .../Prefs/hds_user/v2019.2/hds_user_prefs | 277 +- .../Prefs/hds_user/v2019.2/hds_user_prefs.bak | 6841 +++++++++++++++++ .../WaveformGenerator/hdl/lowpass_entity.vhg | 28 + .../hdl/sawtoothToSquare_studentVersion.vhd | 14 +- .../hdl/sawtoothgen_entity.vhg | 28 + .../hdl/sawtoothtosquare_entity.vhg | 25 + .../hdl/sawtoothtotriangle_entity.vhg | 25 + .../hdl/triangletopolygon_entity.vhg | 25 + .../hdl/waveformgen_entity.vhg | 33 + .../hdl/waveformgen_struct.vhg | 146 + .../hds/.xrf/lowpass_entity.xrf | 27 + .../hds/.xrf/sawtoothgen_entity.xrf | 30 + .../hds/.xrf/sawtoothtosquare_entity.xrf | 21 + .../hds/.xrf/sawtoothtotriangle_entity.xrf | 21 + .../hds/.xrf/triangletopolygon_entity.xrf | 21 + .../hds/.xrf/waveformgen_entity.xrf | 42 + .../hds/.xrf/waveformgen_struct.xrf | 215 + .../hds/waveform@gen/struct.bd.lck | 6 + .../hdl/waveformgen_tb_entity.vhg | 15 + .../hdl/waveformgen_tb_struct.vhg | 106 + .../hdl/waveformgen_tester_entity.vhg | 30 + .../hds/.xrf/waveformgen_tb_entity.xrf | 12 + .../hds/.xrf/waveformgen_tb_struct.xrf | 150 + .../hds/.xrf/waveformgen_tester_entity.xrf | 33 + .../hds/waveform@gen_tb/struct.bd | 474 +- .../hds/waveform@gen_tb/struct.bd.bak | 2947 +++++++ .../hds/waveform@gen_tb/struct.bd.lck | 6 + .../hds/waveform@gen_tester/interface | 418 +- 29 files changed, 11560 insertions(+), 511 deletions(-) create mode 100644 01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs.bak create mode 100644 01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs.bak create mode 100644 01-WaveformGenerator/WaveformGenerator/hdl/lowpass_entity.vhg create mode 100644 01-WaveformGenerator/WaveformGenerator/hdl/sawtoothgen_entity.vhg create mode 100644 01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtosquare_entity.vhg create mode 100644 01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtotriangle_entity.vhg create mode 100644 01-WaveformGenerator/WaveformGenerator/hdl/triangletopolygon_entity.vhg create mode 100644 01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_entity.vhg create mode 100644 01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_struct.vhg create mode 100644 01-WaveformGenerator/WaveformGenerator/hds/.xrf/lowpass_entity.xrf create mode 100644 01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothgen_entity.xrf create mode 100644 01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtosquare_entity.xrf create mode 100644 01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtotriangle_entity.xrf create mode 100644 01-WaveformGenerator/WaveformGenerator/hds/.xrf/triangletopolygon_entity.xrf create mode 100644 01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_entity.xrf create mode 100644 01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_struct.xrf create mode 100644 01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/struct.bd.lck create mode 100644 01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_entity.vhg create mode 100644 01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_struct.vhg create mode 100644 01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tester_entity.vhg create mode 100644 01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_entity.xrf create mode 100644 01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_struct.xrf create mode 100644 01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tester_entity.xrf create mode 100644 01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.bak create mode 100644 01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.lck diff --git a/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs.bak b/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs.bak new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs.bak @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs b/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs index 4cd7572..d71dbc4 100644 --- a/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs @@ -1279,6 +1279,7 @@ projectPaths [ "C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" "C:\\work\\edu\\sem\\labo\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\hds.hdp" ] libMappingsRootDir "" teamLibMappingsRootDir "" @@ -1299,288 +1300,144 @@ exportedDirectories [ exportStdIncludeRefs 1 exportStdPackageRefs 1 ) -printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +printerName "\\\\vmenpprint1\\VS-ENP.23.N308-PRN" pageSizes [ (PageSizeInfo -name "12\" x 18\"" -type 512 -width 1106 -height 1658 +name "Letter" +width 783 +height 1013 ) (PageSizeInfo -name "11\" x 17\"" -type 17 -width 1013 -height 1566 -) -(PageSizeInfo -name "Legal (8,5\" x 14\")" +name "Legal" type 5 width 783 height 1290 ) (PageSizeInfo -name "Letter (8,5\" x 11\")" -width 783 -height 1013 -) -(PageSizeInfo -name "Executive (7,25\"x10,5\")" -type 7 -width 667 -height 967 -) -(PageSizeInfo -name "5,5\" x 8,5\"" +name "Statement" type 6 width 506 height 783 ) (PageSizeInfo -name "A3 (297 x 420 mm)" +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" type 8 width 1077 height 1523 ) (PageSizeInfo -name "A4 (210 x 297 mm)" +name "A4" type 9 width 761 height 1077 ) (PageSizeInfo -name "A5 (148 x 210 mm)" +name "A5" type 11 -width 538 +width 536 height 761 ) (PageSizeInfo -name "A6 (105 x 148 mm)" -type 70 -width 380 -height 538 -) -(PageSizeInfo -name "B4 JIS (257 x 364 mm)" +name "B4 (JIS)" type 12 width 932 height 1320 ) (PageSizeInfo -name "B5 JIS (182 x 257 mm)" +name "B5 (JIS)" type 13 width 660 height 932 ) (PageSizeInfo -name "B6 JIS (128 x 182 mm)" -type 88 -width 464 -height 660 +name "11×17" +type 17 +width 1013 +height 1566 ) (PageSizeInfo -name "8\" x 13\"" -type 518 -width 737 -height 1198 -) -(PageSizeInfo -name "8,25\" x 13\"" -type 519 -width 760 -height 1198 -) -(PageSizeInfo -name "8,5\" x 13\"" -type 14 -width 783 -height 1198 -) -(PageSizeInfo -name "8.5\" x 13.4\"" -type 551 -width 783 -height 1235 -) -(PageSizeInfo -name "Com10 Env.(4,125\"x9,5\")" +name "Envelope #10" type 20 -width 380 +width 379 height 875 ) (PageSizeInfo -name "Env.Monar.(3,875\"x7,5\")" -type 37 -width 357 -height 691 -) -(PageSizeInfo -name "Env. DL (110 x 220 mm)" +name "Envelope DL" type 27 width 399 height 798 ) (PageSizeInfo -name "Env. C6 (114 x 162 mm)" -type 31 -width 413 -height 587 -) -(PageSizeInfo -name "Env. C5 (162 x 229 mm)" +name "Envelope C5" type 28 width 587 height 830 ) (PageSizeInfo -name "8K (267 x 390 mm)" -type 520 -width 968 -height 1415 +name "Envelope B5" +type 34 +width 638 +height 907 ) (PageSizeInfo -name "16K (195 x 267 mm)" -type 521 -width 707 -height 968 +name "Envelope Monarch" +type 37 +width 357 +height 691 ) (PageSizeInfo -name "8,25\" x 14\"" -type 522 -width 760 -height 1290 +name "Japanese Postcard" +type 43 +width 362 +height 536 ) (PageSizeInfo -name "11\" x 14\"" -type 524 -width 1013 -height 1290 +name "A6" +type 70 +width 380 +height 536 ) (PageSizeInfo -name "13\" x 19,2\"" -type 525 -width 1198 -height 1769 +name "Double Japan Postcard Rotated" +type 82 +width 536 +height 725 ) (PageSizeInfo -name "13\" x 19\"" -type 526 -width 1198 -height 1751 +name "Executive (JIS)" +type 119 +width 783 +height 1196 ) (PageSizeInfo -name "12,6\" x 19,2\"" -type 527 -width 1161 -height 1769 +name "Oficio 8.5x13" +type 120 +width 783 +height 1198 ) (PageSizeInfo -name "12,6\" x 18,5\"" -type 528 -width 1161 -height 1704 -) -(PageSizeInfo -name "13\" x 18\"" -type 529 -width 1198 +name "12x18" +type 121 +width 1105 height 1658 ) (PageSizeInfo -name "10\" x 14\"" -type 16 -width 921 -height 1290 +name "8K 273x394 mm" +type 139 +width 990 +height 1428 ) (PageSizeInfo -name "10\" x 15\"" -type 546 -width 921 -height 1382 -) -(PageSizeInfo -name "11\" x 15\"" -type 539 -width 1013 -height 1382 -) -(PageSizeInfo -name "SRA3 (320 x 450 mm)" -type 530 -width 1161 -height 1632 -) -(PageSizeInfo -name "SRA4 (225 x 320 mm)" -type 531 -width 816 -height 1161 -) -(PageSizeInfo -name "Format papier personnalisé" -type 256 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size1(215,9 x 279,4 mm)" -type 257 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size2(215,9 x 279,4 mm)" -type 258 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size3(215,9 x 279,4 mm)" -type 259 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size4(215,9 x 279,4 mm)" -type 260 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size5(215,9 x 279,4 mm)" -type 261 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size6(215,9 x 279,4 mm)" -type 262 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size7(215,9 x 279,4 mm)" -type 263 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size8(215,9 x 279,4 mm)" -type 264 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size9(215,9 x 279,4 mm)" -type 265 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size10(215,9 x 279,4 mm)" -type 266 -width 783 -height 1013 +name "16K 197x273 mm" +type 140 +width 714 +height 990 ) ] exportPageSetupInfo (PageSetupInfo @@ -4291,7 +4148,7 @@ hdsWorkspaceLocation "" relativeLibraryRootDir "" vmLabelLatestDontAskAgain 0 vmLabelWorkspaceDontAskAgain 0 -logWindowGeometry "600x200+2349+55" +logWindowGeometry "683x501+259+78" diagramBrowserTabNo 0 showInsertPortHint 0 showContentFirstTime 0 diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs.bak b/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs.bak new file mode 100644 index 0000000..4cd7572 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs.bak @@ -0,0 +1,6841 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +pageSizes [ +(PageSizeInfo +name "12\" x 18\"" +type 512 +width 1106 +height 1658 +) +(PageSizeInfo +name "11\" x 17\"" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal (8,5\" x 14\")" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Letter (8,5\" x 11\")" +width 783 +height 1013 +) +(PageSizeInfo +name "Executive (7,25\"x10,5\")" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "5,5\" x 8,5\"" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "A3 (297 x 420 mm)" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4 (210 x 297 mm)" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5 (148 x 210 mm)" +type 11 +width 538 +height 761 +) +(PageSizeInfo +name "A6 (105 x 148 mm)" +type 70 +width 380 +height 538 +) +(PageSizeInfo +name "B4 JIS (257 x 364 mm)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 JIS (182 x 257 mm)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "B6 JIS (128 x 182 mm)" +type 88 +width 464 +height 660 +) +(PageSizeInfo +name "8\" x 13\"" +type 518 +width 737 +height 1198 +) +(PageSizeInfo +name "8,25\" x 13\"" +type 519 +width 760 +height 1198 +) +(PageSizeInfo +name "8,5\" x 13\"" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "8.5\" x 13.4\"" +type 551 +width 783 +height 1235 +) +(PageSizeInfo +name "Com10 Env.(4,125\"x9,5\")" +type 20 +width 380 +height 875 +) +(PageSizeInfo +name "Env.Monar.(3,875\"x7,5\")" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Env. DL (110 x 220 mm)" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Env. C6 (114 x 162 mm)" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Env. C5 (162 x 229 mm)" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "8K (267 x 390 mm)" +type 520 +width 968 +height 1415 +) +(PageSizeInfo +name "16K (195 x 267 mm)" +type 521 +width 707 +height 968 +) +(PageSizeInfo +name "8,25\" x 14\"" +type 522 +width 760 +height 1290 +) +(PageSizeInfo +name "11\" x 14\"" +type 524 +width 1013 +height 1290 +) +(PageSizeInfo +name "13\" x 19,2\"" +type 525 +width 1198 +height 1769 +) +(PageSizeInfo +name "13\" x 19\"" +type 526 +width 1198 +height 1751 +) +(PageSizeInfo +name "12,6\" x 19,2\"" +type 527 +width 1161 +height 1769 +) +(PageSizeInfo +name "12,6\" x 18,5\"" +type 528 +width 1161 +height 1704 +) +(PageSizeInfo +name "13\" x 18\"" +type 529 +width 1198 +height 1658 +) +(PageSizeInfo +name "10\" x 14\"" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "10\" x 15\"" +type 546 +width 921 +height 1382 +) +(PageSizeInfo +name "11\" x 15\"" +type 539 +width 1013 +height 1382 +) +(PageSizeInfo +name "SRA3 (320 x 450 mm)" +type 530 +width 1161 +height 1632 +) +(PageSizeInfo +name "SRA4 (225 x 320 mm)" +type 531 +width 816 +height 1161 +) +(PageSizeInfo +name "Format papier personnalisé" +type 256 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size1(215,9 x 279,4 mm)" +type 257 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size2(215,9 x 279,4 mm)" +type 258 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size3(215,9 x 279,4 mm)" +type 259 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size4(215,9 x 279,4 mm)" +type 260 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size5(215,9 x 279,4 mm)" +type 261 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size6(215,9 x 279,4 mm)" +type 262 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size7(215,9 x 279,4 mm)" +type 263 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size8(215,9 x 279,4 mm)" +type 264 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size9(215,9 x 279,4 mm)" +type 265 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size10(215,9 x 279,4 mm)" +type 266 +width 783 +height 1013 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x200+2349+55" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator" +showingHierarchy 0 +openLibs [ +"WaveformGenerator" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator_test" +showingHierarchy 0 +openLibs [ +"WaveformGenerator_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1936 +height 1056 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_entity.vhg b/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_entity.vhg new file mode 100644 index 0000000..a0d98d6 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hdl/lowpass_entity.vhg @@ -0,0 +1,28 @@ +-- VHDL Entity WaveformGenerator.lowpass.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY lowpass IS + GENERIC( + signalBitNb : positive := 16; + shiftBitNb : positive := 12 + ); + PORT( + lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic; + lowpassIn : IN unsigned (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END lowpass ; + diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_studentVersion.vhd b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_studentVersion.vhd index f8b09bd..07a2851 100644 --- a/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_studentVersion.vhd +++ b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_studentVersion.vhd @@ -1,4 +1,16 @@ ARCHITECTURE studentVersion OF sawtoothToSquare IS + + signal mySignal : unsigned(bitNb-1 downto 0); + constant constOf0 : unsigned(bitNb-2 downto 0) := (others => '0'); + constant myConst : unsigned(bitNb-1 downto 0) := ('1' & constOf0); + BEGIN - square <= (others => '0'); + + convert: process(sawtooth) + begin + mySignal <= sawtooth AND myConst; + end process convert; + + square <= (others => sawtooth(bitNb-1)); + END ARCHITECTURE studentVersion; diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothgen_entity.vhg b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothgen_entity.vhg new file mode 100644 index 0000000..3f707ec --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothgen_entity.vhg @@ -0,0 +1,28 @@ +-- VHDL Entity WaveformGenerator.sawtoothGen.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothGen IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END sawtoothGen ; + diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtosquare_entity.vhg b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtosquare_entity.vhg new file mode 100644 index 0000000..a81570f --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtosquare_entity.vhg @@ -0,0 +1,25 @@ +-- VHDL Entity WaveformGenerator.sawtoothToSquare.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothToSquare IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + square : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sawtoothToSquare ; + diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtotriangle_entity.vhg b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtotriangle_entity.vhg new file mode 100644 index 0000000..08c91f4 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothtotriangle_entity.vhg @@ -0,0 +1,25 @@ +-- VHDL Entity WaveformGenerator.sawtoothToTriangle.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothToTriangle IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + triangle : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sawtoothToTriangle ; + diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/triangletopolygon_entity.vhg b/01-WaveformGenerator/WaveformGenerator/hdl/triangletopolygon_entity.vhg new file mode 100644 index 0000000..43f0c74 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hdl/triangletopolygon_entity.vhg @@ -0,0 +1,25 @@ +-- VHDL Entity WaveformGenerator.triangleToPolygon.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY triangleToPolygon IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + polygon : OUT unsigned (bitNb-1 DOWNTO 0); + triangle : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END triangleToPolygon ; + diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_entity.vhg b/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_entity.vhg new file mode 100644 index 0000000..e7a416e --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_entity.vhg @@ -0,0 +1,33 @@ +-- VHDL Entity WaveformGenerator.waveformGen.symbol +-- +-- Created: +-- by - francois.corthay.UNKNOWN (WEA20303) +-- at - 17:19:13 06.03.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY waveformGen IS + GENERIC( + phaseBitNb : positive := 16; + signalBitNb : positive := 16 + ); + PORT( + clock : IN std_ulogic; + en : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + polygon : OUT unsigned (signalBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (phaseBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END waveformGen ; + diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_struct.vhg b/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_struct.vhg new file mode 100644 index 0000000..f59d795 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hdl/waveformgen_struct.vhg @@ -0,0 +1,146 @@ +-- +-- VHDL Architecture WaveformGenerator.waveformGen.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:40:08 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY WaveformGenerator; + +ARCHITECTURE struct OF waveformGen IS + + -- Architecture declarations + + -- Internal signal declarations + + -- Implicit buffer signal declarations + SIGNAL polygon_internal : unsigned (signalBitNb-1 DOWNTO 0); + SIGNAL sawtooth_internal : unsigned (phaseBitNb-1 DOWNTO 0); + SIGNAL triangle_internal : unsigned (signalBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT lowpass + GENERIC ( + signalBitNb : positive := 16; + shiftBitNb : positive := 12 + ); + PORT ( + lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic ; + lowpassIn : IN unsigned (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothGen + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT sawtoothToSquare + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + square : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothToTriangle + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + triangle : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT triangleToPolygon + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + polygon : OUT unsigned (bitNb-1 DOWNTO 0); + triangle : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : lowpass USE ENTITY WaveformGenerator.lowpass; + FOR ALL : sawtoothGen USE ENTITY WaveformGenerator.sawtoothGen; + FOR ALL : sawtoothToSquare USE ENTITY WaveformGenerator.sawtoothToSquare; + FOR ALL : sawtoothToTriangle USE ENTITY WaveformGenerator.sawtoothToTriangle; + FOR ALL : triangleToPolygon USE ENTITY WaveformGenerator.triangleToPolygon; + -- pragma synthesis_on + + +BEGIN + + -- Instance port mappings. + I_lp : lowpass + GENERIC MAP ( + signalBitNb => signalBitNb, + shiftBitNb => 10 + ) + PORT MAP ( + lowpassOut => sine, + clock => clock, + reset => reset, + lowpassIn => polygon_internal + ); + I_saw : sawtoothGen + GENERIC MAP ( + bitNb => phaseBitNb + ) + PORT MAP ( + sawtooth => sawtooth_internal, + clock => clock, + reset => reset, + step => step, + en => en + ); + I_square : sawtoothToSquare + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + square => square, + sawtooth => sawtooth_internal + ); + I_tri : sawtoothToTriangle + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + triangle => triangle_internal, + sawtooth => sawtooth_internal + ); + I_poly : triangleToPolygon + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + polygon => polygon_internal, + triangle => triangle_internal + ); + + -- Implicit buffered output assignments + polygon <= polygon_internal; + sawtooth <= sawtooth_internal; + triangle <= triangle_internal; + +END struct; diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.xrf/lowpass_entity.xrf b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/lowpass_entity.xrf new file mode 100644 index 0000000..daaa156 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/lowpass_entity.xrf @@ -0,0 +1,27 @@ +DESIGN lowpass +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 57,0 18 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 52,0 19 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 76,0 20 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 83,0 21 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 1,0 24 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 1,0 25 0 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothgen_entity.xrf b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothgen_entity.xrf new file mode 100644 index 0000000..b4cebc4 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothgen_entity.xrf @@ -0,0 +1,30 @@ +DESIGN sawtooth@gen +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 57,0 17 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 52,0 18 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 76,0 19 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 83,0 20 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 89,0 21 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 1,0 24 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 1,0 25 0 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtosquare_entity.xrf b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtosquare_entity.xrf new file mode 100644 index 0000000..c726488 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtosquare_entity.xrf @@ -0,0 +1,21 @@ +DESIGN sawtooth@to@square +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 57,0 17 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 83,0 18 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 1,0 21 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 1,0 22 0 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtotriangle_entity.xrf b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtotriangle_entity.xrf new file mode 100644 index 0000000..52c935d --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/sawtoothtotriangle_entity.xrf @@ -0,0 +1,21 @@ +DESIGN sawtooth@to@triangle +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 57,0 17 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 83,0 18 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 1,0 21 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 1,0 22 0 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.xrf/triangletopolygon_entity.xrf b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/triangletopolygon_entity.xrf new file mode 100644 index 0000000..911ab55 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/triangletopolygon_entity.xrf @@ -0,0 +1,21 @@ +DESIGN triangle@to@polygon +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 57,0 17 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 83,0 18 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 1,0 21 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 1,0 22 0 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_entity.xrf b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_entity.xrf new file mode 100644 index 0000000..02015c0 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_entity.xrf @@ -0,0 +1,42 @@ +DESIGN waveform@gen +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 52,0 18 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 123,0 19 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 88,0 20 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 113,0 21 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 93,0 22 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 98,0 23 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 103,0 24 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 108,0 25 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 118,0 26 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 1,0 29 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 1,0 30 0 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_struct.xrf b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_struct.xrf new file mode 100644 index 0000000..d88f2e2 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.xrf/waveformgen_struct.xrf @@ -0,0 +1,215 @@ +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 84,0 9 0 +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 12 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 0,0 15 2 +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 20 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 513,0 22 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 414,0 23 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 424,0 24 0 +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 26 +LIBRARY WaveformGenerator +DESIGN lowpass +VIEW student@version +GRAPHIC 1036,0 28 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 14,0 29 1 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 57,0 34 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 52,0 35 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 76,0 36 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 83,0 37 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1227,0 40 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 14,0 41 1 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 57,0 45 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 52,0 46 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 76,0 47 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 83,0 48 0 +DESIGN sawtooth@gen +VIEW symbol.sb +GRAPHIC 89,0 49 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 916,0 52 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 14,0 53 1 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 57,0 57 0 +DESIGN sawtooth@to@square +VIEW symbol.sb +GRAPHIC 83,0 58 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 977,0 61 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 14,0 62 1 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 57,0 66 0 +DESIGN sawtooth@to@triangle +VIEW symbol.sb +GRAPHIC 83,0 67 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1011,0 70 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 14,0 71 1 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 57,0 75 0 +DESIGN triangle@to@polygon +VIEW symbol.sb +GRAPHIC 83,0 76 0 +LIBRARY WaveformGenerator +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 79 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1036,0 82 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1227,0 83 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 916,0 84 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 977,0 85 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1011,0 86 0 +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 89 +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 91 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1036,0 93 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1043,0 94 1 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 562,0 99 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 184,0 100 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 192,0 101 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 513,0 102 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1227,0 104 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1234,0 105 1 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 414,0 109 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 15,0 110 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 237,0 111 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 319,0 112 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 719,0 113 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 916,0 115 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 923,0 116 1 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 480,0 120 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 414,0 121 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 977,0 123 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 984,0 124 1 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 424,0 128 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 472,0 129 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1011,0 131 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 1018,0 132 1 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 513,0 136 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 424,0 137 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 513,0 141 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 414,0 142 0 +DESIGN waveform@gen +VIEW struct.bd +GRAPHIC 424,0 143 0 +DESIGN waveform@gen +VIEW struct.bd +NO_GRAPHIC 145 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/struct.bd.lck b/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/struct.bd.lck new file mode 100644 index 0000000..bf79d87 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/struct.bd.lck @@ -0,0 +1,6 @@ +EDIT_LOCK +remi.heredero +UNKNOWN +WE2330808 +2208 +27.02.2024-10:16:57.263000 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_entity.vhg b/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_entity.vhg new file mode 100644 index 0000000..6ec26bf --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_entity.vhg @@ -0,0 +1,15 @@ +-- VHDL Entity WaveformGenerator_test.waveformGen_tb.symbol +-- +-- Created: +-- by - francois.corthay.UNKNOWN (WEA30906) +-- at - 14:48:16 25.02.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- + + +ENTITY waveformGen_tb IS +-- Declarations + +END waveformGen_tb ; + diff --git a/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_struct.vhg b/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_struct.vhg new file mode 100644 index 0000000..88e6539 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_struct.vhg @@ -0,0 +1,106 @@ +-- +-- VHDL Architecture WaveformGenerator_test.waveformGen_tb.struct +-- +-- Created: +-- by - remi.heredero.UNKNOWN (WE2330808) +-- at - 11:05:34 27.02.2024 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +LIBRARY WaveformGenerator; +LIBRARY WaveformGenerator_test; + +ARCHITECTURE struct OF waveformGen_tb IS + + -- Architecture declarations + constant bitNb: positive := 16; + constant clockFrequency: real := 60.0E6; + --constant clockFrequency: real := 66.0E6; + + -- Internal signal declarations + SIGNAL clock : std_ulogic; + SIGNAL en : std_ulogic; + SIGNAL reset : std_ulogic; + SIGNAL sawtooth : unsigned(bitNb-1 DOWNTO 0); + SIGNAL square : unsigned(bitNb-1 DOWNTO 0); + SIGNAL step : unsigned(bitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT waveformGen + GENERIC ( + phaseBitNb : positive := 16; + signalBitNb : positive := 16 + ); + PORT ( + clock : IN std_ulogic ; + en : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + polygon : OUT unsigned (signalBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (phaseBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT waveformGen_tester + GENERIC ( + bitNb : positive := 16; + clockFrequency : real := 60.0E6 + ); + PORT ( + sawtooth : IN unsigned (bitNb-1 DOWNTO 0); + square : IN unsigned (bitNb-1 DOWNTO 0); + clock : OUT std_ulogic ; + en : OUT std_ulogic ; + reset : OUT std_ulogic ; + step : OUT unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : waveformGen USE ENTITY WaveformGenerator.waveformGen; + FOR ALL : waveformGen_tester USE ENTITY WaveformGenerator_test.waveformGen_tester; + -- pragma synthesis_on + + +BEGIN + + -- Instance port mappings. + I_DUT : waveformGen + GENERIC MAP ( + phaseBitNb => bitNb, + signalBitNb => bitNb + ) + PORT MAP ( + clock => clock, + en => en, + reset => reset, + step => step, + polygon => OPEN, + sawtooth => sawtooth, + sine => OPEN, + square => square, + triangle => OPEN + ); + I_tb : waveformGen_tester + GENERIC MAP ( + bitNb => bitNb, + clockFrequency => clockFrequency + ) + PORT MAP ( + sawtooth => sawtooth, + square => square, + clock => clock, + en => en, + reset => reset, + step => step + ); + +END struct; diff --git a/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tester_entity.vhg b/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tester_entity.vhg new file mode 100644 index 0000000..6307fa0 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tester_entity.vhg @@ -0,0 +1,30 @@ +-- VHDL Entity WaveformGenerator_test.waveformGen_tester.interface +-- +-- Created: +-- by - remi.heredero.UNKNOWN (WE2330808) +-- at - 11:05:34 27.02.2024 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +ENTITY waveformGen_tester IS + GENERIC( + bitNb : positive := 16; + clockFrequency : real := 60.0E6 + ); + PORT( + sawtooth : IN unsigned (BitNb-1 DOWNTO 0); + square : IN unsigned (BitNb-1 DOWNTO 0); + clock : OUT std_ulogic; + en : OUT std_ulogic; + reset : OUT std_ulogic; + step : OUT unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END waveformGen_tester ; + diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_entity.xrf b/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_entity.xrf new file mode 100644 index 0000000..2a08512 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_entity.xrf @@ -0,0 +1,12 @@ +DESIGN waveform@gen_tb +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN waveform@gen_tb +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN waveform@gen_tb +VIEW symbol.sb +GRAPHIC 1,0 11 0 +DESIGN waveform@gen_tb +VIEW symbol.sb +GRAPHIC 1,0 12 0 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_struct.xrf b/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_struct.xrf new file mode 100644 index 0000000..ab90955 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_struct.xrf @@ -0,0 +1,150 @@ +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 142,0 9 0 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 12 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 0,0 16 2 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 1,0 19 0 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 19 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 53,0 24 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 700,0 25 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 45,0 26 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 1180,0 27 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 1263,0 28 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 594,0 29 0 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 30 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 31 +LIBRARY WaveformGenerator +DESIGN waveform@gen +VIEW struct +GRAPHIC 954,0 33 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 14,0 34 1 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 52,0 39 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 123,0 40 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 88,0 41 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 113,0 42 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 93,0 43 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 98,0 44 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 103,0 45 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 108,0 46 0 +DESIGN waveform@gen +VIEW symbol.sb +GRAPHIC 118,0 47 0 +LIBRARY WaveformGenerator_test +DESIGN waveform@gen_tester +VIEW test +GRAPHIC 421,0 50 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 14,0 51 1 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 1182,0 56 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 1265,0 57 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 55,0 58 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 702,0 59 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 47,0 60 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 596,0 61 0 +LIBRARY WaveformGenerator_test +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 64 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 954,0 67 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 421,0 68 0 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 71 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 73 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 954,0 75 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 961,0 76 1 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 55,0 81 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 702,0 82 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 47,0 83 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 596,0 84 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 1182,0 86 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 1265,0 88 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 421,0 91 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 428,0 92 1 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 105 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tester_entity.xrf b/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tester_entity.xrf new file mode 100644 index 0000000..fb22e1c --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tester_entity.xrf @@ -0,0 +1,33 @@ +DESIGN waveform@gen_tester +VIEW interface +NO_GRAPHIC 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 18,0 8 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 13,0 13 1 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 904,0 18 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 909,0 19 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 889,0 20 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 894,0 21 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 899,0 22 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 914,0 23 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 1,0 26 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 1,0 27 0 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd index 1363e34..3c26cf7 100644 --- a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd @@ -69,23 +69,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hdl" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hdl" ) (vvPair variable "HDSDir" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd.info" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd.user" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd.user" ) (vvPair variable "SourceDir" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" ) (vvPair variable "appl" @@ -109,27 +109,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb" ) (vvPair variable "d_logical" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb" ) (vvPair variable "date" -value "28.04.2023" +value "27.02.2024" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "28" +value "27" ) (vvPair variable "designName" @@ -157,11 +157,11 @@ value "struct" ) (vvPair variable "graphical_source_author" -value "axel.amand" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "28.04.2023" +value "27.02.2024" ) (vvPair variable "graphical_source_group" @@ -169,11 +169,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "WE7860" +value "WE2330808" ) (vvPair variable "graphical_source_time" -value "14:39:46" +value "11:05:34" ) (vvPair variable "group" @@ -181,7 +181,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "WE7860" +value "WE2330808" ) (vvPair variable "language" @@ -201,7 +201,7 @@ value "$SCRATCH_DIR/WaveformGenerator_test" ) (vvPair variable "mm" -value "04" +value "02" ) (vvPair variable "module_name" @@ -209,19 +209,19 @@ value "waveformGen_tb" ) (vvPair variable "month" -value "avr." +value "févr." ) (vvPair variable "month_long" -value "avril" +value "février" ) (vvPair variable "p" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd" ) (vvPair variable "p_logical" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb\\struct.bd" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb\\struct.bd" ) (vvPair variable "package_name" @@ -301,7 +301,7 @@ value "struct" ) (vvPair variable "time" -value "14:39:46" +value "11:05:34" ) (vvPair variable "unit" @@ -309,7 +309,7 @@ value "waveformGen_tb" ) (vvPair variable "user" -value "axel.amand" +value "remi.heredero" ) (vvPair variable "version" @@ -321,11 +321,11 @@ value "struct" ) (vvPair variable "year" -value "2023" +value "2024" ) (vvPair variable "yy" -value "23" +value "24" ) ] ) @@ -344,8 +344,9 @@ declText (MLText uid 46,0 va (VaSet ) -xt "2000,14600,17400,15800" -st "SIGNAL reset : std_ulogic" +xt "2000,14600,18300,15800" +st "SIGNAL reset : std_ulogic +" ) ) *2 (Net @@ -360,8 +361,9 @@ declText (MLText uid 54,0 va (VaSet ) -xt "2000,12200,17500,13400" -st "SIGNAL clock : std_ulogic" +xt "2000,12200,18400,13400" +st "SIGNAL clock : std_ulogic +" ) ) *3 (Grouping @@ -769,8 +771,9 @@ declText (MLText uid 595,0 va (VaSet ) -xt "2000,15800,28300,17000" -st "SIGNAL step : unsigned(bitNb-1 DOWNTO 0)" +xt "2000,18200,29200,19400" +st "SIGNAL step : unsigned(bitNb-1 DOWNTO 0) +" ) ) *19 (Net @@ -785,8 +788,9 @@ declText (MLText uid 701,0 va (VaSet ) -xt "2000,13400,16900,14600" -st "SIGNAL en : std_ulogic" +xt "2000,13400,17800,14600" +st "SIGNAL en : std_ulogic +" ) ) *20 (SaComponent @@ -1206,7 +1210,43 @@ sTC 0 ) archFileType "UNKNOWN" ) -*33 (Wire +*33 (Net +uid 1180,0 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +declText (MLText +uid 1181,0 +va (VaSet +) +xt "2000,15800,33400,17000" +st "SIGNAL sawtooth : unsigned(phaseBitNb-1 DOWNTO 0) +" +) +) +*34 (Net +uid 1263,0 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 10,0 +) +declText (MLText +uid 1264,0 +va (VaSet +) +xt "2000,17000,32900,18200" +st "SIGNAL square : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*35 (Wire uid 47,0 shape (OrthoPolyLine uid 48,0 @@ -1245,7 +1285,7 @@ tm "WireNameMgr" ) on &1 ) -*34 (Wire +*36 (Wire uid 55,0 shape (OrthoPolyLine uid 56,0 @@ -1284,7 +1324,7 @@ tm "WireNameMgr" ) on &2 ) -*35 (Wire +*37 (Wire uid 596,0 shape (OrthoPolyLine uid 597,0 @@ -1325,7 +1365,7 @@ tm "WireNameMgr" ) on &18 ) -*36 (Wire +*38 (Wire uid 702,0 shape (OrthoPolyLine uid 703,0 @@ -1364,6 +1404,88 @@ tm "WireNameMgr" ) on &19 ) +*39 (Wire +uid 1182,0 +shape (OrthoPolyLine +uid 1183,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,18000,68000,38000" +pts [ +"55750,18000" +"68000,18000" +"68000,38000" +] +) +start &24 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1186,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1187,0 +va (VaSet +font "Arial,12,0" +) +xt "57750,16500,63450,18000" +st "sawtooth" +blo "57750,17700" +tm "WireNameMgr" +) +) +on &33 +) +*40 (Wire +uid 1265,0 +shape (OrthoPolyLine +uid 1266,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,20000,65000,38000" +pts [ +"55750,20000" +"65000,20000" +"65000,38000" +] +) +start &26 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1269,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1270,0 +va (VaSet +font "Arial,12,0" +) +xt "57750,18500,62350,20000" +st "square" +blo "57750,19700" +tm "WireNameMgr" +) +) +on &34 +) ] bg "65535,65535,65535" grid (Grid @@ -1376,11 +1498,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *37 (PackageList +packageList *41 (PackageList uid 142,0 stg "VerticalLayoutStrategy" textVec [ -*38 (Text +*42 (Text uid 143,0 va (VaSet font "Arial,8,1" @@ -1389,7 +1511,7 @@ xt "0,0,6500,900" st "Package List" blo "0,700" ) -*39 (MLText +*43 (MLText uid 144,0 va (VaSet ) @@ -1405,7 +1527,7 @@ compDirBlock (MlTextGroup uid 145,0 stg "VerticalLayoutStrategy" textVec [ -*40 (Text +*44 (Text uid 146,0 va (VaSet isHidden 1 @@ -1415,7 +1537,7 @@ xt "20000,0,30000,900" st "Compiler Directives" blo "20000,700" ) -*41 (Text +*45 (Text uid 147,0 va (VaSet isHidden 1 @@ -1425,7 +1547,7 @@ xt "20000,1000,31500,1900" st "Pre-module directives:" blo "20000,1700" ) -*42 (MLText +*46 (MLText uid 148,0 va (VaSet isHidden 1 @@ -1435,7 +1557,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*43 (Text +*47 (Text uid 149,0 va (VaSet isHidden 1 @@ -1445,7 +1567,7 @@ xt "20000,4000,32000,4900" st "Post-module directives:" blo "20000,4700" ) -*44 (MLText +*48 (MLText uid 150,0 va (VaSet isHidden 1 @@ -1453,7 +1575,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*45 (Text +*49 (Text uid 151,0 va (VaSet isHidden 1 @@ -1463,7 +1585,7 @@ xt "20000,5000,31500,5900" st "End-module directives:" blo "20000,5700" ) -*46 (MLText +*50 (MLText uid 152,0 va (VaSet isHidden 1 @@ -1475,7 +1597,7 @@ tm "BdCompilerDirectivesTextMgr" associable 1 ) windowSize "-8,-8,1928,1048" -viewArea "-1194,-1194,105025,56517" +viewArea "-1200,4500,106404,61200" cachedDiagramExtent "0,0,81000,55000" pageSetupInfo (PageSetupInfo ptrCmd "Generic PostScript Printer,winspool," @@ -1500,7 +1622,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "0,0" -lastUid 1148,0 +lastUid 1272,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -1587,7 +1709,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*47 (Text +*51 (Text va (VaSet font "Arial,9,0" ) @@ -1596,7 +1718,7 @@ st "" blo "1700,4200" tm "BdLibraryNameMgr" ) -*48 (Text +*52 (Text va (VaSet font "Arial,9,0" ) @@ -1605,7 +1727,7 @@ st "" blo "1700,5400" tm "BlkNameMgr" ) -*49 (Text +*53 (Text va (VaSet font "Arial,9,0" ) @@ -1643,21 +1765,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*50 (Text +*54 (Text va (VaSet ) xt "1000,3500,3300,4500" st "Library" blo "1000,4300" ) -*51 (Text +*55 (Text va (VaSet ) xt "1000,4500,7000,5500" st "MWComponent" blo "1000,5300" ) -*52 (Text +*56 (Text va (VaSet ) xt "1000,5500,1600,6500" @@ -1701,7 +1823,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*53 (Text +*57 (Text va (VaSet ) xt "1250,3500,3550,4500" @@ -1709,7 +1831,7 @@ st "Library" blo "1250,4300" tm "BdLibraryNameMgr" ) -*54 (Text +*58 (Text va (VaSet ) xt "1250,4500,6750,5500" @@ -1717,7 +1839,7 @@ st "SaComponent" blo "1250,5300" tm "CptNameMgr" ) -*55 (Text +*59 (Text va (VaSet ) xt "1250,5500,1850,6500" @@ -1755,21 +1877,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*56 (Text +*60 (Text va (VaSet ) xt "950,3500,3250,4500" st "Library" blo "950,4300" ) -*57 (Text +*61 (Text va (VaSet ) xt "950,4500,7050,5500" st "VhdlComponent" blo "950,5300" ) -*58 (Text +*62 (Text va (VaSet ) xt "950,5500,1550,6500" @@ -1809,21 +1931,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*59 (Text +*63 (Text va (VaSet ) xt "450,3500,2750,4500" st "Library" blo "450,4300" ) -*60 (Text +*64 (Text va (VaSet ) xt "450,4500,7550,5500" st "VerilogComponent" blo "450,5300" ) -*61 (Text +*65 (Text va (VaSet ) xt "450,5500,1050,6500" @@ -1861,7 +1983,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*62 (Text +*66 (Text va (VaSet ) xt "3400,4000,4600,5000" @@ -1869,7 +1991,7 @@ st "eb1" blo "3400,4800" tm "HdlTextNameMgr" ) -*63 (Text +*67 (Text va (VaSet ) xt "3400,5000,3800,6000" @@ -2266,7 +2388,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*64 (Text +*68 (Text va (VaSet font "Arial,8,1" ) @@ -2274,7 +2396,7 @@ xt "14100,20000,22000,21000" st "Frame Declarations" blo "14100,20800" ) -*65 (MLText +*69 (MLText va (VaSet ) xt "14100,21000,14100,21000" @@ -2326,7 +2448,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*66 (Text +*70 (Text va (VaSet font "Arial,8,1" ) @@ -2334,7 +2456,7 @@ xt "14100,20000,22000,21000" st "Frame Declarations" blo "14100,20800" ) -*67 (MLText +*71 (MLText va (VaSet ) xt "14100,21000,14100,21000" @@ -2476,46 +2598,46 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 4,0 +suid 10,0 usingSuid 1 -emptyRow *68 (LEmptyRow +emptyRow *72 (LEmptyRow ) uid 717,0 optionalChildren [ -*69 (RefLabelRowHdr +*73 (RefLabelRowHdr ) -*70 (TitleRowHdr +*74 (TitleRowHdr ) -*71 (FilterRowHdr +*75 (FilterRowHdr ) -*72 (RefLabelColHdr +*76 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*73 (RowExpandColHdr +*77 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*74 (GroupColHdr +*78 (GroupColHdr tm "GroupColHdrMgr" ) -*75 (NameColHdr +*79 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*76 (ModeColHdr +*80 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*77 (TypeColHdr +*81 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*78 (BoundsColHdr +*82 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*79 (InitColHdr +*83 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*80 (EolColHdr +*84 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*81 (LeafLogPort +*85 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2527,7 +2649,7 @@ suid 1,0 ) uid 708,0 ) -*82 (LeafLogPort +*86 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2539,7 +2661,7 @@ suid 2,0 ) uid 710,0 ) -*83 (LeafLogPort +*87 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2552,7 +2674,7 @@ suid 3,0 ) uid 712,0 ) -*84 (LeafLogPort +*88 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2564,6 +2686,32 @@ suid 4,0 ) uid 714,0 ) +*89 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +uid 1188,0 +) +*90 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 10,0 +) +) +uid 1271,0 +) ] ) pdm (PhysicalDM @@ -2571,7 +2719,7 @@ displayShortBounds 1 editShortBounds 1 uid 730,0 optionalChildren [ -*85 (Sheet +*91 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2588,56 +2736,68 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *86 (MRCItem -litem &68 -pos 4 +emptyMRCItem *92 (MRCItem +litem &72 +pos 6 dimension 20 ) uid 732,0 optionalChildren [ -*87 (MRCItem -litem &69 +*93 (MRCItem +litem &73 pos 0 dimension 20 uid 733,0 ) -*88 (MRCItem -litem &70 +*94 (MRCItem +litem &74 pos 1 dimension 23 uid 734,0 ) -*89 (MRCItem -litem &71 +*95 (MRCItem +litem &75 pos 2 hidden 1 dimension 20 uid 735,0 ) -*90 (MRCItem -litem &81 +*96 (MRCItem +litem &85 pos 0 dimension 20 uid 709,0 ) -*91 (MRCItem -litem &82 +*97 (MRCItem +litem &86 pos 1 dimension 20 uid 711,0 ) -*92 (MRCItem -litem &83 +*98 (MRCItem +litem &87 pos 2 dimension 20 uid 713,0 ) -*93 (MRCItem -litem &84 +*99 (MRCItem +litem &88 pos 3 dimension 20 uid 715,0 ) +*100 (MRCItem +litem &89 +pos 4 +dimension 20 +uid 1189,0 +) +*101 (MRCItem +litem &90 +pos 5 +dimension 20 +uid 1272,0 +) ] ) sheetCol (SheetCol @@ -2649,50 +2809,50 @@ textAngle 90 ) uid 736,0 optionalChildren [ -*94 (MRCItem -litem &72 +*102 (MRCItem +litem &76 pos 0 dimension 20 uid 737,0 ) -*95 (MRCItem -litem &74 +*103 (MRCItem +litem &78 pos 1 dimension 50 uid 738,0 ) -*96 (MRCItem -litem &75 +*104 (MRCItem +litem &79 pos 2 dimension 100 uid 739,0 ) -*97 (MRCItem -litem &76 +*105 (MRCItem +litem &80 pos 3 dimension 50 uid 740,0 ) -*98 (MRCItem -litem &77 +*106 (MRCItem +litem &81 pos 4 dimension 100 uid 741,0 ) -*99 (MRCItem -litem &78 +*107 (MRCItem +litem &82 pos 5 dimension 100 uid 742,0 ) -*100 (MRCItem -litem &79 +*108 (MRCItem +litem &83 pos 6 dimension 50 uid 743,0 ) -*101 (MRCItem -litem &80 +*109 (MRCItem +litem &84 pos 7 dimension 80 uid 744,0 @@ -2712,38 +2872,38 @@ uid 716,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *102 (LEmptyRow +emptyRow *110 (LEmptyRow ) uid 746,0 optionalChildren [ -*103 (RefLabelRowHdr +*111 (RefLabelRowHdr ) -*104 (TitleRowHdr +*112 (TitleRowHdr ) -*105 (FilterRowHdr +*113 (FilterRowHdr ) -*106 (RefLabelColHdr +*114 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*107 (RowExpandColHdr +*115 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*108 (GroupColHdr +*116 (GroupColHdr tm "GroupColHdrMgr" ) -*109 (NameColHdr +*117 (NameColHdr tm "GenericNameColHdrMgr" ) -*110 (TypeColHdr +*118 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*111 (InitColHdr +*119 (InitColHdr tm "GenericValueColHdrMgr" ) -*112 (PragmaColHdr +*120 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*113 (EolColHdr +*121 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -2751,7 +2911,7 @@ tm "GenericEolColHdrMgr" pdm (PhysicalDM uid 758,0 optionalChildren [ -*114 (Sheet +*122 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2768,27 +2928,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *115 (MRCItem -litem &102 +emptyMRCItem *123 (MRCItem +litem &110 pos 0 dimension 20 ) uid 760,0 optionalChildren [ -*116 (MRCItem -litem &103 +*124 (MRCItem +litem &111 pos 0 dimension 20 uid 761,0 ) -*117 (MRCItem -litem &104 +*125 (MRCItem +litem &112 pos 1 dimension 23 uid 762,0 ) -*118 (MRCItem -litem &105 +*126 (MRCItem +litem &113 pos 2 hidden 1 dimension 20 @@ -2805,44 +2965,44 @@ textAngle 90 ) uid 764,0 optionalChildren [ -*119 (MRCItem -litem &106 +*127 (MRCItem +litem &114 pos 0 dimension 20 uid 765,0 ) -*120 (MRCItem -litem &108 +*128 (MRCItem +litem &116 pos 1 dimension 50 uid 766,0 ) -*121 (MRCItem -litem &109 +*129 (MRCItem +litem &117 pos 2 dimension 100 uid 767,0 ) -*122 (MRCItem -litem &110 +*130 (MRCItem +litem &118 pos 3 dimension 100 uid 768,0 ) -*123 (MRCItem -litem &111 +*131 (MRCItem +litem &119 pos 4 dimension 50 uid 769,0 ) -*124 (MRCItem -litem &112 +*132 (MRCItem +litem &120 pos 5 dimension 50 uid 770,0 ) -*125 (MRCItem -litem &113 +*133 (MRCItem +litem &121 pos 6 dimension 80 uid 771,0 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.bak b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.bak new file mode 100644 index 0000000..a0d13dd --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.bak @@ -0,0 +1,2947 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "WaveformGenerator_test" +duName "waveformGen_tester" +elements [ +(GiElement +name "bitNb" +type "positive" +value "bitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 421,0 +) +(Instance +name "I_DUT" +duLibraryName "WaveformGenerator" +duName "waveformGen" +elements [ +(GiElement +name "phaseBitNb" +type "positive" +value "bitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "bitNb" +) +] +mwi 0 +uid 954,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb" +) +(vvPair +variable "date" +value "23.02.2024" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "23" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "waveformGen_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "remi.heredero" +) +(vvPair +variable "graphical_source_date" +value "23.02.2024" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2330808" +) +(vvPair +variable "graphical_source_time" +value "16:03:19" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2330808" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "waveformGen_tb" +) +(vvPair +variable "month" +value "févr." +) +(vvPair +variable "month_long" +value "février" +) +(vvPair +variable "p" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR/$DESIGN_NAME/$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "16:03:19" +) +(vvPair +variable "unit" +value "waveformGen_tb" +) +(vvPair +variable "user" +value "remi.heredero" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2024" +) +(vvPair +variable "yy" +value "24" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Net +uid 45,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 1,0 +) +declText (MLText +uid 46,0 +va (VaSet +) +xt "2000,14600,18300,15800" +st "SIGNAL reset : std_ulogic +" +) +) +*2 (Net +uid 53,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +declText (MLText +uid 54,0 +va (VaSet +) +xt "2000,12200,18400,13400" +st "SIGNAL clock : std_ulogic +" +) +) +*3 (Grouping +uid 110,0 +optionalChildren [ +*4 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,54000,61000,55000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,54500,44200,54500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,50000,65000,51000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,50500,61200,50500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,52000,61000,53000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,52500,44200,52500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,52000,44000,53000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,52500,40200,52500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,51000,81000,55000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,51200,75300,52400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*9 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,50000,81000,51000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,50500,65200,50500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,50000,61000,52000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "45350,50400,55650,51600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,53000,44000,54000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,53500,40200,53500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,54000,44000,55000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,54500,40200,54500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,53000,61000,54000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,53500,44200,53500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "40000,50000,81000,55000" +) +oxt "14000,66000,55000,71000" +) +*14 (Blk +uid 421,0 +shape (Rectangle +uid 422,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "19000,38000,71000,46000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 423,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 424,0 +va (VaSet +font "Arial,9,0" +) +xt "19700,46200,31200,47100" +st "WaveformGenerator_test" +blo "19700,46900" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 425,0 +va (VaSet +font "Arial,9,0" +) +xt "19700,47400,29200,48300" +st "waveformGen_tester" +blo "19700,48100" +tm "BlkNameMgr" +) +*17 (Text +uid 426,0 +va (VaSet +font "Arial,9,0" +) +xt "19700,48600,21700,49500" +st "I_tb" +blo "19700,49300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 427,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 428,0 +text (MLText +uid 429,0 +va (VaSet +) +xt "19000,50000,45200,52400" +st "bitNb = bitNb ( positive ) +clockFrequency = clockFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "bitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +) +*18 (Net +uid 594,0 +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +declText (MLText +uid 595,0 +va (VaSet +) +xt "2000,17000,29200,18200" +st "SIGNAL step : unsigned(bitNb-1 DOWNTO 0) +" +) +) +*19 (Net +uid 700,0 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 4,0 +) +declText (MLText +uid 701,0 +va (VaSet +) +xt "2000,13400,17800,14600" +st "SIGNAL en : std_ulogic +" +) +) +*20 (SaComponent +uid 954,0 +optionalChildren [ +*21 (CptPort +uid 918,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 919,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,25625,39000,26375" +) +tg (CPTG +uid 920,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 921,0 +va (VaSet +font "Arial,9,0" +) +xt "40000,25400,42500,26300" +st "clock" +blo "40000,26100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 922,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 923,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,27625,39000,28375" +) +tg (CPTG +uid 924,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 925,0 +va (VaSet +font "Arial,9,0" +) +xt "40000,27400,42500,28300" +st "reset" +blo "40000,28100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*23 (CptPort +uid 926,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 927,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,23625,55750,24375" +) +tg (CPTG +uid 928,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 929,0 +va (VaSet +font "Arial,9,0" +) +xt "50500,23400,54000,24300" +st "polygon" +ju 2 +blo "54000,24100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 3,0 +) +) +) +*24 (CptPort +uid 930,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 931,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,17625,55750,18375" +) +tg (CPTG +uid 932,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 933,0 +va (VaSet +font "Arial,9,0" +) +xt "50000,17400,54000,18300" +st "sawtooth" +ju 2 +blo "54000,18100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 4,0 +) +) +) +*25 (CptPort +uid 934,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 935,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,25625,55750,26375" +) +tg (CPTG +uid 936,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 937,0 +va (VaSet +font "Arial,9,0" +) +xt "52000,25400,54000,26300" +st "sine" +ju 2 +blo "54000,26100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +) +*26 (CptPort +uid 938,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 939,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,19625,55750,20375" +) +tg (CPTG +uid 940,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 941,0 +va (VaSet +font "Arial,9,0" +) +xt "51000,19400,54000,20300" +st "square" +ju 2 +blo "54000,20100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 6,0 +) +) +) +*27 (CptPort +uid 942,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 943,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,17625,39000,18375" +) +tg (CPTG +uid 944,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 945,0 +va (VaSet +font "Arial,9,0" +) +xt "40000,17400,42000,18300" +st "step" +blo "40000,18100" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 4 +suid 7,0 +) +) +) +*28 (CptPort +uid 946,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 947,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,21625,55750,22375" +) +tg (CPTG +uid 948,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 949,0 +va (VaSet +font "Arial,9,0" +) +xt "50000,21400,54000,22300" +st "triangle" +ju 2 +blo "54000,22100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 8,0 +) +) +) +*29 (CptPort +uid 950,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 951,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,23625,39000,24375" +) +tg (CPTG +uid 952,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 953,0 +va (VaSet +font "Arial,9,0" +) +xt "40000,23400,41000,24300" +st "en" +blo "40000,24100" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 955,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,14000,55000,30000" +) +oxt "32000,14000,48000,30000" +ttg (MlTextGroup +uid 956,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*30 (Text +uid 957,0 +va (VaSet +font "Arial,9,1" +) +xt "39600,30800,48600,31700" +st "WaveformGenerator" +blo "39600,31500" +tm "BdLibraryNameMgr" +) +*31 (Text +uid 958,0 +va (VaSet +font "Arial,9,1" +) +xt "39600,32000,45600,32900" +st "waveformGen" +blo "39600,32700" +tm "CptNameMgr" +) +*32 (Text +uid 959,0 +va (VaSet +font "Arial,9,1" +) +xt "39600,33200,42100,34100" +st "I_DUT" +blo "39600,33900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 960,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 961,0 +text (MLText +uid 962,0 +va (VaSet +) +xt "39000,34600,58400,37000" +st "phaseBitNb = bitNb ( positive ) +signalBitNb = bitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "phaseBitNb" +type "positive" +value "bitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "bitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*33 (Net +uid 1180,0 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +declText (MLText +uid 1181,0 +va (VaSet +) +xt "2000,15800,33400,17000" +st "SIGNAL sawtooth : unsigned(phaseBitNb-1 DOWNTO 0) +" +) +) +*34 (Wire +uid 47,0 +shape (OrthoPolyLine +uid 48,0 +va (VaSet +vasetType 3 +) +xt "35000,28000,38250,38000" +pts [ +"35000,38000" +"35000,28000" +"38250,28000" +] +) +start &14 +end &22 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 51,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 52,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,26600,38500,27900" +st "reset" +blo "35000,27600" +tm "WireNameMgr" +) +) +on &1 +) +*35 (Wire +uid 55,0 +shape (OrthoPolyLine +uid 56,0 +va (VaSet +vasetType 3 +) +xt "33000,26000,38250,38000" +pts [ +"33000,38000" +"33000,26000" +"38250,26000" +] +) +start &14 +end &21 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 59,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 60,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,24600,38500,25900" +st "clock" +blo "35000,25600" +tm "WireNameMgr" +) +) +on &2 +) +*36 (Wire +uid 596,0 +shape (OrthoPolyLine +uid 597,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "27000,18000,38250,38000" +pts [ +"38250,18000" +"27000,18000" +"27000,38000" +] +) +start &27 +end &14 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 600,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 601,0 +va (VaSet +font "Arial,12,0" +) +xt "34250,16600,37050,17900" +st "step" +blo "34250,17600" +tm "WireNameMgr" +) +) +on &18 +) +*37 (Wire +uid 702,0 +shape (OrthoPolyLine +uid 703,0 +va (VaSet +vasetType 3 +) +xt "31000,24000,38250,38000" +pts [ +"38250,24000" +"31000,24000" +"31000,38000" +] +) +start &29 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 706,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 707,0 +va (VaSet +font "Arial,12,0" +) +xt "35250,22600,36650,23900" +st "en" +blo "35250,23600" +tm "WireNameMgr" +) +) +on &19 +) +*38 (Wire +uid 1182,0 +shape (OrthoPolyLine +uid 1183,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,18000,68000,38000" +pts [ +"55750,18000" +"68000,18000" +"68000,38000" +] +) +start &24 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1186,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1187,0 +va (VaSet +font "Arial,12,0" +) +xt "57750,16500,63450,18000" +st "sawtooth" +blo "57750,17700" +tm "WireNameMgr" +) +) +on &33 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *39 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 143,0 +va (VaSet +font "Arial,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*41 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*43 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*44 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*45 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*46 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*47 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*48 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-1200,4470,105459,61170" +cachedDiagramExtent "0,0,81000,55000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 90 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 1229,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*50 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*51 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*53 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*54 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*56 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*57 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*59 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*60 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*62 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*63 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*65 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*67 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*69 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,5000,6500,5900" +st "Declarations" +blo "0,5700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,5900,3000,6800" +st "Ports:" +blo "0,6600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,6800,4500,7700" +st "Pre User:" +blo "0,7500" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "2000,7700,26900,11300" +st "constant bitNb: positive := 16; +constant clockFrequency: real := 60.0E6; +--constant clockFrequency: real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "0,11300,8500,12200" +st "Diagram Signals:" +blo "0,12000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,5000,5500,5900" +st "Post User:" +blo "0,5700" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "0,5000,0,5000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *70 (LEmptyRow +) +uid 717,0 +optionalChildren [ +*71 (RefLabelRowHdr +) +*72 (TitleRowHdr +) +*73 (FilterRowHdr +) +*74 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*75 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*76 (GroupColHdr +tm "GroupColHdrMgr" +) +*77 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*78 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*79 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*80 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*81 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*82 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*83 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 708,0 +) +*84 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 710,0 +) +*85 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 712,0 +) +*86 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 4,0 +) +) +uid 714,0 +) +*87 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +uid 1188,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 730,0 +optionalChildren [ +*88 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *89 (MRCItem +litem &70 +pos 5 +dimension 20 +) +uid 732,0 +optionalChildren [ +*90 (MRCItem +litem &71 +pos 0 +dimension 20 +uid 733,0 +) +*91 (MRCItem +litem &72 +pos 1 +dimension 23 +uid 734,0 +) +*92 (MRCItem +litem &73 +pos 2 +hidden 1 +dimension 20 +uid 735,0 +) +*93 (MRCItem +litem &83 +pos 0 +dimension 20 +uid 709,0 +) +*94 (MRCItem +litem &84 +pos 1 +dimension 20 +uid 711,0 +) +*95 (MRCItem +litem &85 +pos 2 +dimension 20 +uid 713,0 +) +*96 (MRCItem +litem &86 +pos 3 +dimension 20 +uid 715,0 +) +*97 (MRCItem +litem &87 +pos 4 +dimension 20 +uid 1189,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 736,0 +optionalChildren [ +*98 (MRCItem +litem &74 +pos 0 +dimension 20 +uid 737,0 +) +*99 (MRCItem +litem &76 +pos 1 +dimension 50 +uid 738,0 +) +*100 (MRCItem +litem &77 +pos 2 +dimension 100 +uid 739,0 +) +*101 (MRCItem +litem &78 +pos 3 +dimension 50 +uid 740,0 +) +*102 (MRCItem +litem &79 +pos 4 +dimension 100 +uid 741,0 +) +*103 (MRCItem +litem &80 +pos 5 +dimension 100 +uid 742,0 +) +*104 (MRCItem +litem &81 +pos 6 +dimension 50 +uid 743,0 +) +*105 (MRCItem +litem &82 +pos 7 +dimension 80 +uid 744,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 731,0 +vaOverrides [ +] +) +] +) +uid 716,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *106 (LEmptyRow +) +uid 746,0 +optionalChildren [ +*107 (RefLabelRowHdr +) +*108 (TitleRowHdr +) +*109 (FilterRowHdr +) +*110 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*111 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*112 (GroupColHdr +tm "GroupColHdrMgr" +) +*113 (NameColHdr +tm "GenericNameColHdrMgr" +) +*114 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*115 (InitColHdr +tm "GenericValueColHdrMgr" +) +*116 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*117 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 758,0 +optionalChildren [ +*118 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *119 (MRCItem +litem &106 +pos 0 +dimension 20 +) +uid 760,0 +optionalChildren [ +*120 (MRCItem +litem &107 +pos 0 +dimension 20 +uid 761,0 +) +*121 (MRCItem +litem &108 +pos 1 +dimension 23 +uid 762,0 +) +*122 (MRCItem +litem &109 +pos 2 +hidden 1 +dimension 20 +uid 763,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 764,0 +optionalChildren [ +*123 (MRCItem +litem &110 +pos 0 +dimension 20 +uid 765,0 +) +*124 (MRCItem +litem &112 +pos 1 +dimension 50 +uid 766,0 +) +*125 (MRCItem +litem &113 +pos 2 +dimension 100 +uid 767,0 +) +*126 (MRCItem +litem &114 +pos 3 +dimension 100 +uid 768,0 +) +*127 (MRCItem +litem &115 +pos 4 +dimension 50 +uid 769,0 +) +*128 (MRCItem +litem &116 +pos 5 +dimension 50 +uid 770,0 +) +*129 (MRCItem +litem &117 +pos 6 +dimension 80 +uid 771,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 759,0 +vaOverrides [ +] +) +] +) +uid 745,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.lck b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.lck new file mode 100644 index 0000000..439fd8d --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.lck @@ -0,0 +1,6 @@ +EDIT_LOCK +remi.heredero +UNKNOWN +WE2330808 +2208 +27.02.2024-10:20:27.896000 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface index e643aff..e00db4d 100644 --- a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface @@ -22,7 +22,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 44,0 +suid 69,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -68,10 +68,10 @@ decl (Decl n "clock" t "std_ulogic" o 1 -suid 41,0 +suid 64,0 ) ) -uid 656,0 +uid 919,0 ) *15 (LogPort port (LogicalPort @@ -80,10 +80,10 @@ decl (Decl n "en" t "std_ulogic" o 2 -suid 42,0 +suid 65,0 ) ) -uid 658,0 +uid 921,0 ) *16 (LogPort port (LogicalPort @@ -92,23 +92,47 @@ decl (Decl n "reset" t "std_ulogic" o 3 -suid 43,0 +suid 66,0 ) ) -uid 660,0 +uid 923,0 ) *17 (LogPort port (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 5 +suid 67,0 +) +) +uid 925,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 68,0 +) +) +uid 927,0 +) +*19 (LogPort +port (LogicalPort m 1 decl (Decl n "step" t "unsigned" b "(bitNb-1 DOWNTO 0)" o 4 -suid 44,0 +suid 69,0 ) ) -uid 662,0 +uid 929,0 ) ] ) @@ -117,7 +141,7 @@ displayShortBounds 1 editShortBounds 1 uid 62,0 optionalChildren [ -*18 (Sheet +*20 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -134,55 +158,67 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *19 (MRCItem +emptyMRCItem *21 (MRCItem litem &1 pos 4 dimension 20 ) uid 64,0 optionalChildren [ -*20 (MRCItem +*22 (MRCItem litem &2 pos 0 dimension 20 uid 65,0 ) -*21 (MRCItem +*23 (MRCItem litem &3 pos 1 dimension 23 uid 66,0 ) -*22 (MRCItem +*24 (MRCItem litem &4 pos 2 hidden 1 dimension 20 uid 67,0 ) -*23 (MRCItem +*25 (MRCItem litem &14 pos 0 dimension 20 -uid 657,0 +uid 920,0 ) -*24 (MRCItem +*26 (MRCItem litem &15 pos 1 dimension 20 -uid 659,0 +uid 922,0 ) -*25 (MRCItem +*27 (MRCItem litem &16 pos 2 dimension 20 -uid 661,0 +uid 924,0 ) -*26 (MRCItem +*28 (MRCItem litem &17 pos 3 dimension 20 -uid 663,0 +uid 926,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 928,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 930,0 ) ] ) @@ -195,49 +231,49 @@ textAngle 90 ) uid 68,0 optionalChildren [ -*27 (MRCItem +*31 (MRCItem litem &5 pos 0 dimension 20 uid 69,0 ) -*28 (MRCItem +*32 (MRCItem litem &7 pos 1 dimension 50 uid 70,0 ) -*29 (MRCItem +*33 (MRCItem litem &8 pos 2 dimension 100 uid 71,0 ) -*30 (MRCItem +*34 (MRCItem litem &9 pos 3 dimension 50 uid 72,0 ) -*31 (MRCItem +*35 (MRCItem litem &10 pos 4 dimension 100 uid 73,0 ) -*32 (MRCItem +*36 (MRCItem litem &11 pos 5 dimension 100 uid 74,0 ) -*33 (MRCItem +*37 (MRCItem litem &12 pos 6 dimension 50 uid 75,0 ) -*34 (MRCItem +*38 (MRCItem litem &13 pos 7 dimension 80 @@ -258,41 +294,41 @@ uid 48,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *35 (LEmptyRow +emptyRow *39 (LEmptyRow ) uid 78,0 optionalChildren [ -*36 (RefLabelRowHdr +*40 (RefLabelRowHdr ) -*37 (TitleRowHdr +*41 (TitleRowHdr ) -*38 (FilterRowHdr +*42 (FilterRowHdr ) -*39 (RefLabelColHdr +*43 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*40 (RowExpandColHdr +*44 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*41 (GroupColHdr +*45 (GroupColHdr tm "GroupColHdrMgr" ) -*42 (NameColHdr +*46 (NameColHdr tm "GenericNameColHdrMgr" ) -*43 (TypeColHdr +*47 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*44 (InitColHdr +*48 (InitColHdr tm "GenericValueColHdrMgr" ) -*45 (PragmaColHdr +*49 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*46 (EolColHdr +*50 (EolColHdr tm "GenericEolColHdrMgr" ) -*47 (LogGeneric +*51 (LogGeneric generic (GiElement name "bitNb" type "positive" @@ -300,7 +336,7 @@ value "16" ) uid 229,0 ) -*48 (LogGeneric +*52 (LogGeneric generic (GiElement name "clockFrequency" type "real" @@ -315,7 +351,7 @@ displayShortBounds 1 editShortBounds 1 uid 90,0 optionalChildren [ -*49 (Sheet +*53 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -332,40 +368,40 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *50 (MRCItem -litem &35 +emptyMRCItem *54 (MRCItem +litem &39 pos 2 dimension 20 ) uid 92,0 optionalChildren [ -*51 (MRCItem -litem &36 +*55 (MRCItem +litem &40 pos 0 dimension 20 uid 93,0 ) -*52 (MRCItem -litem &37 +*56 (MRCItem +litem &41 pos 1 dimension 23 uid 94,0 ) -*53 (MRCItem -litem &38 +*57 (MRCItem +litem &42 pos 2 hidden 1 dimension 20 uid 95,0 ) -*54 (MRCItem -litem &47 +*58 (MRCItem +litem &51 pos 0 dimension 20 uid 230,0 ) -*55 (MRCItem -litem &48 +*59 (MRCItem +litem &52 pos 1 dimension 20 uid 612,0 @@ -381,44 +417,44 @@ textAngle 90 ) uid 96,0 optionalChildren [ -*56 (MRCItem -litem &39 +*60 (MRCItem +litem &43 pos 0 dimension 20 uid 97,0 ) -*57 (MRCItem -litem &41 +*61 (MRCItem +litem &45 pos 1 dimension 50 uid 98,0 ) -*58 (MRCItem -litem &42 +*62 (MRCItem +litem &46 pos 2 dimension 100 uid 99,0 ) -*59 (MRCItem -litem &43 +*63 (MRCItem +litem &47 pos 3 dimension 100 uid 100,0 ) -*60 (MRCItem -litem &44 +*64 (MRCItem +litem &48 pos 4 dimension 50 uid 101,0 ) -*61 (MRCItem -litem &45 +*65 (MRCItem +litem &49 pos 5 dimension 50 uid 102,0 ) -*62 (MRCItem -litem &46 +*66 (MRCItem +litem &50 pos 6 dimension 80 uid 103,0 @@ -445,23 +481,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hdl" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hdl" ) (vvPair variable "HDSDir" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface.info" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface.user" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface.user" ) (vvPair variable "SourceDir" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" ) (vvPair variable "appl" @@ -485,27 +521,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester" ) (vvPair variable "d_logical" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tester" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tester" ) (vvPair variable "date" -value "28.04.2023" +value "27.02.2024" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "28" +value "27" ) (vvPair variable "designName" @@ -533,11 +569,11 @@ value "interface" ) (vvPair variable "graphical_source_author" -value "axel.amand" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "28.04.2023" +value "27.02.2024" ) (vvPair variable "graphical_source_group" @@ -545,11 +581,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "WE7860" +value "WE2330808" ) (vvPair variable "graphical_source_time" -value "14:39:31" +value "11:05:34" ) (vvPair variable "group" @@ -557,7 +593,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "WE7860" +value "WE2330808" ) (vvPair variable "language" @@ -573,7 +609,7 @@ value "$SCRATCH_DIR/WaveformGenerator_test" ) (vvPair variable "mm" -value "04" +value "02" ) (vvPair variable "module_name" @@ -581,19 +617,19 @@ value "waveformGen_tester" ) (vvPair variable "month" -value "avr." +value "févr." ) (vvPair variable "month_long" -value "avril" +value "février" ) (vvPair variable "p" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface" ) (vvPair variable "p_logical" -value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tester\\interface" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tester\\interface" ) (vvPair variable "package_name" @@ -673,7 +709,7 @@ value "interface" ) (vvPair variable "time" -value "14:39:31" +value "11:05:34" ) (vvPair variable "unit" @@ -681,7 +717,7 @@ value "waveformGen_tester" ) (vvPair variable "user" -value "axel.amand" +value "remi.heredero" ) (vvPair variable "version" @@ -693,25 +729,25 @@ value "interface" ) (vvPair variable "year" -value "2023" +value "2024" ) (vvPair variable "yy" -value "23" +value "24" ) ] ) LanguageMgr "Vhdl2008LangMgr" uid 47,0 optionalChildren [ -*63 (SymbolBody +*67 (SymbolBody uid 8,0 optionalChildren [ -*64 (CptPort -uid 636,0 +*68 (CptPort +uid 889,0 ps "OnEdgeStrategy" shape (Triangle -uid 637,0 +uid 890,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -719,11 +755,11 @@ fg "0,65535,0" xt "28625,5250,29375,6000" ) tg (CPTG -uid 638,0 +uid 891,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 639,0 +uid 892,0 ro 270 va (VaSet font "Verdana,12,0" @@ -736,12 +772,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 640,0 +uid 893,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2000,59500,2800" -st "clock : OUT std_ulogic ; +xt "44000,3600,61000,4400" +st "clock : OUT std_ulogic ; " ) thePort (LogicalPort @@ -750,15 +786,15 @@ decl (Decl n "clock" t "std_ulogic" o 1 -suid 41,0 +suid 64,0 ) ) ) -*65 (CptPort -uid 641,0 +*69 (CptPort +uid 894,0 ps "OnEdgeStrategy" shape (Triangle -uid 642,0 +uid 895,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -766,11 +802,11 @@ fg "0,65535,0" xt "26625,5250,27375,6000" ) tg (CPTG -uid 643,0 +uid 896,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 644,0 +uid 897,0 ro 270 va (VaSet font "Verdana,12,0" @@ -783,12 +819,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 645,0 +uid 898,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2800,59500,3600" -st "en : OUT std_ulogic ; +xt "44000,4400,61000,5200" +st "en : OUT std_ulogic ; " ) thePort (LogicalPort @@ -797,15 +833,15 @@ decl (Decl n "en" t "std_ulogic" o 2 -suid 42,0 +suid 65,0 ) ) ) -*66 (CptPort -uid 646,0 +*70 (CptPort +uid 899,0 ps "OnEdgeStrategy" shape (Triangle -uid 647,0 +uid 900,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -813,11 +849,11 @@ fg "0,65535,0" xt "30625,5250,31375,6000" ) tg (CPTG -uid 648,0 +uid 901,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 649,0 +uid 902,0 ro 270 va (VaSet font "Verdana,12,0" @@ -830,12 +866,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 650,0 +uid 903,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3600,59500,4400" -st "reset : OUT std_ulogic ; +xt "44000,5200,61000,6000" +st "reset : OUT std_ulogic ; " ) thePort (LogicalPort @@ -844,15 +880,111 @@ decl (Decl n "reset" t "std_ulogic" o 3 -suid 43,0 +suid 66,0 ) ) ) -*67 (CptPort -uid 651,0 +*71 (CptPort +uid 904,0 ps "OnEdgeStrategy" shape (Triangle -uid 652,0 +uid 905,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63625,5250,64375,6000" +) +tg (CPTG +uid 906,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 907,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "63300,7000,64700,13800" +st "sawtooth" +ju 2 +blo "64500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 908,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,72000,2800" +st "sawtooth : IN unsigned (phaseBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 5 +suid 67,0 +) +) +) +*72 (CptPort +uid 909,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 910,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 911,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 912,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,12200" +st "square" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 913,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,72500,3600" +st "square : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 68,0 +) +) +) +*73 (CptPort +uid 914,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 915,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -860,11 +992,11 @@ fg "0,65535,0" xt "22625,5250,23375,6000" ) tg (CPTG -uid 653,0 +uid 916,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 654,0 +uid 917,0 ro 270 va (VaSet font "Verdana,12,0" @@ -877,12 +1009,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 655,0 +uid 918,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4400,67000,5200" -st "step : OUT unsigned (bitNb-1 DOWNTO 0) +xt "44000,6000,68500,6800" +st "step : OUT unsigned (bitNb-1 DOWNTO 0) " ) thePort (LogicalPort @@ -892,7 +1024,7 @@ n "step" t "unsigned" b "(bitNb-1 DOWNTO 0)" o 4 -suid 44,0 +suid 69,0 ) ) ) @@ -930,7 +1062,7 @@ st "waveformGen_tester" blo "35650,10800" ) ) -gi *68 (GenericInterface +gi *74 (GenericInterface uid 13,0 ps "CenterOffsetStrategy" matrix (Matrix @@ -984,11 +1116,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *69 (PackageList +packageList *75 (PackageList uid 16,0 stg "VerticalLayoutStrategy" textVec [ -*70 (Text +*76 (Text uid 17,0 va (VaSet font "arial,8,1" @@ -997,7 +1129,7 @@ xt "0,0,5400,1000" st "Package List" blo "0,800" ) -*71 (MLText +*77 (MLText uid 18,0 va (VaSet ) @@ -1124,7 +1256,7 @@ st "" blo "22200,16800" ) ) -gi *72 (GenericInterface +gi *78 (GenericInterface ps "CenterOffsetStrategy" matrix (Matrix text (MLText @@ -1225,7 +1357,7 @@ o 0 ) ) ) -DeclarativeBlock *73 (SymDeclBlock +DeclarativeBlock *79 (SymDeclBlock uid 1,0 stg "SymDeclLayoutStrategy" declLabel (Text @@ -1251,9 +1383,9 @@ uid 4,0 va (VaSet font "Arial,8,1" ) -xt "42000,5200,44400,6200" +xt "42000,6800,44400,7800" st "User:" -blo "42000,6000" +blo "42000,7600" ) internalLabel (Text uid 6,0 @@ -1270,7 +1402,7 @@ uid 5,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6200,44000,6200" +xt "44000,7800,44000,7800" tm "SyDeclarativeTextMgr" ) internalText (MLText @@ -1283,6 +1415,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 663,0 +lastUid 930,0 activeModelName "Symbol:GEN" )